content
stringlengths
1
1.04M
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block VZz3gA1OEAo0GderLFN1vAy9VjW6GHfNIvzuIsiYL4pObhfFuD92v4JXddeUi8Mb9uiE9mWeniAP 6axurY4i4Q== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block V/FHqGcuHkuQA/mXo5Z6hby0fYqv5HdbLwzSf6RRkitLROmzZ7kuem6lbOkkdKPEq7el37wV7LLB lS7Z0SpN6+NFFxvpJwmAXsxAlmNILJUkYM2qy3RGTd18wZzOLOD3LW/CxHw6U/8KDCIE0QPR7gPZ MonMc1sdRumiZxCH6p8= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block MhNvJyoQvuBNKA9BY4qs8iuN6gsubuCwSLP9+9HALR7b3OaTr2NNpcJWL5Z2I5y4M70GpNsDLMPN 9x6xxl6dDjiJRZECV9SwEWPnMOiCoaB+AsXeT7vUUtbJNztLP+IJM8m4GxoaXa82G7GmovIGBV/w 4I8s3WETrKiD+AzsZGw7+K2Rv9qW0odg0raG3Nf3cg0Jj3QVcFHpKgJBjvYUZ5EulGHRyU9ez7w6 y6RJfN1K1RY6s4t66rCqdUJUgL6zuBnooS8J3bfZMDJBU02FgqcqfaGv3VkgxhqebXTwUHH6dt05 XvK5nC5Fa8ivOVaKTCai6uvlheV1U35wjc4UaA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block rQ7j2Mp14x4heUZNN1sxi590D3svKV0yVp+Er26zbuDVzZY2es4L4i8KGLZDZgWNlJ8KygSbSSmu AMrgF/9J6L45adeffFOhMlUSE3dKMc9uVglmHX8+NJzpjX5dYFIRPf6r2tIEsYL669q5VkCA/E1w OYrkIhxgyBWc5KA4bdA= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block XSzu0gCO60UCezzrasTnl1P56lBSmB5WoyDnA9GcGthkxKlRJzbd5G8JQBmzgeQ/X31AQOI/hSSR 0KBXcFTa4p7F8sfh56g8EO9ICdlNGlmK5lwucB30KgGIDn+D16gBT1WrdmuBInOK9hVfZpKxtH1C vNGY4AZdGokYQo2eE7iEU2Odq01QNto1qz8mklUCahoHRhjnyHMerh56TbVU4kjgnoVqlDhf67S9 1gulUL5I72iVvZt4D9d+OrnhSSYIHIsZQNCxGgLPpQh16jelRUvUb8ApjVQqxWWD45oYQxzToKeP cjW5Qj2B5xwGT/QE3ivcnwGi9iOvHlavt386EQ== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 99168) `protect data_block +SfTMUYhoEZEGaPCN6exMh8+5eINx+9h8XenvZKnYT7lUL+SKIud5B7JP92jml/r022nFvj8ljEq tNdfF9RCQGGTHNZFMjYkXDv3AR66TL+QZKuZLZWXeNcUYnnD9SKG2ktEPEeV+OTH+i17D0dQ4dc8 bTXU52lZhc8JkWmQWsu8ynkKhqD6BWq3HkGOlzar6pn+tCzd5YncrCeF+VThPMaAk5jH3m3g/w2q shWfF6W50/ZK6pWfVJaEKW7U7W0hPjwY7YHIv6wk/4Jcp8Pwd541tlwVviXKwQjgLbi/+ya/aw5Q vYOzlQORcZtlqJ/CeO1zAkrkbAcuC9SpMsBws6kEA4yPxooi1EGUbBxQmv2usaJqoZPrUC4AM3s7 XVmpRjfyfU+u6hiNSKCJAtNK8Pf/ZVdOKrTF0UJegfeiZipCFWYlhEa6Vw0cve18V7/celtgL6Qi 02dFMt1MnlCIdiKBeINSQhseONklNm1inHBg2lrRZ5XuOdwt6AR8DLy5LFbzz/XotZjUj9vBDLZ2 8k58WFKvW/AWi0Ljwb27U+NmazCXYCgQH8iPRWybUx6am7q/HFbgCTWVa86R3uAGU8Kz7w/SiIGA ClEqs+5bCxCY9YpO3yTjQn7JNsxMI9G7lnyf0fwoAYJYILxPy3de4EuZX4H4j/F1DKCkUZvShPC3 ng9lu/LnCGNXEdDmP+kqccxCtS/ya/YdcnB0JXG2jEDT/XhPYof02HdktePZVeGgc/+4TxPYBBOS YkYFkpf2HK4bAOWcjQ0/YMGV1WSGkuheG0ZOAxxJ6QnZ/2u0i7FYir6C8ndwT/WNLifbO8aZentK 2R/AcYVghfUw5wkqdhl1payu2drwWUDm0YaYwdQOT+E9YK0srOSEVIU2Wb3/33y+ERafmZ2VMT+9 Vp8XPrDUnJbmDnK3TfxLCXjyh5gUpgnPk0dSInFh0h9gT4dXxO1nRA5535HNxGNq+JOxZJlgrrFm Tac2CUXoyV7aP0Xe14PldjKnkWjCTIYLtrPgf/QCpSatYDczQQxPhoopicnFvRoIe+HazUC21kVL EsQ2TL6enQduIEXH+eKlEG2Yj/9m3X2d0GaRre5K/fYk26T18/HQ5cYn947zFNAZVa72+QEm9fwg qFuCAfWCy5UFtyUTLsRnSceaWF4fQa4hyE9sJNbj3RCLR1MO1OU+NyP8LCX2SVST+Hh9dIzXWfjR LtI09v52T55kfbdxy+5YPssCOJwB9+KoUhRmBbVb2oHvE7FnYkW15aa8Uw6F6uBpSBL07NwsYwZR bbuccv80jiutzHh0KsRxI+JHSj24nUfZ0ofM17xOeacEqnEsACqKoKty2SkeTb34Dng4ApYlG/rH upureRjAqyOtsFzYq1SxsowaOOcXj1+Iw66GWrs7Bb+dwR+uYhvStKhJjrqigEFeCDZcx687OEkw SLnCBUM1Jw2POetGI8/b3N1Gz0viUR1dgCOMo09NLXUm6aQkYgW9B3jjqS6HRjG4VV9DS3kohLuS HP3TlD4vytI3+uaMPHfLi14oWOeYfem79e2HuqoUbR6FoUWetnbGJGZe2iWCWg2SINTGFxm00Onc xLtWRCGasWYBw7j+ZkrHQWiX0k3XIneS+9jUPuq/TegyrbMwzCzwJmvEO/XdXsyuawoTLet7rqhv /S9YDuQgDFQ1A17sWax1RHLy5o1ssg9RBw58LVCBgqGDJLzq+jrakMiPc25EngaDubDWZhU+6Dks HRYt2tfBq+3rqdiyd6RSllBQWMo+ErHVfNpHe6/rf90TNWE8zSSGOvzHn3ssl5SEbdvknDMaWszR 9xJ/EhqWDbBRaW9OnSNBnUHHeXCWCr0Q7tbGAW3DFJO9/pM7rw46r+HuxDXQ83YPI/6jRkJWklvg cOt9/PfrplkSXryD4Mk0mz7XD6ZkRd3UR3gkGBV6TbMrQJjaokzGJidNzBtozgcKBUv2ZyO2UYvY r1Z3KwyfTVjRo8yC7EtVi5bR2pVuQnxpawgCUqsdXHJEXSNUTyIBJuidg8A9rmRZmbPFCbBvflrp vR06UPQuzhkPM0MP6OMUdb4bWtCl0CoF+7gCfST89acZ4J8l/jyxZgqmozk2/rY8eMyQZoxmuZI9 EKfARwISgTIblcj2A9a35MlCnCuE6EGHGYxj7g+2qiVm43pDl4LUhie/uY0haizTya7qhEWCMpbM DHKKeYgAzo5eHPSz/3E35PBqKqhsDxF+2jQvD8TOQudka5xIsbFyYiKIGuEVKPDfwvFr4Wb6geuZ vh6sK/gef736VMq+NMwhttBZWWblU++N59/kn9QhHjqtXemIPmNjFLTY1551+K2Vp/ReLf/ItugD 2yyELVibvL48exJDhzxlGQSEUL4OrEUzZhZNVijIh2POY841oo4oDbdTpTeO9rqnaPuBNwG0SGjY aG+x/OVSuvkoMOP7ltEJ8L6frcxhcIFBaCb1mstlTxHxJGV7EuUW6EHMgHs8WUdUCqTygpZ5HETx XmRM2w8Hp1RJoNUvVoCU8BlmCLxwxgPQyLusCTMf9QU2vQrehhr168+TWKAFvBbOfeWJsXTmKmPd KoTb3eMQdOAHIKtMXDB+lcQ5/gtr1KrVw2GEaSX1azNWcm15lKlGXwwGK0xpNXwuUwr3B+RXuHB9 khcHu6t89MuCv12y8ScdMdVjcGIP2wGYBpU7ZWZPZN4HL4vOA0Arly72YngI6ncA8pK2i9F6Hddi LQaYzWJXVq0pCd8Vxi2UtRE3/2cp9IftpROGb3tOkkBSyQlZhMEiHYro8Uhr9Xq3FiseLszdQQMx 2HttMaRSo6X2Xw5Byh/obUeCOHvOFjvd77tWi2ZjxWxO3lIfrd5uHJRkQeeayCbkDt3niDXDmfBn 9wZsGelQgnYjd8TgHTqeqt+18C//bJ+C2ZNzhSgmDKCHbQU5r7j8QkW4bJqTcLidcfmejGwYX6TG sHT1PdUQtV44faSaQYQBlYYpnWgB18nla+F7L5wt/HLXp7dfNXSTnKK70S7wYKbOuK71BJXnVV6R tBNKmwBh/wS5EMWfkK8kcfkK0twlzP9xmf0UHVAhJ//gTDmzuk71xXD/7xTl+ds8DHw+f7Y9+kiv OwoN1kfP1FF8kwNujzwRj7ZFYf7TUTTZLq43tPN49qCNr9OxzwqjmS/4mapkprrsJbq+eoYz2vuK NlWb/WJdKAsGcI/+BxCWDPxrCu6eiSWQ4eu6/k9rAGnOBWqVn8vBt/UyHtHh+dyKzAGsG3QvqMY4 2gd4ie9rgzN7oJRIs1XVMBJh95UPMozuPPPN0XS91t69HvIzFHpP5LPK+T+qS6awiHi7PZFmOPmT r1nrrX8rIeDquQEJg/K8iO4dpJCK7X1uGpKQAG+iSKuJH2hAEZr7aZlNvLEvqu7Pe9RCwJ5PGSs+ +Ldp1BHxGiyVGAaeMiiaTERsKaevqvg1XtjUQEJ07q86FoXcaMjRoSEUv1fUBd31llzZkaXN2/7A +p8s4dCyQ4mwmcW9I53d2fsouI8Q1RvkO9o/HShVUI5h4sulFO1TxLgXTqe66YnjBF0I8H2JmYif /N76hJvjvGMn+cDElOAFvcc1fLbEaggQiuZS6oyypmCvg2yhE7CpnBEJhtQTYnxnOCaQQSZGh71f CUTVQRtWcLgR3jlrIDzSn/CdMP16P2mezdKg+lp3/vMMKF9yUh2s4uoqA5IAREnqFWlwrI2JTvzS VHpXZThIuSAMzypQZbeG3p5aHq15TRkIggF+Nvy4YK5RLmDTbWobuN6WgwbW4ytxgah2+/MP7Jty pI25N0+99wprjGu7Nzh3uZJBhEYp9f7jipEtcKcxLFIPbHOYufDc0u6p1OBO8stdPDn7UUGwnJfc wHL/+gnyGp514f8SrKYJyouXmd2CtKYb56ZudV+J5JqHkDY/+CijaVbmv9gN/NjKJ4t3BxddUigf WqQ9bKf06UIRucEnFBlNEfFn3kRUapB3g86RcQlvdXCrN4tNDMejKm95iXokAoO4g271B9rFA3+q IYJ2cnizKxWi2G8uQ3TDGDVUDgM9MrVnF6cT/O/dpLWjFr0cUT7aKXAfI5uC+lgUowLo359tcU0V KM3Gh3dpRUQJB5b92zJtGDqClPKZcUu2uernXtRtUmNIOeX3+h/kvKjgpA6wbtwvOmoeUzcqCxs8 FVx7vgSYbvEY68IBQqau0V2eKhHscAuzqwUFc8iGVXA7vzOEcdBXCfPrw53XniGVkL1Lh6VKpRvR yzlYGlDA0ndpqw2McDDAuK1xdbowGLb5RQ4+XNhZ0SkgHzti+H6wMsE256lsCXoA8nBP0Bc22ZjS fNKrL5pe5pYJsKZdTk/3ujRwb10y2uEt3tv5batUtTXVfaG8fX0Sjc7eXzU57VrikRYFqX3CXP2p zBRqqskl/YqJYD27C8MSPyPSSiQYvRkDbD4tU38VQBBQTfx+nB1ouonn3DQg5jTh4RweKZ9OEX1u E5J4jh5+8cRbPJ8DwKyS2WkNrAqVcmdQ5QYqiEakTSvQxDzjoI3Y9a5C3bFzLDjjhH7ycWb2VySI 9MLZdMYWZSfPhiTXOoyrhv0eRXJC4NHAmr2mIKsoTPX8+f7GcvxjgPJUv3Y5QmgSpsXNKAd9zEGg ZCuVhVChqRvv18/jgKUHQs0hAJyE/Lg70Bh5O5A1UHYA+ITLPzFZKcu1wK+gR6GRDcFDjgaEClL2 lIAMUzPe2gbdSLhFkPMj7wYHDpso7dPLRUt64Qb3YT7VzRkWE4Y+Wv9i5JNtYACI32MaNa0yXTlv NlQuk4e9zz6sIjdZIY46jNQe3/R1FU1XmtcvaDdUt0tphLpV96QNS3htw57DFiiIgg735LnAtRod nNkRfjjSrcTTlRdnGE8xNv7qDnI6ZdA3CW5PMmsVko3EVqpfSbRHqCJsyXjXFQynMeItm73A2Y/Y fmBl1p7k5Pb1kaGTZkGyLDqQGW4kfsDpL2mXaEqnBdIQnxk6GvX5BUPe7CVRQGDzaFKnNc0iNEra 6by6yKqqlRBZqHJfcmZY41k8iRu7/9W1+5LdbopU6m7NaGRsnezmZL3YTfdGlmvGLNWC/0/XApnn OuUDFX4KhVIlun2a7R501pK9EE5mrpxe2Q7OHv+tOVEuQQ887/EL1r9wVOmbZEHR12zZ07gj4r/v eFmv215yxNd01mNILTPN6nBP/sNcAWqFRO8JTiwp+sj/j/tPY05YOgQbqMZdf1pPLLiLpXpfLUQ1 73HhbhYKzduzZQQne2AYwldUj5U+oHVUbXuzKDr29FP2X5htlcaRm3IEIXL9jIdi/MbeCajX/VPP +4K1FuKdaVQdj2Y6PcteSibd5+k6bCUKHVIm0ga+XhspuTi2f0/qCnLmDpzfwoaFYBPl2mUTniWK ILDX6xcgrNMqjbCWF2qS9aMwIxIRo1Pi8TPLBxQEgM4gvXxTuvHvwluRksS4ddrZWHvRYWT7o4wF TN3dAHhOBaG5rRdYKVQfGsGx3sr5ZG8S0+fzRv+lbf1i9L1yE6fs3vjkIllRwgicIMr/Re+7D+uD FA10ipj2SuLYGNI9QrOsoROZnYTXdUathKj0wpei7Gj6I5VO4Nzm3Gx0KVeXTIGM+Kb4o327txo4 g2wRANNCj94bBdBdS+JaPuAxieq7gXbMBcGO5FJ4/waUt3Ln4LwGH4M1sM8INl+fvXegoryAIGI1 fdtzL+PdaxNrKA16ERfQ/udFQu9gAnxA5eWNJQRgAnVPJqRetxex1SC68lsNkzw8o5ihh4+07BTi mad3siJogE9rZmlpUZ61cNHUBq9TJ3dX0IRcsHY1lCr6dEnWvIAYmBOH3PU4hPTZsA0rBJRDslc2 NS3LK5cTeQ5cY70mnZoqbiooWIQfkSxbkUVz8X5GSnhkbcggF8oeYZn5e8qhxDFsgubhg08hID4F XtwO7TgGFjUIByVg8WDhOY9tk82WHZTORexsnDru/hUnSFh9/KYLS/RjT5n4noJkOX+Q9wVORzYN /1rQ5lMHUCiUqNSS514hLGyaRMCuVEKqd7QfuGuiXXWrbgEdg09sI7WHKf5jQ1Ei1NFE2ALzdQjW 1+AGnHIkzviFMltb+IpCYTPNtxgO6RXwDht2UOVSFgoy4e64qRYvo4cBOtceHkLn0v2av8svQwVK Bb3FZbdWQeEvaOmoKMcIp+fDiHkTKyxMMI2CZRMLaaQytHiOGlY3hfQwXjaEk7x7gF2jKeYxiUrA Ul924JabF5zfdtH1qQSuyL4If1X2RJ1FHK1SkWMQWHfGJZfiOr1cAXZchBua1Kn4KjbmI7BlJ5UR cRyU4q7gikDw693gskJ93s5DDfo+Tq6zqXtFHZWaPTTsdKJW2APoajp34C+D1ZBlhI8XfIQhVyj7 NR9eowDvgpiPKPWNvPo4c3xCKLiXRIJQI2DN82whv/QzwVUD9woQdio43jMa+Sv1wA8Hz79iH7lv 29ccEzFuieyekQ/nMBSH2+bXhxTm4tbgculG1nNxz8rGg+Nd4fDQsRqt1+b/SQhPXnmQqwdYwO/Y AbK9zCUSJVnoKOIgxI2DJqvBmUQAHRTK8muMvvgIAfuWRmol9YGRuHZbyl/9Cy+CgF646eD6ln/f 43TLV/kunqWljkgfTvL3Ud0F4p2s0PNoX76EXfQ/dl9Uc3T4+jDyqSHNQofgKgvO60YTnlf5Ggf1 OKfuh7jOSz4Krr5nSYIZYvAmxzA20qCJ/9H2k/MJenIsoRC5STXb2sk1Hn6pN6Xjz5URPa5V911q lh9njqsDzMQh/anNR7tA2zG+AucPwAo5qd7DKM2CIwQGTB3f7T5ZbxkL6NQcLIl1H4NsEGZhjhUv jqzhNVDIDWDyNXr0ukyX0nNKDn9JutrdybRKqtEDvVbQDj20/Lbpuh0nF3y5KReCzOdG+HVB3msm /tgOB4Jk/DvrMCFkkYG+PEfhZgOrYkQvvcRl6uBuM+MVA8mDwd73cq85R4VepT4ds8R18EKdeXnX 8QcoKNnzJjiflWfUZqu+I8ykhrQ/DPq9Mc/WG+NDqx5Ui6z3MooW6+BOce/t4QEpM+fH6NPYRg6Z NIHeHSddoV6QlfoB8dA7m6QLzE1oI+/Rf/69mUNmUQIyA2sbGJJ1/foQGczdJt8V2bgkNjfcr6Cs F8rbMeJB+HzI3fTqpwqUhwTm/WDn5pFVaoa2IeX5/U/J9TIHVDVPngW30bO8387rwBkwxBN3D7sR wX+016uKcwRFwHpTpe//fG4RDrG44FgqH/QELydCv+EN2TNUHWOjk9tqZIIt8tB0w0t1Lw3ZePhI Ue9IqarulW0A6hKKSraVXOZ0iYlA/bhnbooE/kQaY4Dul67QWfbMhQlavsxOds7uRvVp8I7LUD4i ShAWIoFKQVBDYR/adyNIfrHvFv3zdJCWwaJNfpsdfwaOrnlekyf6JA9DyaOrsYCK4xIYLPmRdTP5 BcR6EX8fi99PHlOMtOgMJ76knKrzK7nEDkvMg/duI0UsoUXcTk9MvBWpo9jQUBoi0+uVuHauWWad Gpq1CvpMxYB2esJSxl6wJb41ewuYdtR4YvkC8FbJK6pTJYgG8+/SzjcpMFoJr1dewSQVuRA5EnO6 wwdlVTVLeJGSdARyGHsN0G7sikRzCqul6Fp2s044HRoyNKY4eL15r2AQTx+iyiiqBSvTwoDNVME5 iMNQJBqkxfcGZb5ecoKPVnm3rfe36t4DMX9oZLoJtdMbariM+cNyXlkw0EX/vy9xY4AB0tE5i7kC WK3crQwur4Cp8xIzJwzDJEKU6O3Lm5ROVYdSRDWy1ZByW/TB8GsXYIXcRcRPBsJxA9uncV0FwlNI VooB7rctavoEGqC6/EwVSyPB1jz3NBZIAp6eBtpPXRkML2nYVHA0/fUqgg8a4xmYD636ZI9rHtBI UOfqUztlPvGEsKbMXSyrYZFjlvgxbdfLL8kTEdATE3EsH27Uy5Ua3V54epUxSV3kFqCRjLIxY/7r Ds9MEs6tMyvnk9hrid7jFmsvOg5BpHKAYDNrb3gnC7SJLQINXaEBo88RTmULBBNwTV5rc1TQmIMi 5/7NadTE7Mv5U+pK8id+t2o/BDchQPTBtHp8XljVw5k6I3mfhUxrZGQEuYAMBEv0R6OSfUSVmLzo yLUsaIwxgBCKyqUhdH6s+gXrwMgPd6vE5gVMl71N//I7wuT+XSMSdwRtXED8+HxCTdGygAjXa7rQ tJOJvJNZ//oLAgRaZb1DUg2nqcmaigz7nA5hqplF8AlJeRaQkPdm1ZZrPUFyVzBvfMNs9qhIzAsj 7/7nLsbq6buFZmG4yye6i8L83V8i9jWtbczWFdnriz2yOpRlmbOzWc/+b5UKNWsWqWNUwhETz73G YVARytV4JIphmtE9D6Gn1lCW6jqKaZ31T00IOOhRtp1z6N+jZ6Gr3787WV3n/YL6ZzuPjfB+XXs3 0rEuXppcHUG1itQTqKBveI1r42udPmGLY4Gx2zz5uZhvT7EHpQDL8iEUKyWRvvzQmeU6WA/uoftA VsYTq2xeDNJjA9eqJQSU5oMiAfsRaotuEdQXgmAprTIQTtCkLvpTbkFnysQIisZ4+Curd/tkrqr0 eVtGMUA4DUNpixZVnfzrqdM+s1ANo+jAEbtkaaQkvl2O8xR8chwRrxx90DThXOEDZLz1pgH1RC4m ymyLawgqxyNgeLXy/wlSd6NcwmEJdcYH7T8MrsEvnmPLzYeT4/a+NQ2c9DodDC7VsbrNnYFsPKKA nEWt454H/VqxOwCb3yhXqL6vRJKkzj/SLVI9Dh+FSBTIcAd2WH1VWSksk/542EjMHcU1MpXqK0ex mGTsfi3PRizcvCNmQTmFyWrrfVtDr/JGRVupbZerrFiT4hXyzcsA+yyNf5xrhAcFMQtnQV19S/8H XoOstvDMoz+F+iD7bUwunXptsc7x0MZfiWtahNR88QsEW2MVU5RUiGa4/u9eRacPACSc+Pj+FvSO 9o4q57PhE0T5WtSP9F3M/LzHTN2QB3UsfumR1I/U00tkZTBqbXNrVxpWush0LJJ7MX6CBsafPxw3 0mj7+DL9IrS0OGDzUoQLM8N4OQWX/9guPrmRIBEoiiuxIoUr0B0sOWbeIM2GUK0uythRMbcC8Lqi JCqsA91Enoh83GLyviRVIDfehmx8ZMyfANnAvJPCBRE2O5H16pkHMA04JSXQsG5+6hrBNR3pW/zF ALrDM0PsSFeVekGE+FVyOfjtA+Pq5jThuOWBJOOSLWew07UTUIsFFbT6ZqAYogQumuHzryVCl7vZ /mjrCFM91Zd2/kp7IrwJMWnRUfyF7bXdzSIRIy4CMaR9IuR1dLnSfvlDuLoMoBkgyZXdJTrz2o2q dfTmSVBbYZZKhLG2u5J5kyCYckc2Q2FhO7zpvn8UjO9WcjQQ2X3Bnylp0yGmg67QfqvYifMsW3au q0NqrBkfwPCq1VtloU8lzTrkI6owbbwpNqpJjADfZ/NFvvtEDWSQUeF6tSe8r2/6kXpC6UX5Pxmd vyakYoc4uQXOXN9rJpLYijethYwN9q/x9D+IztrUrQtTsg7vYYK1TpEIKVQKsyPt5fCHliJI3lls aRLTHcYc2gkiIqIICxs7VUPgoogf+OegwJPYgiBUXWjo62+ub3d84rvEbrieUNjGK6TkmFSy5ctU IkDv7WozXPVmR70HIJ65kA2tdmuaaf8XIDH+PeT4C63aZcU3ksnQFDPclP64gBnEkI1NJRMjlbE2 FNZZq4tSQQMYEhIqmrac5R5f9W4pf/MQb2qd7s+YYSEGm7xNtCA8azTn218y9mWkvylnfH07VRlL 3DfrXpLhyA6oQt7UHqJRav+wAXi2tFpRTrUsjohgICxf7xVaIhSYsDz2lzEXgNDlaujFZFT8eA9J wwqWGin8TItJMXhzSQBl71et2GuoWiKcGieKBxKPGuAwZuJHAhsvw04nUWiNajUGoant9n/fTGMN BSj4YURU1FfG+FN/TFC+c2PIh9xNIbCmYS1wyE3w//1XePrCcOUH5YtLy03RtZwjde+bBcwc495D DXxgY9z9ydoS/0IFmWi576cpUgK5CyVCi5ala8crnn4thGB44xU9EfludbiCu4KU5pubYuakxggd TreK0+e1BrNeJBWrSMpJ4PBz7BTRxN/O4so98b5+Zm3gx4X6iEVV0EB0qOIyJOcpnL0rGKDRp2c7 oAZjXKrIoSv1csopCplY+jwgTgCQ9lGyRPmZMgFch4PhtAJdX4nfmUnsmE6xzmEmx283N5zJm6jc 4Ov96DWp+R8y06wiw54USBXRnGF8tZWJ9vHvU16vYs2ih7kdY3TFBSMFYKtUFqmb8v4u0NKklF6q 1zOw748DDISz3RTs2iTbjQbD75cC31WqelRDG7jpJygJvf+vd78tk7dPxfewYsjNYE4uSMM4g/JB iYHDTUwY3eMktBrHJsMdbs2/bgMslwhhWhGkk2Sok1NhxFLiRJuQB0QWTGM7IumSlmtV91ACVBPA 4b9DJSHSkGBj64l1JGbLmsTeXnvW84RTssRXilNuL4dCDw7A6K1+gQK4xiZ1u1lbOqrj90BF1tmI Nvf6ar4oTdDduyOoIXrslGvW0IAyZUgrC9EFAHOXXlW0ag2u1O62cIZIEqyvr3yKs48oLqB85UbO WxwKNKX2uLbwinaVnoDSh21nKzMhrODL6VcenNOQLUy5LxTsN2R/UVZU/sxWG+FGuvPdmKCAOU83 DG6GQ7q1omOmRgoKvLjXWkuZW6p+lvZiF6vGVYjZQS4TZDH2RsEU/SoaVeB8hsNMJ0L0IxDmOuzf o4JovKjpJ25o2G3cvFnuqzk9BRcE/UhYcGaBOrU2S3ew+mb2gL5m2jGq1oFhUwsJXMKZWLo1KcM4 CjGlseUQmcsP2oE1haL+41ig8gNsw0lrWm133OD8k/EzV4AcgfUbyAcX4S8qWYGeg6PobBEx0Nrk xxRm2fV6S8gb0UXYemB1nEzbTbMKYkstgu03kBDZxk2z4WUVYy4baMp8+09tzj6BlD+Ob0Twdeo8 5JYYRS7cxOrupdqeQCPpiYu3IMrVW1KsUX49tNvCOSjG6WmkSE3lzOLYA7qPi9cVgeEH3d7MiCiH 66VdtAViA3NLTVygmpMIZl+qtgCs6TTPhPVLRmLCED/N+nMOTDJXiM0oUxD9c4sKfmHK1peuIkur sqcxGlpYytRFugwDBsy5jvltvV7fWuA+J74yGJk4vjVfgdDb7ktwCwDY9A6jCiTC/FEjEccZ48T+ nvTSQ9x4YYzav7wcrsuIRk75bo0Sh0SiUNdvsev5uJn7X7vCmtPSpTe9i0GoK6BgZNx6XTAS+yQr ayccGX4K6+900Ux3ID+leXQ/VJsdF5E4DOYZDf4DSZrLKsDpqkvQCAd/Ks69tB3KJ8W2SdfapI3n LFfckG1DpqlVsR78cwMNdv9BDcFwkVC0mpMcavuExPVPB+NpPJgkFnNWemfxd29Ue16pef/TL06K zVH4k9F2ay0XNAmcCzIIrgiPW9qZSmFu6f8eZaDHIQlf/08MbIL9F5kT+juNgOCvRbk/7l886hLv CHogYxuEy94yzWAJs3Oz+qdqj2VWRtq1yqJu3+v88OqG1C/u3xYfhP/cH3aXA5E7Voy2QBxixe// p8KHq0PWXr8UNcize1yirxhr9Tr/5eL9dZYU9Kz1kv5c12ySsdsGx1Dz/PSqoNr1sN3MgcMdFQ/T M+fssi2+DDhaObEzsstZlNzCAUrTuztUdC0tjSu+14IewBjozbTqvETVQi8DMiaK65qxbXrPGrE7 OFPveT8DT+rmYoxzGX/IMrfP96YJutQyi3NCrxwKiXWgQ1dBU8gCJ/gF5+ldJalHzKx/gkK9MuXw 9hg4ObEOFynQ4k1G02W5zJR3HcAlij0nLzt+mieMU05RWfSn6gDagVD1VHd6YfmhSWX9brWlgL9S IHydcbuTGp1Mk1+TUl13GO/tozr4W7hfE+QRd5V2yZFKpZTxYbNWIrFmzIu76SYtg2vuHSYjl17B CwEARSDmmVkuiAA2h2zxQ/TWMj+cfqbCxoadN1XA9CwI2whI0MueW0jQDu+V/nz7bp+hhNmsNQyC 8jetgpMgDQDUqm/OwmUp/iqgKJWjNFo9Bte7ML4YQGbyl4E2uxQM7rEhuxH4ftr5oYko2afW436J 2foFRQZiJtRSfjT6ASfhK1+bnPXfGZJtRCOL2zy/y+lhjRM2m+N7WN08E2yCM+yNahdqvBUh5Fuj D1O8LwVdV6B1QNJhqrrpS+9LVgKtmifQAn9fSoWhoXXdSWOQSTmtQOg/b+5GubJLaHUsrME2Dh6R zI8V3GCAACho/MqGY//bilVG1a2aI1qIxr0WFg3XydOIQwg4WPfCaF5cWv6Y4lMH3TVYrORABO2k oJsg3W18MFrDS9yzt1o6RiddEBszhGLeliPko0mJPyijiCg9cU8yZU7/ddBtW8WzH0oYsMmSWpbM i/TkZfAAiDCYbVZ+hmRqV2R7SYJ2VFxLkFnQ38GM9KutbfrbbJf8dge25NAvw/sW6lGxjT5RT615 ftiyYzDG7p/XcYgHV7QQaqHVNYTdzgceExskkh3cKUUYjOmAqXIOj7H8DxxvqiGzd+lB0AXedIBN jxy4CHy1lR11gh4YK5Qnt2/GPaWcspK1JYYA7eP7vcX4VeOG0qLrn9MRENvoLClAcr+9KXGGAXc9 OEipNUmFajlRL9+WdDMm/L5IdJ2/ozTmUtjcaDs8CqYFw4RHVk+dUVq3RC/kCSad55qdvsQpv/QU VTyJgSfzFeDUAIoDSntt+X2ZzMJEoU2Lzqsk5+ey6h7C/pqyoLRrHwHirvPdIH9+HLUa3wgU/8Oo IbskvNIYVFTDF47MU0m8kh253QW4OvTYk5WSqZplaKORHHaikFRgHb7uEODxGNwfH+JH4cWI+rhJ WiiF0Y6idFKGG0+QusdlcQB30BU0xSZZISIaI7MDjv87nnDkuQCjMX/0+nteG9Yg8N0BojqSxnZc UQN8tMsQ7hNY5P9UNGCcgRnDt3tgaPaONQIira2/mTl7HFqD6grzOz1lKhr8qu+wFO+7QMkkiNiX EES/TANNhodvgMvt/hm9FWax1Mw14i10Sxh9x8fYKebHXVIeeVrtqYoOXaDnvrE1VFVZt8kyAeo0 DUoCK7kdxIo9UHkTAJWuhEFTN6XCmsOXiWerHDcaQFJI8sgv+i/m8aQDgX1xAAthuunapvM8JpGM jUDJy9Es/lLcna6BquQ2u6EOar61+fVKiEn2ixBIspSzCz5zIdNDBms7YkpLzw/QZ7H2Fx3Eg9Sl 6PWjmmzxyfA7hhcFe39wjOKqQxJdsL5kKGNeSUkmlmAZ3DOM03ZNF/OSytW8sBFYvrx7MlG61DMf DZNnWIQdtj7qK8hNkkDW2T++afFP6Jmikq+Y7CO3lx+CmwA6UXOGwvOHbFE8itWteXqO8cItFXQC h4GR6NlQNWsrnQH5Eb7Z8BRlqaeiBkotNzLW1AJspZdU7M7qH/FsmfiPncKuIxVOaMuOiKTdy0Jb 2H/2BvRJ82Yz2D3DfWy4FVr4NE5rNVR4lL+dp1SBlBW4JtZA4WOML8putvakPG0e3Jh5OCpUJPEZ itWRR8geAMrce5GVrtYBbTF9KtwOmkNeLmwyrqFAjl/OymQa3gT0Z5+a1q90YELKJ59SLrhJe6+L l9HYZx+g8Yy10fdwSHZkfLuGV1niqCUrv+z1gWr4lkdkru3gf9XZLhZioOCSb7ySrtoLz60HAdkv P6j6CLjgqWQmZer1Ov9U+iSBrOxZgb//rQkpZDDyTbnY9cv0qIBgtmKqE1a+q9x2pei5KKrKuIno y4ARYXw2jLD75DZ1qXieHT5Bx0HcXFhfnlQggDWDOGau5jzpt4qj4L9UB0VaZsWLk2iQkJ5huNKN czoaAHaN1QeOYaPVqlyHmnZ6tU948sCBYMOSMbQZt94yvtJXdYjGLZTkQnIGYDnRhwGXMhi1WIJr Otap66+BzQf9N6mw/UeRbZ8lGGOBiRKStUTPJ/jsYErawnF05XGLVx1BPMKw2qLCl3TFq7MgtMlI YqTT1u3iUCVd9vct12mTrDuA+yNCzTN+dkBSVeoceWaTKEa5kLAJHiLX4oKG7jgJ3DhAx2AYO9FR NS7odjQZ0zxQittc75XO5pflC/hgk7o1D0XhXpB3nFvhhgkxPR9zoj3dw1x/iih45rsNEGhl3Ejr WMJ/OXZ1hdQcwPsBKOlKiB9kE0iMiBiDk0WYay4UjpQH1ziXtlV8GelKKHD5B9i31hUkogsFczLn KInbpJZPVwiy/B8jO+9YKJDABaXN8KWiAQe2uSpzvEO+9WOx60mOj7ucVdRuaAdto9p9hqppjgkZ oOkFDr5g557KyB/86Zt/nsHsLuY6F67VoNL5Xzpyw4uT9NjfimXC7YlGilDIfqQkYrBgo0+EsVO3 DtwV5r0UpWStzS6cCMb8INGb+HWrQdHJRgfJ2EUd45Cg/KeZtaU1MFYFBd5U1PWlh/6KOGN5mPkP ou1VPx8fKLIvFr7dACTT+A5JYMO00PiespXqokx/JhDMbrSu0lHsnzwIL2cA6dAbgT2sJHNpCHOp oSfDDA/mB+A1pWI51kVw1gOfytdW4aRSs94/wlHIlIgKyFpm2G5O/P/FE1fOH7TGYwyX7Fhxr3/O hDLCMpn4PUuxv9zbD/r1SFb0eIel0RSJGjF8k7yL5I1+0MqCO4cTmhwJzA4n1nLTRiE3tzCUZSWB thM2agQSRJTUjVrGsmtePJ3k/QEE27b+QFAkz43YuXu+NsWecSNx8GKrMtyaF1iXLhoyZdGechrp Nsx8LOfprQhDK9buSl2oLs7P0c9J+d/hpxJrI8azi+TPzGZi1vbFAH8xYvKQT9gD5zLkD+svWeFW Xsljwwus+rloOjwJvcOMKTnlGnXm9rVJoH7962bAwPC3A5HsIr/KEYric6d6wjiDzZmMMTJmCUcM v1H7eRWKEGyT1fFtm1RrgL7MiX6EPGpMqXnqVIgL/TXUY/aVvkrmlYAgOUYVFzsvHlYEOODYHyTk k4OHkU9shJ9LXqNzgerkUN+CI+QoLv1lZg95rWSzfWoao8Wgal4uviuH51KqWAQaM5Of5NmXjETm dBN4ai7ZvAWmv8A0aofCtYgaKaM8YYc9cRpqp8BuJXi5uQDM1gSTakqBd1JpGBseKBLO/54nqDNG b7sOFkN/o+Js4FwArJ22IN+NPkXcN2qOigFapL2pU+UkuKewvtJJTpKdpbRiVRolmfG4EunMO/hW RZIlgEDCZMkk/jtZi5VoJN0kFaasL8YWAYhRUZVgz6KwA3Gg+5pAV/HeEmUWxIzEkQ45RjpdaHGb YttXVi7VKUyD9dfxsnxXILBRlq/lsh4yDQG32eAHy9I7p8vEhGZUxaNlxiHOHf2jY76kKKRHQ/0p aogJgVhXn0Z5+9DpazQlarrnMROV1K3fCM8dizr4wNMd7oImHk5aO8jbTeKXw3ufGHLQ23RbtnzU AbQdILdh3D+X7/hAAP9tDjyLl2I4FkqQVOt0c+zqlx3Yfq3T0ySYEP0jKP88SX1bY+euWrgzltiy zA913hWsMoA/F63k6BZ7esASEKJ3/8F938MIJgZrjKezhzTkfKzahbV80oxOXbqSw/TycEPmdLpD f9biSu4BqLXrerjIYjWyRMpr2LehhR5OZ4JGivhyBuk/IyawHCpnNbogv6hos0+oi987F614qeuw 3V9zmL89txwLs/ac3cpjWFLcVptAhbFq2VYaFrnh2lNkTUFP4IaL4pY713GtEzB0BJjRG3JUJ5A7 8Pp7Xw1MvQisH700mNN9hq/kZTCa68v2ENRqkbO8ruz3bR3P7xTahirP2PHdTYNLH5RyIsPSxxso ilZCZW0UJXRD2aNVsmuc52/myo0n93SM9kOr2Ehe7zWcb6gNmaU/manV69kzuaTbQDfk6mAq7Ad+ mWeWbZEt9AOdLCqcSuj3V7x8RlohPgIHOGNLhSSJYQPEUEyOTq6dfCZ1Vz4QVQ+Ugwvk+qYU59IN C8Z3bB6Ya1kwuPeimh89UEerLAzmwzmRkmoS+BO3DxVoN6XUyVWB/6kJ0M7WIEbw6V7xAU0fhz/m v0ALCwT9xnpoL+pbPy4GrnW+81Rmq10vsD035b93o8oSsEfpanmNuOrKU0RDoAAi493p2KpTy1Jg EIEc+YoeEH3HEY0CrLJ59YtBejDXg7mkaQqW1KDmUdQ7HICMnnuLmdwB6Xn2u59dEM++FIl8w2zL bzanOyOV9PsQqxrYLiyvcQg3WX0vxX3lSyAqFnNAStEUDP7Cx0+g1dRMJYDEx7VGDHmWUrgl3uDU duZyprbnlwn5+ENzd0TR2btOZlJ70JA+uMJh0eueU1kimAp2jMzTVu90RqM786HVyY4ZhNrWgYfA vxkjPsV7skVjOEE4c4/ZtCMQXb4TpRKDTEuIEfpAeUSzXEDO1Tq22/90BNQY5YpPrP5fFd8vgDIC LbQvXTUcW/w35CVqGSzhXIbxCIQAAub565CwqLQUZ/4/QSZ8g47ypH7sC8rVKP5aRoWV2I64flQC p6hGReVzj9x4oA5XEGC+Gv2/tW4+wfd87rmvf+DEwXjDFg4YXn2zBpjacHlcRQ75QlTsmAAN344A v0dWVEjJDs8FfsmDCVd8+lUTPbS5BKnPaHTCH0sUv5gUNiYys3jY8DPGPIpAEywM8AcL2ojtKrwg 1EGU2SvcRqJZi14l6xPwd5auJFrPHBRZhP13mJMEUj4TjIn6oVhpUIVepyyCXCsumi2sWr+tNYf9 a5umfJoTWpAxeGgv4e517jiZcUixh98qYK+xTM8XCB9vNcWKD5olhsMiY17EPtlgd/7VJYq4qFsl qsyKrl4ciGXgFj3PekDp3/RjpfGwA1rrmzNkVqp3FuLty1g4sXAsCkJRqRK+vS0VVpp4/TVR1dh7 3qIHkI9GQEAhe1ztGxerCXajygi09ktzjG/TIOfLlIgPHDZ3Zh0HGuaztfBMjlnf0FOdALVMg26+ Lg5OVcfczFQU1sCFKxGcpX+Y4XSERZuMTNVgtR3KPqfV445baKATG5fG9LnV/1mK+OZb2ldc2PbA vQ0MmNdPFS/bKhdB6yEcZsxMe0S9qkDGZ/4M0G8p5KX3vWnOum4NSVhuX7RwdlRbXnsxjvjgfsMw jzuZZ3t2NFacrxgkn8TS3xq6BDOVZj6ZpP7D6w488Qc0xCf3/43exr+vs5LAd7j6hzzgCA22NyY8 eENVIe4dzYWA8SBsNJx4Sc2Ae77O8QbzJMVBUfKRijyvFkJEeZlzGtWy+CWsgx9vs59TbXe7Omay dS/7IQ6w+BBBs3x/cp2ZAQMoPJkrryJn5JorUGZFA31fxOmXB5tDKe7y4ZZUsx4CkjF8MWaL0+K8 kDZT1GMBsTA2pIPh/ELaB/mEZxOk2TwGdLfR7ZAws4lE6dzNuTX6S2l8isFCGRFrR70JQwGfMLd8 b+rq3dG1yGqFnu1AufOZvHws/+XFJFyHc5Z9ZRF062ajpVVOgbb7Al4YidRc7805TqsmN2NI0YKb Piq7uXR/d94MNHpLQioTs3h/NOg8lfooMzuyuc5MVoMgvyaxETBRAyZ9QJJpJkZWUMqlBr+i5kBb JpTvxcKCDIeDo/nUTbmpFiOtCNE+DQOO71l4dc9A2UVLlgWSLm6qDlC5zecpLiqh2j8o8m4eeNpi uNa6Cjk0ISWrWVEQ5IZPZwBADLRGKmae3Fv8tjSGrb2lFFTEZXhyelhtxBonkdvU4vkpTu7iDll8 eLgsnm++FsM2Q+I1oBqyJ4uaoxvP/YFUQ3YbJjlxODKIGz/SwSm98JRJKS4857W9LdMP6/r3brpY rngV3eR0Yxx56SPoYFgLNH1CLeLyoSmFMPRl7xch2jvFbXX2LwkQTMLhKRB/7TA/Ef57N1ls2mZf l4SloNmtuX6RH9IItMvKEYtqB7E2MAEYhVc2XdC7iZjf6V+ydloTlDHyhcOXhY7WVFjzHCri23iB HJvuAwBAGqhG+/Dnq7n1kjEON7V38cAOJzTtQrlOCEHBIulKBsZJr8i9dOB8yZmZLWW0K8lCkfrl 5CGy67dQUAsrcvzrYuYX2To9cKhaynesumlERnoLPPQCUSQ6qzK2vUaeEDOSIMaztJB7OfRdgsYT P22OiLK5QZcgcWuzzwiLz7/8HI+Ywn9Q+tquiAQgDbZ2TbgrJ0kpusdq0N1mVgiyM/HSobBLUkuI VAZIe8vSGV1VUGWc3PykNXrtgl5p2xoeGWPa0s8CcHu7Swnj+Ai1b13mqKTIXEmT26xP39ifSydW wZennhy48GJoWWq/gXTCDkTL8GajUUp9KhL/byUldo/IDE9DoBdzswCxRaBf90X9hqLFSy3QD9AQ BMERKQIdN7JofkdKAOtkcAdExiWMG1LunHpO4IigGtKk9vbJ6rCWc9riXQ6pP8YSPo4cNynFYv9+ qaLiwiMKozhYyM1YbWXHsWbLYKwskW8OSiYO6nNbf+RccXFwGFPaBtvVCFM6S74fK5QNmjayQ10j D1PWOo4PDu6h35YkNpCeGiC23pXWgln3v1IMOej4ojKplQ1zQSv2Uzh9+RtTFPlW4g/piikyjzAW 6Lb8Ys4ntJA+H0tlibf0C5JzhJYv4d9M1Vr6bB+aiXYgaszhQPAp/ImC4tCIGVxWc/6SKscg6tY8 p9HMZe20jju4dplCrsO5Ul4xX455sx5six+sliF49J1kO+qfZyUgzgpWwuK3Is6vfQa7tSz8vasb mgj2qeMOABcP8QWniJgijs7w6nb2k/NI5XXHdYO1jsVQxBe0Esd1czIrUAcWhk0l2SeITRcJuNmA DdXSu7t/I01j+Yg6N2sSo5OAXxCVg727N0gxfP1dInivA9Gw32KASiph2XEJlA6q8w0ZT7a1fAd4 OJVgty9szrGY2xvhc7An60QoL9rQrNt1YEz/fbwgFZJR2oSSNAljv5fRVY9/JCoKb7NQKBnn7VFK VWVJ5Lqr8f2aufomhesTAbaVfg/G7PIW52LcCAtha73nUXq8gX0NN7pQgMxGg/HqZUtZRrToud40 cBzfpyt/IYzuCppvP/wNnRn07H79+jp6KOqczr6OLu5p+ikP3xoN9jF8hkCWNN9RH6bXytpARCqS UxlqpkvavNq5zjNxvqbXZjxjv43CYyINWvcyBIQTJZKB83TZP5keEn1tvRuTpwnqWXMcKRQ6eGmO pHwByxVSXThUISQqTnbHaD74C/5F7r1ldx7s5xLwR1JCueO7qQtEk8hT4x41BmFSdC6JFl3+ArFt yI5l+7yKMO7d7Blry07TdtMLWnhIV8CnT5vtWdJK1OEihKUMP78MUdBzhW9gWeD1yOGXhopv86a9 tRn51VIu7RjdfI0t0k53WT9le9cBiqRNDBuWy/+P2g5TF/CYRG1oywtfGKWA529lK3mbgGBmAUdo lYKwtVSGK/tz9Om4rwRtjae7X0spUqaKX/wAUht0oWhgOmLYHfALlwlctHVjbkPyVenESZiNjvW6 34h66pTMlcRGJsGDQu3m8yn5GgnvdjWo2qEAEATWvyzXgIqmR7hiMCs1qmdcSvF/M623LcezPcq1 cYZzxF+I3A8czuLirLrVBpNYR4lX0C/e2ECUc6WEoo2XaYsN1By0ph0ll6YEGWNaHm15CKWnUF2X xN06vbi8FsxnZDO0bQXB+qKD40o867+nRYEQ2tuCIZM6fZi7rLL06IDphjFtMeSugdZuI+w/eREL UbTbYngl2VUF1Lbxdn4CFFrhoUoO49u1d1Mwo7uo1UZCDfxlJCvZ7M3OwUd5HJeewPuPnXoIkDKB ENjBNzG6SkcymKtPQURqbmNcidOMK83lWr9zCBi3qW6cAnTpRBTlmPs69tJuwneb6KGdUBkeSSMh mBWoLpjSAfZDmVbEcaQxxjkkyEHJmb13wWSLoRmyM6vBkB4kE90vWGe1AKKDigI3310YO1E537ig w0DiFYXCxN/0cQc7qXYFf6Phel/dbSf3flTIgK61DPjirVUgDPIIyJB3SCgI2mAA6HsMnCyMLJTf PUDi9g4OAMd/3urvNoSaVLWnq2St4HWOTY8BGygavyvB7Y8BsyWpiOFnQjMQmkm9UwtnRTQ+cEQg 7j+iDyb9BndQzgexViyx37tiNBdMu/TLi0NMeQMomPTqc981zz7R/mlfsXEdMu0noLZoZtjvWz4i q3RZaX9ONzNUVkIFDWsl7M2gwJqvieBR/8j5gjdYGeLXKiWjDBN/ACUuRxy/4tDKsxQEsSlVNziM Awc6GKk8Cr+FMDmDDqZ/GN/YmHj6ckffpvoh6BMGfAqGwZKxS2/oGUeKqNMHaOeQARmHPcSGXS1p wvAZWWppNPid6xOLDrvDAPvDip4OsmY64+epyNH52O55lxfmGQRf7MC9fj2gDIpQtzvZaSvHBYh9 DjC1F6SUPYpgMYSaAZYTkRgAg5XzatohXYCsKCQPOgJ68ikOagHlo/wTM5qMOemEC2v4DpEpeunn HCGExC+STP4bGKkGf9zw5UujOj20w3hfpkBbETVMF1NQ9m1dEAlmX91CmTAbS8CH08rEM1teeZqE 24CRmIpVJPfe9r9rTVJaQKC29EEutYrsGSsIUEx9ms8VXP8+RAptUyCd5ZxIiCEfRvL9s47haq6F FYCQerx/uwzJi5+yKNADK8HLY/2AT5UqZ9qo5dkgF+55bZyk1XbiWYXFgpgwdmLqv/e7wWBzHcE1 k5gRBGX/RmFx8cuDrcXyIfLDYmn0axWURLa49BsRuZHjJ3ozmvTSQYwRCURJCHKVgjU7pzNmTtWk rPrm3Jq6BOrMA3L1/1Ji3X9/lHRiV8uTwS9xHvGXETlg24q95zs8oWbFQcKFNuVpZcw6sjsWxmWZ yu1OQmgxMAzQ0VAK3bQUIuhOfGrTkgUKZgT2vUkMs+1NdCiuBTfsXfAZwh6DTCF3jPcah3tchJt/ g5hfIcYXyb/gIk9rOt4PerKMsoEajXewrGlAktWsFrSu3p45lVhhrCYSqJpv/HBtcpOj6KekOBId Z7upubQGUG/3r3/q1S3ruWwrIk4APCofYA3siIwV8IlzqpCK602tF4VB9chIvtNLuNRuW/vSt5lJ uM433QvoB8PRhkjdOCQq5QJxH5CIajXLc9+BgHpwMUmvVAXFKbFNKPP6Wv9erKyGiqSH74kUAvHl /tfxgcyE4aTEB7bq9WjUJlptebUF55iqCB5wU8Yfwy73/FLWXTN1H4Z4wu2tZ2EzMohedFR4KgUA uFiB/ZhFaK1hxu48Fr/AzHe5temy1f8EKhRelN+N6C886kYyTygnRzBaqNyc1/TVTwXvECsYgCjj co3Qx3VFa+xR4XgLXIrth2BdqxQ4V0OxXY2UYXIBOELjFofStAV3X2MkF5mVwb3dCEUQhQ5OTvDu clUllaEMUex14gJzZjYIAratNmYvEeKwSYirmcYPLZ9sqco+2dbm9M8iKJaMYPjfhmbax03GnUeT yuV7jCQUaYJVyvGz6Hc87rphmFnwSO0xN3wiUALulIkSGxIQJm3OFwTHOQgFUqY8e1YXxL8AI804 sGhgUcLmfrFofmoSb0Hd0Dgq3u/lk6LUSMIDs0Gh3hVd0Y9xix+MgWFBQrsLqtBbkYGLRCFBLfw+ MFKloYd0Pm6YKIsv69bjjBN93zR5A5DEvYMxkKYlqNjEzcoBh9tHti3zYGuOEANPQBMbbJzN5cCv IzpII1TqGNMxOHhblaivw8uTrJXV8sQlVej9sK/X/onCvAEJp74edTxZN6C3muumEMfAG0/JASUh /dTq0QIUYao2EJ8w93NCpHacifUw1qnnXqQSI96EwwEw4oc01V9j2gfGyUYYuLvjQI+vatNW79cB MxdF/TFDCPb5xSskrX1Zl3SYHYy4xlfZYpH70gRwRje9BAJWZJyAo9vtY+r1fDXE2uByIW8m1icz XHILK+CU5omzplR3bcmUxOSyY4Q4pJ2rAZR0lt4bC/AD1lnFq5fnpMDs+kqtbZtXcIiusne1B1PU n3PPypQaVNS2vN7N9/qVaIjH4K90ZVzUWSlsJ1/rHcv2fiJgrwEmpaWBRxAqOm1AbjkNRlBdFtuG i4okcxU8BFBW9QNGVM+ijkXb/Lvy2QiTepdKuX/bheiOMwdF2A9tV7H7PWTOFRaxgfolELWzxr8Q RNHFWjN4HUCKBvaLFfR5na+LDtcZHCxsYBW0u83yRROm2nWfFAKbNbUFUhE8ZDHr+/HJECLurRmK 55wZwcVy86aFDcyJoM24J5DtDddMkhSnnriWUQWUDTjhap6Yio+wNjnU8/uhusborrkELYRsHREZ qGNL1TIGrhYWF1zZCWg8l7uOnypW5B+TQjVLtPnSmoXM1CKas42KtyZNKbPOSaU4+oLX+6UglRVX KP1VNRDYFjFZNSopXsZ6VDLn3/4DFGS9SvM+DAAs7MNq8xrGfc0mClvAEJUi+/qQ25Ndb52b2wLM 4R0WUKpHJ5TLutxMmTOPOWxgj9BN9QbGbnSqDKTFLQYxdNVg2e1iOewe/ErFaFobdVQl8ktGDOnq qwv2+KTjRBETgsnES7HWcliyLJd9XalZk+XpPvDWiczxRgEbXyxx1CVLrQp6kXl2QRXAdzV3NgW7 wJ1lP/eyymHDDtO44g0zYcFYtlKnWeRIh10wgXJ4C48STZydBijfbfAzTQ3yva5TOROSRYLKaCEC mCqAEOsQ0pt8757s28lc3LYZVIek2givKNuO/TuWlqjykJcAvgRcZaWFdiuUbkr0lHkOPqMr/5dV XAhjUdxH9l3IZ4LLgkiY0XH5rQ4mBXyjqIY97Ifbeo7Msbq47PahFl+xCSMGmYZUOXgZ8SLaaPgj T0SAxxyhj+4zh8/NH1te++/3txD6UpulwTyPLu9k8GbxonyqXHwFZm0tWtF5wqbgOPuztpw/fCZV HHVeOLROMiSfzjdmQA5A/8LfwnQo+c4s8mAbLvj8bnXayv9lgXaVFVs39AABZNWphAVVTi1Ssxtv tzyXRKY2a6wFqk5Gq8bqithhw6bh8RcSbUEiFIxIwjVAEEoWVO+7IN4qXtENvnREUyKp5hK2IgJT SbOe7kpErBHsmEzb0R2Jfpd2RfBDqg/YuTERHrLVClQhHMUhX/catIg9OrJVPXhN9S9TjrnUTcWL OK/9sNsByd+kBCyK3y3J0YC+8f5vaPmUK3uPXrsHJZA816NLQUgSjmEqfU0lmtcB1p+sZ2xzRLu5 0MzqULTe1HVtH77FyHhGIeQIQPOca+0bYftc1k+0dj4fMC4mLdTV0rBoY3is4dgVvo+N1YihNjgI UiRif7e5ASXsingMPGhPSJoaLvetZ2i0BPQ4d4pRA9ArL/XmTi9E0Zhll35YOAYKbc6JEpUDDvQJ G/EEg8IYZfNmMTPborojspILJQEajTMSIipK02y58TBPv0vU4ww9gZvcccZ7nbhprTrjJ39JrZ/K WOZNaA7qhpNsQtWgJOgQcS7xF7rhUcApBcm2bWStcOpkrrbTeU9y1QXp7JU//bGPV0OV2SQaPz4v 5NyIEMymFe4S9VM0yGLZXgmLz2/hIJu9gC1jjBsOP/EKuuXhjyEVXzqPKxG/2y6C64kC1ib3DUxq zFWR4U4Av5Qpn/uHoroyMHHQLYbNBQZ530aHvQnevHriSwPThIb+f3p0X4J6X4zR+1Ii3U//ag7x +L26RLg1CKYzm3V/Q1SMlar9ZCBOw6+9UDik3mL+jtLMLj6nxNWI5jBLrvALCVhkExdDYvuzvbyc MuMejZl1xU0cRw6uvqY/dUS75AW/pU1uaQX+K35z1qf9iq/SXLqMmy+ObOyTS+9zW3ry5u17ekRq bYpTWUZiVA2J0NPRJ9P27/qpSdUnZl4Ubn3fW2wg510x5QVJWjK+aNsl+uVg8id+4E1HtFzbumqV xGFqlVSoJ4WiYqPcpulp+aMGJkzcxNPXKbMiqw5+TKrgO9O3/FC1ToLN95ztwHHrOQ7xaQJOotdk Mg0eXdXPpymFBN5fSPrvAE+DmNbjnSDuttdOcxDcLTYUeX/ICqE8Ze+uRbrs7+ElHYdoAsgDgCXH nmrhoz74bAc29AMsuN1ExUFzuddc88ePGgyNe9N4bXT8Ao2/0lKP2hWjwnS22kcPS+WEJheFpbMG MnkMlwdozd47DSA7oEhWCcI/Ju/qBkfHOyYvzSiswl0ImWxwVs762UT7HSsjOvInsMGMfaKJ4x5d v12jVkdFPjdQ94D8JkY58cQOh0JbSlH1uxJ61oI3aEVbld1lCMnfSPsVnqUSBRja9GXezX3q7v33 MO79D5e3neeyoq3XhgpkDohUCem5/b3H7IHUWruhAHSbein98Lv2RZG7BmcEnjVyXaZ8Zz+a7fl8 3PwIDzD+26XYToBhEIwvcJPyq+WUafx1O6WE4AA9HeCa5TVaBt6ytt4ojcBTnZDmx5VpC9CNCQa3 0PJi27HQpJ8NnDMEV5LTy9RUYVUCMT1dvxGqFkHd2cXtJ27hPeKtlGiPA2fFbJXCES7oKPNIUOw8 kp/0xWOEw++MHpsBxQX/KtEPzHFKUyee4G9HoFQJZlc/WGv/6ZPntGba7qEADwggmpogk7+193bM nqg7rBdWOTEfC7TADPf65GJUfgVX/omVtiTZbFtWYv8AhgNjM/U0iLdE2786vrkU0vKHe9STjPun xOwsTHtbnBbPOMSp/anho1eUVz1aKRL81gLLyd7sFMirbDcS2G1hhmuIgVazueWkQHjy+/r0c4Op Qz2nP3aBXTMzZvklf3Oa7YUKvZeYzyInfucxWsUGNk/egnlJEIes3lze4fSGmCOPGcNFiJ8h/K8a 3dmmgzmjSvFB98zbrH+X5RsQ27HCVn1AvFxM39pOJu7bkeZcgvtKoj4iRs+qSGO+Fj+k5K++Kycn Wk69T1SWqT9O55SfQT9TIjZINa6HNW/+6WW2FALfYI849I5ywdP9WsFuwSmS6q17XKUgOTIhybAn Jjw3uOIkOrnjvbQoWMBAwIak64wUJk+SqiuZzOIjWSwU470uIG4wssnOuMUmM1WdqKNfxWVMgPjM ytznqX5xvXrM7aoaWnFuwpAMfmxY3KsJqX5k2UoUyF7yrTpUEsOOd83/cZvb0ErKzBD5d3UeqCdn evKLaiL3VME8V9RHONTELzwPm87oI64gFSggQPPjBwTlR+0sWaTK8DrUeJm21kAC4DMjw/hkeJmz vQw6j1eyFiBBMTigWY8V9zAkQp/nUzpToJGE456v2yBdT8t+Afpm6h3/sQjELf6o3Rwn5Sd2ms7w 0g21Lt6QTRWkebQuP9Y8kO4DH3yjY70sJefIIATKPabCkL1KSTMQdxvEax/J/Ft5aYyNWg34DuUK N9RMdfOI8e1iayTzpqasHQ3MYMouaRIsotWzL0oVb1bd7foxSAUu0QrdQ8lJJ9FJdoR+bNxvThvo foVoLmB1w5s5lEBGD2MihmHUKIpjEBN8qsxeAo6ZMEwTZeV+7+oiT3qyiMeTaWz0sL247V9KaPzu ld32gyCeGEhuY4ilL8o4ScTo39/kbc+SJJG63D1P+lUW//t6x8HurSKTIOzepk3pI86C8mPf8P0p /LQlWtlVbm0Kp1tLfNlYAfywIjOdVvakwaUX6y/rSwxgB8qnMp6bQMIzDkRvYLZy+cCFdMVap5B3 vJ82kmFe+3f/3x4a3BH+Qf9SlyfhNtS1xMM0DStzRgO2MmZ5l0XVYl/7VEPy7slGUFFeqSV90755 PjMroodFTnE2zgBZDeut2ODguQXs+vcyi2xVtA3m0uwqGuSNfg1oHgW1p61peOXsc3AF3YRkDhf6 WDtguJ66ykQ60SAm5LLDAM7bsauDiSaGsLHPhBcmLv21bcvymrekCSfY71NI6/OWQWaFgQ1S4H3h +3r7U6EyuGXC3OqYUmvTQjGSA/aNIk2uyksKmPlEWvpuf6ey8wbU7X4M37/mVvwwr1XyIeLNLX1o jy6uzZaR1kxZ2vcMAp6q01wtFHrk9QS9dsA1X9IUT9Po5f+L0a6OFSgODgXO15dkNkqdHDfmbuIn 26r38jJuzxzN4LWHqG1UEzNNDOAKmLXPJQcTlp0k9ol6XhGcdpCIIT199HPJ1Ydt+VP8oZdvM3iZ d5EpBqMLj4VGcu/wZQOM788/s7Ve5zxWQl3tCoiwsUfIupuvS7xHj7AZKQ2PZ0doZjl39sHQLAdw auFzMoG+cbkgYAr97RlOl3oWL9E7TndnJWnWp6SsM4gQvzCtg+sGDyfl3JUPdAFRVUgunu6A/1pA 19iwxpgS4UB9F8UWCLt99P7p11La6kkCuStymhN/kZLJLwhGrePWghaGhCqzQmjUtDyt4qTU/Lcj aakRx2Cwr4A3lVcqhXFwroquiWa1ifGlvkrRB2A2v+iDylxBMUE9OELUEBP1/Q0EsbW3Sl5nqgW5 HdNlUxNHSY/xYCfXrILv3NgMwphqPIHxLOqSylg8fzcHGP9j0Y0otnGyC0EPFhyjHztODa5iwupc 13aqfTttfxN7ogTLClmO32SkcdmdqFi5Xgf9kA8w6yZex+27Q4bmR1z/5ZCqvq4YcHwRqr3aSrJu n1JZmNv4zr6vSEk+vaI69fJfFfCweRdmaV7FLvNke29e4GNYmoem2Uefu1Fr8otwRELYFNm0+gRZ A758p5JlCQKnv9o8u1z/05WfiHw4G7IsTSqM7ojKuGRBt9/xS4eaeeSfOOQBGS2UK8eZD9L0sPHX JegLqLnTlL0NifQdiHf1gS1hWYIGAwPiduIST5TYaSRwGAkgrq7sDj0T5jhvejHh4NdSikLm0O6U X5MH4rQMQxBPVyQxLhreEFPq4zE3cvQ0zyYOLKzuPjJWPiSnt29YGRwM9yXtMu3A/Wgi/xgDRuNT wTTtloLcZVa54l4YfrYaY77YgcnZKaTrjffBt6G1S+q1RyB+/n6S5ef/ZYQ+ylH3HRPwt8XG65Ih Gv/vZvtJqzBZs4Sg/+Fh3yR33J+jyshZIsRvVjPPGa9+/1QTPX6+/5Ncxniod5B6yd+4hPw56L05 hrmCv6LiCcx8frOwdswcHvYOh/65OvDNhQl1aS1WO35yTDNg7ImnWLwQn9fJ7k7eSyvVgcnZme5f QppFyEHsyKj6lfzSgPsGthItAt2WEAZxjOoubDehAHhcaL+j90u4aVcxVdWt/V2ErQSZTeprxNF0 AWe/lgI6PqQ9SxGqhmerNSx7T1uWUfKVj2tbWkPgr0gRAwugGacR7WkHpSYZARmjhRc1JFA5v6Mf GHidl7tQzPjlkJHW79TaVD47bkAvVO9Sften7Bt01hpR0XyonDg1ZSgtTawOzwKUHqUFZDZsznsh cBcrTwWbyqGzYPQuvf24o2KuE+dQ+scmvlLieUXMyxskasINifTCm7SuCpIaGbb6IoZR0zxe2Ald 6ehn5Z9axToVUAXidOFBCQuAM6PIoR/Hr/8i0dIfJyUGBJRsyOelHUKj9zScYRDo298aTQQBcqmX dvYSvVG1R33CenZsRL5VNl5VgLny6EOscBVbs2bsMATioox7ab/+UsrrQzk6Bq302G/ug0guZB4Z KYVlhX0DjHuswbgC30lyT3NS9U38nPlJzFqXX5PsewN2GCn/G8ud5roMzBISnuiJ3+p8gtoIMFtn m2MJWZL94VbceQ5oXgIvMa6AyQArsq9WKCaA//3nqXyxSqWtFE/XHuZzsZaHAIS4zu9kvUl65Gri 1mHdGPyYS9tCHd1AbrIv3tTyg7S/gX6Wjl07bi4KP71YrR0czmyDolI0MUQgIb1zwgDIOFx/6VJr 6taMoY0J+LDFzLi3Al9ZlbGiNNSft3nUrVbOu6MOXtdD0/Ms+GN0Yh4peP6/E/nPnFgo2QPGLZTk qD7Stso7dUNWEJQJy5E3VLDTAYhpLrG+8vPm6d0pFi9zJ6IieNifsQ1ZmRlEy9Inil2oHv+XoEOZ MjZwjIQns4HDqxMynQx3Tlm/N9JKAIqMN0+K+oJqUtpRGYIgbAEcdLnYTl5d1RW2hSDVJ/9KXbHQ oC5IdYKZtuwrAKO+Fg4D+uTaGcxx2bk6i+do4GAAR7pV6+sQU0NDvwZkQApp256iNf0QDLOfGw53 g7LqEqBUcPjvC7h2GFZ9canZr46mdJa205VRuDaWsLxSmlSPGHYSJFpqwV4zm7YbVhe5qiSDSpnr uMliprNSmrJ8Zl/IxIp+FKABdNpMrUKnWOhXwOTFrujmPoPzgf+Xlo6Dsku8iRRRllOG1y/rGl8i cua38rSWDCPQ5PGBTOFjpXmq/HN+yGFGKjoMzElptpC2LOyuXO5rYNeI8i4e2Ev28RbJZYPH55Uh b/gOzDZgezGfcpuPgPVwevAIO+RacZ2wXmwI4AcRfedreA8ElzYFadvTIwGiafKH8pXkDyrAIMpC MW4x7gLnxD2WoOsDPv1ifEHLKErMMl0IqU3sZVhPHyoVsB8nOnL035W312SoyGvLwCAcG3ZtRs+b BlWnznQjKrTJNka61cWl2KITXZI1bXVGYnJ6Ehe0lkc6UqI9tULwr05mmsLo4snwnXaz4TJbltsE wo+sSfNcMRTU8CIrcpoYP/p2i9AikzOoUCWUgXBgOr8lSprybI6Br7/XaDmaf6wFfuYNaNiruTHn MO1Z7XrrsWGPMu22Ega4leMKdq+/15HbhPb170v0lXxdMO0bReq6ENabMkul+JAphpgsxizZCdX9 OYzHvgcyvCFMqMWWr8lxRIfBem9rNH/XRiIR5wBXePdXPyCxe7rTIyK7Esanb7kgbzy4tznsGpFW DPaWU8XCD/I5qyKFREEO/xgjyFYcciWuSa01MvuuNtAQmLnuJwHqJ4KSp2Tmkb1ip2WpDjc3RqFW mCxjaLGHrgf4BJ8jURsZT4cTlRgwbV9Og+URKnHlDUT6oYC+88PCSvx6DoL8qpEEXQ4uRCYFFC1R Hoo1kjQXfv/sF7olEDr27VxVVp0Xm6vau6awLdiNBlCeCBOb6RgTS/EHnUGm6Z0QPrBtgdpq6BMv iS1Ok2lFPO2cy21v504nXSruWMJWP9o6ee5cSeCr5UWgFAXcok5MDmomf5xGFNbySdAAMPW9Xi5d bI4i5a+ZNuYei8OA5VVKT7RN8n+yvQELCKfaKsdMoe/VetBw+Vc4tal6MIn52s/+cIY+BrFjpvg4 UgZe+6/m6JgvX+w0/zfbaHfrFIVfk5wE2RJngk2y+bPq9Qcx9m1K3GNi1q8YyTGx1b8deNti18ra WeThQJJslik88D5p7YTtprPvg+jZUnU50Q8nLcFj52GNhUzVNWjNZ4RAwaePiGgFmp+T7v2KW75y e8mhw9rB3qAAyEcL1rTEIgrj4t0bym9JQHOeZEdWl/yCMD00Xe2Dr3kOO56/8YNWf604JKqEhwug qIptUqKqjZC/jFImNouIpC1qV4N5yfSwgB3zs9QtTM1EfZrduA0WjED/n8r9/QQ8lOUADlk3d7lO lQsi9FTkQ8QjyOVacm3Yf+AgayndXjB5ntPevsbfpJYDnJZc49/Bc0zXgezimy/b4xezEHjf0iWn Vub5e9/u1lMjy+HB+Ex4Re9RPj2I+smkrv5L9dqlrOUJt1IXkocraEFMtXcdtRbEbkwKuywNHwEa bIpQ07Zb0Rb6t2Bu8cGd5jVwX+j+/noRlHbGVc8QHTv8fODQZB3eqOL7TqYs5vAQSseInO7yML3M XagRn1JHUUjfftUHSyE612MPtmWjF/g3m8L9QwPpKJw3wMLw3nPwjU8XkOw4bRv3/JCEBRszx7BK BfdslgqegdtRsAeMRzwxsoxg8VGdvUn5vQ+cLITkc3uKePC1NxtwArhzd4Hc8bvO9vFiWj3/gMcX lYeVnd107MqIdWkBoKW+3R4TPxjWJm1oXsxE7hhxV9utkW93MJbXwIzIJl1Db5sElaHG6uJRVhmV 2riXDvHxsI4drsvWelR4zTsmwlmp5rxwe4uDjuYXN8vb6BBX4shqzzdRtDVJg0gjjEl4ntGpFyvy TYWFk4RnYgaS5WPXxzQK7vN1aYKpwrGt8Mk8QH9FhkSBWJRkVkKlEeP8ylpNEi8QF5MwYrc9kpLh TLrdFTvmi850PBFizIjCzIe8JTiQSFev2g35zXIhaOCc6J3pDJpcqkVgHbqgwS9GLCCaR5r42kD0 F0eRcT/8hX5HDzWMfF0029sD07HpNXCiW6xf6q4ymOSpvzmaHeKgdWLILhwWw9qot/v/DLw5B5Fg pEcvlxFCVQU0BAWF77ngo7E6eqQJ/heIWwxFCtNv1XotMp0oEwRc8nWALsJT/NBtGuecBalDZqvd U5jvxJZoJ0PSXFNUR1smkRiP+LDCuvpMspJRrOIIMy+vWdggxjSKTpRxNy0pzklIginNr4XKfVeQ 04pOl6W93AO7688nO77Ohce3B9v4XH+VgBs6cW2ef0hB9M0qFnkBrfZO3hkn1qf+iX8xN3IwFbDx MP1OhK0as+cANbXV/W6gVgH5KpFZFtk0FH9Aknvtxe/HHFVi6a5jnr4kxCJRAsxecW5QyWRR1BjY LzFWYXa+h7ZznyxaMjsiYtpiJy0T/xwOqgjDmnAOsM+vV22YetC+gEfu2ywQ5ra/zYWCB2vF39Q2 sLKW7IPbgf1vXe+dMB0aLgFUcqFVef6B/KOmC9VxyElX8i9+Wgz06cN1CI8Omvy2tXokKl7A6Aub 9OJ/6ZIPUwj4mNWYwNTzZEvhjc2a1Yz988iZf5Ev9orRLd9IIc4hZOFikRowu32Z5U/i9b7KtlVj wyQ1UxZKVwSAPdu1DipdSzhmBNjXgu3s4MQ43jqXRuOSD31d069K4hcvFDAyQbP89asFG1WeM+cf ZDOGDkDfGwPgaQ1zJzpy+g+U8ESATs4df+aEY5OEpVkVu4OKnbeJ2BL3q/W+Pohs5TRZc91v7sTu fb6L+yXXvOOkxOeqSdPwAROZOVGc2c6A/sfDSfwxzky+vAJFtv7BtTLTULLOUz4sn8DKCl/i7wZZ JaUuJAbN3pLzQctPeeNibGeQNslSJbvwRx/uSOAqtrC3HUyDQHOywjyDbCoUlxJ69aEqvrX3DVuO w5dk8XtAEOkVAwZWv3njTWeFNS3+8ZTHacBD8I3p6CkFLT7E4/yhZGwdS3mtonXUqS0ItPh3BgdJ sNE7KTfKLVCAM0Tagda35FMyTy3eGdlSWl8Q7uH1/RG2RwVgicVA1lh5A2sdE5LH8w2QnAmt5NIz BvnJT8aW1ek7gXQlJxZJg6SYaTJBvMacVXsyLwKJP4frqhAdslxOt70I6qsjY0ngKWQrHbf3/Csm Js1W72EzCOrsA/jejAqIYnxXIwYXQZnMv9e1OF0HnOdzvKuwM6H8a2SimJsz5+sYmC10vRGAKxI+ /PSGrue+aB0NJqUrC9c4ZzMIjUoFeZhCi3kH0aU5sshgPsDW66UtCJIy/J7DnjL0CdFMaaBsKrqL 5qXmBXp3Do1qcsD3BFwsV/fWH21yVUsdIxAFfRWuov7DF69I0tC9prx3RmsC6mnSX4PDKC09uwjx zbQjE0gKbLClLVhOIAyhUOMveWFNPdoAglhYvsqNz5b7kVMYDzIHX/0D1yIEjwYiGdo9EZZWbBiv P9IgfcuvBRxOdq51f6Ig16L99BPzjobIdc38KLJ9PA8dFHoY1WSfqWy3usCdXpGJ2OIgA1YeboFs I/BuuesTuk9vs3VKzIO0kyrUQLKqpQ9cAj34zyeMrC0stnIjgfgSkizwbRLnPhj2rGJCcF9DQZd3 Dnbw7SGFDbotctj8nSVFnDOnMRHmy+R3m/txvGzJB//zokCIq4giUxIFWoiCDXE11Eg1q62T3KQz N7W1Cyd136c864oiKtrACpQB+n6M6YGFlCSshhy3GmubemR5I5sGyf5dSmFyGmEQinLmsZFGg1zE FNfDoyO+sK3tERV1XBeza6z/cqc+5VRqZ8BjfcMK+n9TXyi3ZMvESkmpyFo3+n6R6IM+iZKeAbNn ZhRhlZin994YVJQHp5G+sC9sCZUxhOmj6K6BM21JzUzArDxmdDHuiUBAkVN0fdU2Ir/Y1ODyAGAq rciJ3pb0DK1MW/xmuKRqpwCmjE486MSG05MWRmpDvDpa+yF9HZHQKOjk6xmAO3fhnBBDlgjlan+X n+G/xTbYCiBILyLYtngPkMrR2dH3CHgBWySgk/Ue1hR5OHDU5ALVxtKacy6TEklldj3KAdQrCQk0 JwFwlMeze3OdmlmVt087rs//JtVDL5RxP0jQdndJzUenHACa8znx9EVsiNb76TbMc3GS/am+tayy UiXpbTO56r1mz6tRJLjUSTuQHctlkksIJ8832ajvykiZ1Nxi+x7JFB/mDVJqlv3QpaYndAcpBGJ1 QrEXorjKlYnNYy2Eg95y+XhGcWn/r7aNEr7E5URStPvYoXeeHnLZszJ4wTb4+TGtMYg3nvXaDPPs yBML5gKgIZZNuzjCErjEqDPGBTOW/kwoYroDd0ep+iUxbKdlbK/nDNGtEoYX4IvkSR7DVes2dKq1 7/zhTGW0DA7f1mZEQx7C4DgQOwqliDtJsbc6AQV+AxYqAfO5YTsZ1+UaR/V45KwUZ1iju7YG82bU i4ex8rqFUrEVWoofVZm66LRkousde9wtTyqJEvKz69RYKdFyxn0aJ49H9aekfUQ6dtscZ5ZAJgJw F2EhfSnJUmHba4MND1A1S1mxzG1S+1XX9GGlfri4fmGp4PubwTWNe5bAqfz2hr8meKmVROBbn5Q8 KkIibBkIOhgQWsabHl9v9lm763pz+v1tnfvoC2pdkLD8AhqYx7Dd45ZrtpHRzQQLxVUQ6ytbzjSB 0OiiO0tCN7aXpZJngek0SGWqMsbqRz2c8ya2LGooPw+Rk8RqSSsHuEsA5gMZdFGtEYbYrx/8QwVW v51A9LPu9u3+JqfEFybZcKiKGf4FDo2R9MA+MCr1Kpnlo1nhCjh9A/LWDxeoLHHzA96fV+h7uFBe FGboBbSa8O0IriXmi8seAOW+UqlRusMmJLwCfyhWyXP63XbuFqXJTgpX5da1VjWO1960eFF9rypQ srIF/u/d/zNGXQWS9LrAcNlVROT8wwxLKXUTtR3oi5kXGasT576H8Kwi8+8wnkRm2CFjFdvd9p6R cXMUHZlC7UXUtk9RBnkFVJeluP9OzRmOl4MtpTvomQjuhc8FE9EtEcAVtF0HtDicQDrwuR21W5nu lDFLNKv5eH3MEllaVYGBM6udQ6zytMwGhhp5YRn24NHBBOAUaeKpCLvXOsyymQxUu0w8N7JXL8/6 fbJ4+fGy9Hse6tOA7PfuBizapn2MUcgmDaMKwnAuWP4mPpRpuaDxiJ19xhDghM5Xm26p0bXZFgg9 fKxK3mLg83RUE5PKYGgBPjELVsWSBAdMmNSOfycGROAnZO4cqGoo8Dhtiev5M1x+8vdd4xkpeLjF 4fp01gsTwlsJgLQLFdinH1R9FYSzsjVBJywNzqfY3ocodMexKvJFEUIRv5rW1RENvC/Bwt73l6Zd vTdedyscP8xoTV6GLWb15h6Vpu9wWSir1bsMQpJyLTRCSUgplmZeKANcR598WCPcxGBQnHmS2zwQ cg6A9DWUYnEF8m1NkPERbMUBNPAh88b+F1eA1ZSrWsEKVvVb6CPCbeGadCw4PI3fUbNmRolAjQl8 3Bmj9IuVBQKmty0Ylm/BeZK3v6O5A3MmTZPo7NbnsyPXxHUgRO7F2lxyWfkz8/WTQFHgDxq4nUKu XG2BjxyX+JY+MOP0I3PqVM5G6hxapIo2xWJ9+ql1VOHMQJLEpBdx934McdtUy/eTB/6DqZOgzN3h 9KXTVWKEpALDbykGki85FKfsGFng4AO8nlu1sEvMqBxnl/z/5zh5w5TZnN+/sdcIcYPFaG3MRH2x 3cYYqZNczDovPUB6aZNDnmA3yNG8jzkCDLqNqAVtd8fpFEa2WnuVpcCiGW3LULtOw4ogDryda5la YIwokkwLE/hGxe3uaJRPuDh6aT6pJbLiGiNkOf3Y9YnUY3zKfF9777y/9VSoNu5rurYf5oxVtEiF hx2CqaJJCoyyTdgX/j04t5Ij22XGUwSAP6VATUAEJ7ZuQKi7xpMh3oOigKm72QDTX5GvxIRRc6Ju P5LM4xmEmPTiPeC9w6wY12BhZwUAglWG5P/DxiL9ZyLVA/F2s/k7AuG0VDG1Zq+zQsUbGUSI3FmM Q7YF+rpelSQ0ors8PM4dsa0YCuC8jun5YGEGo0eAS/dZzC1CCvMLAtOmSKnh0I230cA/zj7v7W8p PE/HioXKb43sFq+3wXUDjtKdiNysHv5V7sI6b5uB0pIc0tr7B7ZPcLabsbRQTGzKdpSnfYaU9acW fzsbt37tycvQ/WvHjFHryoL8C8XcexPvE0Fv4JLEt6Nlw71bO6/f2Udwhl2KVhZ69e7+2uOuGVZg 7aX6+5+PNMO6G4ctO/SwndxSvzJfm5b9b++ofhYrokN+BXvPeSyV6AFylbivmaqzZ0L7SlTovnkP Qi0NTBtzV7OWSI4m23Lid9egHtqEp8Y7B7vGKG+xeIgVDOecPdqxH2AmgKNqhKi2buTOsdWNqqwR RClBAM134mzc/YO9s278csqp/hkFp7Jr37xt8SFn86NB9LH/pehoTqkIBgEW+DCd9gkOSiQrA+Nf i4DorQzpXGBMPJ7rJ8fjRC+47Ym4//kiH1a/i6TxEAO85I2VNCSbMr107x1W7GBvABt3hfpR4o4a /FkMtpggLRPz4jydmUoP6Kuvs/BdTUwgGbS4erPhJR1WKjKJzZa7PrBf2rcnQCunrrZostk3N0bj kOAXmmE4p37ZE2r2wpZsrl+kG0D/4/9aSbSwq2WbnKVbpnC5sS5pO0skeEhBzJ5hGMke6YkvWtRb 6OO0AioPZsv8t1gFWnyccFJpgyw+meUFoLz6IcY64h3wUVxX4LwQ10DSRbsoZN0OeZw+7o1Gi75I gfK0hFzgEfHxt+tNUSO+9Eh9vuRbtFmnhJs5dlcY9FY5FNY0SlrKq08VI77JfzqCbEK6kin2ui2W i8IuUBqPAY1ZwDYt/o39NIIP1sYjYk5LCpH5APPQzTobzoXBcvyRWDhanYXlJ6i5vRMXJUOqMDh/ DPgNpVJEtxYAvV+plfK7BWNMhY1M1zFsSoEBVkSpaVj6EpmWimaZqaIe7TxCDvB0Fdtsx635ZS/p rWflsVtuAgtouJYB43MhzzGEZW9ce/ZW0xD57zqtNKvhB2aIo1pDgQZGKwmqwn8YHDtOD7WeZXXW qC9YjVCPqumCFQxFN8NPVYzX5MvLnFuaY3rAD+vXz1VsjkwbWARJ6sQCZzIlQEYRtRbGAuCAMHFq Fs078pNzn7JwfgGGqGUECNp8HzxE5zDkLg6UK7hOCOYhMlZm6EPurLmNr8tOOMGiQgvQHU0oe6Hn end5w0IDB//GrGmz4yMECeoSRmwUUtCRXTe2fbnC5cqI4ZQBl7VXs/CiuKpaKf0Pc7Bf+BpJ8WVw 6CC2PTYylGSfVbjMzd45GO7TkTNJXZzXCh1LQEjcFZ/zAPDmxa4EsoK8lmh6URelseis13+5QWRy mgCcaebcXtbND/5K1kdI7IZwWmA/27pb2xNugPLZVSl1EE+G+aaTuhEyxv9+P6e4HWZcm1VhufBp ogLghFd5lFrBL0xNplwLVrus4uQiJe8AJBwNLpJLly3HN3yctZ8cPa5djwykNzR/B8B/2686eVbK dwd2WoTRprHz083oFV/AqZstLN+QoOSA8WRRNSEMfcXTjqHNbbsquUhMkveP1KDafSWAOeUPx2HA XHz/lntVjoHXw8ddXJMXXsKLaclH1rZkydqElwwrlKIwKAZSupJIBod2ngX6jGU583v6a8JPOCy6 8YXfaGosRbjCl+pfMfVjQJ3GJC6+L1dXVTkUFch7017GRC3V9UAmO1wyslowUaN82T4etJNjhFsb NwLN+1jmuJjZxx5zDaeRVhBSkkyxtHcQyy8JMKysig/Q75HkGs1AnI8ktRE1K/lNzTYpaH7HlNkD l94PlVWZCrUHOXO+rsu7vZgbmBsjmFeDaWBsrpLP6aPKQ3NK1z2fALBLbYx3vkQBjuFdyO7CbP0n 6zDi8zCBpJSyM3mD3Xg2kSSKHVuM4hqiSzRawl/vxR8kzOyvafizYjCM8r3iWCgFk7e17bjn38kw wSiZP1nyH6mz3NEOi5dt9RYzz1cngciNfb51gfD2YAnr62cbH2nZ5yOsYQl4wAx34sxw2wSVjxW2 I9SueaM8yMtZtnJJwGs0nks68p8jF7navfnBfp4iHeq3GQk/HH7TyX9PfIYjvcsEnQPnNdInd4uN zzV/XUY4QFNI5hyau/4xmHktOBZo4fd1B4f/7GgytQizdB0buE/NtACJU6bM31Yb7Wqip3E6WHOp GUI3MaDq9WDryLX1f1nzd5uubnEx1Auu5iPk9lLXOhGs9xyqyBfgQND9uy/SYJ/jzcR7nEFPyeYU BP/hiWiiJ/9nQBQOwR/lbaSKc8QhFCA5t/aowEqfZQW3DOSIPNJn7RxggXE9EXmeU+9F2HDD/1Sw bEtKT7McQLfnV7HtarhPFzYCbffNjFevfkQg12MZkb2d/7MxuAi2ZMSvzjr53WFDRfwxMknqCaKm 0HCYzlsWg4xY0hcVNBfu3N47rlzJnv6zbioBTAkJ9XuJ3MajaOaKN41hpSPSvesbq7lO4JdsOMhb xmSCAi4RIbHGoeqIhArbtGRjq5oZ0OTj0qqV3QdBIflnQyjWPFrAsX/fLR13RKGnHc2ocPsK92S7 FUoiK7wkx+8k+Y/epb2pqat3hHIu5jYBIXJNpg2NczxFFzSDLvf1FjVWg+MADYTK3Dv/2AAqvkjk bn/uwNSAVsNTUBSJ3PZOTZgytfdg3CMzXFT6wXBfF31U5+TpD7kRGZWMFDMCcPc8usGenyAEUW1u CWvpPOzQGU9KHXRDY8gX8+PCvoLVyq+LpPhfSiVsRQptvPG07v+mSbUTBgNUHihOzHjVatxF3USx BC2ezOzqfGX/FLXN+qeHwu/q9oZSaoxoLg/7LUdIJ53xXpgA/SM83aQ8csG3+lVaaELHJRty5gGj kBQenQKJfmOPT22YMj+PWshh8/Q6KpaQbOytFQnjK+/iAXzvW+NHD44u6Rzw4H4rhtoNuW++u5kH amNoesZb+L6GNaLNGIl+cD7bC4kLO4xzgjIOBDlwIHNjq3NLzV1mz5QfBpiJI+jC8Ac02PO89fTK RrwoJ/XhxNI8NM7YiN9PPTW7AjekKoTMm5B99EUdNEe1mBey+c25h0GnxPRdlXrlU/lJPQMra+pY EWT1E2J7vBg46vRHL6aJ+94c7C6U1/sONx0ya26Nu12TJmkhW98m7twplHnlfJIgytgr5mrK1L+m Ki9ffCNRyvR2MvBd6wnTtW7R/eJXgqjsXaESn+oSZn9sbsEBtuDPMNVgZzXYZWymD2/Sp/BQ2n51 VpDn0fW0/p4flOra+x3wX9QuXEsoJWQfh6hmcwK1pEkeqX1qAiwyDO8lbrvEJwLoazYyY+7TnMs0 gvtO+37vwjH1MBLUFHLNu/GzHS7Dom44rVhUm7NNmpi3g3AS5UVdmIc67X4bVn11xP1WxGXKhRR2 /D10LSUR6DnV6efOKofOXmwq0j9vDweoaM7C/xJCfDT8ZMlrAp1FGTSEezmfGPQuhMGcfSZp59ij gQN/dN+gDLAv+M3DVtcOY9bEbIsfIhwBJV6++hlqPzI4F9xJPWHVqmXzLvlqrrXQ2ggP6aMz44f7 HfzHFFmWTErilXUVRYiCcIUh99k2YfemsTNXJ/YtUOFfJffiXBjDcM/1SJm2GrsZVV0px371hh7W gwpqealq7Secqwce6rB5I+hQXY/Y8sVxG9OvhOk50/rjUR145VLNgVckBh702DW3rtbVDcVMrZNc t/WkLU80Is+df0FpXvlP+ZlDOqkF46+ZcZ0WbObje2no0eLhsdwR6CTGRyBjR/uR28zE5JOVTQFA Ghwa7/O8dy6ac4Q71vyjU0FgMLCqkYMJJeu9aEsjsgIoDqMi/7lM2aV1qO4Wm5NIEJjzq6iaLqRk jHlHmva0y8GjkWrwnwDEeGps/eREjI8Qklu364A/g6cne3zGeQr176x/hXXu5keqx4YJ/N8O5YgC o4zhZWfH2A0XHlmzgLL0LKGIKLV72sv/ptxnGIKs2GRLMPBF6imN8EPpv0NhaS7wXUoiYmL8iYXw ii0zTikLrhVKZTLx+hAA7IfbrHEo+YuRQNKjLEp685CIMMYuIHHtX2boiW56Bx1HAaTWS2+75Oem lO3tJYO1tDR8aVCXLS3lcWK2Zkn4EOa38BQpkiAGdGfcWZnFb1Ck7kz8QC3m6gRIQIw8aTDnmhay 7R5wgYFr+2wNhtFj/d7kUZ9d264TJ4SW8CJDRjv4PsviYFjZa63N8k0QBnIRvTjdy4IKtlshob5n KL4T0nbwq2qmjHauQ0QOY90W2y6RUdWYDsLqLFAEfZPcVzz23RQcOaLkQP5awb1asiUOWdDYOLm+ Ssw5ICaUoJlLi0KLkX4y+/h8z4dFu7PDtOVQunZjyQLPnR2wPlhOVLV++G8TfxPFeAnRlgXZnHBG haeXUuDy6yPzxiQq+Ufdds+RmaZvh6z7HvNS8S33qQQ6ageXS98UwRyswl8RbYZ+HZ6LkcCL0Omv QbggxLU8VkyDYzOPadGoTqelj9EvIz89DufzlMcMjp7nM8hJRb0CcsUpu+p3ZNP6ex7mS8FRdPmB 46gjDvZD6EBVmJcp9yl56GwrwwAsgoDwwgjdByoguIj3g8ovUbIkKnGzWMUNBssFJg/3oTbOvpxS mqS2QiKd3qsIKN6iJJxNTQcQp1QXrdSExQtrohplVBfPT3dKot8Pl276ypFT9lauAYm+O1Cdqvp0 +QdQCmmyVFZ2ni4/gG5NEqNKwdf7+TJi0ujDgSqusxFLlDXDoOEAdLOlzNIIU2Hmw44i62sNxpET eE17zVPGoy/pBgEZOK7/hNciWZ5oC1DQAph+yvdzED8r/qiBhsIbjXSZR/VFGyAE84nqeA+WfMzO W90ApPxNuWLZTGRdLNa/yfJi1VNh4r2w3oilw7kj2Q5Oa28L4Cdz4w/UxAdKqHI+HiYBLLi9inXO PBemM+XcxM61jpqIlZdn4WXchMjAKhik4oFEU1IAD6Ds4aB/WZTZEtA6nKmr61RJO87ou2HR010f 0+T753IKrfSzU4zz4mN1PGfl6pTAgxJhqvnARONLCTwwyagDQ/DQ3n3iGDqlZtp7eJirYKupK8II Mg6hVBMvA2OwK15wXd9llFfszX1zupBxxfPjUJXKFaPiip7rvineK1bgMZIt0OduXv19tmplnp3S 7fZe6axK/YP4SIimlic8+W4oGyW66h7E9HwAxApP+mSgbo5d6/Hhje/omi6h78pm7bbgpN11/Qao y/klEtWXEJUyKCB3E/OqcrNqGBKEU57pQdrTMJaTKx/eU0ayrnXDuhIpkcW4VfQzd4kDoYXs6ymh 1hn3A5nSUG2YklVX3p59UNiPT+Ligs16RDeZV1doQKeWkV+7rU29ycAaTEBAUl4Dl0U+MVQ5+e7n NvUiC4LKt5rry1DhLywAhRWRWxyy6h3AfK0ov0KMiq+PzIn1caWD1LwGJxTN/5T7aBDEftqfcQy2 KVhfXJDSGnTUwCl8XpYHpZOajruMSfoSOJjs0BDye/tZxzRmHz9h+c9/2U2YLcXZrTFNBzD03xRw HSnBrVtPzcK/aQJuZ7T8gcKHmo565sua7OvEmG6Am/a2RkhFBLuD0cEj2y05OBsKAVBxfa280eqO v8WNuGgRECUYprB7DfZwQzysSrpaVkzzPSqG4NjuFRBaQpwWkq7YhzkKp467Y1J11ExX/kefzk9C qcdv2v8yfMbVsnqgVT6DAuh/xch5MgQHVx86hGC465QS91+o22w5hLdC5By8gZizclaW3S1xKxRb eH8nwPpsaXo6l2Zl5owCQk81FKEm01KnJyIMHbfCxjHubX/8MOyEhqA7RB3+7ONCgET6P4UJsJqN QjSuFgOSqwPuJr85DBK21mE7IBFs8BiPqw7xGrpf2m7GCVvBvjr1WMLyaCCHfiR5qtz0xSPvwmJK FEXN5pDkXhIT504nN8c2bJpJ4wcUIBrFh0VNgeSp3MPYsSA1T6fPDscdDWIOJyGglJ7cE3GMis76 Pva7lvRQV38+cyUhDv4yGASTvywEF/1gm43o5aXSpyLtSa/j0CdJOzdUckfaPTv2zGQKrP6nQw4P CHb4uPy4SygML8CsYVEl3RaGiKNGxXixv5FIdf1w6tv6KYjNe3vZpqCXROQ63SqNCJw0FeCsx/vY n9fBH87BJJN/OdAxGIUOEMiu+s7PnGSCR14/kqN4uqXffoo6OBA1J6kDWs++gu6jnNca8geYmOiK HbEZq2Su3rfPa5s3EQpAFypVMnAmOlIAHFaqOTCo/zCjm7jHSX/A9YZ7JMha4sPGN/xVmv4WzyIc arX1ntDE1nYDbRqjeiwx4kXLHnPbzdKSINrRD5ilKk0FQnxiKBttCFvgq7DtCBCYm6QWQI4WW2oq OfTyKsTtgYrAGJd/wqcRQshNIRp1UVClcfOkiY91gEIM1eBKBLuxPSm5mCYXfKdAxPz6icTzW7Hs fqqhRBGksoJqHfUAuv73qIvtx+tqKByz6ZeKDJjlVQbnI18BLt5QQFz4Jw9bPRg6GlMO+m4hW15m uRFxdLeWyBvhGccC5lIu9/3PKxLvahI8bYrihwVxw64sQgSfQBd1E5SMlsZjkNa3RwuzUh34G8NV ZM35qjwz9siBNg9P2R7mLKj58VRIbBkOwIDDK7jClX9EqMT+OV86z3Nk5xB2dU5rmM/wgF+MmDnn uKWKPERSlEY0c0jasJDD7Qzn4hT7KsyhXs3P5AlQXIc2FtIT586rILiQV7wpllJUtOeHC7Rz0aGN VsjWpB5bgUZcC6S8TI0HwglhjBhb4p9aq1wngn12MhnTv/5iJnDNJluEdAWk7gv/gLDqe1oOSDHL he/GrXlFdCa1NamkdhNYkHrk+j89HgfGO1W0SFNjleksQEBZStYMpFDTzpJSGLg9xNaIPWZt9Pm5 TvoaU2BsGmxK6KEN0ci3wBVc8Z8xdLz04lTqzaHLBIkMUB3Yl7UMQUIfeiHVjTqhbFFZJ4YoMF55 jk2lT30WIQ0jMIPerLbJHkME9SmuepfSboqN/sxajUjw4P4633PCsnIGMgJg6QqwpoedZ+GPakv6 jASqZ7NCKjzCvpXmul+jAoWsgtg90/61KJMy8c+ZP0R3hRcYKbJ8Xp5SM78eDMRB81WFGFnFsuNQ mtr+cU3YUSrp5lyZvINP3ufYVtSmaNo74fS1RNT0GyM8rjmojt1MKUNKnH7yPynxrrX/gQK6O8Dl UurWZErXuNVupGtZSlm3ihdkaWR2atFHvHEKSvfLoWPtX72bcCybefD0ESeZ5N7SHCuHDSaETjJ6 VY47xjnuOYb5YPVVq21suzsV7JrV1UuBtcXdHuHAmYDO91PAGYKcDWNNI3RsCE5F7VSOZCjRbjRH 38DrD5Prn0dpID8mM73T8mRDvpkEv3fxi39Q46stUSNQYikxFcg5EeCWS/rL7ckgrD3UxjEFYcxn 8YLN13+prH1Hj8bXUw0wmCyYvpwfr2JGQaJtHP0EC8vNMuazICWKuCU+q6DrVsrUh6oOe3RRBgqw uZUgcxTfErFSdDzoT0yEQxGkNupGpKAda7nXozpBlc5Nm4ZI7wqpepaninP6b1V0scwwBbEZ5aQZ dHV6XYSnK+VOvnYw+ALaJPfIiQn3W2/bDYWpoTVWq8bVc2ctmE7yjPmVVcWhYYdmTC6YY4DIiBIF sT2BVlTEApVEA3BxHfhaTNzxccFPWEFEDxUrhbDomXrB68vzyDiWNIOos5dH1JWSyOi9cgYOBRIQ /K/LITVZjVErBp88adyYYt+wqsYXC9HA7voXUrsMmM3fLIEOLCcnF7RV5TWi79jcORy5OfV4nkEM IR5QcLiNGbY/YTUXV5JZ7IhHknsPB2eg0w3xXTgXXT5dnol0ZTQLoFXKrl0DjNd27f7qeq4afZuU R+5HLBdesUf4TlDc9ayaK3eapZiqkXCqe6Etd8zJM2zeTLBQCK8ED5Nj2Xjc6nVUgTqmgnDYVWoQ Uhh3M8217vWdjis2a7Oh+A1x+YwUcQHDM3tpcfaGEZTW9weigsld7DdKgVD8ZCTE/+5lqH9LDsUj BMwGka1Zt/sJtOdQiUr7F76ycY5eMQDG5l8PARZQkWWc09HTYAxU8wW458SivQImPRo2VbldDywM ufRcnLlsuX/kY+JmgRrEU/kRjMzHaT7R26kU28JcG0fA+J8s4sjnt0FEdfmP2rNoOILMFfcNXZ7q l+RxGrtdL/3hZs9Nv3HwxFaegQfVk8xT9CNx8KsCQQ016Y0Jv9NpK1PzN9J3T3kStXweHu/rv+tt jarHBQdY1Y3uSul+YY6VqxirHW93jCL2yDGafsgY51+KXJCkUYj2GHBxd3CaTYXTPZcW91lerQRw GcVtg0ZbpmlQ+6xUzjFikJYKVh0eeLSHP/T3RWiLeMK9ZSsbfal7AY1/UFi31ni6Hytf2Hr5ucdP iwMRlGZML9AE4VmrrbIkkkyF/fbyoqvpgJl8XJ6lOuq9ZQBqJvtK33/QBM6t+2JzAhXBp7hXPoK5 sieR/G4HkEzp3MmiBOYQyWd+mOO+Di4/Vtx1lEZzqdfD60utPNZ5ngmB+cdLt0CkELn16zcta3ds 791UuIlknQkI8Ia+7lgHE73xbP30ft+jcFTBwehNeYb5+JeEoet6+yrqxGQIcMxmrqXW3sMEVVxk xZu/oDlQzf9+wgQnJcf8RB9SzLxiPrmGEe/EdTNiYlW9Yn/v3I/fH7ABHltSrW5OBl+aI1jOFasu XXQdYfD1bck1sAnPsmN/UzbSDjtGjS0vdtQe5xSzq3FO5KuThtIrz7cfE6TzswwnDsK1+R+/yId7 0Wts+jRrKsvq6LTD6KxkWMTOOdz4U4gYQ7LZo8HF5UvZADkG5l2UJFsG9qeTHm1pfD67+gU+VIJ9 y1OKbNCbcnfSfJQZ6aW0e7RnwqCH1K8S5RVhq07rlNFpOcEsC7IFtjnchZvZqfOw99w9zxsJIcOY IVSRd+0Y+nfz8cnuprsDjwslkvzKMbrLV4/srx5taAP31RdpUno/kJuIwEqqeuhTpAQcp7G0q6TZ olalWpR156lfZ//GMpTLeMkut8bCD+CnDn5nCHenhXTjARlF5RXROoYrpY3lNSTGxo7OemTk99OR lbBGL+AZDTreHRuImpYoZvDoUVJ4HGWlnxUWtzIo2k27+mwaokPX+crBCiDILEo9eAd52AvfNpkh tSRChINfcpGW3mP2oYKUX1FTpcwWEdkYbbaV8TMEFahb9k02LAFAjM3J4FG4VsyzdWrOF7ZnSz7e DnXZx2vBM0Xhe9/6HVa8mp5dcQ/qik9dgtJD67i+bKOUk+q/mzA8Bk1NfAD1I67/Y/GgcfSoS3no /m8glCaM9WsolIoC5ib+clAXq3ookPDzZDprkRsrK0JhnjuIKvB4zJhlze0AdTF3On+Uq8OCEWCg yeEtqMxEd0ffMntMhxzBckGVR+nnENnQPHkzNTNG3SZCWtgqg6Q7V/gLCkefgO3VLu575tgLvyGw 6Q/4HYdxqGONtWUcaUxI1EDACQzlLXnQoxnheAOPL0uxQ0HSdGloYceaRvGjtNQ3ZMid8BWgG6BV jwbWcakULmoSMDiEL9LpPg6qhygFgPTAK18HpAud1kwgck4ROBNXWA66kE5GiKU5ZZejXG/LBoVD eItZJKb6CPYmCnHntFV8FXYH8vpKLjdaum3iwp+Ix6hTrpENcKvnhzS8xxEWtjygo36Iy1NN9Uiw XWJsugZy/JdVwQJwz8rrVuBe9k7jQtrYXwJzVx9v0a3PjmtoVARStLVHn6SGyPZ0teA5+mdKuRaO Oz4KboOKwuWocr5A/IlCMdQAW4/PGEhXoGErpD/jNZrmo9p7fbZLTJ3IAw4JE0b0yuAkoDBLTDbr AlEkWmau2bilfHbKZi1bKBujLGOa2KPeD8n0vEzyLfTfISYGZYIsnarzzy8WXFpxFY0y0nwKjZgm oX2E6MJ/dmFlhBmK9sUF1D4NgodtO7Juwj1q1IIZ5TrRUlZYjm44XKW+vCKwHS5cv9gZ3WdunxI0 k49wkU9vRbNBSjrM27Z3Ecu73NLmLU4xR0FMODbOoPsLPH+vj+q0OpaO43I+oSwCmfsbVWDODf5W GxL4d8UdCRhc7k4DveBAlEUKasRQc64hAfvZAB5IqqwixF6C0bq4sSje6O06lwnODDuwwlOfWY2T 9Tr+pS3TTRjNoO6FiRxmPWXGGFeyuiatiTgG4pvvuxL1N8ADw4UrS59I9/bOUrkPoJrkwtvnGb8P N4VrAayvmUberZulYYMVfPbQ8ZH/7sLRg9jhuEVdzm0suAEBHIiCTKZxiHI92hxBTCDIm77HsueM zhMnxJWhlSMx0WYa4yr2Q8e8n2rdKQucXDQb4Lp2jcpB2fQADvaSEYUsMnQIaWZ++lCfPCTjjhBz vkkPLGymsN8+n8yzrZNdv3KR0bfHGKzoF/OtemS2PMtkdJhqIE/6Np8j5ylq7diRKi1BlDQa5N0h cPWDxSZX4Dl+g2Ly07xEP7FEALgV/JZcXYQvkmobX6obNjCL1CdLioVpJTipTp/F4aZMTmBS6WXP PCp8SpoGIh58t1KR8IFAHD28QbUsJnw3LtYyqK/zI9C7Lni0cMk0fntislxD58cH4ZcFVh38MNVs 0UOWMSO9mhRssMevgHWWNdQsYlYfquvvB3nKpD0iitjM39r4eyqhKL1ySENrK2wgUADRY16DW8Cj Lv0B0vstAEb7GoDRcTL7/LoO4BDFBFVyzkM8ELezsphsXGyfGNh52C2f5O4Mgi5pjuu7XvL1fpGW a1S15PzXX07ZAH0OIY4eXMAo+h5zIqudIAmTMtKF92co9mwxmM3i3QdASU+SqaotGSbZSmv3iv8J YvcPU0lpKNYJsCFisrYQAkJojWYScpjx9JWKUSV9N5VaIsn26adqQ3dsDd4+2AB+RID7kANp28op OUv4AWuEAlZzvnZa/IpfXY4nFgh4UCgSD9U3FHOfG5cmBlM1buksadr77F/dxF0hGxZiD2AwOeih u2t4sm//x5qrHa6MQhGzizvXqVyG/hFyqfb4SE2Kv+lhDFTaEjxyeLBKCIiDpN/H/rOFqjpMqVN3 kGG0sTDpOEyN7zf876oB2JpCunJVfOw9A8VrVgwTd5G5YU46fN5AzzyFUmt7GaDlNOwUUSnx2ige Oq73QzvfMPJFbUVKG9rJybNNwm+1m6ZKsAj7nCu9VHozL7Ew13VaEeAZUo8dRhk9rpJAQbui0zMm npjbLQhmtDTqdKhxThWdNN9HmIy5En1VxSu3u5D/drMiFAHwBjoAF/l8sTCuV1C6HQ9Aipo13VKv ZaIQ1QSMM9JddIg9fcZK/y7iRRQ9WpZ1Me2M3rLjbODbWvcY2uWT8Hjf5lbJKEKBTX2awIvdmWvz 4Tkv8m+1KYz/0vmF+0XtdTKL7Hg21H2CaXOGK+OcdF7KPCRpYtSaJJHb6PhbBFspJTozcVF6sRJ2 JBl308DD483iC04+74U+nCGn+bdXziBhVv3dQO/ilh2SxDF3xWYGZs1hPsWqYE8yYWWTr/8XQbQa b1L8S8mCF6SgOW6mzKIH4FkrowoJ9vH2UaPZRGSZXXDjGftDz/7dtSn38DjEUl4t7/O2hMFYhCDf QHKwFQd71CmWbC2Yi5wAOouixxQ61znLDPpQv2tLE75wSJexozm6Zf7056tW372tEn4fNb/gaSsu h2xQUtVuI5rqUWV3NoFsj4SCEETBAunrKWz5urlPxhcVT5qD8CrxarUKAXQbeWm0pfpMtFEPp4Ft D6Dhc3ILlxyxZg47j1ZskVljHnczCXgSKbmPKFgNKLDrUDy5fHLF8lQ2axBI3U5XN1fWEQX5k35y ROkaVI4tOMByl+Y1XRpDN2KhqeIQe3KTlpM9pPlrUBQI3mnOz8KBGSwQ9vVnjs+d8a4IWqSDjZpQ Iq7I7diVAv8nzoHAJXp0Dwd5ozS/FK4ahr/E9LJUv5Q+6L+xeUUBSsJEeCD7PxaEckLj2xC6Lmp/ 2j3WYy8XoQFxNTw9kMotsT8fv2VIsZzTzyAqmDZPwxu23QaG26zs7qwUzHYcGrJIlUzrFKkR9hL3 0d3sHx67RbTWlQzAGd5uF7ynFKgbJKecv8ZKv0S/x2OWz+2TFzZww65VljbPtTVeREu5J5NQDVTO mNEgZc8XumfR45HfJmVGjmCaavUJoq1tO77sPAu5JhHrxDHZFntysPt6mDpHacwld9yzOVt1MRoW c7oWbwx8mxkEILOq7elneIixc2msXVfJeVAKMje6a7vm7skroaQBB3z0/Zyglc0ecw09eUNAAL1u Yp2h/Asd4WOwJ6i7TrKpBuBEorEAi91ngGvaF0nV1GWua3F0PQe5pjPEgyS58mPpTY799LgNj7bk UJG6a2de58NphE0AKRHzxWwHjC4rco0YxrwLuzDz/Xc7iVPJzf+ftp/ZeHMTj90oZJdYrymcSdH5 0wCHCsm6YP4k1s5xS2TZKsrwMD0Ibu0tD5g8mGYWGi5QtbfDkTJ1h2WsUYbJ1wkzKUs2tRBrrBu7 SdECPIW1ZABnFBDcMvFQwrgw5/4v3L/9Uwtd4zus8lfBHopfR0aItO1K94rFtCDJKS94AkXXzPVr JBQ+yrukT704kl/tBO/bAPatgIjAIbs5+/+tXXDoE0hRj0xFX9NvnGeNY99AB/6T8ZImMORyw4qm YtoIMwFNP1itJevqvAvh3Xn9R5h25SUZm0H9JHdQQH3TYvTlLbwLQ7mGGM/I1AD3WuW9jJOXEJD+ xaeaWZkpA7e32DtLAtjB0KKGxI1S6nhp5DbLHi07KDqjgPpa0vdOCehK10gEng4uA2k7FESWhlh4 tUp8Dv2QZvN6yMt2DdgctM2cFUgOUMr8tYPWPxCfYdXf8VExmQnS1T9RyLRJwEpX1Nus+epe2yqR +Jqwvlj4m2cDZJqpXa3vGyCcbvD6mqe9dx0Sk+ZxTDqIs9CsR8GPqi+JwuCGusiebuOnm0nI38v0 Q3y/EIwSibNrwYrC6Cc2EIJ87RzU0NERM+ah7hOhdix/i/uKrMIkxMzJgYDx6kcCZKg3i68cWL3+ 2o6okmdQQ5DFwhgKf4siRnhe5I/utflnrYat2rfIZXTq7+nSQgIfghc53h4s9MjvBa0TqKdE0Vbi tMw7n8FPT1V/y1k6zlIVCk1pay8fRrLegxbwXAvqlYMD/5DRj5vCeTNtQGCV4+Wz17GOOBUJTzbb qUJD5WCwvk/bs4IFX15/pWNfVnE671laTqgZRsnQYI99LyHNwM2o9DgFAdmRdCqctRjtk88NnnGm 7bzrNuMm1lRqNkYronU6hMx4NryKQ69roK6Vv28mnXPYDGbG2SgmN9Coog0k0vaekQ7DBnp5XxDS AQE21DScPWwW8optGvEjspPArubOup/6lP0lHoB40HegSkk0Ylg0YJVfYeyyuQC87mafdOCOgQgg VBfnkaExYo0zU03/r26vA78aZMFHkawoRmlywDrGVXFxBo8ETZ87rxMDCRwnEP4dUAxlvxRTS2W/ i7yVBicF/HDrsFoEhZnzuCdUWjC60lBNgato5Rpafc7WJdr6NJtX3KhMgA7saAEu70AHOxxCnYgE TT+Ohrm1Td21/L2focjBgqdyoZBECITxoT61c//oKubx/XhdLR/JNA5CpJSd0Gyew6K8Z1P8aa0p 1S+fnsIKwxJlVaovxz7vQP2u7GBUs9EL0WOMRgjTNU6dx4j7j467AJmBupeWdjVW/LxzMb8iN/sw c+4femc4gJMSoPwmlNHhFbCOosT0tlLBAhyZj8BsHmMmhqYPivU9bIh7/CjFuJrApteh9bFtX+U+ w4bC+VLnd93Tduizpy7iEMWnS2mGeZy9TM8cCM7sQHmU9yQdd+FhkR01Xe/GDdBfZLhcH21ifEQK RqVvmxhun7qLvRf2KpBN6dCL53kueno07auIAwdOExCsSGrpG4RylqYQ3/WTnIiI4lftcI9BYNW3 csaZIiIp/1fbjwDgtOM6vpsRJr1NVCdrfgB+x5dsI1n2NZmUSHo9HnsqmrNI2nm5QmDw/dPwGXge 3UAiqEzQCENv1H+4YDt+tD4snio3boPncnfP2/w/bwoRScRK5KvKPf9F0OvfDyFJiAjmb/swKG4z zg7bHxUXuy1vcFsvzGSTqxOZOzdeLUFBWr0SKHDlfA4YWbt05Th+ZInB966aVHHLd4Zax5ahwsyT L4WbLqzVs1OZOpbsZ6iyg8TaCCxOND8DGDYM8M22voxASRI0C8PuZVDq2gPsTt51nXBdcS9XGP9C LbCQiQjQT6o9krYXz9MGtFOS/HePtrGDL/IReMSxlKICSDi6JFZM5+Srwlnu0BbBmfirGun6Plp0 6KkqMDYta0DBX/m4QbEbyfseBvaKILrOd1ClqBBpB+HOESsNsFE/giroc16HmatvAHoe56QoBy7D n7yH9tOGeJ7oQMrIMCQ0wZrgJegijQ/J4t5wHXGl1RMmjXJ0vEigNgZaKm+zp+Qd5+XmFEkl1u/4 34+kuMKlxitUkpKcGmtF9fdp4pwOwNx+80qPyP2q7cXcFgCRneTDsInFUry5rSkky+9z7qYlszk1 CdULeXLelS2WiSS/Bh4sEFINkTArHN+aTD0BIq9fr+NwTZ4FlH5sZ3X6o67+NxkgZPo87PrInjxj tZO1pYs/dFtyZ168sflcuzmWFH5hyPMCuHk1PZqfwluvDe/fgU8ohvhQKU+GK7rLAMLxbSNdNcBj IvrZI2MS8Q0tDNo985Vp1P4RPnVlce5yBEEt1SXBZfDXPmWxTZilFl94jMVxsRNUbJjcbpGasEmX Hr7ZchZdq3bsbyG3NyWhyrMDQavlKN5fyhUW7NqXk3pZHq6G2YFxUajD8KEHrcgS+YxvxeqW6vgu Vpp7qa9ZM9DBgAJWPc5EzSZd9/kbZ/JRxaQWAoG5TPjPqxM5Z2MVlfVprbxjN3BsKYhEszayTm51 qKp6CSIu0QCvhMN384+zzJ6ZcDzXmPXch9Pi+zaomfNXDafmUdUxlkXCGxkYaR5BdVMcahRdAp7i MS04DYG3bgwn1iz4dJAFIuIicfuKI6jIHuh+o+jwyH03u8VDGMiICNpoD1hNAkZGf/n+L8DyDCqd y5Qw+hL+T1Il/0gWqOhjogEXflVAfLc/O2J4oW3KuCO+oBjjV4rV7V16ezAFgkMZQRGGXcPQJVUJ ub73T5kc9DTARpSH2PTIGJIhhZvQQhfpWJSVMf3U/bVpnamkx02bCKQ+e5acMjshEwVMQgY0DGqH iRnCs28GA3IpeXrobbzuUYyThsj3QPNq9YimeDEZallk7fSM8gsv1PvRZ4kSYZ8DcOWHzBM/rzaE fsjPMgBtlWzrs8JbGK+2QFEq24WhDUKLUXBtfekeeXPXbJsGlplKOZt3ZLXxJzflotSG+fx9yZ+q 6mXH3X71G5fhg9C3uWJvkaaJUiBAsdXZPh66Zfnr07mdpAkMAKeNc1KvK4kMwbPQwYwzPg1xvNUf Cd5vvFZwI5d/y5ED25ayMJ3CuBdQ87cykgkk0a6FAJj38ATvJh9snrMGC8eE0njiamd/elLYV+BR wT6webujvfKui7raoT0cj4JrOtLvyLDkaAuSwr+SgBxVSfJZHvBHI/yGxLg0lA/euCEmsibTwip0 Ty8HtrTpUKlRqCcKkocCn9/OfC+gNwXJ8DlLis2g3WvWu+fQYCLMqFDqhiY76DiOxtbb31u0QgET 2mUKQT58ToGsxW9FQB9MpM5p2uZeILKkNqZR/XtQkPUREM9Zsx7j26Hj1CIsvCK4Shu7aWL65kHR 12naGWaBTe0ZC1svSyJKcqsXB46zPU8RBueHtwHYkvWAlkB+hqCbQ7kdIOCitR2UQOvSv07oDoUn t3iiNq3JD9YhJgrJfqLVvAr1Ib3zC4K2qq5nUyfVqwYVTe0aMngMwj4yuyX88SfsIpF3EGoiXVR1 PBsezaL9yjlrJhHt7zxr+EyLBZ6bm8DAqDoCkovShB5ZeaZ4kfygL/dCGbVmhM5aixVitFAKGv/L 0lDXSSU1H1Iv/DGNys4dHXppic4KEH8biMhbt7AGK45f+CYPBfxhJ0Whxj4nXh3zcshBXIXyt4NG bp8qAMXBFHipcSpOHFf2wq5SSj3aOZQ0Ful7UUpUjf83B/Gt1elLqtdB9+tpAcS6CCOjklKxSni/ D2yw1SYOOa9xSFfma5QHq5e7G0DZ7vnLl0PWr9cE/SYSDhkDH4sT3QJ0dqj7DeRZgSE0t/c5kvE5 h5O6/S9Sd7Pfd0JiF7dFEy9fI60RYmVwQthWz9LO1t04cY2slb5fb0acSJYdIuXQ7NBz9XinGn3+ JQJokaO2EPtpodJiZiciho20RpSjEb1NfiOt2ZNHS5oBuN6T0CPNvr/uweZ9tjsQaUf51AvatUld 1Y+HFH3d8+IQ2Qun6h9bpvNWCre1svNiToMWjMSAqVPNU1x+CxFK0BFNBIwwzq1KnYzzA51YB7rP uNeYW4xo1ESWooxi03mwD03yYyEIvYNm5mOnI/8uBe7yiPzG6sXWiNApJLhCKANeb+I2RNejunyb iYw7wqHpON/RrqX2dUV0uQLfIaVUykqjA2x0CMR7Ty0qGbwilV774kkzjIRTq4nl2wq61r5QrNzX A7LvZDAwuahfiGggR+ziKm2yiY/NDAcSZYbGABf0YbbIj5FiiDneADawO0yrXEPtLA6v2IvluFUx sybHEGwp/eGItjVVemsNLKq9yG9ylsCanaVZWPjRvzd7pn+zVTBCSftcurtvhlcTDI8yOEqNc2i2 xNO3fyRI7D7J38ak7e+JpEZSOWckL3r2+01hjH02F7oD98Qr5DsfvN2H/r09epeLHVSdALyQo8dz Yi2mxjoZE8ZdIayTmyI975zWu6lNuIQM/bYSeFGJ34Bk3Hfy8sblWXQGNgt5IPQbjFrz5Orod0Rn cGEhlnFEnjbSgymQn7apO63t58u7FjjVH9lC/mJ62wIMeSJgevxCPNXZ5jfzVNQIJAONjSV/I05Z lPGMaFcIqx+26sFK5Zdek+8XLb+pdJ/Wbqq/qtHtn+qogJ0+RHzIbQUjHUOQO/Bu1pGyRt58qSq8 s0Ej9fsPYERoJ2B7fPyDRichT6vdcYpI6yyU4N/Bll6QDgmxyvxeujluH6pth8chO6r51oX4Tw/S m61cLGy+KBkTNu+x/UBin3yEuPp9kHALExmJj4Dc6rX6dii1QPk8OOW2LEFeEowGnG3rnsKkH0Jc dYaTo4AkCczkA9o+o+IyT8Tj9HZuletnKVdllQXctTeXfV8POVBqa6iQEq41atFULztAMlHq50ST u7dj9CwfYeHoPAEJJiAu9zoYSJ9ppCUzEE3X+OAUdh2vvt8EKoIzAQr1poi6ETn7K6pODEatWmcz LVI2tQt3Q1ktZXUFQTcMR1CX2xQTfejsAHup02zOXUAYPaFHGUJW/fhE0GL/hhkCrVqecQ2UztaA LEo6oGii6kPtSL2cq342MhV5SRVU1pBgT7jZcfSwJ4EM829nxiMA+mX4BnGwr4cAEk1wwykzdaS1 6LekpYROl3r84tlV1I4sQw3Gw+9vZMpfuh6008nglbAJkOtMCJvhbAmklQGW9k/5MfwYyZhGmYen WYastCZoIM04F9oTFxCt+y4JosjpOLl3RTWyu/AygTa126CID74Y5pWzFKqviN2S8OsYM6r/Znqx RuOk6nLIIkNY1QqdoA5cxaQzRoo28FlHKwT0i48K1JOm+SEkEFF7bsmB/qD+J/il6zp7Gc0fmltP AUcAMW9MqR1hFaaaEVTee/7ec9ZsHkv+5H4edq8sy+nNr2LSfxeQn3vIRtv1A+scbLV2z0+tIh4e oLFYG2+a7lwCHK1eiE9XRB3aaNgbOTiVQhIH3o/DZlASBR6EK4y5daeWyi8EH46e8dN908LJJWwZ YgH7d97/KKkpe4mUvnq7AggN2p02ZBBiOatDuUMGyHN/jWe9GnPkHSNLqy3HlQnQ5RdK6XrBFe10 LdRdsyTMxnZ7e9MzCp+jeT395eDTBeyNMwnfRHmai2A1tZm29KTgrtY8y/U4y+t3cNsV4px5PHLd uJsl/r/ylCRupYYP+zKYpaysImZdUS+92Fg2aNO55SYc5Ov1VzEcW9juW6SLaOctkCkFKcfwm8f2 uS8qvDWWfrnC2a8S7p4Ce3w8XcN6J2LfQOlecfQ6NQ3eo9vHic7AZ4KfzNYvriMBro+zGXvsRPYA 73HAtyr7x7uvavWT+XK/GTrtIXFxeEZT0HBpAzpA0d7dJyfBJ7WHavkythFVHBZcP9buHpYF6f01 80PDyAFoWzYO7YvKqibUOu7qVSyd9uOejd4E+pVIDjZrUrup2vFPGfDBjEarBilEz8yfKAx7R2+i psmThh8vbRbGQmPsYqnHcZR1LhVW54QAAkSR5iVMZsPU4E2gkCMmZEeWU3gMbByOtP2Mv+0qxRMc MoJwxSVL/Jqxha4YY/QrVMCXEMhh/9OjoYF1E1s4YVUAaafJWV1P0LVAXjz6NucVzR0k3SW+BgED 7fc18AMl+rBGD6pROVERABkEmaXO/umiQ0l0amNSYFww8yqT2k287kPBDzR0B7JL5TPaeOee/pf3 xYqjb2YUNGcZSU9pCX9r1VYEKR1qdU1iZCNd9Ct+7BkpxiRGo4bPSmfNDpFw6T/JQc8h9EOO+Dps BqG4izeY1/rAV4DBYMMGDTYQg0n9Pe3WY/JZaJYitP1XfWnCZjzFrXl7QJxbuBKd864bXrhOhCPo yyTKQtiox9KioPZ+GVQ9kQ4ajZbY/p4RJeB9SqIBOMEx2KP6cf0UEqdSM6jUyT/BvJObXaqpFpcH Pi2GLokEaSX7XkXv/KPVo6mWcSBHdZ+YU+ykRgKI6szeRL8gm86TG8V281t3iq55R+yCHR7qNOyw Z1iYJa49nThTs5/p/nFoSiqbmljKEiv1/sVu8GcEuhNaHxxJsNRYuS45mfnzdU6IHUARfwee67+e 4+gjK2zakd+L1GbjRtO7ttmGruQwfwcA5kh2sODugqIzWX/O1Nfp7Slp7ClMGOja21+mda6hkOC/ 80k5WCd8RFsiZG7JOk0RlXoZJk7dtdr7EuRJZ0wc3sfs8BFGQtrZ0oN1Z45kzZsK7Cu8n9uePjt2 /SwlVA6swz9M1oBMMgylTgsCMTF8ZKplJ5vNQxQyDR1LBILAkV5ge8lSQ6jLLZr6s3+BFfnKbiyw +c+llZkWjgXqTCRL8DTs/F34UP8fqAB4lzk9B5eUfmYjcUbPpQ7gt0QAqRr0Hcz6vHx/BZfr/66d Shy3QEvlueBrdof5hxsSl9mwLROQLxPX2dE87UkIVjuGOILDO09BuW6ZiEIb4oW9JKHuO7JpG0NQ Q3QDb4PpndInv2LlE6gNgnJM3BDfdFK9Ql6n9lHiM1uMHxXc1SQoI0H9v5uTUKzqBMrBuJKEXOMo zc8WqD1bG5G4DQ2hY2JstEy5Qo5/hhzhPqFTXlPzJBEF7GJBu7goIr/1vhSBXCdQTNutH3sIhmnQ mZ4z7iFhqSX7N/nltIH4vEKS7ElI5KHXUX7oZVYkrIcaiYesgPHkOfDGX8JsydlhD+lj81Txr9wy CLhBn44QGNOoqJFG3GtccQU1sqkEvZWzTzl1D+mCQNeAp8ILbfOzzUl27LFPVAdJRsf2AqYQNGf7 hJGwNRVTs0dsPaNW8dudy5x1dI5/0GLN0jNHpJfTYKh/Y0AyMkAdCMt/WHrjH9tJA3ISwwASwyti nOEE0FldlhZL7MuqqtpjpPiVZmWhJfKmtnLCqanYdmmQlOT5siu3wAxPIZbz5nYwknxUBOCrHjEd YaNyISPag6f9Bdmz/lespuHIQHdIsPq/EVZyzC8issL3KUYDq30omU/ZH1H1zZUcHQM/TlqVlvoc rI/9xhfxpR5JA5QlqMjVLuexhS+raLhjsPWeQvtbvIKbb3nzUsEezb/CssCcIXI8ALxvvF37AoYc rasdWMmhMMKNc7lLagt3CONprfV2fmgREsXWCkXikfONR3ZW9sl2kmgPD4+Q8QQ0D6j2n5rn1Y7m 6McKli13Ys1otVvzD/ZyqjwKez+7q7FrcB9mQKnaop4As8Oz0A8NsXI0WtTjPOKUBJFCw6H7Lebz GxDJYPYEFzHi+v5x8RRq1scdyAlS8pgB/6VAtTHwcYXulmV26WgbHO0+pOMyQZ1O81+0oxtMMe5w +3dUuWhVdO5E6waGbaSTS+/Dte8ejid7DcSwB+dllohhmDlg8eoHWQenWlUPCQNohsLg7yLaCzaz 4+r2SMoL0NH4nq8EvebNiLcj8HcLZQ+ta0/CL2sokpxQfQzLWQR+EBt2rZRnb2YDupCtCRUy6EuP KMIpuABGH5+Oigp3auQC5ImLkMAlXhyluDqVrRXc7jBHDXZCS25Ro3poTw9J4bzUirylmBg5ge/V Esqv1mk7ux1Oraecvd92my/yvAnNtynMCIpkzf//fxOfngW5LPShLEoUNuE9Db4quPSFBhl4uGax wVwuXnRcRvi9nyhtsgR5sFxmx1h34rkeZYFOCg1asioqyIbJdYfTtA7RFd4qVImdE2HG6sMvGhB/ U9QYXws41d36dN5FLOZfNbLsDJDameop9Jc3jJ8OpzXYlI0VlR6NeAYf0SO7Omy4Xk7jNG692xKu 9eTzGnozfq9SjzQlUgYH6jFzGv5cp8IOw8jJXG5k8oXejyi01+rKJ/fr5TBdIpoiOCIeMDLwzOFQ oyxWlnoAub9yy1aJI4Hd8HvZvMO7axTq03e3SfWk55PyjluUS/p6vufxdpEXih6ubH93YGUMs+dc GqkS7w7FAGjBZlIL2uyeurLq089JKGaRhHbmGmUwLc2pjFilkQrrnLP5ojnnI2U2e79QT6a77L1x Uuy58k0CkiVtrfLSxgSogKXHRVWkrOmtHe4W8v20WgVo8IICWPuNAV+i/uPa9wzXAVwfGSzxxV9T Upn9a/eZFrh967h8pkjkqVahd6imRBs8lmee2u4Tpslslut8rjC+XKKYMrLScUuUPcYREn5iaUPN aN5X2/vIFwaYQx5qoEI1wBnnQH2qolg62/8xZfzouzx5N9cjFrh4UVUFaXtALNaOhc7rT1Sd6uhI 7j7Wi1zb/RZzIjYocS9da90ZKFx5A5mr3/7N0999c6Ac9DWIJy+ws9otUx46BAq+O1O6c6mExMkL iDLgyCEtZN/Enlxdft+MjwCl4RGhnMgE5lOy0gBVuxVQapTrX4w+6Z6VSmQYVpXMy8+wSlzBAoPH 3AwuU2robSzQ/Fvw5QbNTuugFOCbXCQNtEPaxFSQYMJdgvyLX/ioE9DAmuX/75ioUOYniUoPTJUy 9f675OIYicuWrx/axTLysS8E6gpispWwbf3kacRtf3pk1E7PV8MvQq3OBFv6tkP/FK9DRbJc9glI ufx6R39r1S5x2hwv+JzdhnP2UKS7li5qSSFfpg4S0Ao6ggrVYpVY+CNCmTqLZ74c/kqFUN4ck8f5 GLbcQBNyuoslAAByvoesstP0orgRiYnXjMM3PGWsDaBKGMlLePK92G5l/T5KOdwJFCEsME+txOn2 8oLM/TdUI+cBTQIR65DSHphHUYYYP+2G+s4uuThbzoZo01ZsYG/+wSemU8ooRrPBLoR7KZvx5s0Q O9hf9NsDqzNuygL3mT2JSLiuOqUMKSXJBKRn2gcW1bkU1ENg7uFbDFPW92oayjzXXhNrfNWwbI5G YNtFaXf9y5YdmuyzW4zlfpYHXcIV8NTHEojjlZ/L42/vF1XtMdj4heOQHq06LIHBssu14wwRwHuK Pt8u1MOD0F5OHmhVqDBm6r1llBn63J88zI6O3dJiVa25aH8l+vINc/CURksT6K84fA7qdlupTsPc YPkcEsbuovYC7qwCHUKiU0egGcJ9IZru7Ic9bA2XtM2j0wvB3Z5jkCgI0RYpsUhKNzi93Sc3wZAZ St9IbGoV0pXR9CBLRlcYzTHd9dKoKo4wLs0FPyjR5trdo+lcCuAZdF0fFaHhsGApCkEfy787Wo7J un3fjJ/vqCj/yNIyfzxjZILC6ihVGmbMzzkOQnWtNAopAxKTlKIMrBAsMvGOJMH4lD/Qk1Wi8XPB 9v7dfSfrJlgRt7unZg98YsYXEjj1P4GsmMDgdGqXVmts/jDWKtg8fYswfacFIC1+ZCH9fia1iJc1 I9q26LUCG1l8aw369E6+bft1drRVozkU8FcIT4O30yfnUoY72b+IbilJLBpl0xtJBxAxUcyQHLAb WsIP+vsUq1Z7aenFEvYOLMk8DsCcIpD8EU5rYmzO80OnA3BRR3t9p1b34my5aYZYhYzNTlSgkhgr 6ekc4ic8IStB5MvNqkHgDY1qe9c/HskkVdltl+s7Xz3kak1YUmbyl8ukLLlVrPeQnNehy1Fhs8LM f7Jxj+9v0IkU5b+OJSAMGqRZoTUFAX/MIUwxuOeFgYdWp1NeSwua8w8qgH2laU9LoHV7UGcD83ZF X4+CQZhyQAhp6VgSLcHIYkfhh940I3pfSzgj7Obar8YGu8GFMMQucUm5zw0plJFdSHcs0RN1WCod 8DZu6oKA6MkW5E4ks03rjUSNFHKpBCutHW4wYMcIhLPt3BHKn0zn8E8+L94c8z/snA/xWKQZQjJ3 VTY7H07NUWMc38zqEv2HSfHo/GaHSUzPYBMGiKIBWsjmniTvak1nMLji908DghqD8x0ccIo6TA8R b79EbmvwE7rqlGcHHG38KCpsprbboOXbThSBnGjoxw9NdEJ0r/jzmIAPCjC+iH1zfbZa3zCgdXNi AKGiWrDXoNz27RWdwBr8HsSH1S5ZLGZBTS9UE6ZcrucelKibp6U/vKqADNHKUIAlTgzmXdJrkW9J O+Y8OkIKScI0LujiGrty23qqp9LqTKjQdFf7bodr7BETsr3J31DYVrj/cIXLyVhmdKqsXS5kow6G qa/b/XRJy26FhaJ4eR+W5tfCV58Oz3zsrgKkvwhB3AwGYk7xujCY2ceQlmwAT86+dT2+az8LmqxJ BrVa3Chl/i7BTh/GXFKMeK6CUXSbEbhKtdcYRrbuLlnbKFSfnqt/DfiBSxN6t0mpCzs/RS0+mjd5 GYh73mwdIIbn2AKSprJI6EN1WZiDBVxmcZBEHYJ/JAAZvwZg5hYQbDbfBJ+MeuYWj18v903Y9/zR pueRSanxHlQwVQzXNLfOfLMb7m/nYU8Eyke4ishr5MUyVXptYRdTwssiUrQImUiSAQiB3mcQDdX8 cTpo+FCSlAhKmjGKI3Km9XIX7XJFpNL/gtLwLx24QX14EnVVrX4PlR74kEJK4g01Wa7ECXLB6Bov dxSJy6nCl/Cq7qejdGLTcVEi/ZTRickfVx8ng5IQ/f31axzfwL/qetaBiFosqfCBc8kdNPrNXRT7 Y3GVfW8X7ArUx6VnBynH/BRxrDMpBDZljVwR9eMJAjpbtuaWD5X3FxPUBKudTo3H74na/nOaeUYd c6sWpxtbqrg93hQmPEiUI4g/caTnp+ssElldf+BNThlf+KJaNEw82W+dxD+aLW1bDiSRffhGFzWw n1JfDJuLlXg6XQu71fCgYgPfLcJ/n4hcWRDsaZcBKT3ccGjMhSeBhAI7B38pbmUBzIv2eQxoNeQX 1ntuthW3AFLFYUWvjSdet4zByWtdpH/NltyWqddWd91j8Cr3BIS3UiM9p9h57/aGOshTBkcW8tAY aKrSI4U8L9PQnVRTT/B23LVw5NO/nJ3l4x9LKFp1IUz004R9wS3G/PqbLJ+p5JKbzbZl5DBK0RmY o2+38WJC135tRAZvCxWS1DyrhUz9Do89EqzOC7TctnKa9tQ+bkDR9OONJuiYH//vQ2lij2a7T1xq qo18gj3adOpXL+cliamI5TjAHcrw7XzPfZSZlXi6WRNT5a7XREx7RjoTKD7W/gVJDqZRPA/wE9/6 nDHuLxcEqyFc96plM7Nx3j2jLDePWLxao5lUo8Fx3LfX3uKglKkx4lNfsamdChCQjfNY+zf5rt/Y WgToUc0ZClCz6HNXkT7QrZtdj1AMhAG6bXxQp8hWfFcazvPdJB6IXUzpjKdt/ByvsS43R+nmb46L Tci3sT3MOfkCBmbV+Tm3KeRnnfWWxaZ5WYd0W/vRivsIFTDwgsLIS5V+aFSwh2EZD6KzXtxW3EZK 3kYtCOF0k2m0fjNzPO+s0kEHm6ZslA//bwx2N4JvZvtAh0akrRqK2Sq/r/BGilqXr3pCJeECB/kQ TxnHX6V3tapPqMyaiS/FioQrZ9+tsgVvJLNSfQKBvQGnPrp7oS7c5L0cmeUYWQBeTlPvSzISkcBE SlTpuRDAwJR3JgAjHExFzYNuMsT6L4Ys7IuZgTCF50kK3LIkLTwfGybY7y+WU9pwhO/hg/vEA8hk xHas7Leu4fO7Rddohgt8UDF2qi2CXsCLCp/QiobKNVrWa/5DN1dGVYL3EEvEkfrZA4Ipv22duzHC zWH7w02m6lcnHRUtIC4CH4fhD8JH6CEjHIN42YxtPrvMkv5aurpT5U016vCOlt+k8PIIN52cNaCL D8o16JoBK8XmZzPXanOqEJEoGx4N22ybUf3PZy6bT+JfdR8yaNMD+5KLCb0diPqevgXfWpVvhylv Vomvvc0k8Y12btjZH83uxecsvloX0aGrHICEh/HfD6yHbbBaXoWmakz6I962HgtLAMlr3oIKOQeB Ust03vRfIPgH86uPewWKUD2s/+fQ0ZNbuuKtUzQEGQMe+ukmWy1H5PLcl3A2MBWyjDpQwJjIuo3j QaXscS0zAYtqn3gU9Jm+CALitKK5FZ3VOAy7xMKFeAjPLEgJrD/Yv4vSkJF1iz6nCXvSBYsi0R/F za+LAldj6mrEQU47br6hMcO06pruhhzsYXw/TmxUBkjZWIZ2qyoeZtgDVaiY+g4ultW70LwGx2i5 R0Ow6FFeno/aJlYyQ3Gh2l0ELwrxs2X748pPxM6xwsqHfaeOlewrA3Y52RcmGVohLHObTOlesZWv 7kHwjYLvKDYHwF7NEdIwRraxbKEQBqffGj3eeAYWzkoCJ1PWTlJ3OnGMDGZR92RrXDd8Rk5VJ5GF /qNfc3IslGZlfoVP7NXO5Z8p1EgcFhBuBG+0NSWuxsV4oORck75MRnvNWhH/S9C2n5cRh3TIulQi JtZDbd/1n56RkASwINKLUSOPt0xFUhgLImc0G0UCpHNhVr0khzjIY0TUcd9Mb2yblsMbIZrgzoo/ wt1RJzyDRvldNHUKYD8yklT62aV+w0prYfeyU0Xn6ZvHKbyxQGIZ4YoXNZzLS7bo5Um4PnJAth/+ 5GkdqznoED+PGuP26ffcjs69p8PzbcU7fEllAwRKfJfYi9o3GMBpGYhJ8SSTVmSjOtH/QdpPgIKA YgLAMggqEIZV376FLjSdytxuRyYRzHKKiFoBizKysiS946PzuLw1+G3t32J8643A10IbrNpR21yM //8HNbYMkU+tfggMHz2oGQALL1iywtKizjQxqsNMrSNjUeu3gtWGmPTY/sByGLt/+7TQu++/Sc6B Gk7B4/nOECeswC/YN8oaLLKIuasv4gBT2uBHXED/ocffW6n0bjBjBo5zy6eEiPIKMxpOA6S/m1zA UinI2zpE/EEluPPqf7qyzR9xFEcMRp+MN/C7T4GnV8+ii/MDz1BVutKzJS3PiNIrhzFJ3J4BczSe XGcT5ykecqnji7iDkMN0rqVuYlGP/vbMAddioe280b30i+/beXGazS/XGQtk6iVJx/3dmqV5d+Ns tjdbU5Sy3KpkBJ5laxyU+cY2zXu21ir86QKmNIzBOiF2IqSTQWmhGsI6j+TJ2gVCT+syjy23IN7a o79j6I/C2l2mRj/X1I91QdaYdhPvM+fdXN6zPprUm0Z8ISFKWl3pell20tKWqTabgGBge9nqeDga c16IzmclIWBY1SMKtzBB+l5XMprJ2KBX8lKd/aJzVZiLP01vUEvlpxNQyG6I9qAe/aYJ/DZyKKeV G89Hb8XVjdadGhPwmuD6bEXvp777NRJ3JHaX9o40/nLNY8pCFIhFg8CWgWQJRnpViqGUU8IE+dt9 0CzkV3zStnHMefbI1ZiMddUfjwRJI7tVruZ1uy+Vyt4rVb0XT+7iASr8eIdj4abqHTsDCc7bglNE rq44H2VKBXa1TQ0jFQlIH9dGloZSUDv2ABjWz3U+6m3mPg6wc3lR3RQC0TN/QjFvur/NXYwypg5y +2pmDAvBRDLvoeSro7SPK2kbq6mDtExgMSPCrp0II1Qr5Oqf0CormD74mQWHLWSaHQJd6PwAwk10 h5r5sTIN0FMerM9PB8/NN4bgh19hK+N/OZ6EdiBGIJW6XutpM9+Bv5md7o7zssnYssjH4Xavooke oA1+AZTnYNBALEbjx1JgZgfrJvFNM9noCMzdyGkfm9Oc5PSxwqx2ylwAluHKFn3zx/ERU7JaHpTG LRKAiT+jxPl28fzD//hYwgmIrpQDwcLTCGP2Vh4sX1bwaj4nCB7rar/pnVZv/TD7V7SxjwPfkyM9 E5tq6ZVbR+Oj8GiYjs0KiBn5gUw9jnNPA7b4lUiOc99xivj3NqWw/Iv3/rtIo11BWGlBRbMlbM5o /p402YatcVHwDVC/JBJnZcTzhkEeq20OdhG57T48rx7hhonCNsAlqG/zPSxtkrWqfUyJlPzlFHkS oqJirPd72Ho3AenN6hYxpIp401YKqFrfTQefMWtZEVa+eAtR2HM11gJKa2V7jihV9jE3BZzgIThR cP5jyGR+NLRBBJZnDSQ9vh9M81Q9xrDjea8y4Fb0vcovcE04oAlLCEu1mZYBzfENJEjtLT9Oyk3V Af5xAmst4tsvNAfHSoEGIRmuaCgL7UPqozNhng+B14A8L0XwYd0a2wn3fwjMFzfiw6b4Z2UAhklh IPBOtBoKnU9zheIbvYHXfyyqS9IWRPJ7tcYaM2yJCTdOCCARlSBZSDAMLOqOYrMOzJfrI6QfQM0d gXK1HUn5LSptq1o80KBgG6VLOqaNyIFWTZ6MCR00XYG4P4iIltDofzWF5i3nntJOUfsyYtsOQAmp cRbuwILRqTPLxtxXDnSyBwyMwv+YVGjMiEff2PcSg5zhAAAsCVmCNMSAdMHITNs/vtWYKVBBotDv WO8vwM7741RHqu5Yux9k1CURPIuJoPxv1wY+i7gVBIifGN+8LQNN0rZxBaiRuWhxTu5Xsi7yveWZ N/ngxFasIdiJgpQ22hINZqWD6PPpi7H8IhfGmEPclpfbBr+iex7a2+ATTeZi0anAgg3rHxATbQJF 1iq+oCPnIS4ZQXAOW6xPEc4ECxU9m2jQZ4fkRi18EV7JkchQ04YHmPL59mSEZF+rXT6eE/vkpSG/ hleIjtSzjM25hLVi7Meil9W7X8E+atN07c2DTc6079p/LP3tzTxkZZRiieQmXsk6KtnqEIS3VFCs buC+XExg+rjZjlOu9Cg2LmLy8p6LH8BkFBUnqx06alsuz8LZfsA21bhUOCIVqRgAW8hQB21DAHCO 0yg9kPwZlNcigwZfmYp0LVVfpjfqNLDNjYrjz16skHskC3gtmiG3rePY+zQ763q1SZF/ZC6uYHLR cYCirkNjXBXPv/5wO4p0vnFuIn55CsMYWMv9SGHNQ7IRu0GQnOEYII2xd9skBrNCmWExC5kC9K6w ymhWo5/0okmqqep8zi/+R2HivgjiuaLRhTsEzUE0q4nrthYivROXgC1Jd915DmkUQrC4+lsmbUU+ qEWUK0tVOBQVnpSTmL7KCPXqmOJZ9aw/AXBLVnLBYJkjNmuUMpadMW4FmvG9A6rBuIPlI0M9G6BI xE/eUqUG/kNM7p3eN7U6WocSY05pHdPk1cBX8PWzSX7F0Qzyr42hyQjqUtxtIsz8UWF6vdB50mJl Oksaqf+mbdHLUNCBpTDYa6aM1kVq+wyvNKO5TmeBd8kNJYrAToEI0S6Cp1VI4nkZFIxV17wqGcFo Zs+/dUk340QgpjFBGngmJls9pM0m8y4jgoTTcpyrFZTOjro5QnqrhUptLaK3VgRcSKxrMayGLWbz iDd8rTiJ0OCZBgwG+nw7cHEFNj/jo/oVTuJIyvmNgTQU2WMx8U4X//iWCv+GoP0mVAV/IDV0NCw9 gb54Iy5wWmdJFROEHMWGBClPbEdBnNZp9b5ll2Oys/66bakHk7lufs2I2JZymABP0tMq+YOhjfR/ O0lzZUBN2g6lIGgwvmZISxQchkvErzUUm1Al0+ir7pnxwmoZx7pKXYW7sx/uuNFZ2a+QaPR7clf+ XK8wY/0nfoO9iinNEw5LbJu/IjDMt7oMJ7gYM3QC0LVPqGzzQWO9rvCuTJmULaLwz05J9iGHYxeX 9V+asnmcoyXhtJnJBKg8A2d3opfr4fbF5m+yGMi6XCDhBIaUM2AAfeMgWIM+pAYUNFrTwtXeQJ7S dqhOdbxBVth/AloTWLMA5103UjIkichaVG8T/lAsrXYpn/vk1GDbTq2x8Q1KKiUtDedVEchqU2MX tI3qF/XzhPVT1VO9qR9DDHMTg+GQL1lswdGB5ay2X6o8UCQCq4ZG+CVy7DowkbdVoy/yOG3Kf1iY /U/ORyaxt4kWQB01XiEICJX8eUSba+8pHVoUOvS1BzuBHXARJImZ1CVnNAheNrESwOCh9XpT5QHw pqgjAkVsLYsDaPsED3gCp2OkQaG999nKXdcj1k+FqJJMMZhxtiDgXegC7iV13YFC82hV/ZqPYG+A Mrw9E76/3+GduzXMlQTDUfrlArzwl0O9xdseQFk5tVNQ2PY+EkjARp9stGC8p8+HIFJlG/8nNkLS lRAg8eRewnJX4kxU16x77nsHpbYAaSS3YuzaTVxl2XVTRX/ocxCiUf5v0b5aPkrcJfSSherhopGw /fQiCNai1L6iGCtQ5SG7Vbx4vny1dfQdGZSLoqXayPElelBmrRa5qJY7+OlSyqtxYNwBex2d1PXB 8fh6XU/IzE0w5/yahcLDPcrSDWrQnwcgaPA0DjRIgJI7rTehR/7QWNdDRDb01rnzgIO1mwoVVElQ rPMTs28Vs9lplIYeM+9E7WuRmi00x8Uc223HeobfdksrNPt8W+QZ8jy+D2oX1M3SUOpOg3tn2JEo 3Tp+jtcWY4PMN5V9mpLdM7YDQC/cRaIgVHuVgpXWUiGck03jRl5PpchmJXPtkrdRNUCWK2jmQ3LK BpxCJVx3mCCIddo/w/o1YPV/Nt15rpUu9R4HubLBsRN/SRUXsOQcvlNSgb6cjIJRwVXXQxta0ZHM sOw2q+xFddYEgYssX915/fV2ZHdh9or3shhKQMMgf8V699GPja335bA3CHaObRd47TrC4xG4zuVt mGhBTmtA9M+lsAXsAQGPnGNR/N8I2QjHVhouc0WT4T2koIbOtzDC7ARPEbkLZBIuPa9mBonKO8zq 5V30rTpIQtv0kAMrq4fGilx0BPS0KdZKxKGePlFsJK7N9L94q/4h1yaiJMhtkxsZWGXCoRPPi8d5 9U8zxCVZiOyMBAorPizAv/UFZU8+eHsRwBNL6jR9tLA2nUxfuephRJnIjtroei81CHongNXOk7CS 2VQiwHJ1S2RlgoDYETkXfe2B9soTem6ZmcIz9KBzcGr3KHU6eLCPPmx47kkBdhe7VJQ701Ppiii/ PB8prwPMaoAgpaZ7f3siIiCkdyHU7+oPpqP2BmZjakLwvSmoQP461sMW+wmLofCSVxOny4dcOZla MW41ksSueAi6cWQs31+OzFc77oBshVPaqbygJCYTevsN/1WAifYKxf1S7c6fOzuO/zYVvt1DXObq 8Th0E+7fI27Y0wJEbdkf7Y0K0oY2ikhuK2AaC2lLhLSr9tiz+uDhH8lKM4b7ZKGrAh3JVDJ/eAL4 WB18J84sLUK7UxTVipoyXiwhyhEMbkp92zMMc7vZecY2V4Qmsmy2WMZ6rNmCfGzeizha56F6GQUP 1GecG8gK0J4SjJGc5RMWdAhicWeNJGwAAcNbHoqhUrahjunFawL4MCb2B5WKjnvzXXEFAAdanZ71 C1Mg/w/nMMoJ5H90aSg0S3c3TDvVOhdg2cCq1/78oThxdl6wGIzu5fqInXH7sTvMiQhL3rdZnbmM 2s3VxZWpVh1LZ/y2rIFC2diCbykdTf3c5z7OigVne39qnMeVxQJIYQqEYJpn2Hg/BIIVJfEIPSPg zfSvVxwhsYS5DLZzgZCd4piMGUEhhTWLp2oqMbVGuX97Y0S4OarWmlyIzPpxUG3wsE3GcRXk5DAB OJf9Lvp3kOGvBZ5Tu/8/psBImFgocxgPaItGXUlmkJ1wiJdVBLzmm9MexkA4QeteUUdDxSsh752e eMUJXPtjI37bE+3MZ/qZm5jqlxJl9QWLWM4jicQj96MCZu6QygJXB2HHWiucIrUGfPqXVdsY8ZiN oA+n8yuTwZ78fMRTZ3cUjQhKHlNHa784SH6NnIBr87/YUk7Prllq7uCd8oQOXrAOrPHH18+9kjp7 dcSmGUTtPiuGWQhmkF20/qa4BmI2Gv1JO1d1+l+6pxaBvianz17ZR2owjK4jXSVM/D3cdJucTAFF 6f+XCPF8RniROPZbsrVkEs3BtYRP6bv0IOf4gzMae2zs4fKpQh1C2du4zQNb54JoW4SEsa56P/IL rYMoy46EkOhqQthhjQZpYVylSTDrU4mI1EFLz8KEsYqPoRqmXxqYjqYLkbVn67uJ/BqIbgG17i+j 9DxPABVyy0yPKklnH5K91jlmFIw0gyOXQHDMLHbAOf2Z6ggOzeRqSzJXxOsUlLRlOnRGW4FaESSL 8tZSTYx2eOaSMkjyKmOXCsou3D3dBUhul4SmO7YB5Dpp9tcBnFsQMcL3RhbnTUHnvudtoO1iKEW+ AvcD7h/TOidFRhget1RwQH60uOvAFxrBOf0Uk9+liOl2UltKqevxPsW9Tz6JmmtUMqxOajDNEoED MLgOzS5W8XIrBZXh4CtD2Kkm9LRAWiVHUSwbdTlWM2j/zaobE/nhUCh5a5nflHNKbAcxJV1RnsRw dRbYA2ICGiLisDnwgr8gA75JPodHO3s0fouKgpeaQolaW3fkz5yXzPaLUW+nuThJW9SxC+1Tnfz9 lWwGLN6U5AfpYtkLzQhhEehGmWtbaaTl/0B1WXGGTY9ALe3LJarZUsurRBnffetiagJaMR1t5m67 cbm9Adh+JfXEaLy2Kp8OeERW0tRy3whNbgH3SmlgYOJKKqQ+8zYoSxHdGLAvnmxhNlgPtXrBh4b8 TnvbZWVlEdiBNHahoIDXk1qztrdXb0t8TipMOCNQR7FOjyHq8ekgUyCFFoSi42dKFKp7CkN6c/cw cAoJu55Te/0j83c4vc1dimdQ7vIqWMDnmg8gg/+br2OY7+7z7eBZNtPqZ+j4kmGYewN0MaMacpiH ow3tbhzNvllnCwgdLAWr2VPHtBePrlXJlHSZqlsw4BVAZ2mZloTle+8rdwutrFDQUIOzZ0SI7xTD sgvg+BjIw9HqfPDvvxbD17kAMY3trv7f12w5NBzApzaWac9aGbm5aYVKeIsUSedEq5PA9sDqaNxF +qv6CGVOhNX3zHvDZsYCsvn9zoa1eZs7hykstckXJynwo7xoMpiUq31xCjNA+7YM6OJBebjSEr4H 1HG1yLPHi1vTNqpFNRqlywx7l69yZ5PLqgpSGNvmU9BVOVlORXcqpJYExuGY8znzPxBJtThd1G53 hucDbDRgxvEuaMEQ/GrtV+LXXeN6fdHY685kFYfoyrR3hXxr5pE3m7RRY3FigXOavC22Zxj81GSf tlCjZ02pBoxnXOhsjkuq5kCARLKDknkI7MRjv7Iwy9gbZrT4lp4X5hk0IBFGRihE+GDGJNLdH+XU F3Yr/hVdAC2vUDpteMEQk1z7ETVYQ77fjV8oZe7TNseqD/MESvGPCL6gyjis1byGhYVp8kCNJPxV 33Xv2p3ydCDBC70Cr0bIlT1lzvscGjfoEQZJ0PTA1ucgj05wyW7891Ve7ppnS5nPTPlQlusVY7Uq 6S0kr54hudmD007GSMki4xZH0zvshGFU3zqVZiKrNC9HYNkG4ZE+7QUcGfvDaVq4gbkjltFZwu5n 9d2uQRBlBPn6y9k8OXPcM2JCK/7ejT/61ZzAhc9806IE9U4y7AAE8hRHYmEbBCC/GWZWhZlFplsW OxZOl0fdJIN/zTtHx17l3QRi6K12zqfTyjfUZ8FHbdrhaPSg/PkTaqrszHkqTD237nBTo6xCIZV7 LeISJdwlOBPfhOZJ/QLZ5d5Zw6uPIQv0mjch4miQNIath0JuWHs6m50UQYys0Vxjx6Dsvcka9jL8 WvfQuGozlF4R8vkVn1jz6I8ph2Qin7srsUXOUCRNUlD0TbGNL4pdUv5n58Fs/459ktr6cpbREyE3 otdZ/LKfkbUlpuxN6d3pGnf1Ol6iIq292TrDkX/tUeZHd7GLN5afKcDBciYfryWD9FNXRtZQ+NjG s4qNMt1LoM/VvGI/yR4/gnanbqkAt2jkKxp6AJCe0g2LOTSJd7QT75kpPMJjaAdlYnYALKsqRktf Ae6xdBMJLJUME0s/psBTcNsrV0p6ozYHllS3gkw4LKGQiNolUrdzGwicoP91k099seevVcfpyIIC R2c8kkD8XpOKwCiwFdwVwBdvtv6sm7APYQBhZ6xzM7s1+IRZOgper2ho4KrJENf/trjOURCcjSBD HqsPYikGsndTd3GCxiblcjCabnVVatsxsSqEwk38bCe7i3lmGzZK+pdjNVsdn+Bc/RqZtNBCNxkI qjqd6gB1Mz0UHrVdoBA1hqBKOogtLmjSmG5s3ctgQAPPL1AhNKb0CIPgOLSllqTTOZ7LQv1u6DSW +nhSCPO6clgAdwrlpf3h1KAP7nG0OTKifb9yXDJ1YnGl0CCTC/kd+UQ4hA2LWTSwFAuKa5+EZjHV 21ERBv8Up1tq5ScElF3NQfqbMjV+LeSIkloTcrNvTpumZYp6q/fsu1KFmuwV3LXqf6UpQjpuCMur yKSpkYmSyBbCLS/0ouVhFSRdSsLyySsBEUx8y809l3OAIi7jocY/iuyKQme5rZsLhGT0903AfyKh mGwmK8R2324S7yEBDC4GrAHXMwquQP05LkyuQq3QtSI6VhiJd2WRb7EpIdvDoz6rYn5/A9jEQpP8 9fhWW57tFEd/nvnbdOxIoCLZMZyzPupI6BsTy8HQDLgC9azs3QhHRudhS+4IKAToLuhsdB2a297W f6uDa5EI6Ke7NUFcq5tMQ0Jl84KiiNQj2fI9tycvdxl/9mcgw+khoeCoML1+xdm32DKfQQeGLLF/ P32KWHvXvXPk2wA6ICvv3Yy/Oj6pNO+71VppiGr+hnzRoqY4XMhbb+vQdqosUkjRLPYQljqDEuis UJnM0H9IPCnO3nr7i45Ux7aZhyk3idGorUC2kwMmWTrUKvEFTT3amx8DzkKk/JzG/Lhwjb8cDjsa WrLsOLzrUyiRLd2Dny14ujsZUvS/OOnezbiYaJKd3Z7/WCr/hOThUl5D14lczvzWjlurP7HYNXOw gM5TzJ1ilwpXkSrSFMiWaXNXZ1v0xwJzGNlO9wM7lxakTH2pbSELHC4ICngsmUx8h44wv92dZhfs 9BjZf+G3InvvaCXkSa9mLxHEApOaSf68PlD5QEpxzMC2wcOF+hyOpgNtBGuZZ2r3C2GErbPBfuIZ 1YjfwNEnKM3yLq6At4MiORc8mZsq7hga+5Rqm8hck1RTJ/ilIRhwhR+EuEhlLNHqffo8GP82vnDJ GfgjI7eDlofere3tHoOHWnmoATcXhFGt3D+M6uB4iQ3R0Nne2Rf3+w2Cu8Em5SE7YkTd+ArlqDBv vu8iN6dRJpfAjsjASzhekRImps8G398k58MhSIcnTN+igxQ9VXxYLgep/6rn+xejimjUwLW+YUSg Ewfal+u4FSFmd8XD492lXbf3wN2c2TruTK32Xv1WiJAnWEf3PlZbx1Uf6wwd1YYjtnxexlWZjmWQ y0vWG4CRmGYrW3+wPzDCiyNOlCcKkXGQmoHaZUFMsMvky09NxKhU185JceJ2ABiIlK17fmZM6gfa jsUyOESNjMaqkUEfCkgHEe+Lti+2mJZhAebxR9CHDIRp0sQ1H3l5IXzBXkcYqPg6Gj6YSCn4r+bM a+/fvr1DgPX9kHf50RU0A5/TbApp2RkXFQU26L/uUhXTnfvxK3prokwDOegCDXtXc+UTBwcT8LeM ijRc6qeEOpdgnqxGG7HZM0Muih50XM6RZ4b7tRh7trRjozD0xsI+k9WgFddkEeRNIFtAYglP15DZ uxL0lmjDI9LqQm9TfqlGnbHxubkAHq6lzUkx9QuKZ+PLiaB1u4ek0PDg9Kv0nQUjmoa2k5T+oHnn 6o7rrZvCAXIPaEqA6AkuQL7aOw2mtxS+kAayD4DsSBbU4A3y3dvPDvUOQuwAdWqZTj+x4zl4L1Tg zyMavE1mV1p+eQ6/1OOKTKbHlypJ9nfrJrhHqlyyG+z/P6ZqkGkctRNJrgJcy9skhI2QZsH3P9RY D7Bvarz9ph59DDoJB8/7k2fXKVHpeTt8OXKCFPkFc+A9jsdVMJzcYzJkvmA59leLb/pkt1OkLmn7 0M+gkwHn4PmpSL8+gLxuQepk4SUe4v4gFJWQXST0aRRrdZm0COAyRwHVBpCAXqQZ7wk31mdaBli3 MERkf/vdjh4NQ7/3cN7u1m4yZn/vaEwIIpap8JSI9PmN4KKDT586qlAR2R5tLFiUaMRZGNMhrAo7 7ktOXFH/crlx4NPSrKI7w+3Q3W/t9DGawrpGdHaXgrE/IsGH76TgDPtmYOCSVireF63oCZfOfAYo XlssUDCaYXXmc7eQPYCBcAtZcPrie13vQe9ohDvmTyqVZwcHNmDqZcIbBxtQyEj9TKxKM6CGGgBt eSVsUtLDQxsZqddjqcjGARq8wdS2fhLoYpFkp2/Fv5X4AWVL+lkjn1W9qqRz0hiMCCYYJe6It+6W eRT4Rr/zfpfvyNqQa/3F1gjEPIgOcZOvMeW4dXhd6/IkNeFrVRlURCjJ32WhiAhtCVrC61ZJGBF6 aYmNqZ6hMc0x818mQV4BJLkPRFQOL8gzIUPpiD7QQaCnb1dPft1/h9OH9DGD+tQ2iNUIjzccZ87w KL1tamTUbuLAhHyLbjsS6ilV0xw8YpQVQf3b3v/HIhREhOw/6hYUa8AACRM4FyQ09JyftDVJ+rHW 48pATvl6GY3Xq2JhlR/pu+eSnIc1cqcLU6fMUgy37wUREwiNsANIHyCl/9c8juw+6khD15+m3FoQ JdErY8Av+RZ1jqGujdMGqOw5gyKdGlx+iXxbx9lpHHOMh9agqBAUzolMGARqIWmODmTcqcgztV1Q 5f4oGjN6a2a+C4WOZgDDiATe13JpmmTXHWLW9UsvICT0Ub6H03GoqBsx4DPMuHdg5yjPJCfRpwyY J2IEppeEvllZAg35RNF/ztNVylbl+bC114WDCtxPskr1/oCnYVZIvqzZ0lpk/3Il3eB47GAs3RJI 4uUGV5Ayo2DghY+1+Cay3HePGq1BXlmMv9Saz3z653eJdFo0/aehNEzlJl1QSqiiM3zoQKyMAB88 99iguh4/MRQvIXzcATb3lDmda8kpZ2L3/hXB/uKTfwGj7UKNAa+Tw8NZBwYFo5ceLXccl/3u5YOo qPdbx7Y2EXHCFQJRSBd+UgZ0xnzCYyd80dA6Z5cpf5fJpIJUAKHwxpN8I5/RvEdcOi8kSHV9RZwR wX5EvSSow8odOxB84kK7cXMOYwxc9tq/TJ2iQrWAQgx5uxc4pN5uhqf4aY3fyh4KMaFE/nnugROk AimWkr6ipZFDgZ1/pq6A8PFLOUnib2zoSkLTUu363IcEBXMV0MQhBNEGF90Ue89gc2kBc2rNj9P3 lDEvKqg+G4inph5M7T3H5xApfe4tVmmE/3D970XR+xfKtq3F09sA8wtuUajSHBSF6rM1c+Nl/zKE l9g82S9dEH+cyYXvY0jmzMogsXXZuwKzx2EM4Zc6RSWjiIQ3hiNXxAtwf/pv+SWcCwKui4dEGk9h ZxKtZ8HBL/PK2kzNLF6PLQNqev3Q5ZBPhdGapwsVmsIXBioAAEUROpYHrFogt7AlfpzZBFzXWccu eTAZVp1iO7XyMkaoTgBPjZlyGdGwnz6uA9PhHBirfAf/L6VMTaSBSAqQniR2JRg2KMHaJTqB4Imu J4DhqgssbVfhLmMaRthV9bgt+rdH4qG/ZxKJWkLsUbNP6Fo3gHlbOQ7hsFsARpCkQIzaouWKCJlN U/49lh0eC0BafCZMdcoXhj7ARAjmRRJHQCFfN23DeMN2QQkwA3fT3ZBLYVm/iO2IZus8UYPg8V6F /I3mvG61WtBvFGbuo4HKSV7ZPOlTd++FS7U6KWq8v/phLdLBAEpoUEoxCC2F8qJ3yzs/yfGt9d9t ZpEjCwPdrCbd/E2vNWCDUrOKB42zubTnXjGRf/ns+44lohpmSAOI94YD47ozmusrPT5b0QhzK1zO wFTBR65I7WKx+ddsMpgIrUH/KEVGwDNCFXz0cl3+mGyUjGUOx9F1WeqiDtT0MGVzk4BmRWf6WB14 w5HUqx7bURYQc1NUHzkrPOjLMXhQsAPiygdIJZVg2Us92U8g3hBpIR01kNkWc8CQgUaDnFjWlXqW Y5FjgQa0OfMAAT6T2jIFhBmsY2qDgvv7+U9QlmGkQhbaCWo6FDC3H/fcEA0Axjck6CYx1E+jrO0O KPe8Q8JhpDn7LFftpuB+Up531whbpWFmsk+vGEmg0wUFMY6z1GvH1YOLFVtqWDjzkYZTD6ciH+Kh JzI3tzZL66JiamXz9flaHevHrJtq4WCgwYNkOj57vrR6dH8AJh+p37CaJU2KWmA/0D6oCnhkKczO 87asLuVU6TIp0xJRZqmHlRPqJ7lCvkHI1mJuhaoYSZDq3185ZAJzPzjcA/3v/c6mXklK4eysjtF9 7zIqFcdn9x8byBYjMGoOf7bZ6Ia0QwnQpdmLwLcXbCy0XrDzyRyAQ9+gAuxUYntJyvlKLcxD/rqa +7PKkwQNjNlmQHrPEBy2ZLzklyYl0DA5C3c2izttTJazt6KrB4g9N3oxwC4q9GobgaCwdTUFLTM5 o83Oio4CHf9tl5CQj+SVyIL5Vh3TnVAMdjBnQ+1mJmbjFYpCm6esvwQQkXpH1JabmraC5D+aCYcP s2EYxCImnB/WVLQ64fDvF1VBrpICBz6cAxHmwjDngZs0/h+AfRmQeuW1o/JKYS7dVCW0estSr3GY m8IpGUNC8KnVIxZe07fK/TlEHJv8c1U2jGa0B4PsR3sf2lhpd9z4QB9r/GIvIARUU0syYFxtyX6q tQ7JcMwbvMEjIm8ySIw4LfIHsU7ey9+bCh+gKnvlfVUHGgvUh/E5oJ3k07qos/5u3AAoSXsg9s2e gRBy/j5/S5WpQW9FKcVMfUYj20ewcFndeFkDE0stcZvkJR1MgZJaFOz6u/HOk4tNEI5WlXCm8VyQ ZS8CnfIC2J4TK5RZtspYSTiNItvIOpyPPhTIgtW+4JXjEBRWXxsrPgOEXeEmhVdhZnhIqetZ44ut US1des+4lntkmp2Q7vLVI6mBL02D9V2k9XiA9/w5lJyanaNypf21Abu5JkV3BYeDyHNdLMI0HEx3 s4SEMvHgtHYBzfIeNqjO5Gl5rbv3SHofHd1vRTZlDA0mqHV/KDxSnzuVh+8xm534+CGtrLNz1luf HYShRplg3zVo5MHsitN25UDmHmDctZHTnq/8elq9FYfv0Cao0nejcFkVHW4qoVMAX2ZPV/3MlVyo ookBRMuKO5915qvLp2ycMgxzaGWok1eN+oduzRMXxEODLctFVrSTrwLXHZ2zP+g1QkGq2pGwE9Db 6WsvQFyzZUZgh1cVbThVRNyET96aGbgbGi7ybFsIY+7FqYDpHZbFbc5dE/o0y4fCtjxqgISqPtrw nuFD9Fbe//Q11w4d40LoxQsDelSlijzUGk+m6hh7DzK9+wZuM+yZUlUpWZnpzGMYqbWXjJX0wSRx AdYv6J/19s3bLgFXBaVMcV1DGA5hUmWulEuejRPgspXuGhOPOF/Y6pOQF10f1s+NLGhzJb6ENPv7 /aitP5Mo56wluQqW7FD4wcf3b4NzRkJ+DxEeLBrIPVEYuyTtK7lIMqd9Bna0sFdCvx3T66+H8bpT 3f83JkyqpU1DZ96cPx3PIZDMkNa2F6hC9c1S+mG07OURm2Vn+Wx1SxuTHLi4DnzVAhSfdis4CiBA zZi8WBfCGpYZt/MlRicKvn2r13EdctQi7OSXI4yypOrKYFUWlu4wFOYLEn9osjNkaZRYJxjOs1Jl tk8N2OA9VrQ9pXAFgfYBLVfTUNOF/hjDFE2LyzJAsdxDBSQGJIR0pdpPgGaTdmp/hnADdXjrlUgq 4Eeevp8hNZ8QHhzhg2j+pKK4WXxddIapqQalepwx7RjcfQNWlNT56wlQDgsnOgWDMGJVV1DsyOEM JiKLEArzI+oCvoKiDzVDDAgnVkLwuF+oEJNd1rhjdr2GzkoYb9S+pqqRI44nbV1l3OPa0jsEQYrv arl94evNmok8J7H5ZxOyi+PB9UAsqdmggD5mHEjCDh8MtSJYFx5iuuXLGixvXUk+a9ExI4UgmBdL CnMCVeTY62Wm5WNcLEEDwtT+BW3VCdVaOAKTBoZuu1/fD+U0zFRg6/7Nd8VQ2lZU7xITkQKmoMA6 xyeoQiJ8JNO/s4tyxu8dWKEBYdtRhwWp2kdnZlTIIARjnWkP/Cc0iptNY/cjhTg+aX06gDEooObA YdZ+1Nfoq3uOWvRFkaPQ6qbtNq/824zqkVwB+gQYvttm+X+TuWyaVtGCy3m3P+zUGplvQi8RiM7m Vs+MNZejeGO97/ft9WaiVgSJCOiw0gYXSerm76Q1uoxBM5LGYvCbO3bVbkhm5rRQB9HjMRkoulGO 1dGf0YvwUdZAl3ZbRz4LZ3eIqqRVe6R7nobRFQt7pUzXmwhUzLfjcMMHnqHURcd4upDAktscftW3 EFjzWltIfQMtr0JhDQcCs3rQcBA6H57mwnCgbW82jwC62gRm6IIA9FIFx80ggFPmirJ+fyE4uppp St7vNvdqcxqP5fFynFG1Cjk63roI1nJpeArJkPytpK02HtpPsJRIaPZIUwe5KO9RnIC6Kf3wG+U3 Tr7nq0i31ibv7jpvKiXy52MA+ZO15GCFxT3lcmpOtySGwRRQ/vDyqm8gXUhEZgdkvCTrtgl4QIjw jmfKNIMXYMhLpNrFSHmVEZPs10Zy+kdqvtgxyhx/eP6r00yMfsgVXDoaOdcC2aaIo0Ee/pLfsp9R qUSjRmPnsKM0ggFZ86FIkX0rCd0SfzA/JWqBSVem1VVW1hC62E3XIZB8kC5Ry1IWDgHh9j/gFmHd vzBQb85bRyoq5TVWv2rt1pz45rt0OK2+z2OIg2eZilKDfSu8//ons8RjFU1fztcEZ3A8P3SSAmnf WdxqYrbD1HoiIBYX3+izwCrMfRG1ipsPcjyIHTlkGboKibyHuaOSQVddak4Idsl3yjW4LHWJpm9y 0KPNJq5XrNVf9JY+Xzbb8nQtWMCgXaXE/eqfowzDWVE/Tqg7x/qRaPekPcV5jzz45y6kJE6De++w FIWitUB4hO9CWeOy61rFHymjRmeeFRWwNu0H9puAO+vnqi+wBkw9pInizn4X+kxvnTQlgp/mSbkR 6ZItVdxaFHjDeYWoTJDrlzq5zEfUEgZEw7T0BUgFs1d+US85XyWVk3XYDwbUDM6kRmFl9tCKGWhN cLTQKLQ6Bs2rxTxoRgyulzqLE5He2RDVLa+FmuVdrAxyCZRt3YZpjkmd/SHMSjjjj85yGIZjGv75 yyC8/0xwiKrcPvndunz65bdxJ4a+fE3TIeFcUDvJEGL6MKEL2RnyWuSr0INMvDULnLNb02+Mp3tg nC8ffkZslXoXE7Ab3JWlYSS+jCdV2K+ph4/M0iHH/wmpj2W0RzVuuJNXtRKe++IhSzs++21xa54W cLoLp9BM/WFlFPWwyEKNDMw7UMj1/jTyz38bGfbs5r1CXfvqjI6HZF91ahDINOFYWxNzDx+odoQ2 Jq9RmgCRlttr5F1pQozgNboRjpu3UX/navvgsdrsk59BfiRhiDwe1ahuA4xR4HVhT1hmfSILyYED eqBy7XwdtSbZuV3wyBMK/xXNQ9kHh+MMcfqiwUn19g69AesFJD1rM2jdrrREXdWse2/tWqIlcnm2 zYFpsNS5N7tBG0e5to31Ae8Tma9qZmkM+cVYpsDrb5WVPWHUZ0R4NABOSX5XuGBF0GO4Sndu3ffz jXYueMg+yijCthJKlofLaktPR9jhTsbC72F7B2YyqowDr6f5vSeyVR4b9RRkcUs4fMJzAPUbwTO5 viepHivvRh8cYVAWmJcRGVsLSMfvI716oRx2YD0J8Hm9HPZspOyKszYB7+3UDVAKD4OMvgHVQAj+ MRylyglqntxHvhsLVfyV7OIa8YLAcavbA8BZnWiCRn7rlxccE4hFWWtRQC9EV0wE5//bZfEnzQM9 hBeRy52kT0FklEUY1tYolBE4/TnR7hh078vm1Y3IdW5+HsBy4x3osfZAD4C4RWwyYc8V82qjKBBu OlVuRu6g0s35FNQFNiXjcMqlxqZVHgKJp7otGi9tEWn9la+1U/NiVcMzGSC1Oe2BbUS6BWUAa25k o+ubxgobx4WY7zAi53wyRGY6+yH5h0ClSrHcjrDjCbD24Nczt0oh/wun8zRqiMhrz9L5JmvtSKAr Bz/BwUslFqudPJ2LWP3qoBujv0TiLKTloQRZV97bT+bQxNmr4/DLs7gLx82WIkdzZlVakwjf6f35 vgzrR92hVrXcif602FYiC6cqldvBC5bTGo4d+pKcGZxJ/SRG1YVNele8zMVLj+H1Pjy9oJpVZ80i 0qnEPmzGF7i9vAvrcjrRK5Nvxpt9Vc2Mc2Mu6wPFHyWhZLch6RRbkWolpUXh9754QZMuKrGpYBfe e1huOzD62QokRI+lyqOV9zKZuQqblwlxB0/U1zaE42eUSfrDn+meRX0cHgHHw6zHo+y6/0Peyd5Q wTPgrwic6IgnyC3h42X0s0z6V2qSnvd3pWkdcEhBOQIBgpF9XcD7cXDfmm+F4UqcXVcd9Jw0dzMy iKBCjID0RU3YtiAmIM+dzN13vSjSUI5sNWKqtKOEHrnd3B7EPIFCbTyI7eWmIzR+d0OqJq3YmMJL tIP+ieRtAVQpyQCVgkcr4t/MypX1rtGVx9p7cICjTGWk5Ie+G1ihaeS7pr7kNAMdR+Hd0aMxpczT nt6vl3zJI+TcjDukl+E68O6O065rjfayr47VJYQ891AzWr15XaiJDgvIAmAwNiBwIzcYzgwVc8Nc ZhAtTo7ATuEkrsWAp1pSEe0IhcKz7ABwyLqRBzsfxRKumdnKgYeoVEIWwg2S0lWS9mDnCemisG4O EtdCmAt7ztK7nvK/sFvXbkeSi/RnSMCVdAaF+60ppISV1S91xIa7PGE3E8PmATqUt/5jXCvNoxcO 3yRkm58+jS5RMKYXuiyAdHqWVupEEVGybj3IPL8qriBIqbkxF002eFWQz8LHeSqIzwbhKvY0o7Ak TA/W12AfmFEHiAOnG/8AxJLed1oYMKoMIOVxIw2svp5pesQROLNFXG3oAiKF+iW8LHkUMVqagDcb 4TM2vsbvgT2fxnIAQS1c+q7hRW0wYoTDz4rTyqanxAxJw84jrSFwRZB2+sgIyF0arWDNix1Gnoho 91DTYbxu8BpywMATCpEGt+AEZ5CAEtxtHj2oHG8l0NbFlfHwAg6s2q3lmcQNs1UrihrWMaNxPedL 3A8qd7Fpxiyh18JZ3QI82kFZ0/V4W6GeGfsdmkZyWTkV5EW7+FPU/oAn4gDSj9jRFYJUMJaUAfWa jh/6upAGb4OM8jAfmH8eCfQndvZ5CjRchQG16DGd5XOnQR2M6pvkDXQSMFyW6iHzJ24LAYkvFOzR TgpXIcNWouVGIgJMMtaBwnT4KcSCl4NCdRuPiwjSygpBWIb1FmTlkLVZV2GCWaR3GLJ2JXZaKYoZ ow1gCLDLhWubAA3132e/Kg4eKVqf1uboDMhdsnOA1VlpfSPfbf4IHh7WP4OuX2xmkjqHNYvx08x1 eFYrlVfbFRnRPAFq7riqfKx3lD6BsARVlpUcxPYcksyZ+LyXTNtDQgYtwPdP9433L4YeyKFrNnwK JuzCy08HstbRTl1qYTr6VezT+iiFFaxPtDb2BzBMOifkdpmJVttbEad+DiSk65SB3TYK8z2+GeEI ZokKNHjbwMXA+/r0kITCdk4ZM6eDnQ+M0L1sKVIMXdq03DgCrthyXgMCnnq0Tr3D6tJmSfKCnIwR gH2OPhbyuK/AgAKoJ4uaymUchGU7Rk0Fc6lHGVbpcMHsra/guKmf/yWPIksl3H798WeTsQJjHuOX mwzWlLz1zuO5k3an3yE0dOyiXOZWZObdpnINWcPzaE5sX2iX8VpEcb4JLyMBwm07EMGHiXMmBsza WRw9HkPY6aG4hL6F98rmrKoDEZOfSrcnfPGTdUBFJHkJy9kNmcJuvCKHJ5+O+usoPvSwqAPyvM5v wJmduvhd4sA7WGLGIqAlkUmfvHDBzIMs45sOZovY7EoVci1DAX/qdqfzc8kJh7MZQVBSB5zSbekD vFI8d3DLWHW4vd0FWmVnkETSDFd2UKk7Xk2aeJA0FiQKPSpAY/6kQEjE38xkvL9ikVRKWYxh+N/B x/pRA38k2eFIkHW3+TRpnNVWBuHjEKqkT8x7jHYuVbSCrz3HeA/9uyL6riEX8iQfn8QbW49b/dn+ 6BM3GyVddt0Fypt9GltvHCxHblQ429d9/FiBhF+cRXF461UXeLfuBX5icgG25SFvC7jHMaq0xWxr PBF1RNEcHggS719dWQkrw7HZgMXsgSpQDBp0VMSPJON6eBtaIq9f7j4FKSxAP/N3uZ3XATDStrvK gL/pcD7iUDi50wWiILgZ1rIyNvSlManHh/mpCmMPAFaoUgBpCiZ7lNKy5jmA8I14R+ylr8CsiG1H a7qHfdDeNm58qPUHODe09U2FPVxmPNpBZQoKGpac5UuL7fjWYAnEt5c8dc6cXK2vOHTEyCQCs/Py 4G3oMghEvgb+fg+n4QMwrJ1el6NS/Lf4s+vyswaQQes8HZzYmNbRYyHtM3m2npwSoa+8zJWdB246 6jHx1Yq6rTm9bTtfyp/6iU8VI844rCCD5j89/iOIQen73QM5uawSiwZwF7974Ae/rJXjxiXQCeqw XCSxnb+8SGmmnNM5IY5aVVY4Zn8/8s7XpakJfaWZ5JIU/sqoV03mQbKryGn9ZNNCVGeyOKT2UO0j x6RDQAXvPRWUYhO7wFzzQekdRXJJAnvlvEeQTDUCYjatqx00hHreNVs4zPdGuChxxqa8s14M/gQz rrBmbr5AQLdAXyKXj98y5aHYS1wVTos+EQD2vARq0TwMAYh2mAG/ht0llAJv824KQFTHceTbaEVY MBvjEp+yM1cQ6HVBDa1H63VLAkRHHl27i7mn+uUqN3TGwed0K6YK0kRw+wJJfQ3FgIwU69QuE8Wg eK+7NwfwZ0oqtRbTqqaw8Eret2eYJWIDvI54iHIhkSTcXanqlLJopB/9Nztl7oXq5MvEiWBpDdA6 wIAXjrie9dWoQC0pFAaUb0UMb21G2YTuxnNH446DHIoYHC2X7KiJm8J3bRsuDjNrBEiEb1c3JL6G 3rwyUseK8Sb1GSMmc+88U+BZiBY/fP5bOMbfZFAnriUyi/nL7CQNN8iC7bMskXheGPrDVrLfxgRD 3BSub1UwUBe8QlXqYCNeaG6TS0T35EpWEHctluRF+hhS1P0UVmTMhBqbOWwO5a3k8bzW842LiTxF TBoKDJHIvkkAi2ULhjhqLiYJ1Pe9TAMkvGTpK4CEwCs4kL/hLvVMuAh5t1z5WkmxbSPvSOtZEMr8 k/qrysIfrRHLdCPt5Rt4rknS9+FteQec6JeOdhOgbbRWXgOZp9x+k3GZFudG568UIHtwRhf+aYxB NjWQyDI/T2+Z3X6TPvwCbgwdqFdbnd9bq4tMIWwYzpjYbdbJ7jEbsIGr23roLvHG0L5hLzDzFTp1 NN1ClV6PTFbq1hkhYqw7/KQia+R0P0ByAQRrAEMlTYsx0I4CGPRHIEJZW1frVbs5YkPScjgWSQJx mKDUDSU71CeIBa6m1e2VmjYOdoddGus9yxvt3Y/OE1VuLvtabin917BWp/4/cF47mCU0oBcr4RHC Siin6D1hYmyWoEi+iyFmJBX4XtcJgjovyq6eWOff1+3qvlV9HTSTee7zHE58TvoKx5YUfKrtzdMN sydWrgNaZrknV0T+ibj9LGK/HU8Wh2CfeDlR4dbw/bU9oy4FITUSA32ePUBUV0GZ+dSMQKr0rzy/ OryEkmVbzgV5qJhZFa/pkCWVs1qpLj/5fLjNk74kGMW8OClPgmaSgNie1DrSItLN2+pb6oeCiWir ebaa82XsgsiVaCtxyw+nXoTBScoaVwdJuvvMn3XP3AMrZWioToeBcqgA1gvgUsvac6UXy9P9yXz8 AJKbvDhn6eYI391vK16H06N5NBMC/lj00sjpfLEcP9tr12O4WswrLcWgAeuX22INwo+dUQL44fYR v2MPBgWzW7xOsGAtb6Emi58iprbJoVLPNIue3d0kdS4tHOjlTJ6Lk5VN2yTWhvEQI6hgR9vseVml Irb1elKzps5rJKrz7c47eLCTdns73AdrwqssRzkhwP4knr1ewPdp1RhLB1o6KpJEPyTQgvdPrhAD swRWZigqzz+QvmOFy1CfYEEif9B1f5UR4aui5b5uKMy4e1qREJrVlmtQKRCCgw3zQ3984eY1PtJL y7Bj6zB08zD/R0OeXBToGO2seHoH51Wwr8sWHhfM+g03VpPGqD4oLd5PBIuW2VNFEiNWaBPWXp9k G6Oa7MPJtsWbJ7jH8kplrrxPukkfy/Wl6OIvbhmtOpfLisU+m0Y7pxZg85VYHnVs2+7D2PFO1D7O 7OvmPKthJKfuAmaWhH/26PFykjVIGE3CRCyJ8QauM+Rtqg24y5vBHvKGOvKMdXtktyn8/UdWsPFn 4DrMVVhbLChaIjAToLJXKnquLBtIN4ISOUEPbwgcnqcLHymwO2aDDTkJeFkf+0YJGGYeEB6dwH2s Y+F61QJKKQx3hfNmXvC07JEX/Sph9mg7IC0lO/KFZEzmIdmyXjDJhTd7uCDHYEABuLbn/79hCCoX cQFQ4rCg/osqf4qPvUs4JSbqBULqkljadjl9/EpL/VWeH9k6O3STHu4FQWDvPx/w2mU09Q5NWZ28 nSuDIbB1gUJjbppDDXWa17sTZFBZIz4L71KNVw/Lseqx+BbaiC8rPpR+c+3wtByCRpxdBPTkYB2v WcueLySmytKPialzQ15qB+9nKxFhiAs+IQP0BkcE5yGm27X5WyGa76Ia5ZuYpRtTtJVApvOpQcmd RoiYjtlEnmZmlJpjhKdjZmBxhTQwI8k9diul7NqgUehE0IHzIMHEH1BfOdzmsxpMNczKpqLrX3w7 29m1TWUi17ligXVv8RcFM80h9nd3aNvJ1E/I/a81wnFRFcajg+DAOvOe3IFZN5I7bFZzNWk6YgdH 4AU325sM1bIj6Agnf0dhsg0DZmTeG+KcwD5iWsrZaUhUQB4C7V2MJFm8i7C5O02/U4dTCh/Obb+Q 4s/d2nummpNx3sMaBEhHNACjjgBzND9VLy9EKtfBSZxfgI1m+X6ZaDGzJIR8OMvnCK3AerNNPbOd d1X6LvsF5gmxD7l1A7lmcaMDYgOS8d19xzEptiVGycMBZI/InJTQ9RTkss5iJ2NrZLHSFdYeeAAd FDaIE4X11Ryaw8GNYAdKcaobQFEeYITtVaLJq0fDiUmf0h4eTnvKljPnWWpamVUG4msUHPthEAwO loiZ31/VTgubl/nKAmWC9ajY+yqaP5oHObvx0u3qiYYzriU1YsDPVJJs7VBVK52NpxblSJiSXr9U qLOW0tKgv5QvhiX9nhP7KIsBQKSL4xXcqSnuq/kx701jIVD1DUsc73AFf6W3V3hYHy8a0XK25i2w DY6lBPeUWbfNK6l+DJRkkHUv5piORnKcpv4itXvAGgvRWOumdzK3mVL9/dex0VO1vfEuogNt+vOO FwPNKOjuVmDJn6P9gqc7frtHPGcJl0TMi7QAZOfYm3VjshJMOAG8RrYfYS2eqq6GV3I15c/uqH0Y GlIK730+A88rreNWvOUTNlDn/0TVRkUn5Ip96Fx3oFcJiU2/eCK+m4LkNfb4aum6Wv7FdXSOCBO8 WfhgWwRXAqhAPV0IiRTgi2MwM+wYDohlr2odc63KWZU5id2VOGXcLapGMS0suw4tfVwlRdXpiGEN usTavrs3cNbIj/Xq9buua2OaWH4gdMGUiLK00k7wKO2qfQMPz2QNJLYHgfxwMcsFv2bwWc57fygG vxjeuRCfOmF+TER2hkgUCEH8C9m+ix+Tbg20m8E3B35PnioBc6hA1FZItOvcPTRaISQRKSfm71co BdzfjFVJxc6FomvtNLmVaiIgKiWNt+Eh4SIQtzGZf8h0KGqizzqJlzlfUtJ7DirBSyZ5NX0286Oe OmQaq/KCWk8Lr1UPlAhkT0p9KoFgG96rsGc5UAhn76H4SgNcnYoX2o7QWnnZn1us3F0HCQD1dOve 2WRqFGmya4KTqcfipcXrc2BBvtieYwKgZMOKaBXYhpZByVcQobhJa0Iv3OKbD2TBSyQ+o2EF645+ ubnVNuYxP3uD9FdX57xUGI4ShxW2PvttySyYtdCwOdQsGjUpcnq3nnGh6VuP3b2chOt5XaW8pNZU quxacPM6K4uj3PgEvUG6X+RIKrx6wEsJFQTmEgHDU/tZ+9/0kjnKAZx1O9T/6YinLGrb1Apo80Om vpUHvCgW39k/ThkH9UKrcBCG99OjF1GDqHKnsOyl4OHz6dEN6b/5dJa043hftXMJSe/1zufZNDyB qeohaTCoYBiCEHS7W86SxK1TfFlM719AKqLuStQqwEApgY6F6r0zJvntNX/KJ0WiD3OupIgFmUZX xPAF6Lnb66+GOPxxAToHXAX4kzEi/BceM/7WBKDGYgVgMLUTeGqrieZm34dwPyx8fJGQ+oE+8wkH 2Y1pgkOuZNl469voPtDjFGH4QPVuDCdKeicfsw2VcoRNgHAjvbViWiJqcL173JU8zjZ+drOywwrL VOAEqUIpHFM7KeETfVJA8QD0zqCCEj0P+XVByTdS0QQFKUyvePG1/MutFStJu6oh0Wo0rOIw8HQP nJogZA95xTqqAFE6J3OP05F8VVC6B47JH5o2g2fdKanRVF+IZXU6oytjdCPZEOS9wDQB2YjiBd6S RAwW42Ac7H8WmCTgywAEiQHGQMnZqjNFoYMLY9XYqj7HHuz2Vc80LgZADlyzBM0z5lrKUI/oL1bq 7llYHzUaEU5uj0g7luL4Zj//WA+T3/DiuSygIb28XHD1bL1UtiXctRqLGbaRqVO3d4yKFaZEAZhH GUEM+/jk2Cw8OcPZHttMhwskWptLaMQIFmXwdWsCUGE4w6UVSCFpP4bblWzK2eRO05eH4oxCtimQ l5clynU9LIfc65OKYJj2Y4fNS4PNwPpmQ5eNuR9NyuLTm5I67PobMvsjbOvOLCzZiXrEUTMinYKH QUjA7LJuq2stU77msP+TmDRcu8Wosm591Ysmr1DKqJQ9Hn/e3vptvtBvA5c3ES/eBYHoVKTFRX4a s71MakPQvEUjW4szoKFFMEvZ/csB7x5Ty5OhYFI07PkTLTU9GB4L/HCy0JACXUyj8p8ibwCUNEQq 9DBYoZFp/DD/UViITcfRoGn+xsXCGzn3vCHoSRJ7rTCRrRW/x8cC73BnOlwNmEf0P5EwwcbZ2k2w Ugkk/XdAzJyd1r13OeF8gCdV6ZO0x1KipgSja2Zbtt+7geaFEydRgJOcvrxdP2IhQMpbvlviGjl+ REvOV0MzoPEdrv44eBApAVVUWmMamAOyKd/HRChf1bMayFtgfcgzuss3kktFDbqR41VbK4C9TFFv xroeN9wWNWxl5vSgm4Yn0tXhCzE/zltHPqpECivHdHhU956RQfeaZG/tDOcQI4lPPAFlQhWZxnRu jHBvJLTt+Ygko/cFhu9cLpyuF48ie2k7ZtgTyaP0Nz0oi0wEAvUe1A1xeXazvDgarGPu2duPt5ud 79DswDcz8oE0EbIDNnaRa94KBNGCTrGV5PVc9Iq+Ub1A+RC2jEld2RzsWnQAhD0jkW4jfsICierh Eq851lO5EnMcTsQV4sDFqdYGooeMMsFZgqzSEoLSrd/2ZUvAmSkbZ200aTIVEg23ft7Zy43Yrcnc 1QxRFL5NdITBEJwxjW2+NFLGDkRvAHrNzKp2+QswBw4NTX5xp7guk+ZbFo164XMyo796+bRyaTyW LG00hLM7EJKRYk9h3WairfIQfIpEPo1Cx1hlDEyJBxiKY5lJOpHWWhODsY4UN4cXiUuqCqdbvnRf Aoq4RmU2KLKo+2+qokO6xij1rQ4xldUGPLY+C9O0KT0OYyHXkJmqV4vomq1ZUAZG025wiZ02irpQ WqiT3nagM6geoE2Cv44HgDk8fFYm6MSRP/4S3NY3V3rM1DJXG4/Vj/1Ixgv4jwXsSGO14YsKLm5u i7VZbmfN9/rwqO9QPuxgNlx4Rz7aiXo/h7UJ4IAKkYNQwYaBE3NFw6KRtr4nWd3es+GFZLGMq7Z1 dhNX7AAhSMlqg8swKNH3s5MOgh12muNqLUT5L792oy9mETTMCsNHavSZVVLcItx2yYqmlJfc7ABW WbdtQNKozVwhDThkQJYWPbajbEApLQvkOP2vjr5o7hFspfEtyaz8rk+VEsULDbiBUZ7wgqI2BjTE eesq2mgVa18CRvBick72UL5BhptnePndo2h0ManxRw6AUX4gAVx+505KNQ8R/D1LYQFNZr5hWdMU gEGTlieG4jjnyoBF3mWFjj66QdRzfwRn3zu/Ul7eVLQhX/xG+3Gr9mAardcE5G/kDPl9oOFNL7BN LdwKEk2sAfnNGJHqIA8yp96kz8XFc+UD5nKep4i3Z08oyqFo63QXC5wkEfTlgUJEERgiUeMVyH7P /yo/gFBPYg/HkowItJWPODGJUshbLwvkknt/nk6ziu5SaXTGetJrHOsxYtwyjd5biQoZdNuwAOKh Y6KXGRovKV+vZ1bZQh6Tq/8iWl3tUu+NkWmHbzT2q32aJOX98pgC3V5z9BxCmjREv1S25IE6E15U DdTtygLlM50yTeoJBrp/lrROVkh5O5sCb4eja+e/V5tXwcWiAwn5cHKdPaTn/dzPYS/df9i/ADXw LU12K4Bk5vC6hHDI35NI47Dte+DXxN8Wksw+aaPcaHOBJ5tKfKhv7xp7zmtzraUng52R879zUj/z DtyVgLI8EsTVYDAaALLTrIJBG5RVfC8ZXi7Sp/Xf32p5YlnZ3grnBomSwI3wSQ2gUtVM6Qhrl6yM aHghNoNjQFcz6G6o1I+RWCqjt6Xp1hDAj4Uvu1AHa/8tXDec2dZhpitpQsMYmArV4xWKkQ8INZuG XY9kqF5zl6nmqsZpeB8DLfoPLrqWZlpbcpmh+7kdobFjR1WRqreVOquPB5WOyMVzfa/zm1MXuj0e 9i8mXiltDHP3LEOjIvK72PUs2k4eAZS+TZDgFRxOaFXO9Vq+oxOT+qNr2Ke2uQQ9432NS9WF7Pn0 1LtmIqNVChoWMzPQtG8cFjGGDaJXgt1oxWeMqPKF89iWhO+Q1oaknZ5fVYRiZINZ2ksTbOBsfJmh ufNGxBYSiNmacduCnpzx6/2leubB/1Z5vONhG4GpllqEgx4PaZY3TPd5psQROfb0cyFxx8AUH1wr lN56I9j/qzYiHrvNoRMrkCtBKhB4pxGsdS4/Z4tPMg2+xFUIIxSXZodD+HxRGU5OWuxya8pNy/G0 geGsXxk7pnWVEnxnDxRt4WGrkI2bf1ccDDW0RQkAJW1Sp4U3yh6Ki1zUKqzlgQY2jobT4KJDvy48 32zcu6S/8NvLV5fXp+8UGWKE7gzpeWo6CyAH8hYXdy3PFtEwv2GOVQhYxwAiso+3kxwReiYpCgjI NLl0F2CFKmGvoaK2uGFod/HLm1Vv3cT7Pp57F39mRUOxzdKSwoF9COgFdpWzKi26dWQL4wf/hk9t eEaVrYWBbthO6AoRWqQIUmrBcNPxG8LDILkY6dQKu4p6kITDXV+Ug0QEzXY8totdh0WZnm4ocw1X YORDHMK8up0rStTgj5gaLAtzh2LZuYmhnwXhJau7TpbcHBQUMncxPgQoXiEtTLN6P2r8uET0kTHc fRII1XkfC1xzAgo5X8Z/CU41MGaXo567i1LzAxlE9v6OuhyN95+RPjHztUXPkDe5oFL3psLGiWfM 8zVpBDzak2B4uk7u2lGSBpbVXS+L4favlqCP+K+jDIbT+tXzMO4JKIFuH51aq8y9srwubZYAeOSa nASBrNQDyc5kXCcVwAwpkY3rOPIekIWwA0rPvIDhkCFb7CjbCTz9TO7iBwWRdYYatHWfY98EhPez RBiNL9u/lcJtW4s2RLwTAjywwF8k6JRL+qa8g8K8Din22twfVHitboGQRiSEff1oFzljg/rZUuT+ tpwbz8CHr3jnftAGy9Uabzi0YfBjsJo/fkf/k8N4DI0M4A6rhJodJTa1VrUcRp+fPaW+Sg1s32kl G9mG+KZ9EgXEz6H1vrB7Db4tLU0mCgM+8gV5XDSHKHFgAzUcAnbnPwWLEnfV0MCqZKTsaE+vWn/n WFTJvgGQ40BrwDK58s2QvHbyPj4txG/LrpU/ySnnTsobpQissGr7E3T5+8yyOgw6ylvRtL4ldpiw nBcRDtRzcSciY/aGbV2Tt0Hmf8OYKJRQhm8YH3N/mtLDSKkJPwsVHYhz10kSVl8kwKFpnKgaCw+2 Hffm5/xJV77XExP2zEkp0ChC3ILPNuLeD86XbrIf0YAMaL+SxflrOQTzGskZpA2B2Sm+QVnvA4Vq zQfYQdcKOJEsaqW0/wX1FX0uCSKzyPgHR40xXqu6twQCEUiSd99IymBCU+eB83H6Q3CBmswqFCvL A3JHAdD9qNpLzTVqIpG105gtz/Ch0dw6ixp1loq62oMC36oXOUcU2OOr12abdHq45+9B0DIf8BSH yjvQbbyLyrCKeUQKweD4lVjNtYj/DswuZkv+6J6/tcC+N+BWNWCQlxmZgp2J3uj0kPK2wwHQXHQs q/2dohbnK5Vdb4KUaCibiGFekBateJXGUbngqiPqTeqH+CWoq/+2MkzdvxqY2jf/nTB/059d/0hG JhoZwrlu/2at065LcrY6HPLemV9Gsfq+xlc1MujaxgFtdFV44GHkGvp+tHs2dBYiWIk9Le2NGIF/ Ut6UPsg3Ydz5TXtq7amEW8qcNTjVLy8CqFRzbGzdPU2JTDzFTbkdheQ37kil077CQIoI8Vk3pyRr 3V40NTDoFFwJif57m82WQS6rhpfrHvq1VJz343wMnnmJPKKbHxs+lWS0G+st0wTm+u/GC44GZfjz hdt7LF0zKLpnDgS6SdtRSRP6u7qid8QVnUM/7HNrKpwXATRQJKRMMRX2q8YY0cP5k7qe/aBMzWLL PVjgTCXuBRHOaRWK2Lpapdx1t1dWiQc3wLXYJ6YU1WUH0XqDgQXXldl5Si4wR84rfGe+s9HZhQ3c XEdfmDuz6rSgn/4xcQFoLHPB7xT5aOTVXboyHoiKqkr/VpD/ZrMXcFjB/Me/9eLtN+maVsy+XFTY pe6Wnqno9CmrdXpGFhK+5G7sBEoSBUA6b0DyTTiMDGWOhhV8rki9gByADFYyX1X4iz+XtXt3+Eb9 AGplTO3LFa58kbmUP5Owr6p8fXfKhBABxqouUgJ3Ub7J75tHC9XBflp1DkwbVg4omhFQwtEy7/+e uw3r6e3GvWqfHqfg5bYHtstMc8uAGVIairHYVvRw/Cf3KD20yB7b7LkJoI9JzquFKn14xAAqPjOq QzsVmMApetnpWcvCC2NqBnBvLgpa2RvG9RTo04eKP86sXmQPwqwa9rcmBFZLiUpPM8qo+gdvZZgt eWHNhr5U5mFmg8lD0iH2UwVM4KHHOGVkdmCX7a2u1rEUs74VFnKgbHieVH0zpbwb/ECbCw+uSupX YrLeiKJM0XGpxM5hv/tWj7rV5t/wWZfnAmPbtFry5vdPxWwK2Ij91kfBwWgw7wxDZaj0Iz+zN6oe bRs9qCQru2RLN2LEyb5+XdfmB6epwzW53EqqTe6b1RrvnUIDdE+c8NPpJq6suMLo5H6ogEV7oXkq p6uUO/jhSuqy5Rgz47xReu3U4+yDgSRhvF4tP6p3WjMpE9dysMMSEhiOYWlRbymxuCT1LnO5fr0Y 5KaWGAxZnBDUm861Asd7FvcGcnlZZNsmGkKMTZUBaWKjX3qSL+PhPhUAPodDBDlSCNinHBDGJSsq 0us73gW8MTcrQGaxkfTrFXr9YDmDWCaQ1MOENDvgbApla3gXGzeehpApE4i4SC/skMjFBJRj50p7 cDYvgeYfhXn0WgY1FeSQAWCSAiajyfPWngNKPiXdi/qEzeO4I/KhPWCvph3SyclCZXUZqGRzyArp WW3GomBwgU8oywj8UBZjENLolF1FKaPyBi6wVFuehQCn36QhpWVCBGMAj/9LoUY+rkOhB/tu739T Su05RqhzXtbUx11BhjFQ8BN7nO/0zoL6JwbNNe9uuIp4G5RJp3J9vFljr6YM9dyvKpEBJeNOp4jh cS39GpCnCfjt133jCMrqOqhBiBDuBuwYkjCSzPmjdiJPknV4fuqhSNBr5UwAYyEyk39QUjLnl/MC hnKRhZADx56PIFxhhnVDT2UjxrA02xYwDKf4sxcWXsa70BXhHlpu7JzgYvZmMxq1ckL1VmCAwSHe /f5xzuZdvrMWki/ZlTP9DURuGBHwZxMdhqGjCKI0q0VARqm7n7N47xfkkETW+97ZVZQYr9cYUlza QYhbbsHzQmPXUA5lGycM8l7SIuF1Q4zRggkT5of0ipwKjlqXDiJ839HRR6kUuptxiCuNaHHLU92s ijqgyH196qJJlU6Go3yhLk+tcr1HqUdUMqKazutWXodttv4GDOYFpjY9tqJw+TMv1v8puQ8pZNbq ssmSBt6FA9dTGB0aweI1I9gdp8qoCDQ8GmQTKANyVwtJkBHWeDD/3xYpgixtyd4Bq/3s9aMZZKlg TVlRYfKMnlDXX9U3EozjBrp/IxBZhDOnlXiRNDLzItgfbNbAxsu9ghbOSDiWfGSEN0aYfoZMzj5g yja6mxdWo4YnmdiFV9pPrDUDMnCTGbBFO2rNTnwG0K70BaIEsGDh/YkxQZlISPkVh0JLFYCFd0A9 UBheCE9xbgR4HYN4IFyjhvnh1JeNNyC3YM7MN4+OI19Cy6CeSetQxAAWL0cl17r6+lcWe4hPLrFz tizTSg0Vs8Y44HvZnTrCn8WM5JziEPjhz9JOBEi/bHoPpbB9mn3b0S9hs5+0BmhHk5Cos0U6FlrA GjrSnIVMVd7cFOeVgPZdjWxyK+c7vuLEoJWg3hm+MJY3+MFEdGEEnQggVxLh8GaeWb6E37uvqqoN 4KrrF+q3b2AX52fMzvqOoCfmofyUXpiRSoiE9+cNLfQfaXm+g2loC2LB0UfRbkq/dQVko5I6Rr/t gWIvXYtRQ1bERR9CMzG4Sw6ikOjeeNUESfucZJjEIj91amEH9CUSy1z/HuZpMtafvXuPtWhBkRMy 1+UD+nV5apZb+y3U21LZqLfi8Bh+B1EQM0yNyjlxAvVptItmsNcp01CoUuoVUNyrmciR4cjfAENq Qr8uUPCSDP++SQuL3Z0N6bbtnhRNvWQjDm11c+IvpTbdw73714WwNGSnQ5H1G+em3tg1OxZOR0eo RZW5aKarKFmD1hD/NhXnZop0Bpe2djyn3IW894J7MByIcWz+/+4WxFtoyT7SQD0JIEfV/k+tfSpE fQv8wnhsJB1tm2WljbszDALJm59mZq3vHu+/lqGTMv1VCl5AtEAeOuJa78drfI71tm+9azHGPefN mLicwj/GXD+x3hCN2DRXSn7o+/u+AvvKyJjttWm2gZvbkg2Gt/RsXjvXoWP64luP5HB7KmACP/li i5loJ20hUm9d/ppUkrS0DcJVw2PVVXZL/5XXssRinKPlmJMhDpqnK0WPVs8FvndLpnCIf4cv1rN4 zBi2rL2jZC3m4917GqsoIu/RPfe8b6hmUjTxjP4o4fyiXVxLo1NnaFpe9yhvb6dPRRctehK3uo/1 tKDsoQckAkFJQcxqLmAUm+aOfsXQc6sFrFLF0E7c+THtMNP9owjTB6TmpsJJYk7ytuaNLYl50P4p bRmFDmtGDCNkqRphrrExIGhA+1QuPHT3SETccsxb5h8UhQDZ9mW9VJlc+n9vKOoyxOwMwO+bTstV Kp6q1aPkd2xvqoGYWcY9O81ILDyB7ryLkCwQhkiUoQShb0CzVkMuVb42+M3qtgLfTU9wU3Y7bpBb Mfiss8A2gyRTHOd+Cdjg6mQwvs93kS+AhvNiSb7RmzmFSKakO5/GG+1URqxiJCs/U1F4wY2S/8gv yLEU3u/SzbYCn+AGa/t+CEijivHErr4STdzyU/onFZ/G+T7CxrO0eoOdvYYmk1jkegExk/DhA+Z1 rf+zvGkN/JT+9Z3jyQwS84WXaqGOpYfEs8gTJHu/31SNOyGIkg5JOuRP2CyGy1euzJyW+SvfM1YT JGJS3dK9f962DBSxhdl1roibG8ESF5upoRgT487MBo1Rn5ZPYjwD0irlZRzVMNawftFFn4HtYcME 6axeZFtJ5MmQb8WBMGFcfOI2znoWYydAcdahiUmgVlXhPalr/xx9p44yQd+KBqRKf74iPyDBom+P vUnI3/JNVTTnD8/CaNnC7T91Q3K/TiqaKGalUZ62rNUMD1qoxDgJOj74MoLQSCAax+z1tXMbWt2R PX8l9ZR8CYaYYPkukFJmGzp/C/YDQU/O+qIXVedgFy3pFKdf5kYpo166f1yMuiZNuR+ACWKr3/qX KvovPmLJP29mtqD94UFpfYy6w1i1GZ4VRiBTlqCwQrKHpbgMHXWRT0+q8XnI6eemCr3l0OalDu4r 8BWQOjvnoIV7Ab0gNNnjo1IFeAJQTOPTcfDWhM2p8oHpWIFUzQqzhkkNfteaWkPxL2FsbomcpwND /xphPEWcKroVw3oLxdQ3jr5luyGqBByPbB3XjalPz9yGh5ehIu59Do9Pw3MJa+tSXUHjK59lyuKP ZgaXA2J2+JZ4xxu90c2qIow9/jJidHc6gz2/ie7rY+TzSKQ8bvUZTsFZm9sNJFVQoB7pvkds4Z2Z lOqlN85MfDhJYo2Qh3QDRp0MgDstFtSWRD/zfEkbuCNLALeQXRVQ/4OwJjhIPw0qNXlsjlqj35Ao Wr7dwZlwoX315Hdzb5ZVPaeHw13GkiseB3J4WnfyFCWQVDVv//yZzwDJfMfOpt0/8m7AxwQnyJUk r2VD0pn3LvhG0PsXSHDhT2rdMxaBzfF7sAKImxpBDEhHSwyRx5j8n+fVM11Z3hwQLOzMFmcd+xDe 20Aa30D3se9JFSAivLn15731o5umkA39hM2Glhk+P23cb3AiY/ncbOJm+LfsrZis4818oysiHPTy Qo0T2r4Is4ux97URDnJ8rB2Tf4k9OKqG4bJOFrPv8KSpxwd1/r1PZSaWtn2gc8x1KLIbQh3ZJKRx pgTXXzeIcu73k5siaL4uySmTmn0qN9cQYpIEsI0x4iPSW8mP+erRooY800NOIKu8VgZiZXDS3xdR WZb9FeaTuSr4JiHThzI4YL1Y7OIDmjiqw2LbCnJw/9MhoxrDqYzyx+qqlEY1sKHm+aT/8r/JyvS8 JS2Tiq/F2xfNLEhdMXJjvrB6QQ5Bq+ew9ge/OO6WGdGtZNSJcvUCVF/NEJKfQtj3kfCg/ZXNykfy cnghyIgOspCNLJeaH6Wpt8ZsQeD9JQNS0faKT0JDlMEiRMkH5cGRkDNQdiiGviZRX/2tGoaB1wvT zh/8ih1aJlbevZwuxSxefvwpUWqS069jODfA/1sGepii8okd61wp5hzpZB35dK3kS2Wm0jLLzt5n 9K5Ty73ZWS2U4m6d7+c20U1j8BP7crV6RZOSvw6SGKSMvJmJ2w38lBVZ6PRFZzwVpP443vmyQ/qW kW/Id5MivdaoTNoDlNI793hkGJ34h03wjsSrR5Z726KZoF5wmW5kbXFeoc0qplp7DwLoEJTraMos Df8gF7udmBgyQ0D7GoygR5rJFELl3dLkMPPPD1936Ad5Eqpx8Hly5Xc/q2FSnkI4IUSjNKodjhgY TrF869W6njkbCcgRvxCcZSGMS5v93GgTQVGZT2sPXfhOFluXqg1NaIU4/Jr6aF77U800rjpCfDPe Fep3WyDtwFRw5ZymOqdDmRxaxPgSvulP9Os3CcIWCHJhTGdIeygTLWlYWVpsM4oc0jek5h+S0bUU EKtuKYbIavLCSPzGBuuWw7esbDAFLuuGa5neBAj4RlyUCB3hfO0+FY5ludYnKxVTadCmyNub0mL+ 06ctBXERjjug9gAtTIAN5izs5UHXYgZ4PPkzQsejabj+LmwwoOfpjA5gAGUNMX+PSxinOTdfNgTW G8MKRkQc/soW7ELA3DOLGidwDMsIikFgTY+1ttDttMUy3Qph/ZHMHe4VseHZSxcOAsWRPvPBlXbV +/cOFXZDeFPUFUYuOl40hmt8fDjGIw2PjuU0wLD6P4UnyJt7FkT3+VmXWxa6jGmOKIT7c8ARE7vE rAdDxcMS0pCNdEIxlEoul3hVpAFddzVwl+sYEz6sZweLhI8jIvCCjLbdnoBnZvTsZbyNGHKPEQwA KNPn6tu1/96RssIPM7XXl9TM8qH44LT7ErxUROtmk9hyhcGKUha4oVCZa6Eql24X3pZuhVgIQyuj aHGticmr3cW9H6SOvt1GYYbTqPis2WQhpVej4bWjRrINbKbrUMbECL419mRzSHQ7YKyl2MIEdt4M MgoJvxVBUcQUuM7zwbahoFPLsT+JxA/3N/mwPBYaWKXFhT8VysPdyw0YmoagXVPex6tdU2KoCv9c WWhT7Jqit2pVul3eLro3ESnQUUdxoso8nYwPz6v5WFnCcfWMZ3Bs6/3EWHFQr5u9/VhMtLGUuFQe vFMWEgFlfuVYd2cpKNo5HhzF7Apq11wwh4bXCHoOahMGZa3MIshM6gb6HaVLLAXNRsaFEE+upbEm d05+FUbE8+uZXNuQMrVBrNoIzyhtQOmQs26ht2lsc8PkA8sx/gTAxVeFvB6PkR6O2cgg6iA01/Sp RRwZimncMjI5/uJUg+HM3Wr9+3eTRsJT8G1Qs+LCUfeEtw4fINriwUYnNsvropwtnk2SSsdbHKY9 iJvHHvrLqDYa0l0uY4Hg/cNtOO3oQGzCBUh5+6PfidA/1FFqk/8g+3Agv2SJB3lvgcAJvYtJDnX5 x934PrhaAKxKWuU2p976/eA/jpIlwLZqFGG2SNko/m0mStcRXpljkASgDI0KD2hWR4k5CJkeija0 3ZnKtQY3ZTCehZZWePjpQJN4MHKrO8iKZuuOT7ZMkY6Sw3BI/2Gi1R3L5hsCjtwdOHDTDKvmfuOW v41ZDEIirtrAA9/Sm5e8o+6uoFjwMpUW2Ssl5tCbzx7iQcsUqQwOQpzP3FqmKfcxunY1sjkQXlx8 SRQlOQEnmcCT3wQ3NtfwZOS1W67ZFwuv71hIsyN+ubhYqT81f7bSxaSwRSeoZUEC3/m/ZFgdh8kG SknnQ+l4xYxGWRzp4hWKXBhb4em0gsP7mrHGz3sSKKscvaihjLRVmmv14WuJxoHwUZGQJoTD55zN 9G0nzmwz2mq5W4HGwWSBOSd2ZMxpu/9WLcrjuIB+WcotAZgU7gFcH+FyveRuVP2pHiPJIcg1l5JY cAxMycir3cIiBaueClNwEg336uvj2nMhTqBoEU68TERdhMOuVEYqteGKQPyBVkYgqBBBhFw37ttS IWl+oA5ntjoNjD/UcDmBkz5FzCL0vSbDKdVv4+Sn+I8FRtf7X59TFXa9E1Z5QBQKHXJTBUbhoeTK rgalQj7whj741WEstF6FlQX09TkhN46ObucGiFe1b9XKlcQqnewIvV9doepmX/9BO2LYrkHF73sG gYxyJr/KmVVLwWq9ITXHjvjp/e/8ZBS/SmOML2UnYPzOdnajtgCYqKV6Pp0ZV3T+yT3ejExJ9BiR MeEyCKXqIwPK6WGdiHz8JaNjxi42tYSAcm7tKk0mrEvbD3YOuyVDrBU1gdR/gGDzEM6l4+s8MdiN dgOTmTD02HCvcbiFENsNcSmvJmPFflh+XCH57vXM97Ta3okKCCzXZWwlzRPgbMBi01pUiFGd1UDf HmbiGWbhT5vzKI07BstMv1ip3U7q+ESArQlyN6DZTwCF3nPn7n+exukXUspx6S968TA0gxyFnJUc dP7ySsPz+0crsDZIDnve0t3xCYFJS7lN0AReaQPWSFP5LX0fjHL9dRx7MklF6ZRVfhX17EaX1JD8 nF88cWdZM1N5wU28puavXXI6qdOCb+p/wX7Iy4OVawcuHtR9c1GniWee8mwMPLHc9O6yPMm0yg// /pSszjs/BQ5Q4hdnqeBly+8TfWq51ski/DdjiRZ6qoCGPWuGfecie5YCOdWvzXDV/TWcJvmLf/tP UP+bX7vAz3x5IQZBfFxhhq/xlKfOo0ig8Xz7xvRrcA7gN5Qcn00l1LqrFSBe1lL8Uuqp+AvLfD/b +52/mSK3JJ33sQ/pEpilJy8c6d0OGUOmz0w347jrWLJ8eyL8qcFZCCpJZMSE93Ca7NPLHdwB5Prt d5CqghcoU66oyBncNEiNJQ4AYZNHrHrT8dRF2xNVOkzoj1Rb35EllL83r42Cy9G5eAql92Ic02zP GFIIsHSrFjIVfd6DVzoOvnw6AjS5L0uuDhOm5at+jNTd9C7BYxMOZB/fG4LtXFMHxpHGx/psyqd9 yvZSmMlQDXKtiqMiwwvqRu6UPp02OHETh6nED4vY7/vBpWr0kREva4MVXXbOtLkCkAbQZChLZaiG kZHRWtQYsXN9/iErx5olRHSBjgNbNhwUH9HmhSFOCfWpiGgLmlRLQiIkuo2AHOeSeZzhxh2Gfe3c agL+Tismj0hRMkWVcSz6iGPoujCmPHJjsJQcKbrPbTBHPSbWxiJ+H1JqMO8Vc/87ElT1DvM98aUG e0tkUx6lI1dHk7wNtWKcmqapXkl+RiGZMYnGqWTCaSPgOqLen1FNbGaJZXRHiJyrzk+iH4VTsUoQ Vc0ebCk9drLd7k0Lg8LKsIIx1Mh7+der19CE5Hhzbrq7m4/eaE0A4oE9KUUtwURk3lXPhJEVD9JD AIUUHPHqU4nhYQdiAi7HnT2qJf3MGl3dxPzROKjKPZmP66adyLCpGdtPBfK4xNH9u3iMvveJSzpy KtrswLhTaNtDuqPOv4mz0KclgheY3x7NkBLFopwFOPmB8xr24C41kpuCYeoXhp0ylysd94RcNz01 h2kwb1SKvr/Oum1xZUbKz6B2ju4/eE1dsixjvfKtj1O+KX4j662GMyDHIpV7bCGzXR5DkAHhS+kw OYW6AhtCdmnJdL0oQ6MP4LFUdH+JJ9IBeWsm5PUPdXzc7qDZ7Yek4+HmUqQ83nS+pftdhlWOdwzG ozkdyX2YknckQgyJZE3ysXebePB7seHxyhv96uAdGUSP9wx7dOYCWwQrib+xyqC8zxpZr7ms1GXQ C/NKONFYvLen5li1dj/WyuWptzEY+DQl2jJptsUimWOx365vcVg9dnvf1Qs+vhE/sk3WY7rpGRt7 a6X26O+14vPDwUQGkUPQVfyvIefcYWQnguQtEW/ksoqpf2jkpNiBeLxR687uNZJUBZKEDDgy4GH1 RQaMEwN1tWekk+u/PlUEmbSEJfZ0BrzaiuaYvJU5A92cnw5vL+8Sxmwk0Zea0Iu+7pH3iKcrdh+/ WQmS7KjlkRfXqH/wuFH6IOA6Ln6+TZeM8HHnAgZ5LW0PGmAni/jY/e+Y2TqAq1Jk6awUZmSvjyO3 ORpVfzLrer7MWk6l71LQbJvTlVNcFoN9AIp071jwlZGGwllJMEK1xjVMmdOQvgc7+IZvkzCT34At 3R4+GxMcy0NWRY+dPynOKL2ofGxhab2yhzZG/qq/mqjcKiJK8C5k0gxK8aJT9tzpyCnBLkrZVost hwKYn+vbM7R+J1in6MvOPrJqiUkbCqV19odl2DW3rXBTFGakxrshphPud63Uy0X9gq8ne7ccVo2e M8375sZrhrYf1mRUQFi+UAoCFHTx9N2uzK2RbIzH4HV4EJ2Mp8I9b5KB6XNTtDUPEqRJu2DAjOoP NtegLdBEEXOEi3klKWkD8xQW5s3yhtHLSSAEZsQBReDuJTxG4QHbvXEHRDO2z9hwZ3mFXqvLivyi unT/cH9UeazAoUs9ELfOC+H+xc91597vyo8bWegvrLaGvdeGA4XKm/cCJ3BCg9nZJnuUyy8D0UgX /Rl5wkKCBvNGWdYzaAL8n2kXo/BoPqqScLFUouxOM0QNUMaisbLQXAm3vT7zke0466k8sJF9/x7D LB48yY/HOSpFVQ3vdXXuUIzufBaciSNh0l7FF5xiRjRgNkMIBXd9XJgJlq5FhOz9WA3rVwoGHve7 zCgMuo02PCfYTY+7zXY4L9Rgs2QKM2hhw3ZfNtneYdQ/JnGvwc4LHLeoPMr7GdjskbEY8afA/4xC graB0gsxu2J/8wOU99vGAHMlMtfGz1pI3CA7UUGJ1mF+s/BcO4z8D7p8Ym7w3gUM9rUNtvnSjahL yAbgrsJBqeLLQZfFHU4wkD+PkWCc+CpkeWgbYXsug27F81P3Dt7EIahjSLODV60firxKmQbfXWy5 RUSdACUVtl9DZxkxw1udshksdH5S4P35xSIRKf/mhJwaIcea/ayLk4hh0iaUjkK9sMitiC+E5BBY rNF2x0oX9ltryixvqrcs7IqVFzhe6bjcd+Bu8RfObxqEXCUGYBZUjAFandK0uvqaQbHWV7rhQvba kfKzYmypS9oUpTGAvCMBcC990qEHe4nLYYJlRyke59NTre1kG2hmqodBbDC7eOnTTZpsVPxgGctv Tw88DoneGzwHirNVFyY2edeZAi+xnIA0MzfxlGHbXi8FwujSzslq9GZd725mUOIgChq/v6LfOtSe BY3eUftTOCgipGmRxruVN86BU1zwXmxAHmo7Jo3CdoaR9BwAYNm8o01xInIcvHSMNJWVNXLaQrxa bdFT1RrA4u1cEpFcm7Tjkmb/bbls4ge8M+Nks6SWxRdEMaVjMQdEmij+4FZkkzOsFeT3Qg0vT8Vh 7RfdWe6gzwVAnfHHlZKxWGiTO8C1kgMf6r6G9JtsTtU4cTxI+6r84xKDcNq5H6LGi3LbRAgwKuzl 5/tQCsdtCD0KzRlkduSKBU5yWr56QerpX08Dw/Zvwki+wD/ZNJa7rYr54KXFKt3P5tvzSpzfR5yT diCQeoO0YkCAneKfcqgVHflMvaRxDzoi0wVsnk1SUeRml12B2pnqY/p9AVEsRxH0KXbyXD15oxRy gC9NAAyFaahFFX2KMjX2rpr3qO5N44kTrSnJewAA1E9egQRac8KeN6csiYMXM2ZiBEl1LPmzH8XK 0FxHuijf9TVACcpxzKmL+pyXQtbnsUvz0W3OdXPT6992pGtamIBhszlkVSTnLwAHnUCPavevq8TZ H/ASc2odO+89Yk69fvPBYkunq2I/LgL4rP+ITpD2MT6puBHvy3p+79J6itx9YcTAy1c1tMIBh4VM fIvO9HgDUZPJQ0ilMyl4EhH/xqMKcz2Pqrp22Vy88qPqrPNfs3BPQHukWsAs/hi0IyBXKA/0uXkZ V0av6aOQwcKVzCnvXe4IjY1noVzduMbeLawR/AlbvsdJfb/zZfnEp4Kzenwl+dNBnKyJfeNKeLIH 5cakPlYOABb6TMfGzUpziZy96ry0VzRt+Lb+A45TDL8Wj/7nl1Bn9khV9itPEXdX70g9cTbbzedS rGxoBTqs5cAxWzMvmwSHqr+QgsCiLObMgytxygpxdf4zKJXu+gznaTI7pmbJ4hu3cpAgnvRMHNpT fSBTtXDjKET06/JF1INHBCX/Ml28vCCXoyqpMwWp1606E+gaFtkB/IV1kFsILj0HEYrtBHZr8h87 EfwhN9uTiSdW/tbJLJaE04Dc7igbtCv2/9kDPvRYfGIOKxjzAnDLJrg1JPFnz+rnY7yo4VTnumku kXdQVbmyWEB9vQv/7hq3TxfJ0BnYkmOvO3YWuZHZ68yVWJVXMeqI/06eFAEsVzxFCIIgyOh8qEfW zI/ySjPUMYeSMtL+MdtAbpHvJHI95KfX2eVqREZYYE4r0QcKIk0jbq+MsFAodUotgZPX7eHwsFT7 do1A8zLfdKy6jvf+r1K0BwjJL5nLPNAAThEj+M5qUUvH/PYL+hHJB9BBHxCXCmqmuT4RZtntKwaY hUIMQKY8Sj/WjrzqDm01D/muZWu6EfRv2BUEhcy9+s+1jxN5YfIZXk5lGp3OUKZ82R23LOUGOIwL +0mDa95ckF+7QTXhgwVrjuwiaWV8TyEtv86rt3jciSa35oLR8kI3DFnLVAfDz33rh6UGQYVqFlFo lOGEc410s1Zt/furtb6CF2COyd+roEXUhRK6cVEjW8zAhs1yjsJWYoofpwIdeMWCmkp2N1mSb802 K26JxaxK23oTSRR3RmtwQb7eiAOPwoF4sn2KFe8s9mqUHO0wXNkBd88z+wEY2G1pNIpp6v4EOUjG oET183Xc4JjSK3xZtK32BXlDnOcYqV5nO2dY1TXPmnyUz/fzjd9oRMmve8DLCj0tcOskzb5y1D53 ZiTcu81bCd6E3vfNxpVtVh2nmxlyCDK6V90BtxXa4vm/g1F/S544ALTgaqJwfrZ80CpAW+Dosu2z 7foY/DgZPcfbUadUbXnyHzddKPPsmL2/rPWqYKIEV5WXCKK9m8vMKBZPzHqDwF9L7pmCMf2aJkN3 GqRt5jDgSnQmgVfmZbwrYeccs0MgkdHiI+X/qSV0RBPS1IUO8mjWLWFck3FRbFSuy07I/midhjwT d+sljbmMaF+VY5T8iNgXTxAwoJxpfNxgqzZA6PVvCJNPtGLitY7GIosUnXoYeypGMcyqSoNHyQY+ EAoSnAHk9t7kFO9R+C0/KKeUhpUF5DSfYan4kLva32FlXtsw31+RmLZr9iL5Kbw51zhmn1b7LN6P tEp/oDBLg8BnPfnUxIIfDUOmdAHZLGPGP2NHowWv2Uqur4AZaS7SL28bRc1rGCFCEXlMYLuNZAEF RsoCvb6LP6ivZnjSqOVjuqLOn84gBWXJX8h1svUXowR3W1LCjSdch9BR18RcdqyT6s4TrROz5po5 jfDBIaSlpKMQIlo6ehAEsqDRe/q8vTvUC16eNCJgYzH8kFmKlj7d8P4Chnmu2O7IY5LIHvxRuL0b 3z+hxUCmNWVpfcdMIkzQOBPAvpG2mW0J/0DSZg6pOJ78KvlZ/Duf8X3Xkxsk8rvSGIdfa7wXHRCi cimcDflzIjLTJrcCLowLEGYELRiZ9gvPCkf3w5ETif2MGVlDN21wmPUdWigDI07Wbbrm4ZnA7axs Dtp8SSZZfXejdp/HvQL7QV4OaWhkttMoE/TdNy9Za0U7kmH/8lAyLOx43M/TXqQsPkaEvo9dYVv/ TJHKR8g3D72PfA+c4DOwGSqm6x9WAoNOCpCqDJAjXGaK5UnuS7Iks7hB1w1R/HrLDAuPu2wIAvuO Rzj6jqOAvD0TDlNWyXsZbHyL70/PgqAXpP5f/MwBYyr64QZ9U0Nh0h6g5+rMDXnS6IETDtBwFa9b I9rtMgbo5PYpADBn6lrBGHQiE4UqMhCH/oXfFHmyl3N3ooeBbFi3idDT9VbsnPmtN9I9VRbr78Oj t3wLXkap8znS4GkPRCuDjtBty360M2vuVLWIj8iq7cxvWhRqYsY2LThJoL22wg0pLSmFOpxSMoCi NR0V8rx1EHPVOJ3/CYEPcCzJfvoM3WKMeHPCobEMeMyO3gc5F+1SrOeeRhqzaxBcD+AVJnjQridO dfhJ0yVntSi1Ly0J6Ad8ayzQscpcZLQnepFMtPKanlyGtT5DP0vhfPqwPqdzJ2DjZSN5MUh1T6r4 cF2aQFB0fERVbeqkTf8Pz+SrtR/VbiIc9spaZ4XN1v7I8pyvG6jvSrau+Ko2yD06+3kwyo580HM9 P5n5zcdlxUd0fv6eYPUajBqhtIY81i5FL+M1CEDus3tZ43X2tiBABdLPzZJOci+ri9iqxFW7xKj1 5IoczDMIgE9qSDrdb+0o0e/XwURIic/OHPuIEk9EGcCO7CsCkoGNfDLTl/ojw5Ftxuo7HvM03WfG +A4HDVrVBxYnzXbg+84dw7vJuUm/QkBhLX+yg405pDPOndwHTiDMdct93oE5R8q9UpZS8CP+1Al4 zEfRNaWKZ924Fn55etru/wZlioGoClYD/bm3SbjTTEzxGqNqTuQ8TvpCjd/0ISfzIyWDWzJnt07i zD+5wN45ekmDqwif7e1vXyIWIrxR8md+8B3bBH2of3QB5ApwgU3xAgkgCoqaGYw3VeR5958ztqZG crGGDKrpdyBj2Yqy/XAHuMgI+NhMjOn6gSsZrr9EEAxWQhCRe3rRC4kuIisxA8J2BLJcSOIuoAGW Z53WsFh232pvecxe1XyKwmCrnL0DQY97GXIAoTPIa6tMBE2AGsaOoMieSBCz3syKIAUo2Bm6mPSS /UX8IMZ/BssTOLyDvAkMEyDE2tg59F5gchG9fTTXyK99Z7XqwAVoECylsjVXVGCugOEv/ckvvvQX uFQdDell6VMCB7BW5Pomy6C6BzzSCgcMFWPzOAbKufG3zRjGuz59iQTjbZQaTROTrccS9VHa5/jY gk5n+IEC77k6h7KxdTfDh7cXBiKKNUYRdM10PwL1M1ETtvBkGw96793ovwM2jK3yNYdpcvpcKMpS Gfgm8hsPhASeG2gLucxj169f5hA0wfo/+nqVEztd9SDpIwo+TzSFPrItgjoMIDv8th9okDI/597D zhvc8qbXaIZ1vpogowUeWPuNnmtyGETxP/Afbiu7c4mZGJiCDrOZEoOkfTdcHW3miO2Wp9XKNBs+ MmvMDHz9JgrdOdOLDgnvEY0DwOV9G1/Ma8TmcDCcpZXSeO/0tgQOvPfCaIAMiwoOTorotFLynxnq aHP1yt84Fp7uNoAFLHZNf9e5h5DXFL+acAVRY3wV3nZudLySJp9MFxyaO+VnPyZuqJIRTGG+UMAy SLlN10rQDWrrqyGlZzWmcVnCRv9/BwhX3V60cYgWfy4MeOeSYNLoJ+7HhIyIFAJuupSbxTNU29dG yPslAZzs9Ykfkg90CXM+/87tmdsE+66UJ4/JKQTjdYJZWF8FWV+hPm0Esj+kPAsXL6bYv//bun5v KbG76QtrBvVqO02HmAPzTIkC/UcMxYvnSjerosm51hxrzio1hQU8mOormyybRAjycj9NSSRgDC4g Xyi6j5UsaywUcvLvxSem3rXC+ImCb+UVqQebfItPRnbJ+O6uEqH1yC+8hCCGXuBL3CdU1Wotkste bJ3ZypCqhj5hZGpCnsHEeQusjivHMMs9XkZGxTA80QA+okvx0ki9II53z3H50/SaH53B6s4hsOtS AbVJF5uYvUIFNA5+tB46QlYkcWwahfbq3hQJPz3/w/roUwjBfI+xhg48ko8yti7y18vbFf6fv+gf o7NPJiLj+C/gWdZe6jPCmfkWdIE8Qckc6XgMSF4Co/mhkpG1h1FMYZfHCeI4Ac3Y5g+XP2CeyO4J ypDOyjhuDvWHnNEnUGF0XJ9VAXTLrb/ZvQKQ6do2dKIANmAk+sG8SOtn+9ani3omsGKsLLwBHrPC lQ+DuMxOhR3ecLi+9YSNdS+LrJX2VTFZvhqhk3KTGE0+R4xnYrGgBOM2kP7aC8ZAwBt0pMN4NLgM AiKLJndSnDywNtpyhBT5O68kw8ps0YcPzXqzirumhkvs7bUvuiiG9aIYotfFxTplePkm0ooPxJYv V9goU9PDFwSNxjQYh90Ml/FWxjS/j+EqnatA1nTnSPGx9OyevIGMOO+scFhTRzEqYl5FeO0hNFvG JiJVCWGJMKY+lOZf4fMInxodaToNsI2oFprXnrBK0eiufvzQjfYAUPfsHkODBZYrdWmRMQOW2CAL Xm+pICju2pSVm8z/A6vgKD3AOtYfN463MUjVaZuarXpK752i+QfyTIMDXUFVfOOc3Y9S181MqUXS +og5PN1PCKGhst5STXLYnnbpPuYDLt8I5bEwKqpDK8M30pCohiP++8qPMy5XsCp4Dp+bfPPrPssl rhVkbLAOQQ7pNmMQ+//L9ZLiIhjXCS0DxX/cx4VgtmF1Ge/cmTLiI3VbCXG9sb1EeYYRkbjgDi/N AcQooHD6Jkj+xUglUcAiAkW+fbNpbPp1xyv9U6jijvhroKkuI9YmugSvr1I+UD4AVrerFh9zL+KF t4WeDmjwWrpFtYrCZG1Eu9UOzqJW5opyeb3rV82yKrHLVvgdJ8/he8gsyyeR1Vv/yPKQNOTBLtrS 3Y0qdowfCLuTllz8Q45XUchSKU9SujPhKSRWEHrD/84q/3Nl/IbCnuT0NrqxCqbSL0YohS0X4EWy 4ohZUd1X3BfXjYesr/1h/hl7nmITMUqSu0eWi5bahlMdFbQfd5kT61ToGDOooT0Ie1y5TUhn6R8T zg8j1ZaAcwqlUxw1ppHJxdyYdc+LyCA6tiXeptklj2E+KAQM1L4eRZNI3DZZ8/7b6BPYKgBktAiq SGnIzOPBocUbifmVuAZr7lEwffN4d3on1hsyWMoQ8YwA+6YAUKzkVioXdPHTCb/s61uX44fgO2ez pIJq5bruRlMgRtZcVuB8FFDCQxpN41jODXZKBAnK06TEdOZgEf1b7CCE1Jjq7VizaBlMJqLyw0gb SmwmY3S/4eSqpCNka0UUb1VZEu25Q7V37dqcfcbUMEvp5mGyxODJR2o8Hyh7voEdqI+BnxDY/SA6 E0A8vuNUxobZxlX2zApdrphoguPHX+DLxKhGex3OblsDh3JnRsOS2L2MOfbnSHc5rSooTtYWg6bw 2JDPC/1Kng0lun50FAUTUXChMlSBqGgErhaXpgO6YGj38qNMm/1SnK3N+KfTpZ8Jqsr6AGq1r9kB iY93AXAoiq/hwidz1AgU9NMWn2XQMHknsDOXGvvNumydaOvzi0psoW8aLZXNVlqN26vau82U0xwV k9zUYetc9Jz78YD1KNHDeAzw9G0n8gCh9ON9c5sdA3fS4/NqJVY0hpBMt9Rz7xWXEA/Uia1xZJsT fp3zukBD6KzRKMkxBRQZN82sn7I07nlOHKe6UT3rD0bDX/desBFdfigXzFL0R1FritpWHkf19Q9w a1I+CIgZELyUyq1wfCsgRHi0d7BMMW19uZX/IvLKjRWQlNTan9RfzOZU8Qpl9PCix5W5mRHVQGrt RVZc3n0RfXE2bipN1tmis10KTnjlkRSCTpr2TqPCMb+7CnIPZOZ6akzgAMIe47aZfboS6UZWIR+c c8gU2dBxG5OwXH57YduKDro7iWV+/6VIrN2mtrEqOycfr2z7DrNH2Jpy5/EM7IY8MgWqAm0EkLgf 3r7abz7NXEgMSxyarY5qjNQ3WlKpoPkvgY1aC7oXRuV8KxH9X9cMvAr+okHm1fLLQVD+ckRAO/Ag jFcuD89pasaiip+pWIHAQtnMon0jX/FKfS3sFGr5wFEgq0OpAIcEKRcUdtdjkEXg1Vp19Wfsvpqj 1db1Ao9u97DjnUUmuFdDBe2/7iSvI42kqTiS7qZhS13POiL5FW+GcMmF2SZp1tf+TNgo030EPpBa vkx13Z+DOXv6uN6upGWJOq+ewPkShCeOZwOfpHC2p2kHJHEMIMdpuJ07lPrXsLOVgc35h/drWyoI 69Y4I3ZeyHPNxcnqez1Q/N6mS3B7aVPlBreEbgSdI1X3lR3zZmuYT/53NhNDLOA1s+AMk6GSkqEY 5BqT+GpPsVCPCswvn58g+eefsvviQGewf9okIlh3Pnpk6+DyJB8BefEKpPaLYnzQpQvAuCX5OaeP 60lclvh4R5hTiAt012JC8Y4Y40QgTk61siFFWQJyPda3FCO83+QMoD09yx027nKTl16Rh8SjPok4 rJDCTZwjZzbw8MpWPKqikbIiz8G/qlCn5T59GEeibMZHvpgVU783m+Tpy06OQaLXqMnXBLXslRm7 D1jBNDgz4Tl6mkF3sdsG3psQyDIXeVEM9I3GFDVjVZhs071c1IgNqzrs5i/7CjO547tkC458wjnt t0fZV/URgdohPwSZqZsYgNR3ieJ7n0XHNLbdy4zW+hhzFG0sXR5pmh7wmcA9nZ23tALCsDhAMeaS XHQ9h6IfihoUMIVblxqooG0VfLXh5/oNxj0R8Dk8dSWCgZE2vwmp+mq410RsDrRgwRNenKfZ82sh iZxzkf8bVWJCVjB0pDLRpOnSWSzGsffjbvwRoSSykSCMuLuqfLzM3PC64Oe6BrqMRPABTbiK0ey+ vd8t8l6KWwJr28D425383/kuCGFMKX40i/31yGqwnn1floL3pllFbaCCVVyzzkUuFjWZ7JEqPeT+ +v6vBnSS7TQO97RUqw7IRPkozRz9DlD7j8xEnrd73ZXcLI3pOW8aTqfW6RmW3WdXHbjmkdU+0ifs VbwqdNsh9A8eDpDI5S65ME4h+b7gqC2xZS/ti+rCo8jbJlIc8XO6zhTgI2Znud6v4/O0hB1xCFWD zKJHMxfmnsVDwp//sTK8FPiAcdkEnAikxec1Edojt/9XVDMni1aBM9W4LZO9CqX2iIbtHS8eZHYY 72iN1N11aS/7TDwx0aKXadZU+TdLvT1I7mir82csDccgmm634AKyiDxqCecsnVxQf1CtR9NlBSzs cxKFly+P0xtrfTmFoO3S0n8ZzPhh/G3+xieVmAOuQFaIbAwxbpCIEhUW4n7jP9Q0dkiie/0jQWLP h0H/Cabk7SjTXmNxfWtgDYOZP4Jp3Rbqpzm92S7uSEyiTvEnxIs4gNqQOUtFda9RJVHxlPPWOdTS iVaGOFWGtyvJMunIQ7AvY34Z24QrEYJWP+L6wz3jNOjDjmx1AQX0zv4DjdGPVh1VUp1pdBC86l1k ZxCrLrkYGLU75gwnYfJgX36M7bBVbaNsZlT75dxlLEs+RK8RLScbLQK2Sv7YUCdT4PioUOb7RGQE Lc9bsJBA9EkhTwdoRIh1JvKgAkDj9Fdq7ckvgGU0nU17wlIMy4OeOINri70/5tQfbrTeViXHtpu7 HTqn0zPbP0CUaZYVr4oyX95Tm2nY2m68ft/WR20BoS57y502iSQq/Y31fgFs889SZV54UcIRKRSp igAmpnDjzMk94IuvR4b//2eKVMMt37cBHZCi6ZRKr2QzzW4NIRrEZUGYwokXLIynsbVweakZSTnp hDYDQPaSOFVVGDcwX5cox6MV+Mi0s0RWXpnXR5kSSonFmbdTqZ4wNOCKwFcWbxmirVaSekDv9BXu RRv/GqaXHVQRLrjIYvbRL8qurkIxwPl4igZJxfUlVxcHhtC9dRUL2rPwysswClFne3aowYEWrs6o IBDREQJM1L782PP+yb9FD4kM2ChY6X39kDVguS5rxJqo5CYBNN6KyWEYBUcHnumDeVBPmKIF/MQh hU+WPBalhYML5QDMaJDBEa6ol0AfqD9NOe1tWJJkL9r2h/bab2Qizq6fqAIzGJVjDP8jbNqVhkja x+fJ6QuyESlyHKZGsW9UuNdcvIs6VGsQ2FBNpTmTyad257NbNpe/ed1NnCtitKsu5tzO0gSLv8l/ lMQ7KzmefpnOssBSm/1Z+/59EvdYhLWgaVMKkJ5P+10+0WaETEc2reSj14jI/EIoqSGU/yuRrxtK 1uhTcvZWPtao2w3W9Pk3vOv61kcwMgXOAGk/Vk1e8dL5baK9NeqHLo9s7byGyzY5VIjhPlRJPG4B zr4kwLynoCliNNriRSUXVZQJ3fUA5+J2XqnZA5qvP9XoLnRqjypIdltwPJkqMoAYdeTC75xpSKCd uOAlZd6G7LsLZxqeEWB1XsOqxYdsosz6sq0s5RkH9MkPQ9np2V1+ADnPUcV/HmSRK+oDYIa0UR2l usRY756gGMbBFgGG/4+ME0B+K7++nw+UunrNwSh3VyAxvuMKHVV6wViu2tef6iYcj/E3BTvdXaOh G4UpwiTXgMSPEur+RLOTDc3+mzJgGl5CZTghdHuweGjPflX7qI6Bj7A7Joe15LuF2I60fj4rpX3r vSMRhF/pxK4FXeUIitBQboKsYrBd550JsSFmU/JolTshSbpLEz6PacoYIiBHRBMEJluA++CCKt/c +m4C8WgThowEjeT4K5MLl5MJA7tnyIuVxema+OIY5Gd8bOl6A3NSTUcpgwMt2VHwFlErJObcXqsv vql133u6GWOQcXlfYElOLZBohc9w2w4WpgcchH9mbE+DH7Vp7q9yqoInyqyULmvMB8ISOnMTu4lf 39rMdps0Rma8t8/2S4kD7jcGYj7uBLNAKiNbxWqf3K87a+LWyhDNzGx91iWEfCdRjKbntOhcS30R mjaQFTYkI92M/vWcItvY3qvc+Efw2BK1CYlfGRbakXeRWa8uJ6GuySz5+SUFPbx+cRYZvXqugowt D98w2DlhTjEUXkD/GpqtgyqZ1zEgS24tAC0ls/95YQQ8iW1LW50TRi7exTX1iD4wysvqdkTH9Gs0 VyhXM08senbNgf5VQZHwhTjDqS0PXd/YezKiKLncSAQCjZhrl30sBFvT1vy1NODX+pKwbvuc0Sqw DZTcysdO5DhOUxC9injppXcYlQn0Iq45kC6HoETlVrcMNnqBGJ8je63kmhXc/iwF2qP9QCdS2qSt q8fw3iwq34uRB1PUcsKW5sAFBJ1tQZVSfmqwNnUjVRWU5ss4dA0u0l+2c+K+xS8zwNMl79VBWYzU HoKdX9cpeR3AcyAqKjmywoAWYBmKhY34H55wXE1E5ZQt6F4FW6xwmo3o1U5eH3jT7Rc5OESxP0NB YCq0epRmF1W7IClA9PgBewiPWCRIKQN1+AM/9ee4WhiL9NUdiM754mWoii5smW0L5rMYzZWWcX5E 9mlB6uAkicFtFUuiu9azxM0qqJc9k+MPNEt9tpliGZioUiWFEnfOP2fj4dNrBjfYXm/iS3F+4zHT ERSkMn9upU9039obzf1j2L93ADXjJVOVmNr1k9sMuuMs1MbVC3CmcSC551lrAlYnpvoW/KOxVHjk bah6b21PEkZnEaN4G1hJAk4Ej2HC5h6WTvngnZWJMNJi2KKKvP76lCsY/Pqcy/z3Qed6Tf/V7//w WhTc9IHqce19V8elK/sb8HpVRnuXKiXaM53B4fkTak76U0cevFwE9p05UBNjMremVON/3DYGF14m b6eT+YWSoIs3BAwMqb9fB9A6iTqSiECqkZ5Qe8/f7O51O2ZdtcX73oXJWCOxMEMst0+4D5tihkhs L4OZLCmmHTjD4Bbca9QK9yESM9nFGoOguc3zF+TkRrl7JuJqSC5OlALcGKTB+uoZwHlHoCa9T542 XCjSeYzmgb2nqqalePadc7BGpJhLKzDqihRg66QG+VtwKlcAGHMsh1OpxEvCtjHibo37mwc8tu2x w3cpMBaTcexqdU4ysuyEf69JjlX+WcNZ/qBseMd2x58cjM15MQSmzzNiWT5ZSCLx925hzptzvQ42 EaIWQCJ7vaZm/w/Z65CjJvtyMLa0hgM12EfnGzRqWHP0GY3uE9xhrxd+o1Td3qWvF2bo0VjuTP0O H2JNyzaYDDLnjLCXlcm/vKg436KYkVdpru/HSCS5xf+ldEjnfCut320oEt1X2qjmJ0fJKsc9uCo0 FsIKo7zrNRlUX2OjLN7T49R6GUugZ3cDwukxJ7EQFT9Pbbo1IWekamQkJUptGA29dud3S0GRgfZf I7J1WqK9bT91cEinS/W8Xz5ITG3LVbwKHHl0/bOv4s62XbZUXWBiDSumzrT2EhXL6uCQ7YsiSTxt jlWWSPcFKZymVDdIXwz7gNgTkGmcBPPBv/ZVAHnJp5fYG7tJ58y/djG18Q/mODX1yLPC+OOw9TLq evmo5bEGuP6/49dpfhtDI+qbCpGopn1D1uFaZsYqxjITU6Zf1W9uGngZTeKxnk9w7zb+0fzI2dLy 7phRji0IRHoacML3m2DZbYp4q3hJxvY432zZrJ65Fh5zCFTb7mZM5S1ItumalianAsvnXvofilHo Glo26FOhj6JwdfmyRAnXx3LvSgXFJB29jZLnMf/sFFZIDNeU7hynjgroicwEL1UQhsUtAru/g4Jb xZ+SE0UhmeRxtu5DiLAcmdHAlJZgFcOrDjuiM/gzKFkNc1w0ZzIiJq0KW5GKZOk/roDrWkoqnoVu vWbvFsPOdwESaZ0lf/qiUnufTgdfNRvqbiAJrvetOGZT3+bXbCSeN9BG0AgJ3gp1HjKtTdE5tM8M OV0tFzIU8nCwYJBJwSQRBbBfhMe2L2cSAtKh0NnDwYd6qbP4vKr/dyyfe8eRK3Y8RMKza7iHvBmb +7Ol/tmdRGZKFEHe/WXUM78IPjB1zrUjNPLSkXdufoWuzhHReiCmuXHK4K+3cLVhMKaFW4JHlKj9 L76JCKE1N/s4yyxa6gSzYmgPaQnZgGGmvQ6g14zZY86oQszg3Yyrf0byDv9qaMTpeONKcIKnF1Ie F0TeJzuGYqRcW7aXqmdWA2pbJyo3QqLYdKFNSoS+uzZDq7PLzAjVRAGLcj88UKJiNUVRe1S2XgHM 7a1r/ATOI2C98VSMmwkONQmIL5TKwMXb8uWMEutieRiJBh5oTwK/U9hrtrovOrYSGld8JKXndxfX ZRFD6mpoaB+qOXmTagpUGVAX9NaRUpSvoX8FY+9f0fAKDxwLUwIaetjxAyITn4tgWFmCKeIyFZVW q30PHILQnXQU2rLiWgzwzIjDbxavp+WZCPYalgYm98iWuo7Gyi9wanm9ezTI2vJ6rV9JGxAPFgFG ZljZ7YonDTVZ/vWVQP0dCCxmcQYlqMmu53Kr/Tw4SqPZeL8+1g8kGbXyplRrOPESL2oT6sdDoLH3 DGvAY0vmnAP7T4KagH7+ewc5DWuWoF4jMkD9zMELrCi+DZl0Se5y6v3UHgs78AwnyquO9n4A4Tgj M8XAv1SbcIh8LIKjEySTADi/OiGGkX1Cl/JcMs5pymvyr7d0mBNHjsZR+AqoNlvOJdW7AL2C7eUW rTMsE/WJHoH+MKcKn9CxvogBELA5ZCcuFdRhFp1iaAY2qJI7SSKfCj6b8RPMGRlAqEmRZQSRFKRE BxUf2OPtky75ReIpqbMAyD+Wmb8cgppxUN9ItEDS/yeHMnnBM+XMwPnT2MwgZEMRuDqW+hCxcnqU DRmwZq9aAMUbTHl++xBW6/CCCIj4EgRFo6BDyMxc3hdprx4S0/uRcnRKelVG9m6W2ZXx1kE/SEHS P2M3tOfM9IHd0C0IdDgvoeuY2pHQEJZtylmXRqxFxMXeolHPqcLawlgU7Bawy+BhIR8iqB+Vbu7E +J6jTR9ABOV1+eUEli3QHjn5psYvDu7vHtBeQ6hl6+M6j4UmvgnRFfXT2Z5P0qREI/jv4EKKjiLL tDLtC2sIHavYIgtW/nwdudNY2C5XYzBwx65DfKpkwa8rxbKkqX7g4An/7xQxk95gROoMWtzBXgrd me/hETBiO8cXzZ0htMSI+n8ZZHQbhsFsIaE/rCKvXxnyvqAUBiK2/jexSHrTwOl8w0CdD74as+bO qhTD3g5ytyDLRYF1LGjn4mES2gPDTYhvHW2xDcvQ8MwW+jbvQgbg5p+ebk4NO8LsiS8H1cfYyL3N QiIu/WS9ctJPWO8MFnWE8JOCh56CIBLSBg7vN4IjqFWulXWV3E0UEFh8WTU7DoaxboLPToky+GGB 1J5lhWNgu6Ciot3fsGQEA4kXXNmLbQ222ZvR0m+JoxcEQr4O9RdWaf4XdDBP0PMlF4l8cwYvnEjG zezsK+nV8CeRnlZiOCvEak6zuoDVmMOpNUOyiWyrsVGG/q2K7M/P11NEW1isTfi7naq00yZrq3cy pStfrKsgy0TIYljhX63n79wBfV+1w//bqOFGu6tAknbT+3SNsKD61hCgX8hsGk8HDsQzbeCtQYWF /XqqEjVVmrrRjB/PBRdJ0tmxEh8jnPsKJ1H7Wg7B4GIiqvegrRVuadACI07sAV6E6riIPvgCXBEt hxEPizfIMLLdWU9ZSn7J656op9yCCjgmrYqWHxr6ejluC+wMby5R5WiBEcgyTKSTxhg5l1vbqI9Q HBIW80nm/pxQXE2oLGosUFrHXAvf+MbgCxWN4WP2KOEmv7PATZvLgl9ouiNDzqkSnIu+jX/mSVy7 pppJV8D/kqKMwIpQ71+CoLaaWztLv1jO7zXo/P+ayvVSwmT62jw2/FDo7XK6ydnlFRb8g+hmIht0 oszbTb5KTbscQkyoFGhWFlH9FfBtJxbLhzVsY6jcndPSz7fOS82vE/pgKf6pn1lzvoJa85G4ubbE DAa6ubowyg3UDl85hDaWV6p4yOALARtxT9BTkDNnqVfTTssbcFcpWtD+rG9LEFbH3fea5JArOxsr ziW2UTMXhz48s6wih9TNvC6Qtimg2J93X/Vyi6UYg6JJoBDN4bQEa9VjJ/QrbcQG6yNSbQMFJPK9 qxG4peGrk6TRNkZ4VEidSUVIEd1fOkUhZ9Ah4v5lTeWXa8lELFqI6xD+u5qgyRa2w6HzdWag6EB9 iwJVyZfe+zwaVINfiYikkrMYaymTgnhodihzXfimlRhvqYvs2i1Mx9Yl7vegSRlPa32OeGwQz72N t92gFkVYAK1sc7GIkIVhJ76+WcHUCPLPwS3oWlGT0rLz0tBK/PV77m7VrAyOnMCYbDYfkEjyNr4j Kzv8uQ7R46t87Ch6uwB8uxTyNWtVscrfvS5vXh56oOYjboG3T5zh9KqEanfFn52nUIfXnJL0Q0X4 Txls8l5CDho0hMbCKMBntGZZj3KJOV30O5HithbZ3Ska8msugjX/3sqWvAOPWSBVa+kCH8Pd4Y/9 GU4RgDt5l6dWrp96DekCqbpqft7G4jA9X/AyDCV130O/ZMDRUEONUIoTjLok9JNYI12v0Lqof/Tt ItE22OX6wULYYJ+J07UJboGGZ5lGsP6BAa4r+l8a9K3ZlwOPZqbdDwt16SNQGphnf6wpYGOxpXa0 YLMFnzgvhYfeTOTcOAJX5vTM7pfiCSTVaQX03YDhprqsuqGrjoXjrNdDcKs6R9XAqR5y77aGeGoe kdYivjt7TAadgrhh/8XF12k42zBJAOp2wtU49xuOlN04mi94acuD+OOyU6hCmfwS5QXfZAH7JVOe K4jfNAkaC2wKadgR6N2yvYhPDhUAvTux7TlR/02UaENp9fH0jsw+RGoUXonWd4+V1Vasq5pTin2r xzEpHbH+kSPVEcFBqqI0UYJbJ+OjtXOhkTFsk0ScaQUgrvcRjKWq6PdrtZZADdsvaq7ioit/iE42 WrDx78O8cZWJPrEDOQMiVtTmNWXY6m579Hq+H662n07rgNT6yL6c/gtDV6o2EEYdlvuuMFclsz2E e11x0vVkJhHQrs/nCSM73vOtlv1uUWH+PleioxyOQVe9l/pNMFmCrDgl2DKb7aca2WXXKlMkC2DJ gxWLIFUloEsIobDq38/8mCqnuuIg+aVYhBwdmppPZT/BNh9b+bOYLE3HWdu0J8Sr3aSICkk86p1m ngOsFq8oix6tEL12jDxCbpERi9ckijIUBecFblFIT7BXGz1C/sfTH0K24VxCngIMR52RZaqWZCAv 4wfh9b1LsEAeEXobL0kX6ejPBLXRNYs5LALKY+OYU1fUoIEBAApugc8B+zD2RkS5HQnAj8dkyDT2 YDvxtmqKDeqtZN5f29yapNI6oGPLA60IZgR+HNg5tHY6wqaDtreK3ywmf4x1424BSDDtCeGt20F5 07OqdGmf3PwRw3ZeanoqzQENrCKv1Fez4hUjx0j2wVpE714RDhbqxfFzL6xn8rp4Zc4Hah7Qeszn mlEWRwK/rW80MIRC678Ewh4Yz/3VVbXaaaDP2rCkHnR75xlyJPpLA34LA5dkQEX+R2y7fgHf8k8b dlCHhbgbZIGUBRKib03K1mZeGtmyfPotSW5c+Pfqx19pp9Fx8dHtNpzdfJmlIQTzgZYUk+pKM449 6PDlcj38bcB1GVl+6Jk0eQ+gs9+bI/sXo2FmovO781ihjEGA/8B8vzVVY4IhzEGYJTcSlELwL7uu XZdgGWE1hhDAjb8JO1YgrRKbxf2EboMXEmnUv5TgEdNrSH8f44hx/JoKPxaxJ17l6tFiGAqLo5PN MxJboQTOUekIpxmiIJYot/m77aje/tjSB0L1Dq581+M+vDuAx2BQYI8JlWkUWWOsE52WM3LXRX94 VjjGn+j6FT7Df5WPdvDmiKWnjgoTSQR85mtE7gOcp90JPDoGBWO+VIcMahBw6DQd33C3wMxMqUzj mAGFF6j3UZ1TcvNLjyFghHgEyw8bJRyLI0ml++1o54zJoKHR9/WCoV+a4jJe6GyfsmCDVySSkqCH zPalOmGaT/x+06t/6pvllhUd64jo1FUwYrb+uKjH9vs2GszSbVeJKRpgR1z7XO2A9CCG2YNeD4AV FLPoJiRzmLby8KZsX9pakpvasxZny25dz0ajruHBKYnLg9Ss4PVdho6NXyFN7PIN2xePdRSUeaG/ 2PAvsBq+fGk5xWwxyUViWgEqcnI4Tz3hXEKKkghzqlBay2EdtXS5AcqOIJZ9zX4z2MEfKINvdsrX VQKBINlZ2OnU3zGsuEADZ+0P0avIpuVS2Z4XJDcB8egL0FSqcJx3fxsDwEXRPeLzT8LSFvtSvT4X 5c+yrw8LhwqRCKR3xsivyfcPyDEIpEvU60cx68xe476P+ue84J896PaxtYtaBs8fx7YTMy2zM3Mn yEkWf6fgXplv0hnT2zO0dlHeJJqg3JTPagdMQ1OHT0bzdtWSmQEsi46+n6PhwXBzbbQQ8BReQwX3 n28Axhw9QGzzRTGy/SznAI3RuWhi4sQVAvkwZWI+oV0o1JNgozpvHYxgv1o3KryHWtekxlbgkIRP fdkjGzIXDFpxHRcu0aYfYP48vW8+or5Gw2lFQiU1+x7BZmYQEk5S4ZjRWFbRphZTuOM6csB3RT72 HJAzS1vaDi5W/r/nRnLwNJA97USbWjEQOUl9RQRUk5L8yc708MRAEqvJ3Hmp9nQSfixB0YmxPcTR ztss42cafNbSc5bAoggLtFKhn0WIb0cYvOa9XyrElg7dTI6bzgcWwDcx0VWsWgeGpXEC9tjzZgoX qE0spoetbfwD5afeIIzsH+DmLWtyAdS3jKVkKiS5KttRX6DolzvVm0QYecNdwM9wBrF29d4rtHge i1sYnY2iZnWr6vjh1FDTmG4Wv5Mm0t6I+ej+GxDpW/F0rPVcGNLzYdg7zcMy5G2zD1In9u17/FqU cNSD7YQzhEL3pPpBUwOPuBWBYIJ65Tz3SqW+VzO7AZiTAFhi/ppdN3Qk57y7heZ/UjTzYoAQWDqY CQDqM8hXp9A2/kzBi/XUiWVBY9v3c8J6e5YmMmrSAN7Hf40w0dWgeKqvjefTQ94hKPJ6lTmvsO0z ATXk+DUVjk9/joW8YqAf9+sj1OR5YHB45gqMOP3RflXbONRKiu6eO4S/cRXOpo2P7AmBDn5kspib 7vJl8GKs336ahYlXV2+tHULqQ8D+GV1dTpr2xhvziJa26cif15h9IhQC4GeXnzfMP0h7x3gCgID/ CBBd9PucOHtV9l3b3QabaESgeKB6t8ZyytuePNU+0H4Rv5AMVltIP04dlYnL2jk/1Zg3UCwtsTfK iSEEbwhwFiHgn/QAvSmHf3kSNVUkH0F7FSiY6hu/3/2uv06nxIadR4mTF5gx0PiUh4Ni/I0N8Z9t XlyhBs0Cke09dl0yFXytyj5e3z/z2xJR1RPcvHv8JNV5jV1phPPoQOqQyKGBdP2iw4pdbmccRfVB wDJsqBZzT650QDopPBxUmG2zbSC/eMEK7F+nD9ZH2DYJIHbziR2/pxYmrypODg3mj/xPE5g8zfUL 21/Kju60fj3vrmX3s5R0oJIDyp7aA01z8XjJssl7wPLtmeK8tmO3hdk6kWIiYHTB8Sw/bVzEJbne Xp/71mrBbBfDCD5M4SfIoAcjbaoBPhHgo+5hrH/f0ROZ/ua/X3kqFjoOFdYPhfPmIn4oGTMF2wzT c3WieLVIpf2h65SSFCfHvGyCO5BPnDSasc1gdb4oz8M0GmmFjvB1hpZ/F6pe3Q9WBvqsp4mO5RYz GH/QPGRTE3Botd66A8WlRm6+HJIw1c7qulWj+smc6fFPuOqT/DfLM4sCTS07K/E/L9O2osN6YHL+ qdgk/nM/+ZqbruWxGnV/+jivvbeJpcb8Fn/QGzmkuE69wsQAGjXSRRAmZjC/nR3F0cun/pXTiCX5 Nqg5MykQ7Wf6KvJpZ4kZjsStKRjF+s9tcIxClzSO81Ff0XVHVyTMKhZAKRVE6xgu+Lb3TyxpPLYz OPqgjJ4/ujT7bUZI2OoEm0rMY3+3W/UXSkGm9wVFwAbB1HkkkRTND8QswiD2vgLcon4ned87FTyF bCV33dqYG8WndcvqJjGq0foliBUMTw4jIPRPRonEZehibb3/Zfq7iTv21Adn5y07g7Gvue29sfG5 5DmqI3vEyRhSPxqhwUBnCOcZPodZ2QLaEknrsz0GQaPsqjrR68zPNBfCNt+Y0sL48YAJE7hLgYXX ArnZV1K8Qz81rIO2SXa9Mr7oADduVCLsOUKCCKSpnqqKJAV6veg7nKKQaHcZBNLt9xSltFzF6wfm fsFJnq2DEB/aqv65ekBcO3n+32Ofy3lAxK1eQO4kr7GB5vB3jxr9/DpRZxuIQ9O4o1jbDnyITj2f Hmykiv3kX/EdMJDYGJ8reDcTAGE+/7/JD+wk3ss1zQcNAxeWLqIpeqO5LlaRMHUKRkVigujK9Uan fF9lfO2uJ6YYGgFRsiFNx11Oz+hD0rUdp0B5Ztucdi7xxLIVH6qOQ+LMwR0lSJt1Dzhv/Mnx+SbZ 89+Xj/lIGmw4YyF+UOO63dxI727fT6hOToWsG3+xpKJqELOywNsw5kN24+NQ9HtaIbTl3xjJWXqq Z/scwg/0ZMriApsmeENHuDWUIOfMsJGzXZH/gAYHqUNkc9OS/teJ8y5etDQtqaG+BQKw/RzP71CL RiKpMs1+udmQrwBiNKSQ9J3OjFcoe52j8y3dtQtTKg6hd21Shr0QSA3WrYlqTLZleIBp0KK+e9y5 G5tGDasHArPE6+2/CODF+Kh2SyJNbWiV70vO5kgbb7BN8i4yJ35eNXZu2NUww+rqTf+r0pHuRalY 9VPESJYcsG7OmtjQzIuq5VWGhhBCmtuS5A6k5GMGB06AbInKVho9Q/dSKapBZVfAfwPicc/L0RnC i2tylb1rbPj4IIWGmXRhgV19ojXZ3npg1hLqJ0WtQGG1L+QWeNprB17bssUT9rLFUkvLfB4arZ9K FcIow6DLujfNDkvuRjA40FliPCazQMdZ8edwoYbIg7svQSXj+WNSyBSUufESUtHq6i6Lji/thQ9b pLcJxzLjrto9G45D3/suUFdN3OQpWKce9nx8/vxNSRy6H3tNsZB5w1DPWpMEtRzcq3nKTYufmqU0 qYoJ4oJg7dpDNq7HzOFe2OWynMH9kz5htyzVVlqkV0BGfTs6RpF3/KzF74FV2tBseQmsmWYp+OpU abSDjYOlHFfxG40KI68Hjm1azjp1eZCbw4jv8A3Ff+YdzhDEcGoMCpo1wFwP5UmlfzlT24NlKtVv Db9saZOtkMf1C8ZYwyKnm78Q5oigldGoq6xr0mkeH6xuAKFGlUMnVObZYze5FQvhs0+9GQ7miSNs P6dYIYBlyVHb9I/xy9lBBV7smSBeCK/erOh6fbggAhuMEsJusx73SZCQ5sbMNZHfFuuxb3/P7l+Z Ce+0qBpMxMRLIBn0edNxyU7P9H13Be7rtVLx8PkIEYdWPeGq4yhnqpLncYjYwwcAzaiYW3qgraI6 rbK+N9/ZIIx4dYSEwv5TOt/21FefQr4N1o9cgiIOQBXzxJPgqz+KMAPERsb9Df6rHYuE3G/R8N8l AX4A18URtUOXg3ZGEoI05HCsWWvUN5Jp6FQSDWqb7bgxfEZY/DGSgiQN/Q/HpwtfEWIAWKqtqxzW gq3eWR2KgQnzFxrH05mrrrV+qcwTyxfGFAh3zoFvZxsFlMQNgP47hb4cx8mGkXeKz9t5VP3P3saF IRYQ7u8Vo2HtUxxvxHdWxlbYSrmAWEY8gBQeg/S18YoXWh/xhxxsWOzKBg9j9OJTzEZGHfIEIhxr JxjEe724mkQl046B1sJlUbfIAfdbLxv8RHVIIophRWvd0HxUL1v14J4yFKdP6KNWp5mUaKRQKkwu DepzkjekHS8Sv9pyucZVMxc3UkGEhvLU4e7MqEGwrX4LTdfGUR+oGJcAR3GwEhY+7c6efG2n+VIe lAHUKcsrNMZyPBbcfJHQSMeU7eaKmTbAmbmMJc3yWvQ0Wma3qt/RFwas2Gs6lnrEupRfERt3C8qD 3aX5Dzgb3SproyUi/uNXh8TO3wJJ+qMCFaikvo0P8mWY4NdJoQZ5KdZpsdn6mO09dQkVRUHl1xaJ cha++7WOiu5xX05jFu5HGyg3xo5Hv96lJxNttBv5z8/rM43KAiqKpchGQs1rZL7iufIdCazM36bJ 319XaGmnzJa1MvbaUVUjCfKM8vcFr10HamWmmKdnnmUOv2QM4MF14HeMeRldbYV/F/qdBbkw8Ufx CMtyuFHi0/piCWLb1DqJQE7G84dP1GU23G57b8laqr8QVZGPycg0lnpGV2PZzLxu7cG6DNnFEMOo Nr+74ItuAuSv64oXgRRWyCTbu0cJ+IH7CDC99KvxYFojA8XJdAGm6OVFuIfjibvg7YV3711UZWdL 418iFlIl8C3jCcw93YikAYK4R5CG8B9Nu8aK0bZ/oH3vcLYmicLYeZsok0ljJAkYoGQgnD0UELmm t9VINb7LVDU/3cqlYxIm5r6HuqfqvQI6O0fK4ChA0LFu+zUKuQIJQLwzMbi4ceXHOgsFoWz3Csg0 F/ynTC20qklZPK7eiyFBq6pFFO0LWURwtTs0KVxwtGkKlZjmhuMzgUg77eqKNB7seuqij8RJoGNf Xe+MsrFQjwxAel5DbikwsLLR8aWR/EKiqXFFPzu/RIYPTQ2cnJCmqyzL5909HguLcBvX/RJCTiTx UFVNYsHkAbHnWicJkR+z1uZmb1H31rStkj/QG7nFKf3/uK6QarhUZP135W3KgcP2tui4pUY+2XTZ dFEAd+3YXk3i7FVb3eSDWEHuohWX4233nJ2z5zxY2FLiwavMbbrutYxEArRDv8DxIJqR+61k9+wp AhjShNH2U3riwwyRocRKBunLUsw/eYK4ZOYeratwDmM+bvq1LMR2pHhcV4Cu5QR0rLgudzmhTJEC hpJZxBxWxvKpiM+4smK7Evk3YmdZqF6mxsiMXR4WWqsE7Q5+uLDWYQDy48+0gpnJZcyg9fh+qfCx Ox0q2iBHz7JhCgov0RM9vsY7z/Ou5D7PrPm1q2sctALYEJnqnMa4aAA2C1ZFjlLDVsNujfIecBMN JnMXmP47GFRopb3dD4gCcJkuVqZ61GKKTB+fG4At5ul0Sv9Y+CyEpa5+JqV7O5wOr1B3etSJrTfC 5s5rrcFbQ2xQKC/701MPj1fAQ0zVzd3YCPPo4He5penMrhcn88qBIVSMorVYdJkyC1aYchuV45QQ CoUi9F5AaFa5/MvxLnbyq/fs0QkWHtH17ePmYUiWLhbKHBdFKLBZfbUYQkNWNLSuqunVcLMR/snc P8yAMcpBzaveD20gfeqwVuu6XpRnZHemm8RJyTNoa9hkh2fDUtv1m09joOTuH9470IxeqaWa9GtP P0GupJORmudoSDojoh01j/LCGuSelmEgU6LuGO9TREKhrjaHFmujIuRT1vFi96h8ibF39Emnc5cc OpzhonL3bgd1j7xBxYgZQTb1Y+Pa1txoNfe66a70uzyGOHzdSeYBIQsXu651lMbq0RTvFH2Bg4Kl b5eQ6qogNe9aeBTnSPKlXnBHPw+xO5i4bGX7mn8iHm1IY1QAZfwMSv3zic3xFkcYh8HCGgOJhjHx OPo1/IqAUPoO6EbRCZdB7l8oz1OTih/FpNqQ4vl2dYD8sd6pRA5R1615qomOIlXV66VCGm5gEHGH Lwe9w1sgBqdPh8HFg9ZLwHRzXwFWrjiVwdB/FJDkXtEIvXkhggvzHWJ0zTehPaQv6NUB/Ix/WIgZ lSPPoSXxpPX//pI2Ajuv3Guwf6+xWRvRBgE4tKLm0cp6/I+Yk2uuZVfIuPirC0It+wovUS0KotgO +E0ZFoCgsjTxO7rTqFn69RZy5J19D+wfd/F0po5WhIL3i1Ith1Hr47I+tjCbv/ktaWk7h12BkXrm jP0VSGy3njKOnXiYA38yRWwYkzZ1kBZC8iCiKKVoQCqD3hCnHP72YmAQI0pH6bGlvvVi09GdCZ6w l99zfUICO8E1zzpSp/nAaUCWvlKmiU+gIKTLB89EsSKgBf/iA9EnaxRUSbZPWUSx+9veQBW8cE71 bqw5RDtzuzmkfEx3GqYvkNGiyOEYvhQZgoY9ujO2W0c3LyNJvanQAr1LSe/+z4Mf4tteDlVQ3FJl B8g8NNlukEQKv+s1v6HJzX4srmbQKN9WrEse724itiF4Esx8vtWtSYf5MGX/tjn3hUWpQZKku9V7 qWE3+CL/yk09tkhIkFFlUaFyHrcKFtiBZaEIS5GT7GY4eQbrZ08mY9t/l3B0923AU4vgxiV+bqKL 2eB5caKml9TF/5UluknwBrNvS4UKNoo2Q7DcQ40EVQr+sXsSr3MOEcpuZn3CW1Eq2sT/zztalvmw SY03SJuof21P52REV0y0CVPMpwWhMulH6D4VOqYV5mIf1j+PyMrMHuxhHhukxMK7VaaIRdwJDRoc 5yHlHZJQdxTAd1C9WqSSYMd/CvPGaZdwx5q9LrD4dq8huyKiK0J2yEa62tH4XRhNoLHiewXKT3Uf RBATT+fAjWZE2sy26xnaW7bKOp0QdE8/8nbZo67JM+QSGwFbYUNHqFavqdWsto0XCEEWxou3NDHf 14y6kPz+bW8Iko3FZNQs/5PtP5SiFfz7Xlbq1mkJSfVQfr5mQu0LmhRPueiXJWPzt6h8hQq0X4Mw dJipKbSleaJGkXyczZF8vd269DGQkcf8hzdKEnFv54ArbEnE61493Og2GWJZRbjK6zXU9fZKtCG6 77opF02zAlGyQyxe5dARTaPo9ROJxcs5g+dfZgHWqX4fkWwa/WNdrRslutvXxxB0xfxotsM0zr1V J6GpXLiQLRNXBUTaes4/Fm0kHPNpY4r8t/38rQ7tJ3F76ccGUAhE8/HypNRmPzYI/1oBMJiGwHQX NnWiOnzmtEo98hvWfVG4iXgpZssBuT5hPwcyXgpWAeFbMWtdzG9eMpA6z6tZs9GV4NYKLbnN2emw quWL8vrzLbTH9Glo3MYUBI7j/vOezPG4M/rSatEEUY4tW+7w1tPsT8Pz3eAAXmXDG2rmW9yQ6EQX Gi4AEhRY+RtdfuLKhUNUXSgGOXpGMZ8GYQsYmpfJWwzukbm/vrXLSNS24FT9m3ZndEJFuS8ynCfg WTJ4PDajDKZYKbfVzGNA2bun/fZ25MhT3VFl5gwV2ANAHs6UejIvLtuQhur1lRYBihEgARdAJPER 1aT4iuNM3I6PNEwysFwmu/Odb2GRxeAD1XnbosDSSCxLLhMdcWAE5q6AtyAo00fqAzpSTTUqZfGt cd/AQvWFpoUchf6CBQWkOXZJ+2BgnWZzu0xcrUjk7MHAMDu20QyVtK+VuceTWa9cdDWEraeiN7Ny 0vaPAMBiTHJ/xeBdWsIcmknkZqJfZWG3WDOgLc6+2fLWigolcnQurR16TquqbB46ZyNC892eh4zv 9t68VzkD0ANOG6yJdsxZk2zuv8hTdI4w9XAxWWiPRlQw6Y7SXjmsCbEn6tQHADM0N/wC2tQonpz5 PoxahDuO1dEmariDVdCFSeY10b2QAiqYgn+trFZ7Ai+DdXN/7AYFLEqpN5ob6o9oCz/v/PtGHMhv beyJXTJIBo8xmY5u5lU9oiWCeIwtHSL98RNzQ3D1eUz6lljYkgOFtdsAby/wu/PfKIhVITIES1fm 7iJwD6dmRFKbeEGUzJzXRZvlWnEQtC6dAN+azx1uyutcQYnqamcIRrKEfp8m5P+Ey/tazxPmnGch 8jYGuMdJrMzty502qccwKAY7Hq32rJFv8dEQ5YtO0d2jSf+eJJMY3kUD26duyxF7vNG5+tIeXaid +3GQlM8pAxVtJYBAP03lTC+8UVjdP/LfdKUFPp0qzXT3Xfcxf+zllalYNk8/lDnecXoU2oU28e8k q7tZOm+on+3Y5PiDKBd/iXt0M9hCRirUqpsmulw8Z8WC1gqH61pRAnOh+IsP1N8f698JD3BzG9Ht LHOzJsM5Y7pFczxnNfD1OKfOMbma3zoSEJ2Ho7IZxTKrnEdYo5VMOlnYzJ70CY9Lbr0/uM34gAqZ S+nVw9TTN0QUVT8uHd1bpYqp5SQ1JW/xQXSDyYoFE1fOzde5PPRI3/MiuNio6IslQuh3ircM5oQs ZjoWjDtmgy86t994gA6R7bR46miYzBVc5JSGzYQQNMrmOOTa+LTfeU7gnbwkI1ULnKXZEGRPtCZ/ 6Yob94jgEsX4T+L+yZn09Jm7euq9t1Nqegf3aTrUaaiNGIkIxcldsj50EKPr3ARnxiDAdRq5UXMO UvzUyz5wiFQRDSc9iMnoaSVYiMiT3ZabU5J87p9gQKGLQ1LGkxjzkhKNk3wKwELTVpBvftUT7byM 2CS2VPqvTOG7G3GMJji4xRwUBR8cum2ispRcbvkN1XrhJgPjGIvPdmYs0I9aoZh6/+eadw8Gs0p1 HC5AmtSf23XMWzo/D1xadJACVNqP35G+NEqVVFn+MgQDLHc62iBTPTBzWE4X0soYUmXP9/1lM0ul OyS7twAEjY6qtTeVDsCS4Onk+7fgVep+jSkpqmfk8yDTGmDMz4jFRe3Uh33Xlfzh1+odkvXZMRRC Ea2Lfa6lwyWVJjIgOVkRUgG9By3trNMpP/VW8K69UU3BfpPF8q9A2G+xFQTEFFAVAGMW8hV0bdge RbaRSLo/Pa9wOeTDeQo12eFwQ37YH2q1SlrccN8yUSIM9c68UM8wCezkLTOiGeElle/5TFOkl37K ZJeSwMAE72I02psSph0ZYBMXCmVOWoV3B5YU8QhkvmEwtPZy0cnjKUkfnw2TLXfO8JfvUftgxYrR X0I3gk0W2sWcoF8F8/NmDLLJG2+3rDgK0Ex89DCuhGLlk3qn5BdQNhHgJG1v161VP9wcuZ6/C/Hn +4UZdU2q5W/RMLQ7mK6UJPa2/CwWIMXgBiqhSq3qguM4mSf2V82hI4UUQiFsJ0ZUXc1fKylv2zZl 9QnTvR4IdvdNKeUhMPOa/NaayhdYirMy7jRrHfWZou2bLWlDDGM33jWmCFpfm+tBzzPZB/7cX8Py ldoY5yhAdwaxUz6S20biO9ZnPSp1pGrmSv7aSHDNrapG5vbi3ecfcxeQ+uQcnFm/I8Qhomg0zrrE 5WUBQ2no2/VozNOKxdnfOENEZrdxHb6sjzzmFXHFzzFNldfmZvDcwG4GcV61HhgIke+WKnADJAFn JVf++OOiNOFDzCqQNb3PySFIa8pp8g9YjHi8n2QXuCVbEEaeYhUgSog7T3XNXv1xIxE1TnM+7Oee UXJqBysjbqqABooSxhzrR0jF/wTDPIElTUSK8KqUSjNkGkA/pmA/KDq9x9XT2XsSxizmTIqblj5i ckH842d7GqFPRZd1RdMgrvvgaO/SInEexyxxXQiozYWVS8gETrsz3qqWemJ67KSn7XcGkDck6TTg dcyDmYUH7OQwHVHBquX5981u/Sp+fIuDYUDmzml4jD0OUVzEoS3sWOgYAdheRrKEK2VS6EJFC4Xj 30YHSCTuYsQ+07PXeX1TCI032ZoHBvJ7xgnA10347ZZKAFMyLqxN19uTzVKFy6W0uFOsmXLwmYVz 4l3KkDqUMWUGc6Qtxh3kAj7mgwC4D03bXXz/+y80jEaw9lDzNBtia+nKfQtPlIneKJ+spHdx/Cy2 HY/QZwfUh0IaRbyLGVaRJCjUXcMpv+IPYZL/Gf2xZhR8i+3vcD0KEjLCTY3u2f/On9PcRvo/qA13 qhAq2Kqbmsy771cE02P+wxVYxaxoREz25ejD0MYBPYk6VxABXwp7rFuSnb9KJoLBUC3MgZocpSHV tnhER7thWA5yLr9JvQAWCsOlbOmBWyY1ECRMZDqgGKkuG7I31wQjnWNLyCozSf0ILnNamms0FS9j O0BphwZxDdhVJg1Fcevi80xsxK/b68R/Ah8vax7HNX1d9z2VStwWCSy+1spl28u7DrCFPcyebiCv t4LCknE6VjQVQqwCCASlcFSBAdtx3l71oIYp30PHB27vaYw8FNPuqiTRLa+uAnZgc9U76b5V6old dIuctvmgnc448WBcNjACFlazM9qIWKlrzgS2EUk4h9+TQfKkdtecZqB6F/ZZSpqtqr/VQITWC/5M o67v4kJKviSnLwhTcqP6or8N4VKMzjeHc4CORChbha1mBE1XIllZzoRNb1rk2Ksm9kH4Jr1XkOAn S0Wk+XqmVux0CwUhvMu0HtizOjcB9kQ4qCheWVAdK8ttHSvM+pd5nty7wZoVozcx2jJ8gZ7Sj+K8 ivR3qFMQblRjj45JdZ7t7tct0iTRyVtVWNPUkbMq0zMW6e/HpPO4h40p4+YYf4UhQAuzrzG0j9ey WZcW51Cgfb/Pad1rOGmRDuNIMKeU5zglveipK+a3xYUWSwk8GMLlo/hjDyKkpeKJoqjoTzAwNdlm pO3/t/JB32rBvJDQlB5dU/EXgLpixMTo7Yx1Afi4pm4akU0a6bbyEcak4s/2D8gslDaIuQrLaM6g xzTJlaJFRbIMUFrz24GmLOKL6C8HRyhzjvSRhVNZC5rR8wBaY4j9GqMR7vsnDECCXUpnwafjs7EI /bWHbzUkkItoCFU8OecqtpPQyblGCp937tm2C4m80OfPEEstjbApP1Q/5zLVQmeb/Bw9DyNqK9cG xrBqa7B9V+u4tY4kzMxqA75Qu8mJlNSIqDOw0xQPtBq//HU1+71unwgRu6VlOxz2zFPlxAyTOfvk WsYyalRdhENoyklRO6qSzo5JNEMuil4fx2L/Z4+xUiBPlnbb9dO5mpDpD63v/LLYWyVBqm2EsVan HykCIUPFIMkU8xbKGQIVU947n0+5eAzks5JFr5b+4Kpl9v3LnxdxzD9sGH6MlJ3arSFspUNJ8un4 /4JPU4HomQZ1lWKdiTfID50AlVlrffF4ZENGID9DPU4mFb7BtPSGs+tkMlDSdbmLHjopwY3kvLev wCLjy2OWwpdJYPEwX0M8h6iOe0GBbXvXikkHRh4uAzYzbH4K8XYOZ1kxYuSXt8sE7NmOUD+LEAFD qu+4vByn6yzV6vvG6IPnltXqahlly8OD7XGiEy2AOXMfcaBEVMgjozWleBUC4fM650m9XAwV1+wK 64Qjx0uTRrNd4cY5PbAxJJ2jGl5o0vw9wd3qJNrg32oBq3urOVhyxZvxCxKHlLxbyUf5jIgg+MUI LuDd8xiiHfOSdytFCeBl2/pbBipewm/H1xJGjnrlrkjTyl9JedBAiMZ4hSejN8dibbrGAggqqw5/ t6k/xnfBBJTqcxRGS547j8h88s1ERWaWOKsd9vyUSCIfGABM/xEfwWUJdxQj8/BqCWueLHRoI3qA FwcnesIH+Appcsc6dD0h6Gyi6aRkXXiZo32BYnLXXfmPgccTSTL9PKj19oOmfPFxa2xO07C6g5Mo hfbsBsBVfd/xoMhRBUEQh6N2whSuFNGGSzULJOG8TgZ5EzKXfkOEoCO3fG/M6tm01Omh5p1mLExK ZwwMycmAGYfGrSL/8F6VHwh4j/xHh+lmnl3ceKibbGy/W8bwRb1kCJUyt1//iGI6yCqKlkobtmay 6dAYKp+dgdFUbddHvjo1KbbbQxCaV35tw9q3JgNj5JOMlAi6dRmPvWgcfxy3qVRGQn0U5HDkKprP v64GYMqmUig2KK/AwyEFUHFOwO3/LPg1ZyuLBB/sqqDgo+7/xLZnE8qCjiHxX4DJzfQuiIDkvhcM UzmMoZiLGjQuSiQb2guvjD+bWvehk7Mli2vQnX6zOi+GMZQ0AEkJVgfw3OBZb/Jbkpe6MXEPXHv4 A6/PPkRAmyqb2MvgAR1Eit2dbKWMEea/IwreSJR38I7q5FjXsN5kA1zB8e11l++36U+M1vAXaugL NXpFV5JSF6L1lEVP/ABXEBLVOy2R7Py77yq10NI535Jn34U7BGMseo9XZDkrChj9HucLrYdQxopG WbcYO7TMRromANvynYh2ccgmHRDpXqpBuJBK4figczuLdcy0r7uhHZrS43v3g/gYC4naGz1khLZn pNkTF/l1e1039MjfqjiN9VuGtu9LI54KrMkrotCNe7gF6qtM1e1U73U7v9hVHd/ytiDsizR2S5Zq sOrfKAjHxIeUyOyB9j7mzS3XYy2oGJSiyvxdEo4/fVpKtgxmYcSHuRL4VlRUpFkTJnAG43ScyfDH qRL7QJgfbZQyPsqMLUQm+2QKjZJP0I3Zj0IM1JrvqJt4asksnIHJXob+0WaIP7eLYCBXYd1prU6c b/r9MGuwmysLC+n3/ERGx2P78Na+RFkI0ZuU3FQ/yVMXq3XiJgBvueyRr6CRcQxBHgCCRsG9kEWO 6ZkX8bBLVu4xXlzOrGrHFnBxvxSsWkwD6aPHM4hR0/sY7bYXTdI1FpKw8RueVOlmx2iLQ1q3xbKI ZFPpqPT6g2vd+gjOTH+WjCrfHclooohHr4os9YQzOEgzfHXhfJo9TSsCrTf76paXSg9t/l5HJFOl YGrnauP+P+lvfgJV6gILiHJErrRiKDwMwzu3BJV5AQSyy2R6D2Zmt+qiPiRxooED4vYss8o6fWkS J81PNzFyNF5DlMXh+CvohtvCvkFviAUcJLdpyh3IpIOWhXk4k44dxytnS+ETYHrysIK4zIyEIq7c RiNzeYZpDtc+kZ5Lttuaz5pO6pa4emJ2nUma36yq3+EfmGyxs5EIK/flcPndltI+Oosoz8gcJVJr P4pYPlG91RAdYnjtRkjY8/lt9/AAE21JppnnHpX2R8xGe1o9bsHmwYFJDRF44Ijq2njAeoqJQa0Y 8s2x2fdzHLMQBf0umkStx1ty+mQaTQYF3E6KpH9bD/bU6KuHv9CyVBY5gl8LqDewjzR4EDCzUjwG X4U8NESMHIYeSNInLJmOCtbrxCjifpjpSw9IFtganAp6qUDOJc2DrABaXyuyjhVZCuN/N0TbE8Mv +cYhKDOHzBB0Ru2wmNRuQ6XWUEANmiXvgwet6IDkXKnJIbaksWVkqjCjYwYJLJ+nLKFaaCGutS3c SSNchObkfy6Yvs9wLNk9aFJ4MvcJEyc04KPooefkQQ+Dh49dMkmCVGhNh8jIwa2zgWSumGIaEqe5 h00y8Jb5lxbMWtoNDsSkU+n2kVesB6RZ7O+aku3h3QFIo4CBnbETjlvdcUuB7TAw0U0RrvKx825W x2tIuPLKNR/Lvihod8XAn3cIUism79S0Rxupv8xBCzgIbX2uCM9DxFb24eg3/OvLz8QusJYEx0qT vdfxIG+d7Dj91bBjR0wGdkqhw666C7pbUoOfBDAhMgM76IsyrV65/NUx1ICuL6YW3EreJfM4FqLY LscAB6nZIBu43+ItRkdeRFV1f+2D3+NcapNvSxbzGeWxL1bwRckBIyEwAuSBEyEeZmckUBsT0aTZ lKjdyFJl6vwVLKBs9HCZTRYv2GZzQ7+icV6PT+mD7jvXxt0cxzAaFJJv/g97gPycNNa9x/AHakxn OKrY0JbiWozE/stoBtWwLTSHzT2neBrKzns8KYNJMw0b3wyH5I8XdhZhuJPd4aUeg0Cj4k01j6d1 BZFuuSRzUrCnV/plx2RQwFCSHGh8cthaWkCU3auBqAmvUOUqBWiMQ1U6TVAeG8xmb6NoabhYpQP4 G02rzr50sbfhlhmijfBm50FU7alO5pFTFh4oV+BL0gDCDNinKuesR1eu7DZjJBN+P8pe/7+AoFh1 WFLJw4a0gOt0vK6x1zYg8Gn20O5AbSP+jGV4QxeoS4R3mZCPw9j+PhEtTIPorObhQN1DoTLXYOM7 Fx4mWizF/HkPYrP3IdefNhdk0NeipaVXupzAvCOtFwPUSpG4si3dZppXNSN5SJtW1IJCVLRDe4zT tJ3f/YgCSMW0PyTvLQp7rYKVwXw0hVbKwK/nam6044gpGOQZKzcy1ek0hKxcB105y5ZNXfz8C/nJ XtEgY3AxE8ZWF0HcSo+x9Xhjfkywk2eN3wFHFiur19F58dve0B+PajEtvi1Xw4pFzwAkbUbQJHC1 2lHT6+YZAEJaWY9wp7taoAB8So3JGqRwRYOP6lqNA5D55xJfByaObr7VuXRERtn9sLqDAcTsky68 AdlJ2YcMtV3d7eF5k7sTNnyv/GL2pBtwPFF5kFLcgvsGNkRr1w+rRpdDygnW8KvXeTo0/0uHiDdc V7o6kYjE6FY5Co98ummN/z0wMHbvR4ytVr/4/RkAGhDH677lzb47VZzNYR+NCf8CMh8Ljp2xlSRo 0i9pfz+K0eiCjSrEuyxMzX68UL/F0SO7iV0iejS0b0a0pIHiz3MvrG7lmQX9Bjm6nB5ZnuU0AgOn WvPNizHB2dvzKgbSNHNdhB128bMp7TX3J5ymJD6zdAunBkLIGt6FIUGWDyIavqJZM49mCdGLKMV7 1bVaOOAY5/rIO2mO+yK3UFjrpn5JiCPfMSI0ypVnGzxShfN1aI5jeGiDURifb513tSWB2YXFZEDV IDK93zIOf6u5h0wNVvxtl0Xf816+SWXmCC6BzUGZjIVUG9orFrMz23RUpcjCZnz+lGLTT65aGUPj 7j74zO/6sjvOuC9b+QNogI55Qk8ugKN8q7Yv7RXidBbGivq8OKa0bNEqqWMMUFS7WJAqlU95pjFq hYn44bg6htW6+DjqX0Baz5rqVEmrpIdoq+SmKwOOXFJRIz33qS+7LHLugZ8N7iincsPb0GN8rnQW 6chLbcbQlXzusR0FGaWN7qr5vpPZvABgLug4L13f9yUXcd7V564XA251NeZJQnPxtGg6FfFlnqVm Kkj2wsMuYAONf080Bpgla3WFMDWIEyl8SD8kAXQHEHX9WUEtiaoHCVzgRgMfbHr5EUH3gIUVMUWk jaM6k4GnYcSzE4daHV2uxBBD1zI4iuwC+IoVooBhj+MJhM+Tl+ZsfdxKHvGl3jJU3qHfGRToVT/Z boymolwZnGADYy53sqxvYDvB5H+V2AVOjRW1NiXj+MVQsw1FbAPT1mLhv9a1epeSvG13/79TYTFl pG9mZ9bulRgECvPI9FEbca+ZjaW0CllGymnYFw0H4gXlYvSJF1KboUFLUa/vABAiJeVs9GmiKTSH 3uSE0OmaEXNVl0tfn9Lp7mbUcp8hXxrEIan0dPGOzhwl8XSunkK2CkozcoGgxatvEDb09AE1FulS 674rQDK0vLH29rc4nI3xFWZSNAfZQ9ywQNJfr63r4L7xg/Xci2dN1IBAVzeW7DlwaeDtmwuqBPsd JZAnB+Zoi5wnY0qRxcqYKL0WfqbKVucy4Xs6wuDon56cuZDYVkvZakc45zaK5iFH8Y4q9IVj9KmL 0Ji7PcwblpQFyVD7Pe8t4FQhmiiklhEUMEGMsN7J+BWLmkMDVjAcfZGQYoDC8LKIzWalHt6dEAn9 IKSZ9AETdCJjxCg97979fmAp/NE0KYQQmOxKTGjObiUsdGUuE6KkNglwz3tKQq5C66DN091zYT7R JRB2dQiieIPyR30rfzfCSSdGYMQ1Z79/ujX8NZBbGRuvPBV/rhdlroIpH7OVVn5Z63t1CIi0ALkb nbL2B4kx4DyzAXbGjPN35HrN6d1pWaT8WsPUt/fnDniDWfkePOLAwXdYoeMGeEbvVvsrjdUfV9rh ET0mqJZ5OYmWoQwvd3VIoHe0+WcCnjIQYPT9k+JjrQRitytfABCWkzhGx1uGMqxlP5J9WP3xXSGP kGU9qOW0ONxqKfHX5o33/iZ2R2NYrG/SJ3TZm+onqSCQuBpYcobrNBBLc605OGePDvnlhEpRTp4S S/q4IY2LqPsqcJTcpV2rCxmzELoMIFoW0iugaGPhCpneYkXh7PRC5sCaAk5F28edm7y/lOb/0fqr EP86ISU9mZ+AHCEBo0ZVPRICSyVgqCIWjWFbMM0zlOO57uIC+9IBJzSCCHIGkQrfPoGS8fy1XgdS RRKVwv+r3lL8rP/zpiyNIk5nQ+ZQShwnLeN/x9RGDdWp51Vk8uBZrqzPLIO6Ak3regyCxEWartlz Q/f3ybZ6IFbIXhJ3XsFr7vrqxC2kzda9K4zTbT+TcU9O4UghnFRXqrRtiiU+6FbFdBo6XpClB3Yr UuVjGIJpoHyy4EUKd4L9uNVYJK4RJ9HdpayyydsQg6JX47XFVVuiHmxaEqu6VcbL0236uZB8zWV6 f2f9IWIjUBiXMjXVXWBA6WVOOc0NQh6tpQuIl5PvRDhQy3FDCSDdOdQANapAWQOX4rk+KYOqRpag 8shRZUMjFSiGDQa+CeCF+yw5rfM+R2HYtobJ+m0n8YTlSZNcL2NXY7rONTMTJ/kwPNqgP4vzSe2F S6eOyw2aQg6wZV27DWdmEb5fNlc4uGqh8xRImDGKr/yWmq9oD8R3yzwVWJVLKDuaqHC7eJ1WelBc CmBM7UvqGUy59TVAnO9QFNiZ+TY7T6bUkFWdkhTDkRMErtRb5VHarW/AqjNucQPYvpkTV0MmaOlY ObVyc2CcYW0SXqBQOB8gWgF76zmfANQhB1Z22A+VLqDc2E7lndduDqwd6WEptemVIaK+SbfhUAPA 0QpU3jrdYDZMz3PUKXGj9LtBrpkamz3kTuIyPTMfiAoLZup7U+sRLMdH9YrFuDzYkQcWaxzZV+82 ZenmtoTBqViQHjAtTFI0SMSG4BeXlRHRLP+oZz/m1SWr/xr9/nw7Xdhd7lvAgIfGxEbunE1SfLgB jaZuwHtay8sduukvYTCA8ZzPLfpems5TSV/GZ33TEIzc26ze/TqPXSnboEhFdVwZn69MFDVg8Ri7 krol/+eOj50BdwAc92nAKffMoc4k3cONx8izXvnuuAED27KRcw7xM/aEdMTbep4S7zbvbakOhQXn NNGXoelVN4nnBxXObtw2rsTBy553Rca+pt4KuqdeykCKil0S5BbCVB6Km/M1w22YiJ2/O04XHS+F T5ddKRWGJLM0uYT1d9rgxsKC12PsvJpnVhY2nvOJt76+H9skuVCFa/fp5wzk4zzgBg3ZKJmiH9Od Px+7zjL3jkY66eQTleuDURbef8K8iwfw9jqsj2qPxvt2p0DFqbN5MZG5ISXTZhSMbbYOcIJuwLR8 ZT4srbh+Mswah1Xc/5iFs7o/EzT++INglothIHNE7Gb3szerekCD3eOWTrsVGn50dYm9q14pNksi Al3r3aOcxx5uCEc5oS3dNTOnvQq016rpA5pKv/2ACUmIp1KJ9Nye8ohpsm/RkQR74/e2msgIg9Mb q/k7eQh6poiFrCnkfXao/2GnR7xj++HQIjUI/8HMdFUSW8yk5zrCf33g5YslwAL4yolhuol5IgpB z4X0jadJzcQMnGWRx+KX/lxSMBVV0BXm5BCL3UGq4OJhYDYZhIjEbk2JSYyCOGi6TzM0DK6BX0H6 J7y3kKK6UI8mOONQaR8dgIlxQ58HS8JkDTJMBWB8my25V+HkQFO9h5K69yJfW6OEpslEHC2kWhiL lVRf82Ze6PkEr3j/6mLQ6+5lqJ3rKxulkTnbB4mQPE0djvnUHAQ9CG4Omm97O0vtOG6hNV+LhhMl Q+tZnMKbECi3BCHJeRKsMjBUo9U4h5zHkIy+3oJBQ0gIoWmuemlkFcVjz9/FzjSy8ESxG4qg2zFf +EAJjbRu/8SdlbDquAo3BKQ0rWx3VxjwPdLCDT4xF3JfGQ0HxivHMApgeAl2EJsYS1mwYwayK+IV veW/x57GCTVID4+TTMpmT/V+bDx3Ui+qw+GVki7dckpbKEcSYo1rTojsPes2I2bG/CZYNAdjmCWw GywweaGIMkESQYaHATvnZP5oAXObj4BsRgTivR+SHsDjArp1DODqYuvtH7mRkG9Mb+u0sVfCkdQk AjKbuoQm/MGozUSKL7PlqwuBLaZAYBiq9mXbaZeeWX1fEc32hxfhQrPlMfSmTNCSUIM1YkGJ7waP p+nyPO9LZJB0tjYILOVcdcPCdaE7lPDHo6bth7gE9yFqpWdHL1FgHCT9bbW3bJdSk5CjwyVAxdeJ NdBCol7/AUJBUaLScixhmuzDrusr0NmbXir6kJMMGtKxMUj1MQ15VQqPZXnN0D2ScutFFVRGnD7J PPE5/JI5nT8E9S4bVwHvn2kPntMrxekfYVbgpyjzBywNatpXF7tsPa492pvN2yy1Lc6em4Mw5F32 527YLQxxkArrQyBkn3LRHm5HTSIO09r43+oMqBefNsGb/pMsXH3JuKKQdv85/P7Uh/qMJK/OC1yh 6t/65EOl1DpHZm0KVHruGyCUl2N7UIa//i8wahcUaC6Cpku4QJSG9KWQ58U/6qJW3QDxUISR04kX Lr0JIsvmfr/QT8om7ZbEP+rEX3ju1r+IaWbsWPjpYIfqAh8qs4vDD7YBWn585WcMYZW6Ynw7OkdI UvR0gPSMU5d/MnC1LjOg4lQ4IJ2IuGPu68FGFhTL7Z1/m1A4YPHKS6LyPy2zgAflHGjn8jY+TgXJ DtxZ7FQP5aRU9ygL7b5Ht/RtyAq3s7eo+aUCS5pDBBsT0nEiOGYrolb3Qv4TsxelrM4Z00HYd3v6 XNAhkIB5LvC6y0XKQWtwifPuqhUN9uxhIoM4pW0AZOQ8B4ww8TYQMGBEgn1mPzIOA++O08TFC0dT WBOdNCbwKo+3Ryzl4L6P1f94ZI++BBU7Kd/7Ax1GNlmXHhLIbD6MoZf2+xacDHuDpzh/w0LzMiQn 5v/wpDv+F5MrI6wewM7CAa3HdWBuKd/r4DOrdzMElcWZ1nsO2DfzBueSzJPFbPdngBof1M+ekCP+ 6xIGeQ3sx8FSyFnizB9tbMZe0A7BLZFe5YK7jcAgo84YOwpCTTjjHkU8qV5E3WOK3+j2PNs7WN+y Nnd8gV8o/KEbR74Z+zs1fbQ9i6PwCkhiipTlCT1X79asAlGnkU/OncBKhR97mOVsSwzZvUyF+NBT bMhbO2UMi82Cy40wb4Ln1c8tS4x0PUjSfI1VHKPmcV+DTVkIukmvhBYWliYv5K0fEVfhppXy34f9 SAbnnyNcnfU28tbYSeINhM3s1C3kQout/Nev0WYojZX9JO/UbUvEZKa+WSEWl0qH9i3iP6KKM4Ik DYK1c0Gf34TDFR6pXYkNz9fqiKk7sag4v7ncXEW+ULrhpzCX1m9BwToHw6W1WjagE/C8XnIfK+dp jfn0jzCtCDoei2VPHi4sfSi+hr6X8Wf9/JmjwVDw9s1tqaAfkNn6cXrurslmtAHfXU5FLVdMYOr4 XGXBzUURzko14r3ny9kDzbcO7jfK4RAWaMwl53iId3ih5zY2zN38jalPlyHs89QNsm6YPPfY36v7 W+Q8Kkixz6uoRL9C1Wx12aJlaicBgRK84P5+K2B3Ir4HjBdDbCVjwgqm7GlZuB30i0DIEhT4ppc/ 0aD8sh6gp5GqqE4obEmxxmWOcnlb++35JscTBMIabdIOuOfIMg5+5MfZUIEueR//5ohKBVLmCIUl V71JoESFC9pvxLrhcNaydQnT7+we+g8twwuxnjXQ3OlDtJp6rM8GMImee6xQXtAl7sN682LkGAlh YHgXEuQC6kCG78/iIwKQ2nXgrpty040fWjo/kxvAuGw05D8sK1iNCpNrUWUSXyp9hluRkpoyjuBJ E3pLQOAGn8Xfyq+bQWgxPuojQybpwSlXCIDZpEFCnzLQohFhJtY4YaoxJvrHsbZO1WpxqjZEg7jv GuRQkzxKWiOzc1KqLt64zNRyIoTaRRiLTZQmzsfB6PEFC4eRVT2cuHVlsGqzHAuF2fymO0eZLR2F 8gSAERTUuvPsdgFsFttBQPf0oMW05nMjcJJk/ieDX3TFiWNCld+DDe0Khtnne3cfsOMM8PSWNOul mvtAfo3YMw2ufwYdkU+y4K/Xh1WhmTmLjqHnxq9VE4XLQUWbpbys511wxcNoxSiiSsvMk65sdb0w R0lHFbmH+7XHGj4qilkAfaOKwOcY9ZyacQXbJpKqJQh+/agp7VaVy8ik1o+8aZn12kSc3/1XTc/1 4PHfqIs4pa+jYr95g8lPbYVGU5xKABe/DlxUPdvzkXaAVy7Ys/J0/COkVQbcfhkp4FYTY5oLiNG0 Ys95bB3q4QfAZJjg3K58lt1PBZ76UgrZzv8g9r0U18iI9JhMkFJRCW0PUfmS3dY22l6Z+YuVIvMq al6KWymKN5pb69JQyW+gWvIQUCNkAwlyQ44NahfDWDvXFnZdJZcMtlBS1czNw5jvWE4pARpym9fd D2llqogXKJxuFdbGJx76OgqDYwAHhYeKH+rUfjhcjIISJ/XOPWXeQ62HAbg2V40hzHkb852bg1k2 fWsB4evP1cKHW+Lj3ZDGJkl8L5hsXqbfpRtLlkWBOVLSb3kdi7sBnIofiCMe+QruaRoeU2JGg1Qc tU56/gsK1fJ3S1/EPmrp9x717lpctAqIz9ToQvvMC3TuTdEE6DvPq5Y6FD//lGZmwvY5pThmcDiO h4zfl6IdRMubiE7Q3m4NAJNXKRtfDkDml4ROoSxNGZVxfjTXpQsKq85hhTJaExqDEAkqO0I/5KDH rfBz+g4zblBgpG9rlIoK+MuHV7sBGvuCN9hfk1tCEGAFm03hxth0vi+O76ZP/WfP3xCeKmeEBGjk 0dK3w9UTuGUH0/MfpqR4C6l5DIO+kKGJyshs6JaoaYZA8q71vZ+hgdkPzoMkDo4uPKd8SUVan5++ QpMqedDHsmx+S1vbozRMkbcXM4XuVPOnj+Xt13OgW5SjdLMEYPTOgyYSeLQm9twB1tOYIkMskDF8 4nbNhohz0fOnjvwrPGuMmpZMp6qlEbEG1q5Kc1gMv9/dYIgTx/3DPFbL+H+BIhXnZyZmLiDj+Qwd CKI8SjH36u8FgQEm3NKoRX4gJWNrNvr0kT3XYFPmd9fUEbLOx3Ksu7E4yehiwu4uhQxEi3GpNOsi bIF5Ns9ivGwnDSxIyBLcPY6fMLL5ZPFpSicjQBMPl7CCOlg/9q+pb33zamuxMsligCUsnq1FI2/w yVkMntQElWeFxRrIXMPVerUZZNCCnosvmlpB6Rxg4cUEPaRnIko47m7VWf1CcHaR/KyLOKCfQnFi UlahMNjbZo+12Xg+3HMG3GqtjlPNNlYdzCsSW+mTTa0uonLbEI2mCFSWn0NFfeKrVqrzx0qWLc9m CRbL25v4AZbWXdR/PfdNw3Dj9NLKV6CzA2xSiYjYzaB09MRXOnUrF/PN9bP/5/IWh4qkqAFA8+no VLglT0bZmFltd1W/cwvOHO7T2oxaWK35CXOPTn4RnIzV0vmsEkOLifksxKPFV2Dk+QCG73dKhgKF mlCVEUoRHGX9aMSZFjOIl/rldwj2j6A+lJV6ldXf/hXsNya2kRFFHmyYILg/jrmIzpfADddWI/V2 s4XA+ABFvJUIE5IiWh0e/Mpk6obEzilAB7LiX7B5X0uZuzV8aRJw+3jIl8p5UiVxPtsqLFlgkUmx 6GRNEOZ97GU/wKnlyGXiwomyGpVkoiUHwa7ey3oHrZEXcXx8Ks875xnWNSNr `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block VZz3gA1OEAo0GderLFN1vAy9VjW6GHfNIvzuIsiYL4pObhfFuD92v4JXddeUi8Mb9uiE9mWeniAP 6axurY4i4Q== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block V/FHqGcuHkuQA/mXo5Z6hby0fYqv5HdbLwzSf6RRkitLROmzZ7kuem6lbOkkdKPEq7el37wV7LLB lS7Z0SpN6+NFFxvpJwmAXsxAlmNILJUkYM2qy3RGTd18wZzOLOD3LW/CxHw6U/8KDCIE0QPR7gPZ MonMc1sdRumiZxCH6p8= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block MhNvJyoQvuBNKA9BY4qs8iuN6gsubuCwSLP9+9HALR7b3OaTr2NNpcJWL5Z2I5y4M70GpNsDLMPN 9x6xxl6dDjiJRZECV9SwEWPnMOiCoaB+AsXeT7vUUtbJNztLP+IJM8m4GxoaXa82G7GmovIGBV/w 4I8s3WETrKiD+AzsZGw7+K2Rv9qW0odg0raG3Nf3cg0Jj3QVcFHpKgJBjvYUZ5EulGHRyU9ez7w6 y6RJfN1K1RY6s4t66rCqdUJUgL6zuBnooS8J3bfZMDJBU02FgqcqfaGv3VkgxhqebXTwUHH6dt05 XvK5nC5Fa8ivOVaKTCai6uvlheV1U35wjc4UaA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block rQ7j2Mp14x4heUZNN1sxi590D3svKV0yVp+Er26zbuDVzZY2es4L4i8KGLZDZgWNlJ8KygSbSSmu AMrgF/9J6L45adeffFOhMlUSE3dKMc9uVglmHX8+NJzpjX5dYFIRPf6r2tIEsYL669q5VkCA/E1w OYrkIhxgyBWc5KA4bdA= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block XSzu0gCO60UCezzrasTnl1P56lBSmB5WoyDnA9GcGthkxKlRJzbd5G8JQBmzgeQ/X31AQOI/hSSR 0KBXcFTa4p7F8sfh56g8EO9ICdlNGlmK5lwucB30KgGIDn+D16gBT1WrdmuBInOK9hVfZpKxtH1C vNGY4AZdGokYQo2eE7iEU2Odq01QNto1qz8mklUCahoHRhjnyHMerh56TbVU4kjgnoVqlDhf67S9 1gulUL5I72iVvZt4D9d+OrnhSSYIHIsZQNCxGgLPpQh16jelRUvUb8ApjVQqxWWD45oYQxzToKeP cjW5Qj2B5xwGT/QE3ivcnwGi9iOvHlavt386EQ== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 99168) `protect data_block +SfTMUYhoEZEGaPCN6exMh8+5eINx+9h8XenvZKnYT7lUL+SKIud5B7JP92jml/r022nFvj8ljEq tNdfF9RCQGGTHNZFMjYkXDv3AR66TL+QZKuZLZWXeNcUYnnD9SKG2ktEPEeV+OTH+i17D0dQ4dc8 bTXU52lZhc8JkWmQWsu8ynkKhqD6BWq3HkGOlzar6pn+tCzd5YncrCeF+VThPMaAk5jH3m3g/w2q shWfF6W50/ZK6pWfVJaEKW7U7W0hPjwY7YHIv6wk/4Jcp8Pwd541tlwVviXKwQjgLbi/+ya/aw5Q vYOzlQORcZtlqJ/CeO1zAkrkbAcuC9SpMsBws6kEA4yPxooi1EGUbBxQmv2usaJqoZPrUC4AM3s7 XVmpRjfyfU+u6hiNSKCJAtNK8Pf/ZVdOKrTF0UJegfeiZipCFWYlhEa6Vw0cve18V7/celtgL6Qi 02dFMt1MnlCIdiKBeINSQhseONklNm1inHBg2lrRZ5XuOdwt6AR8DLy5LFbzz/XotZjUj9vBDLZ2 8k58WFKvW/AWi0Ljwb27U+NmazCXYCgQH8iPRWybUx6am7q/HFbgCTWVa86R3uAGU8Kz7w/SiIGA ClEqs+5bCxCY9YpO3yTjQn7JNsxMI9G7lnyf0fwoAYJYILxPy3de4EuZX4H4j/F1DKCkUZvShPC3 ng9lu/LnCGNXEdDmP+kqccxCtS/ya/YdcnB0JXG2jEDT/XhPYof02HdktePZVeGgc/+4TxPYBBOS YkYFkpf2HK4bAOWcjQ0/YMGV1WSGkuheG0ZOAxxJ6QnZ/2u0i7FYir6C8ndwT/WNLifbO8aZentK 2R/AcYVghfUw5wkqdhl1payu2drwWUDm0YaYwdQOT+E9YK0srOSEVIU2Wb3/33y+ERafmZ2VMT+9 Vp8XPrDUnJbmDnK3TfxLCXjyh5gUpgnPk0dSInFh0h9gT4dXxO1nRA5535HNxGNq+JOxZJlgrrFm Tac2CUXoyV7aP0Xe14PldjKnkWjCTIYLtrPgf/QCpSatYDczQQxPhoopicnFvRoIe+HazUC21kVL EsQ2TL6enQduIEXH+eKlEG2Yj/9m3X2d0GaRre5K/fYk26T18/HQ5cYn947zFNAZVa72+QEm9fwg qFuCAfWCy5UFtyUTLsRnSceaWF4fQa4hyE9sJNbj3RCLR1MO1OU+NyP8LCX2SVST+Hh9dIzXWfjR LtI09v52T55kfbdxy+5YPssCOJwB9+KoUhRmBbVb2oHvE7FnYkW15aa8Uw6F6uBpSBL07NwsYwZR bbuccv80jiutzHh0KsRxI+JHSj24nUfZ0ofM17xOeacEqnEsACqKoKty2SkeTb34Dng4ApYlG/rH upureRjAqyOtsFzYq1SxsowaOOcXj1+Iw66GWrs7Bb+dwR+uYhvStKhJjrqigEFeCDZcx687OEkw SLnCBUM1Jw2POetGI8/b3N1Gz0viUR1dgCOMo09NLXUm6aQkYgW9B3jjqS6HRjG4VV9DS3kohLuS HP3TlD4vytI3+uaMPHfLi14oWOeYfem79e2HuqoUbR6FoUWetnbGJGZe2iWCWg2SINTGFxm00Onc xLtWRCGasWYBw7j+ZkrHQWiX0k3XIneS+9jUPuq/TegyrbMwzCzwJmvEO/XdXsyuawoTLet7rqhv /S9YDuQgDFQ1A17sWax1RHLy5o1ssg9RBw58LVCBgqGDJLzq+jrakMiPc25EngaDubDWZhU+6Dks HRYt2tfBq+3rqdiyd6RSllBQWMo+ErHVfNpHe6/rf90TNWE8zSSGOvzHn3ssl5SEbdvknDMaWszR 9xJ/EhqWDbBRaW9OnSNBnUHHeXCWCr0Q7tbGAW3DFJO9/pM7rw46r+HuxDXQ83YPI/6jRkJWklvg cOt9/PfrplkSXryD4Mk0mz7XD6ZkRd3UR3gkGBV6TbMrQJjaokzGJidNzBtozgcKBUv2ZyO2UYvY r1Z3KwyfTVjRo8yC7EtVi5bR2pVuQnxpawgCUqsdXHJEXSNUTyIBJuidg8A9rmRZmbPFCbBvflrp vR06UPQuzhkPM0MP6OMUdb4bWtCl0CoF+7gCfST89acZ4J8l/jyxZgqmozk2/rY8eMyQZoxmuZI9 EKfARwISgTIblcj2A9a35MlCnCuE6EGHGYxj7g+2qiVm43pDl4LUhie/uY0haizTya7qhEWCMpbM DHKKeYgAzo5eHPSz/3E35PBqKqhsDxF+2jQvD8TOQudka5xIsbFyYiKIGuEVKPDfwvFr4Wb6geuZ vh6sK/gef736VMq+NMwhttBZWWblU++N59/kn9QhHjqtXemIPmNjFLTY1551+K2Vp/ReLf/ItugD 2yyELVibvL48exJDhzxlGQSEUL4OrEUzZhZNVijIh2POY841oo4oDbdTpTeO9rqnaPuBNwG0SGjY aG+x/OVSuvkoMOP7ltEJ8L6frcxhcIFBaCb1mstlTxHxJGV7EuUW6EHMgHs8WUdUCqTygpZ5HETx XmRM2w8Hp1RJoNUvVoCU8BlmCLxwxgPQyLusCTMf9QU2vQrehhr168+TWKAFvBbOfeWJsXTmKmPd KoTb3eMQdOAHIKtMXDB+lcQ5/gtr1KrVw2GEaSX1azNWcm15lKlGXwwGK0xpNXwuUwr3B+RXuHB9 khcHu6t89MuCv12y8ScdMdVjcGIP2wGYBpU7ZWZPZN4HL4vOA0Arly72YngI6ncA8pK2i9F6Hddi LQaYzWJXVq0pCd8Vxi2UtRE3/2cp9IftpROGb3tOkkBSyQlZhMEiHYro8Uhr9Xq3FiseLszdQQMx 2HttMaRSo6X2Xw5Byh/obUeCOHvOFjvd77tWi2ZjxWxO3lIfrd5uHJRkQeeayCbkDt3niDXDmfBn 9wZsGelQgnYjd8TgHTqeqt+18C//bJ+C2ZNzhSgmDKCHbQU5r7j8QkW4bJqTcLidcfmejGwYX6TG sHT1PdUQtV44faSaQYQBlYYpnWgB18nla+F7L5wt/HLXp7dfNXSTnKK70S7wYKbOuK71BJXnVV6R tBNKmwBh/wS5EMWfkK8kcfkK0twlzP9xmf0UHVAhJ//gTDmzuk71xXD/7xTl+ds8DHw+f7Y9+kiv OwoN1kfP1FF8kwNujzwRj7ZFYf7TUTTZLq43tPN49qCNr9OxzwqjmS/4mapkprrsJbq+eoYz2vuK NlWb/WJdKAsGcI/+BxCWDPxrCu6eiSWQ4eu6/k9rAGnOBWqVn8vBt/UyHtHh+dyKzAGsG3QvqMY4 2gd4ie9rgzN7oJRIs1XVMBJh95UPMozuPPPN0XS91t69HvIzFHpP5LPK+T+qS6awiHi7PZFmOPmT r1nrrX8rIeDquQEJg/K8iO4dpJCK7X1uGpKQAG+iSKuJH2hAEZr7aZlNvLEvqu7Pe9RCwJ5PGSs+ +Ldp1BHxGiyVGAaeMiiaTERsKaevqvg1XtjUQEJ07q86FoXcaMjRoSEUv1fUBd31llzZkaXN2/7A +p8s4dCyQ4mwmcW9I53d2fsouI8Q1RvkO9o/HShVUI5h4sulFO1TxLgXTqe66YnjBF0I8H2JmYif /N76hJvjvGMn+cDElOAFvcc1fLbEaggQiuZS6oyypmCvg2yhE7CpnBEJhtQTYnxnOCaQQSZGh71f CUTVQRtWcLgR3jlrIDzSn/CdMP16P2mezdKg+lp3/vMMKF9yUh2s4uoqA5IAREnqFWlwrI2JTvzS VHpXZThIuSAMzypQZbeG3p5aHq15TRkIggF+Nvy4YK5RLmDTbWobuN6WgwbW4ytxgah2+/MP7Jty pI25N0+99wprjGu7Nzh3uZJBhEYp9f7jipEtcKcxLFIPbHOYufDc0u6p1OBO8stdPDn7UUGwnJfc wHL/+gnyGp514f8SrKYJyouXmd2CtKYb56ZudV+J5JqHkDY/+CijaVbmv9gN/NjKJ4t3BxddUigf WqQ9bKf06UIRucEnFBlNEfFn3kRUapB3g86RcQlvdXCrN4tNDMejKm95iXokAoO4g271B9rFA3+q IYJ2cnizKxWi2G8uQ3TDGDVUDgM9MrVnF6cT/O/dpLWjFr0cUT7aKXAfI5uC+lgUowLo359tcU0V KM3Gh3dpRUQJB5b92zJtGDqClPKZcUu2uernXtRtUmNIOeX3+h/kvKjgpA6wbtwvOmoeUzcqCxs8 FVx7vgSYbvEY68IBQqau0V2eKhHscAuzqwUFc8iGVXA7vzOEcdBXCfPrw53XniGVkL1Lh6VKpRvR yzlYGlDA0ndpqw2McDDAuK1xdbowGLb5RQ4+XNhZ0SkgHzti+H6wMsE256lsCXoA8nBP0Bc22ZjS fNKrL5pe5pYJsKZdTk/3ujRwb10y2uEt3tv5batUtTXVfaG8fX0Sjc7eXzU57VrikRYFqX3CXP2p zBRqqskl/YqJYD27C8MSPyPSSiQYvRkDbD4tU38VQBBQTfx+nB1ouonn3DQg5jTh4RweKZ9OEX1u E5J4jh5+8cRbPJ8DwKyS2WkNrAqVcmdQ5QYqiEakTSvQxDzjoI3Y9a5C3bFzLDjjhH7ycWb2VySI 9MLZdMYWZSfPhiTXOoyrhv0eRXJC4NHAmr2mIKsoTPX8+f7GcvxjgPJUv3Y5QmgSpsXNKAd9zEGg ZCuVhVChqRvv18/jgKUHQs0hAJyE/Lg70Bh5O5A1UHYA+ITLPzFZKcu1wK+gR6GRDcFDjgaEClL2 lIAMUzPe2gbdSLhFkPMj7wYHDpso7dPLRUt64Qb3YT7VzRkWE4Y+Wv9i5JNtYACI32MaNa0yXTlv NlQuk4e9zz6sIjdZIY46jNQe3/R1FU1XmtcvaDdUt0tphLpV96QNS3htw57DFiiIgg735LnAtRod nNkRfjjSrcTTlRdnGE8xNv7qDnI6ZdA3CW5PMmsVko3EVqpfSbRHqCJsyXjXFQynMeItm73A2Y/Y fmBl1p7k5Pb1kaGTZkGyLDqQGW4kfsDpL2mXaEqnBdIQnxk6GvX5BUPe7CVRQGDzaFKnNc0iNEra 6by6yKqqlRBZqHJfcmZY41k8iRu7/9W1+5LdbopU6m7NaGRsnezmZL3YTfdGlmvGLNWC/0/XApnn OuUDFX4KhVIlun2a7R501pK9EE5mrpxe2Q7OHv+tOVEuQQ887/EL1r9wVOmbZEHR12zZ07gj4r/v eFmv215yxNd01mNILTPN6nBP/sNcAWqFRO8JTiwp+sj/j/tPY05YOgQbqMZdf1pPLLiLpXpfLUQ1 73HhbhYKzduzZQQne2AYwldUj5U+oHVUbXuzKDr29FP2X5htlcaRm3IEIXL9jIdi/MbeCajX/VPP +4K1FuKdaVQdj2Y6PcteSibd5+k6bCUKHVIm0ga+XhspuTi2f0/qCnLmDpzfwoaFYBPl2mUTniWK ILDX6xcgrNMqjbCWF2qS9aMwIxIRo1Pi8TPLBxQEgM4gvXxTuvHvwluRksS4ddrZWHvRYWT7o4wF TN3dAHhOBaG5rRdYKVQfGsGx3sr5ZG8S0+fzRv+lbf1i9L1yE6fs3vjkIllRwgicIMr/Re+7D+uD FA10ipj2SuLYGNI9QrOsoROZnYTXdUathKj0wpei7Gj6I5VO4Nzm3Gx0KVeXTIGM+Kb4o327txo4 g2wRANNCj94bBdBdS+JaPuAxieq7gXbMBcGO5FJ4/waUt3Ln4LwGH4M1sM8INl+fvXegoryAIGI1 fdtzL+PdaxNrKA16ERfQ/udFQu9gAnxA5eWNJQRgAnVPJqRetxex1SC68lsNkzw8o5ihh4+07BTi mad3siJogE9rZmlpUZ61cNHUBq9TJ3dX0IRcsHY1lCr6dEnWvIAYmBOH3PU4hPTZsA0rBJRDslc2 NS3LK5cTeQ5cY70mnZoqbiooWIQfkSxbkUVz8X5GSnhkbcggF8oeYZn5e8qhxDFsgubhg08hID4F XtwO7TgGFjUIByVg8WDhOY9tk82WHZTORexsnDru/hUnSFh9/KYLS/RjT5n4noJkOX+Q9wVORzYN /1rQ5lMHUCiUqNSS514hLGyaRMCuVEKqd7QfuGuiXXWrbgEdg09sI7WHKf5jQ1Ei1NFE2ALzdQjW 1+AGnHIkzviFMltb+IpCYTPNtxgO6RXwDht2UOVSFgoy4e64qRYvo4cBOtceHkLn0v2av8svQwVK Bb3FZbdWQeEvaOmoKMcIp+fDiHkTKyxMMI2CZRMLaaQytHiOGlY3hfQwXjaEk7x7gF2jKeYxiUrA Ul924JabF5zfdtH1qQSuyL4If1X2RJ1FHK1SkWMQWHfGJZfiOr1cAXZchBua1Kn4KjbmI7BlJ5UR cRyU4q7gikDw693gskJ93s5DDfo+Tq6zqXtFHZWaPTTsdKJW2APoajp34C+D1ZBlhI8XfIQhVyj7 NR9eowDvgpiPKPWNvPo4c3xCKLiXRIJQI2DN82whv/QzwVUD9woQdio43jMa+Sv1wA8Hz79iH7lv 29ccEzFuieyekQ/nMBSH2+bXhxTm4tbgculG1nNxz8rGg+Nd4fDQsRqt1+b/SQhPXnmQqwdYwO/Y AbK9zCUSJVnoKOIgxI2DJqvBmUQAHRTK8muMvvgIAfuWRmol9YGRuHZbyl/9Cy+CgF646eD6ln/f 43TLV/kunqWljkgfTvL3Ud0F4p2s0PNoX76EXfQ/dl9Uc3T4+jDyqSHNQofgKgvO60YTnlf5Ggf1 OKfuh7jOSz4Krr5nSYIZYvAmxzA20qCJ/9H2k/MJenIsoRC5STXb2sk1Hn6pN6Xjz5URPa5V911q lh9njqsDzMQh/anNR7tA2zG+AucPwAo5qd7DKM2CIwQGTB3f7T5ZbxkL6NQcLIl1H4NsEGZhjhUv jqzhNVDIDWDyNXr0ukyX0nNKDn9JutrdybRKqtEDvVbQDj20/Lbpuh0nF3y5KReCzOdG+HVB3msm /tgOB4Jk/DvrMCFkkYG+PEfhZgOrYkQvvcRl6uBuM+MVA8mDwd73cq85R4VepT4ds8R18EKdeXnX 8QcoKNnzJjiflWfUZqu+I8ykhrQ/DPq9Mc/WG+NDqx5Ui6z3MooW6+BOce/t4QEpM+fH6NPYRg6Z NIHeHSddoV6QlfoB8dA7m6QLzE1oI+/Rf/69mUNmUQIyA2sbGJJ1/foQGczdJt8V2bgkNjfcr6Cs F8rbMeJB+HzI3fTqpwqUhwTm/WDn5pFVaoa2IeX5/U/J9TIHVDVPngW30bO8387rwBkwxBN3D7sR wX+016uKcwRFwHpTpe//fG4RDrG44FgqH/QELydCv+EN2TNUHWOjk9tqZIIt8tB0w0t1Lw3ZePhI Ue9IqarulW0A6hKKSraVXOZ0iYlA/bhnbooE/kQaY4Dul67QWfbMhQlavsxOds7uRvVp8I7LUD4i ShAWIoFKQVBDYR/adyNIfrHvFv3zdJCWwaJNfpsdfwaOrnlekyf6JA9DyaOrsYCK4xIYLPmRdTP5 BcR6EX8fi99PHlOMtOgMJ76knKrzK7nEDkvMg/duI0UsoUXcTk9MvBWpo9jQUBoi0+uVuHauWWad Gpq1CvpMxYB2esJSxl6wJb41ewuYdtR4YvkC8FbJK6pTJYgG8+/SzjcpMFoJr1dewSQVuRA5EnO6 wwdlVTVLeJGSdARyGHsN0G7sikRzCqul6Fp2s044HRoyNKY4eL15r2AQTx+iyiiqBSvTwoDNVME5 iMNQJBqkxfcGZb5ecoKPVnm3rfe36t4DMX9oZLoJtdMbariM+cNyXlkw0EX/vy9xY4AB0tE5i7kC WK3crQwur4Cp8xIzJwzDJEKU6O3Lm5ROVYdSRDWy1ZByW/TB8GsXYIXcRcRPBsJxA9uncV0FwlNI VooB7rctavoEGqC6/EwVSyPB1jz3NBZIAp6eBtpPXRkML2nYVHA0/fUqgg8a4xmYD636ZI9rHtBI UOfqUztlPvGEsKbMXSyrYZFjlvgxbdfLL8kTEdATE3EsH27Uy5Ua3V54epUxSV3kFqCRjLIxY/7r Ds9MEs6tMyvnk9hrid7jFmsvOg5BpHKAYDNrb3gnC7SJLQINXaEBo88RTmULBBNwTV5rc1TQmIMi 5/7NadTE7Mv5U+pK8id+t2o/BDchQPTBtHp8XljVw5k6I3mfhUxrZGQEuYAMBEv0R6OSfUSVmLzo yLUsaIwxgBCKyqUhdH6s+gXrwMgPd6vE5gVMl71N//I7wuT+XSMSdwRtXED8+HxCTdGygAjXa7rQ tJOJvJNZ//oLAgRaZb1DUg2nqcmaigz7nA5hqplF8AlJeRaQkPdm1ZZrPUFyVzBvfMNs9qhIzAsj 7/7nLsbq6buFZmG4yye6i8L83V8i9jWtbczWFdnriz2yOpRlmbOzWc/+b5UKNWsWqWNUwhETz73G YVARytV4JIphmtE9D6Gn1lCW6jqKaZ31T00IOOhRtp1z6N+jZ6Gr3787WV3n/YL6ZzuPjfB+XXs3 0rEuXppcHUG1itQTqKBveI1r42udPmGLY4Gx2zz5uZhvT7EHpQDL8iEUKyWRvvzQmeU6WA/uoftA VsYTq2xeDNJjA9eqJQSU5oMiAfsRaotuEdQXgmAprTIQTtCkLvpTbkFnysQIisZ4+Curd/tkrqr0 eVtGMUA4DUNpixZVnfzrqdM+s1ANo+jAEbtkaaQkvl2O8xR8chwRrxx90DThXOEDZLz1pgH1RC4m ymyLawgqxyNgeLXy/wlSd6NcwmEJdcYH7T8MrsEvnmPLzYeT4/a+NQ2c9DodDC7VsbrNnYFsPKKA nEWt454H/VqxOwCb3yhXqL6vRJKkzj/SLVI9Dh+FSBTIcAd2WH1VWSksk/542EjMHcU1MpXqK0ex mGTsfi3PRizcvCNmQTmFyWrrfVtDr/JGRVupbZerrFiT4hXyzcsA+yyNf5xrhAcFMQtnQV19S/8H XoOstvDMoz+F+iD7bUwunXptsc7x0MZfiWtahNR88QsEW2MVU5RUiGa4/u9eRacPACSc+Pj+FvSO 9o4q57PhE0T5WtSP9F3M/LzHTN2QB3UsfumR1I/U00tkZTBqbXNrVxpWush0LJJ7MX6CBsafPxw3 0mj7+DL9IrS0OGDzUoQLM8N4OQWX/9guPrmRIBEoiiuxIoUr0B0sOWbeIM2GUK0uythRMbcC8Lqi JCqsA91Enoh83GLyviRVIDfehmx8ZMyfANnAvJPCBRE2O5H16pkHMA04JSXQsG5+6hrBNR3pW/zF ALrDM0PsSFeVekGE+FVyOfjtA+Pq5jThuOWBJOOSLWew07UTUIsFFbT6ZqAYogQumuHzryVCl7vZ /mjrCFM91Zd2/kp7IrwJMWnRUfyF7bXdzSIRIy4CMaR9IuR1dLnSfvlDuLoMoBkgyZXdJTrz2o2q dfTmSVBbYZZKhLG2u5J5kyCYckc2Q2FhO7zpvn8UjO9WcjQQ2X3Bnylp0yGmg67QfqvYifMsW3au q0NqrBkfwPCq1VtloU8lzTrkI6owbbwpNqpJjADfZ/NFvvtEDWSQUeF6tSe8r2/6kXpC6UX5Pxmd vyakYoc4uQXOXN9rJpLYijethYwN9q/x9D+IztrUrQtTsg7vYYK1TpEIKVQKsyPt5fCHliJI3lls aRLTHcYc2gkiIqIICxs7VUPgoogf+OegwJPYgiBUXWjo62+ub3d84rvEbrieUNjGK6TkmFSy5ctU IkDv7WozXPVmR70HIJ65kA2tdmuaaf8XIDH+PeT4C63aZcU3ksnQFDPclP64gBnEkI1NJRMjlbE2 FNZZq4tSQQMYEhIqmrac5R5f9W4pf/MQb2qd7s+YYSEGm7xNtCA8azTn218y9mWkvylnfH07VRlL 3DfrXpLhyA6oQt7UHqJRav+wAXi2tFpRTrUsjohgICxf7xVaIhSYsDz2lzEXgNDlaujFZFT8eA9J wwqWGin8TItJMXhzSQBl71et2GuoWiKcGieKBxKPGuAwZuJHAhsvw04nUWiNajUGoant9n/fTGMN BSj4YURU1FfG+FN/TFC+c2PIh9xNIbCmYS1wyE3w//1XePrCcOUH5YtLy03RtZwjde+bBcwc495D DXxgY9z9ydoS/0IFmWi576cpUgK5CyVCi5ala8crnn4thGB44xU9EfludbiCu4KU5pubYuakxggd TreK0+e1BrNeJBWrSMpJ4PBz7BTRxN/O4so98b5+Zm3gx4X6iEVV0EB0qOIyJOcpnL0rGKDRp2c7 oAZjXKrIoSv1csopCplY+jwgTgCQ9lGyRPmZMgFch4PhtAJdX4nfmUnsmE6xzmEmx283N5zJm6jc 4Ov96DWp+R8y06wiw54USBXRnGF8tZWJ9vHvU16vYs2ih7kdY3TFBSMFYKtUFqmb8v4u0NKklF6q 1zOw748DDISz3RTs2iTbjQbD75cC31WqelRDG7jpJygJvf+vd78tk7dPxfewYsjNYE4uSMM4g/JB iYHDTUwY3eMktBrHJsMdbs2/bgMslwhhWhGkk2Sok1NhxFLiRJuQB0QWTGM7IumSlmtV91ACVBPA 4b9DJSHSkGBj64l1JGbLmsTeXnvW84RTssRXilNuL4dCDw7A6K1+gQK4xiZ1u1lbOqrj90BF1tmI Nvf6ar4oTdDduyOoIXrslGvW0IAyZUgrC9EFAHOXXlW0ag2u1O62cIZIEqyvr3yKs48oLqB85UbO WxwKNKX2uLbwinaVnoDSh21nKzMhrODL6VcenNOQLUy5LxTsN2R/UVZU/sxWG+FGuvPdmKCAOU83 DG6GQ7q1omOmRgoKvLjXWkuZW6p+lvZiF6vGVYjZQS4TZDH2RsEU/SoaVeB8hsNMJ0L0IxDmOuzf o4JovKjpJ25o2G3cvFnuqzk9BRcE/UhYcGaBOrU2S3ew+mb2gL5m2jGq1oFhUwsJXMKZWLo1KcM4 CjGlseUQmcsP2oE1haL+41ig8gNsw0lrWm133OD8k/EzV4AcgfUbyAcX4S8qWYGeg6PobBEx0Nrk xxRm2fV6S8gb0UXYemB1nEzbTbMKYkstgu03kBDZxk2z4WUVYy4baMp8+09tzj6BlD+Ob0Twdeo8 5JYYRS7cxOrupdqeQCPpiYu3IMrVW1KsUX49tNvCOSjG6WmkSE3lzOLYA7qPi9cVgeEH3d7MiCiH 66VdtAViA3NLTVygmpMIZl+qtgCs6TTPhPVLRmLCED/N+nMOTDJXiM0oUxD9c4sKfmHK1peuIkur sqcxGlpYytRFugwDBsy5jvltvV7fWuA+J74yGJk4vjVfgdDb7ktwCwDY9A6jCiTC/FEjEccZ48T+ nvTSQ9x4YYzav7wcrsuIRk75bo0Sh0SiUNdvsev5uJn7X7vCmtPSpTe9i0GoK6BgZNx6XTAS+yQr ayccGX4K6+900Ux3ID+leXQ/VJsdF5E4DOYZDf4DSZrLKsDpqkvQCAd/Ks69tB3KJ8W2SdfapI3n LFfckG1DpqlVsR78cwMNdv9BDcFwkVC0mpMcavuExPVPB+NpPJgkFnNWemfxd29Ue16pef/TL06K zVH4k9F2ay0XNAmcCzIIrgiPW9qZSmFu6f8eZaDHIQlf/08MbIL9F5kT+juNgOCvRbk/7l886hLv CHogYxuEy94yzWAJs3Oz+qdqj2VWRtq1yqJu3+v88OqG1C/u3xYfhP/cH3aXA5E7Voy2QBxixe// p8KHq0PWXr8UNcize1yirxhr9Tr/5eL9dZYU9Kz1kv5c12ySsdsGx1Dz/PSqoNr1sN3MgcMdFQ/T M+fssi2+DDhaObEzsstZlNzCAUrTuztUdC0tjSu+14IewBjozbTqvETVQi8DMiaK65qxbXrPGrE7 OFPveT8DT+rmYoxzGX/IMrfP96YJutQyi3NCrxwKiXWgQ1dBU8gCJ/gF5+ldJalHzKx/gkK9MuXw 9hg4ObEOFynQ4k1G02W5zJR3HcAlij0nLzt+mieMU05RWfSn6gDagVD1VHd6YfmhSWX9brWlgL9S IHydcbuTGp1Mk1+TUl13GO/tozr4W7hfE+QRd5V2yZFKpZTxYbNWIrFmzIu76SYtg2vuHSYjl17B CwEARSDmmVkuiAA2h2zxQ/TWMj+cfqbCxoadN1XA9CwI2whI0MueW0jQDu+V/nz7bp+hhNmsNQyC 8jetgpMgDQDUqm/OwmUp/iqgKJWjNFo9Bte7ML4YQGbyl4E2uxQM7rEhuxH4ftr5oYko2afW436J 2foFRQZiJtRSfjT6ASfhK1+bnPXfGZJtRCOL2zy/y+lhjRM2m+N7WN08E2yCM+yNahdqvBUh5Fuj D1O8LwVdV6B1QNJhqrrpS+9LVgKtmifQAn9fSoWhoXXdSWOQSTmtQOg/b+5GubJLaHUsrME2Dh6R zI8V3GCAACho/MqGY//bilVG1a2aI1qIxr0WFg3XydOIQwg4WPfCaF5cWv6Y4lMH3TVYrORABO2k oJsg3W18MFrDS9yzt1o6RiddEBszhGLeliPko0mJPyijiCg9cU8yZU7/ddBtW8WzH0oYsMmSWpbM i/TkZfAAiDCYbVZ+hmRqV2R7SYJ2VFxLkFnQ38GM9KutbfrbbJf8dge25NAvw/sW6lGxjT5RT615 ftiyYzDG7p/XcYgHV7QQaqHVNYTdzgceExskkh3cKUUYjOmAqXIOj7H8DxxvqiGzd+lB0AXedIBN jxy4CHy1lR11gh4YK5Qnt2/GPaWcspK1JYYA7eP7vcX4VeOG0qLrn9MRENvoLClAcr+9KXGGAXc9 OEipNUmFajlRL9+WdDMm/L5IdJ2/ozTmUtjcaDs8CqYFw4RHVk+dUVq3RC/kCSad55qdvsQpv/QU VTyJgSfzFeDUAIoDSntt+X2ZzMJEoU2Lzqsk5+ey6h7C/pqyoLRrHwHirvPdIH9+HLUa3wgU/8Oo IbskvNIYVFTDF47MU0m8kh253QW4OvTYk5WSqZplaKORHHaikFRgHb7uEODxGNwfH+JH4cWI+rhJ WiiF0Y6idFKGG0+QusdlcQB30BU0xSZZISIaI7MDjv87nnDkuQCjMX/0+nteG9Yg8N0BojqSxnZc UQN8tMsQ7hNY5P9UNGCcgRnDt3tgaPaONQIira2/mTl7HFqD6grzOz1lKhr8qu+wFO+7QMkkiNiX EES/TANNhodvgMvt/hm9FWax1Mw14i10Sxh9x8fYKebHXVIeeVrtqYoOXaDnvrE1VFVZt8kyAeo0 DUoCK7kdxIo9UHkTAJWuhEFTN6XCmsOXiWerHDcaQFJI8sgv+i/m8aQDgX1xAAthuunapvM8JpGM jUDJy9Es/lLcna6BquQ2u6EOar61+fVKiEn2ixBIspSzCz5zIdNDBms7YkpLzw/QZ7H2Fx3Eg9Sl 6PWjmmzxyfA7hhcFe39wjOKqQxJdsL5kKGNeSUkmlmAZ3DOM03ZNF/OSytW8sBFYvrx7MlG61DMf DZNnWIQdtj7qK8hNkkDW2T++afFP6Jmikq+Y7CO3lx+CmwA6UXOGwvOHbFE8itWteXqO8cItFXQC h4GR6NlQNWsrnQH5Eb7Z8BRlqaeiBkotNzLW1AJspZdU7M7qH/FsmfiPncKuIxVOaMuOiKTdy0Jb 2H/2BvRJ82Yz2D3DfWy4FVr4NE5rNVR4lL+dp1SBlBW4JtZA4WOML8putvakPG0e3Jh5OCpUJPEZ itWRR8geAMrce5GVrtYBbTF9KtwOmkNeLmwyrqFAjl/OymQa3gT0Z5+a1q90YELKJ59SLrhJe6+L l9HYZx+g8Yy10fdwSHZkfLuGV1niqCUrv+z1gWr4lkdkru3gf9XZLhZioOCSb7ySrtoLz60HAdkv P6j6CLjgqWQmZer1Ov9U+iSBrOxZgb//rQkpZDDyTbnY9cv0qIBgtmKqE1a+q9x2pei5KKrKuIno y4ARYXw2jLD75DZ1qXieHT5Bx0HcXFhfnlQggDWDOGau5jzpt4qj4L9UB0VaZsWLk2iQkJ5huNKN czoaAHaN1QeOYaPVqlyHmnZ6tU948sCBYMOSMbQZt94yvtJXdYjGLZTkQnIGYDnRhwGXMhi1WIJr Otap66+BzQf9N6mw/UeRbZ8lGGOBiRKStUTPJ/jsYErawnF05XGLVx1BPMKw2qLCl3TFq7MgtMlI YqTT1u3iUCVd9vct12mTrDuA+yNCzTN+dkBSVeoceWaTKEa5kLAJHiLX4oKG7jgJ3DhAx2AYO9FR NS7odjQZ0zxQittc75XO5pflC/hgk7o1D0XhXpB3nFvhhgkxPR9zoj3dw1x/iih45rsNEGhl3Ejr WMJ/OXZ1hdQcwPsBKOlKiB9kE0iMiBiDk0WYay4UjpQH1ziXtlV8GelKKHD5B9i31hUkogsFczLn KInbpJZPVwiy/B8jO+9YKJDABaXN8KWiAQe2uSpzvEO+9WOx60mOj7ucVdRuaAdto9p9hqppjgkZ oOkFDr5g557KyB/86Zt/nsHsLuY6F67VoNL5Xzpyw4uT9NjfimXC7YlGilDIfqQkYrBgo0+EsVO3 DtwV5r0UpWStzS6cCMb8INGb+HWrQdHJRgfJ2EUd45Cg/KeZtaU1MFYFBd5U1PWlh/6KOGN5mPkP ou1VPx8fKLIvFr7dACTT+A5JYMO00PiespXqokx/JhDMbrSu0lHsnzwIL2cA6dAbgT2sJHNpCHOp oSfDDA/mB+A1pWI51kVw1gOfytdW4aRSs94/wlHIlIgKyFpm2G5O/P/FE1fOH7TGYwyX7Fhxr3/O hDLCMpn4PUuxv9zbD/r1SFb0eIel0RSJGjF8k7yL5I1+0MqCO4cTmhwJzA4n1nLTRiE3tzCUZSWB thM2agQSRJTUjVrGsmtePJ3k/QEE27b+QFAkz43YuXu+NsWecSNx8GKrMtyaF1iXLhoyZdGechrp Nsx8LOfprQhDK9buSl2oLs7P0c9J+d/hpxJrI8azi+TPzGZi1vbFAH8xYvKQT9gD5zLkD+svWeFW Xsljwwus+rloOjwJvcOMKTnlGnXm9rVJoH7962bAwPC3A5HsIr/KEYric6d6wjiDzZmMMTJmCUcM v1H7eRWKEGyT1fFtm1RrgL7MiX6EPGpMqXnqVIgL/TXUY/aVvkrmlYAgOUYVFzsvHlYEOODYHyTk k4OHkU9shJ9LXqNzgerkUN+CI+QoLv1lZg95rWSzfWoao8Wgal4uviuH51KqWAQaM5Of5NmXjETm dBN4ai7ZvAWmv8A0aofCtYgaKaM8YYc9cRpqp8BuJXi5uQDM1gSTakqBd1JpGBseKBLO/54nqDNG b7sOFkN/o+Js4FwArJ22IN+NPkXcN2qOigFapL2pU+UkuKewvtJJTpKdpbRiVRolmfG4EunMO/hW RZIlgEDCZMkk/jtZi5VoJN0kFaasL8YWAYhRUZVgz6KwA3Gg+5pAV/HeEmUWxIzEkQ45RjpdaHGb YttXVi7VKUyD9dfxsnxXILBRlq/lsh4yDQG32eAHy9I7p8vEhGZUxaNlxiHOHf2jY76kKKRHQ/0p aogJgVhXn0Z5+9DpazQlarrnMROV1K3fCM8dizr4wNMd7oImHk5aO8jbTeKXw3ufGHLQ23RbtnzU AbQdILdh3D+X7/hAAP9tDjyLl2I4FkqQVOt0c+zqlx3Yfq3T0ySYEP0jKP88SX1bY+euWrgzltiy zA913hWsMoA/F63k6BZ7esASEKJ3/8F938MIJgZrjKezhzTkfKzahbV80oxOXbqSw/TycEPmdLpD f9biSu4BqLXrerjIYjWyRMpr2LehhR5OZ4JGivhyBuk/IyawHCpnNbogv6hos0+oi987F614qeuw 3V9zmL89txwLs/ac3cpjWFLcVptAhbFq2VYaFrnh2lNkTUFP4IaL4pY713GtEzB0BJjRG3JUJ5A7 8Pp7Xw1MvQisH700mNN9hq/kZTCa68v2ENRqkbO8ruz3bR3P7xTahirP2PHdTYNLH5RyIsPSxxso ilZCZW0UJXRD2aNVsmuc52/myo0n93SM9kOr2Ehe7zWcb6gNmaU/manV69kzuaTbQDfk6mAq7Ad+ mWeWbZEt9AOdLCqcSuj3V7x8RlohPgIHOGNLhSSJYQPEUEyOTq6dfCZ1Vz4QVQ+Ugwvk+qYU59IN C8Z3bB6Ya1kwuPeimh89UEerLAzmwzmRkmoS+BO3DxVoN6XUyVWB/6kJ0M7WIEbw6V7xAU0fhz/m v0ALCwT9xnpoL+pbPy4GrnW+81Rmq10vsD035b93o8oSsEfpanmNuOrKU0RDoAAi493p2KpTy1Jg EIEc+YoeEH3HEY0CrLJ59YtBejDXg7mkaQqW1KDmUdQ7HICMnnuLmdwB6Xn2u59dEM++FIl8w2zL bzanOyOV9PsQqxrYLiyvcQg3WX0vxX3lSyAqFnNAStEUDP7Cx0+g1dRMJYDEx7VGDHmWUrgl3uDU duZyprbnlwn5+ENzd0TR2btOZlJ70JA+uMJh0eueU1kimAp2jMzTVu90RqM786HVyY4ZhNrWgYfA vxkjPsV7skVjOEE4c4/ZtCMQXb4TpRKDTEuIEfpAeUSzXEDO1Tq22/90BNQY5YpPrP5fFd8vgDIC LbQvXTUcW/w35CVqGSzhXIbxCIQAAub565CwqLQUZ/4/QSZ8g47ypH7sC8rVKP5aRoWV2I64flQC p6hGReVzj9x4oA5XEGC+Gv2/tW4+wfd87rmvf+DEwXjDFg4YXn2zBpjacHlcRQ75QlTsmAAN344A v0dWVEjJDs8FfsmDCVd8+lUTPbS5BKnPaHTCH0sUv5gUNiYys3jY8DPGPIpAEywM8AcL2ojtKrwg 1EGU2SvcRqJZi14l6xPwd5auJFrPHBRZhP13mJMEUj4TjIn6oVhpUIVepyyCXCsumi2sWr+tNYf9 a5umfJoTWpAxeGgv4e517jiZcUixh98qYK+xTM8XCB9vNcWKD5olhsMiY17EPtlgd/7VJYq4qFsl qsyKrl4ciGXgFj3PekDp3/RjpfGwA1rrmzNkVqp3FuLty1g4sXAsCkJRqRK+vS0VVpp4/TVR1dh7 3qIHkI9GQEAhe1ztGxerCXajygi09ktzjG/TIOfLlIgPHDZ3Zh0HGuaztfBMjlnf0FOdALVMg26+ Lg5OVcfczFQU1sCFKxGcpX+Y4XSERZuMTNVgtR3KPqfV445baKATG5fG9LnV/1mK+OZb2ldc2PbA vQ0MmNdPFS/bKhdB6yEcZsxMe0S9qkDGZ/4M0G8p5KX3vWnOum4NSVhuX7RwdlRbXnsxjvjgfsMw jzuZZ3t2NFacrxgkn8TS3xq6BDOVZj6ZpP7D6w488Qc0xCf3/43exr+vs5LAd7j6hzzgCA22NyY8 eENVIe4dzYWA8SBsNJx4Sc2Ae77O8QbzJMVBUfKRijyvFkJEeZlzGtWy+CWsgx9vs59TbXe7Omay dS/7IQ6w+BBBs3x/cp2ZAQMoPJkrryJn5JorUGZFA31fxOmXB5tDKe7y4ZZUsx4CkjF8MWaL0+K8 kDZT1GMBsTA2pIPh/ELaB/mEZxOk2TwGdLfR7ZAws4lE6dzNuTX6S2l8isFCGRFrR70JQwGfMLd8 b+rq3dG1yGqFnu1AufOZvHws/+XFJFyHc5Z9ZRF062ajpVVOgbb7Al4YidRc7805TqsmN2NI0YKb Piq7uXR/d94MNHpLQioTs3h/NOg8lfooMzuyuc5MVoMgvyaxETBRAyZ9QJJpJkZWUMqlBr+i5kBb JpTvxcKCDIeDo/nUTbmpFiOtCNE+DQOO71l4dc9A2UVLlgWSLm6qDlC5zecpLiqh2j8o8m4eeNpi uNa6Cjk0ISWrWVEQ5IZPZwBADLRGKmae3Fv8tjSGrb2lFFTEZXhyelhtxBonkdvU4vkpTu7iDll8 eLgsnm++FsM2Q+I1oBqyJ4uaoxvP/YFUQ3YbJjlxODKIGz/SwSm98JRJKS4857W9LdMP6/r3brpY rngV3eR0Yxx56SPoYFgLNH1CLeLyoSmFMPRl7xch2jvFbXX2LwkQTMLhKRB/7TA/Ef57N1ls2mZf l4SloNmtuX6RH9IItMvKEYtqB7E2MAEYhVc2XdC7iZjf6V+ydloTlDHyhcOXhY7WVFjzHCri23iB HJvuAwBAGqhG+/Dnq7n1kjEON7V38cAOJzTtQrlOCEHBIulKBsZJr8i9dOB8yZmZLWW0K8lCkfrl 5CGy67dQUAsrcvzrYuYX2To9cKhaynesumlERnoLPPQCUSQ6qzK2vUaeEDOSIMaztJB7OfRdgsYT P22OiLK5QZcgcWuzzwiLz7/8HI+Ywn9Q+tquiAQgDbZ2TbgrJ0kpusdq0N1mVgiyM/HSobBLUkuI VAZIe8vSGV1VUGWc3PykNXrtgl5p2xoeGWPa0s8CcHu7Swnj+Ai1b13mqKTIXEmT26xP39ifSydW wZennhy48GJoWWq/gXTCDkTL8GajUUp9KhL/byUldo/IDE9DoBdzswCxRaBf90X9hqLFSy3QD9AQ BMERKQIdN7JofkdKAOtkcAdExiWMG1LunHpO4IigGtKk9vbJ6rCWc9riXQ6pP8YSPo4cNynFYv9+ qaLiwiMKozhYyM1YbWXHsWbLYKwskW8OSiYO6nNbf+RccXFwGFPaBtvVCFM6S74fK5QNmjayQ10j D1PWOo4PDu6h35YkNpCeGiC23pXWgln3v1IMOej4ojKplQ1zQSv2Uzh9+RtTFPlW4g/piikyjzAW 6Lb8Ys4ntJA+H0tlibf0C5JzhJYv4d9M1Vr6bB+aiXYgaszhQPAp/ImC4tCIGVxWc/6SKscg6tY8 p9HMZe20jju4dplCrsO5Ul4xX455sx5six+sliF49J1kO+qfZyUgzgpWwuK3Is6vfQa7tSz8vasb mgj2qeMOABcP8QWniJgijs7w6nb2k/NI5XXHdYO1jsVQxBe0Esd1czIrUAcWhk0l2SeITRcJuNmA DdXSu7t/I01j+Yg6N2sSo5OAXxCVg727N0gxfP1dInivA9Gw32KASiph2XEJlA6q8w0ZT7a1fAd4 OJVgty9szrGY2xvhc7An60QoL9rQrNt1YEz/fbwgFZJR2oSSNAljv5fRVY9/JCoKb7NQKBnn7VFK VWVJ5Lqr8f2aufomhesTAbaVfg/G7PIW52LcCAtha73nUXq8gX0NN7pQgMxGg/HqZUtZRrToud40 cBzfpyt/IYzuCppvP/wNnRn07H79+jp6KOqczr6OLu5p+ikP3xoN9jF8hkCWNN9RH6bXytpARCqS UxlqpkvavNq5zjNxvqbXZjxjv43CYyINWvcyBIQTJZKB83TZP5keEn1tvRuTpwnqWXMcKRQ6eGmO pHwByxVSXThUISQqTnbHaD74C/5F7r1ldx7s5xLwR1JCueO7qQtEk8hT4x41BmFSdC6JFl3+ArFt yI5l+7yKMO7d7Blry07TdtMLWnhIV8CnT5vtWdJK1OEihKUMP78MUdBzhW9gWeD1yOGXhopv86a9 tRn51VIu7RjdfI0t0k53WT9le9cBiqRNDBuWy/+P2g5TF/CYRG1oywtfGKWA529lK3mbgGBmAUdo lYKwtVSGK/tz9Om4rwRtjae7X0spUqaKX/wAUht0oWhgOmLYHfALlwlctHVjbkPyVenESZiNjvW6 34h66pTMlcRGJsGDQu3m8yn5GgnvdjWo2qEAEATWvyzXgIqmR7hiMCs1qmdcSvF/M623LcezPcq1 cYZzxF+I3A8czuLirLrVBpNYR4lX0C/e2ECUc6WEoo2XaYsN1By0ph0ll6YEGWNaHm15CKWnUF2X xN06vbi8FsxnZDO0bQXB+qKD40o867+nRYEQ2tuCIZM6fZi7rLL06IDphjFtMeSugdZuI+w/eREL UbTbYngl2VUF1Lbxdn4CFFrhoUoO49u1d1Mwo7uo1UZCDfxlJCvZ7M3OwUd5HJeewPuPnXoIkDKB ENjBNzG6SkcymKtPQURqbmNcidOMK83lWr9zCBi3qW6cAnTpRBTlmPs69tJuwneb6KGdUBkeSSMh mBWoLpjSAfZDmVbEcaQxxjkkyEHJmb13wWSLoRmyM6vBkB4kE90vWGe1AKKDigI3310YO1E537ig w0DiFYXCxN/0cQc7qXYFf6Phel/dbSf3flTIgK61DPjirVUgDPIIyJB3SCgI2mAA6HsMnCyMLJTf PUDi9g4OAMd/3urvNoSaVLWnq2St4HWOTY8BGygavyvB7Y8BsyWpiOFnQjMQmkm9UwtnRTQ+cEQg 7j+iDyb9BndQzgexViyx37tiNBdMu/TLi0NMeQMomPTqc981zz7R/mlfsXEdMu0noLZoZtjvWz4i q3RZaX9ONzNUVkIFDWsl7M2gwJqvieBR/8j5gjdYGeLXKiWjDBN/ACUuRxy/4tDKsxQEsSlVNziM Awc6GKk8Cr+FMDmDDqZ/GN/YmHj6ckffpvoh6BMGfAqGwZKxS2/oGUeKqNMHaOeQARmHPcSGXS1p wvAZWWppNPid6xOLDrvDAPvDip4OsmY64+epyNH52O55lxfmGQRf7MC9fj2gDIpQtzvZaSvHBYh9 DjC1F6SUPYpgMYSaAZYTkRgAg5XzatohXYCsKCQPOgJ68ikOagHlo/wTM5qMOemEC2v4DpEpeunn HCGExC+STP4bGKkGf9zw5UujOj20w3hfpkBbETVMF1NQ9m1dEAlmX91CmTAbS8CH08rEM1teeZqE 24CRmIpVJPfe9r9rTVJaQKC29EEutYrsGSsIUEx9ms8VXP8+RAptUyCd5ZxIiCEfRvL9s47haq6F FYCQerx/uwzJi5+yKNADK8HLY/2AT5UqZ9qo5dkgF+55bZyk1XbiWYXFgpgwdmLqv/e7wWBzHcE1 k5gRBGX/RmFx8cuDrcXyIfLDYmn0axWURLa49BsRuZHjJ3ozmvTSQYwRCURJCHKVgjU7pzNmTtWk rPrm3Jq6BOrMA3L1/1Ji3X9/lHRiV8uTwS9xHvGXETlg24q95zs8oWbFQcKFNuVpZcw6sjsWxmWZ yu1OQmgxMAzQ0VAK3bQUIuhOfGrTkgUKZgT2vUkMs+1NdCiuBTfsXfAZwh6DTCF3jPcah3tchJt/ g5hfIcYXyb/gIk9rOt4PerKMsoEajXewrGlAktWsFrSu3p45lVhhrCYSqJpv/HBtcpOj6KekOBId Z7upubQGUG/3r3/q1S3ruWwrIk4APCofYA3siIwV8IlzqpCK602tF4VB9chIvtNLuNRuW/vSt5lJ uM433QvoB8PRhkjdOCQq5QJxH5CIajXLc9+BgHpwMUmvVAXFKbFNKPP6Wv9erKyGiqSH74kUAvHl /tfxgcyE4aTEB7bq9WjUJlptebUF55iqCB5wU8Yfwy73/FLWXTN1H4Z4wu2tZ2EzMohedFR4KgUA uFiB/ZhFaK1hxu48Fr/AzHe5temy1f8EKhRelN+N6C886kYyTygnRzBaqNyc1/TVTwXvECsYgCjj co3Qx3VFa+xR4XgLXIrth2BdqxQ4V0OxXY2UYXIBOELjFofStAV3X2MkF5mVwb3dCEUQhQ5OTvDu clUllaEMUex14gJzZjYIAratNmYvEeKwSYirmcYPLZ9sqco+2dbm9M8iKJaMYPjfhmbax03GnUeT yuV7jCQUaYJVyvGz6Hc87rphmFnwSO0xN3wiUALulIkSGxIQJm3OFwTHOQgFUqY8e1YXxL8AI804 sGhgUcLmfrFofmoSb0Hd0Dgq3u/lk6LUSMIDs0Gh3hVd0Y9xix+MgWFBQrsLqtBbkYGLRCFBLfw+ MFKloYd0Pm6YKIsv69bjjBN93zR5A5DEvYMxkKYlqNjEzcoBh9tHti3zYGuOEANPQBMbbJzN5cCv IzpII1TqGNMxOHhblaivw8uTrJXV8sQlVej9sK/X/onCvAEJp74edTxZN6C3muumEMfAG0/JASUh /dTq0QIUYao2EJ8w93NCpHacifUw1qnnXqQSI96EwwEw4oc01V9j2gfGyUYYuLvjQI+vatNW79cB MxdF/TFDCPb5xSskrX1Zl3SYHYy4xlfZYpH70gRwRje9BAJWZJyAo9vtY+r1fDXE2uByIW8m1icz XHILK+CU5omzplR3bcmUxOSyY4Q4pJ2rAZR0lt4bC/AD1lnFq5fnpMDs+kqtbZtXcIiusne1B1PU n3PPypQaVNS2vN7N9/qVaIjH4K90ZVzUWSlsJ1/rHcv2fiJgrwEmpaWBRxAqOm1AbjkNRlBdFtuG i4okcxU8BFBW9QNGVM+ijkXb/Lvy2QiTepdKuX/bheiOMwdF2A9tV7H7PWTOFRaxgfolELWzxr8Q RNHFWjN4HUCKBvaLFfR5na+LDtcZHCxsYBW0u83yRROm2nWfFAKbNbUFUhE8ZDHr+/HJECLurRmK 55wZwcVy86aFDcyJoM24J5DtDddMkhSnnriWUQWUDTjhap6Yio+wNjnU8/uhusborrkELYRsHREZ qGNL1TIGrhYWF1zZCWg8l7uOnypW5B+TQjVLtPnSmoXM1CKas42KtyZNKbPOSaU4+oLX+6UglRVX KP1VNRDYFjFZNSopXsZ6VDLn3/4DFGS9SvM+DAAs7MNq8xrGfc0mClvAEJUi+/qQ25Ndb52b2wLM 4R0WUKpHJ5TLutxMmTOPOWxgj9BN9QbGbnSqDKTFLQYxdNVg2e1iOewe/ErFaFobdVQl8ktGDOnq qwv2+KTjRBETgsnES7HWcliyLJd9XalZk+XpPvDWiczxRgEbXyxx1CVLrQp6kXl2QRXAdzV3NgW7 wJ1lP/eyymHDDtO44g0zYcFYtlKnWeRIh10wgXJ4C48STZydBijfbfAzTQ3yva5TOROSRYLKaCEC mCqAEOsQ0pt8757s28lc3LYZVIek2givKNuO/TuWlqjykJcAvgRcZaWFdiuUbkr0lHkOPqMr/5dV XAhjUdxH9l3IZ4LLgkiY0XH5rQ4mBXyjqIY97Ifbeo7Msbq47PahFl+xCSMGmYZUOXgZ8SLaaPgj T0SAxxyhj+4zh8/NH1te++/3txD6UpulwTyPLu9k8GbxonyqXHwFZm0tWtF5wqbgOPuztpw/fCZV HHVeOLROMiSfzjdmQA5A/8LfwnQo+c4s8mAbLvj8bnXayv9lgXaVFVs39AABZNWphAVVTi1Ssxtv tzyXRKY2a6wFqk5Gq8bqithhw6bh8RcSbUEiFIxIwjVAEEoWVO+7IN4qXtENvnREUyKp5hK2IgJT SbOe7kpErBHsmEzb0R2Jfpd2RfBDqg/YuTERHrLVClQhHMUhX/catIg9OrJVPXhN9S9TjrnUTcWL OK/9sNsByd+kBCyK3y3J0YC+8f5vaPmUK3uPXrsHJZA816NLQUgSjmEqfU0lmtcB1p+sZ2xzRLu5 0MzqULTe1HVtH77FyHhGIeQIQPOca+0bYftc1k+0dj4fMC4mLdTV0rBoY3is4dgVvo+N1YihNjgI UiRif7e5ASXsingMPGhPSJoaLvetZ2i0BPQ4d4pRA9ArL/XmTi9E0Zhll35YOAYKbc6JEpUDDvQJ G/EEg8IYZfNmMTPborojspILJQEajTMSIipK02y58TBPv0vU4ww9gZvcccZ7nbhprTrjJ39JrZ/K WOZNaA7qhpNsQtWgJOgQcS7xF7rhUcApBcm2bWStcOpkrrbTeU9y1QXp7JU//bGPV0OV2SQaPz4v 5NyIEMymFe4S9VM0yGLZXgmLz2/hIJu9gC1jjBsOP/EKuuXhjyEVXzqPKxG/2y6C64kC1ib3DUxq zFWR4U4Av5Qpn/uHoroyMHHQLYbNBQZ530aHvQnevHriSwPThIb+f3p0X4J6X4zR+1Ii3U//ag7x +L26RLg1CKYzm3V/Q1SMlar9ZCBOw6+9UDik3mL+jtLMLj6nxNWI5jBLrvALCVhkExdDYvuzvbyc MuMejZl1xU0cRw6uvqY/dUS75AW/pU1uaQX+K35z1qf9iq/SXLqMmy+ObOyTS+9zW3ry5u17ekRq bYpTWUZiVA2J0NPRJ9P27/qpSdUnZl4Ubn3fW2wg510x5QVJWjK+aNsl+uVg8id+4E1HtFzbumqV xGFqlVSoJ4WiYqPcpulp+aMGJkzcxNPXKbMiqw5+TKrgO9O3/FC1ToLN95ztwHHrOQ7xaQJOotdk Mg0eXdXPpymFBN5fSPrvAE+DmNbjnSDuttdOcxDcLTYUeX/ICqE8Ze+uRbrs7+ElHYdoAsgDgCXH nmrhoz74bAc29AMsuN1ExUFzuddc88ePGgyNe9N4bXT8Ao2/0lKP2hWjwnS22kcPS+WEJheFpbMG MnkMlwdozd47DSA7oEhWCcI/Ju/qBkfHOyYvzSiswl0ImWxwVs762UT7HSsjOvInsMGMfaKJ4x5d v12jVkdFPjdQ94D8JkY58cQOh0JbSlH1uxJ61oI3aEVbld1lCMnfSPsVnqUSBRja9GXezX3q7v33 MO79D5e3neeyoq3XhgpkDohUCem5/b3H7IHUWruhAHSbein98Lv2RZG7BmcEnjVyXaZ8Zz+a7fl8 3PwIDzD+26XYToBhEIwvcJPyq+WUafx1O6WE4AA9HeCa5TVaBt6ytt4ojcBTnZDmx5VpC9CNCQa3 0PJi27HQpJ8NnDMEV5LTy9RUYVUCMT1dvxGqFkHd2cXtJ27hPeKtlGiPA2fFbJXCES7oKPNIUOw8 kp/0xWOEw++MHpsBxQX/KtEPzHFKUyee4G9HoFQJZlc/WGv/6ZPntGba7qEADwggmpogk7+193bM nqg7rBdWOTEfC7TADPf65GJUfgVX/omVtiTZbFtWYv8AhgNjM/U0iLdE2786vrkU0vKHe9STjPun xOwsTHtbnBbPOMSp/anho1eUVz1aKRL81gLLyd7sFMirbDcS2G1hhmuIgVazueWkQHjy+/r0c4Op Qz2nP3aBXTMzZvklf3Oa7YUKvZeYzyInfucxWsUGNk/egnlJEIes3lze4fSGmCOPGcNFiJ8h/K8a 3dmmgzmjSvFB98zbrH+X5RsQ27HCVn1AvFxM39pOJu7bkeZcgvtKoj4iRs+qSGO+Fj+k5K++Kycn Wk69T1SWqT9O55SfQT9TIjZINa6HNW/+6WW2FALfYI849I5ywdP9WsFuwSmS6q17XKUgOTIhybAn Jjw3uOIkOrnjvbQoWMBAwIak64wUJk+SqiuZzOIjWSwU470uIG4wssnOuMUmM1WdqKNfxWVMgPjM ytznqX5xvXrM7aoaWnFuwpAMfmxY3KsJqX5k2UoUyF7yrTpUEsOOd83/cZvb0ErKzBD5d3UeqCdn evKLaiL3VME8V9RHONTELzwPm87oI64gFSggQPPjBwTlR+0sWaTK8DrUeJm21kAC4DMjw/hkeJmz vQw6j1eyFiBBMTigWY8V9zAkQp/nUzpToJGE456v2yBdT8t+Afpm6h3/sQjELf6o3Rwn5Sd2ms7w 0g21Lt6QTRWkebQuP9Y8kO4DH3yjY70sJefIIATKPabCkL1KSTMQdxvEax/J/Ft5aYyNWg34DuUK N9RMdfOI8e1iayTzpqasHQ3MYMouaRIsotWzL0oVb1bd7foxSAUu0QrdQ8lJJ9FJdoR+bNxvThvo foVoLmB1w5s5lEBGD2MihmHUKIpjEBN8qsxeAo6ZMEwTZeV+7+oiT3qyiMeTaWz0sL247V9KaPzu ld32gyCeGEhuY4ilL8o4ScTo39/kbc+SJJG63D1P+lUW//t6x8HurSKTIOzepk3pI86C8mPf8P0p /LQlWtlVbm0Kp1tLfNlYAfywIjOdVvakwaUX6y/rSwxgB8qnMp6bQMIzDkRvYLZy+cCFdMVap5B3 vJ82kmFe+3f/3x4a3BH+Qf9SlyfhNtS1xMM0DStzRgO2MmZ5l0XVYl/7VEPy7slGUFFeqSV90755 PjMroodFTnE2zgBZDeut2ODguQXs+vcyi2xVtA3m0uwqGuSNfg1oHgW1p61peOXsc3AF3YRkDhf6 WDtguJ66ykQ60SAm5LLDAM7bsauDiSaGsLHPhBcmLv21bcvymrekCSfY71NI6/OWQWaFgQ1S4H3h +3r7U6EyuGXC3OqYUmvTQjGSA/aNIk2uyksKmPlEWvpuf6ey8wbU7X4M37/mVvwwr1XyIeLNLX1o jy6uzZaR1kxZ2vcMAp6q01wtFHrk9QS9dsA1X9IUT9Po5f+L0a6OFSgODgXO15dkNkqdHDfmbuIn 26r38jJuzxzN4LWHqG1UEzNNDOAKmLXPJQcTlp0k9ol6XhGcdpCIIT199HPJ1Ydt+VP8oZdvM3iZ d5EpBqMLj4VGcu/wZQOM788/s7Ve5zxWQl3tCoiwsUfIupuvS7xHj7AZKQ2PZ0doZjl39sHQLAdw auFzMoG+cbkgYAr97RlOl3oWL9E7TndnJWnWp6SsM4gQvzCtg+sGDyfl3JUPdAFRVUgunu6A/1pA 19iwxpgS4UB9F8UWCLt99P7p11La6kkCuStymhN/kZLJLwhGrePWghaGhCqzQmjUtDyt4qTU/Lcj aakRx2Cwr4A3lVcqhXFwroquiWa1ifGlvkrRB2A2v+iDylxBMUE9OELUEBP1/Q0EsbW3Sl5nqgW5 HdNlUxNHSY/xYCfXrILv3NgMwphqPIHxLOqSylg8fzcHGP9j0Y0otnGyC0EPFhyjHztODa5iwupc 13aqfTttfxN7ogTLClmO32SkcdmdqFi5Xgf9kA8w6yZex+27Q4bmR1z/5ZCqvq4YcHwRqr3aSrJu n1JZmNv4zr6vSEk+vaI69fJfFfCweRdmaV7FLvNke29e4GNYmoem2Uefu1Fr8otwRELYFNm0+gRZ A758p5JlCQKnv9o8u1z/05WfiHw4G7IsTSqM7ojKuGRBt9/xS4eaeeSfOOQBGS2UK8eZD9L0sPHX JegLqLnTlL0NifQdiHf1gS1hWYIGAwPiduIST5TYaSRwGAkgrq7sDj0T5jhvejHh4NdSikLm0O6U X5MH4rQMQxBPVyQxLhreEFPq4zE3cvQ0zyYOLKzuPjJWPiSnt29YGRwM9yXtMu3A/Wgi/xgDRuNT wTTtloLcZVa54l4YfrYaY77YgcnZKaTrjffBt6G1S+q1RyB+/n6S5ef/ZYQ+ylH3HRPwt8XG65Ih Gv/vZvtJqzBZs4Sg/+Fh3yR33J+jyshZIsRvVjPPGa9+/1QTPX6+/5Ncxniod5B6yd+4hPw56L05 hrmCv6LiCcx8frOwdswcHvYOh/65OvDNhQl1aS1WO35yTDNg7ImnWLwQn9fJ7k7eSyvVgcnZme5f QppFyEHsyKj6lfzSgPsGthItAt2WEAZxjOoubDehAHhcaL+j90u4aVcxVdWt/V2ErQSZTeprxNF0 AWe/lgI6PqQ9SxGqhmerNSx7T1uWUfKVj2tbWkPgr0gRAwugGacR7WkHpSYZARmjhRc1JFA5v6Mf GHidl7tQzPjlkJHW79TaVD47bkAvVO9Sften7Bt01hpR0XyonDg1ZSgtTawOzwKUHqUFZDZsznsh cBcrTwWbyqGzYPQuvf24o2KuE+dQ+scmvlLieUXMyxskasINifTCm7SuCpIaGbb6IoZR0zxe2Ald 6ehn5Z9axToVUAXidOFBCQuAM6PIoR/Hr/8i0dIfJyUGBJRsyOelHUKj9zScYRDo298aTQQBcqmX dvYSvVG1R33CenZsRL5VNl5VgLny6EOscBVbs2bsMATioox7ab/+UsrrQzk6Bq302G/ug0guZB4Z KYVlhX0DjHuswbgC30lyT3NS9U38nPlJzFqXX5PsewN2GCn/G8ud5roMzBISnuiJ3+p8gtoIMFtn m2MJWZL94VbceQ5oXgIvMa6AyQArsq9WKCaA//3nqXyxSqWtFE/XHuZzsZaHAIS4zu9kvUl65Gri 1mHdGPyYS9tCHd1AbrIv3tTyg7S/gX6Wjl07bi4KP71YrR0czmyDolI0MUQgIb1zwgDIOFx/6VJr 6taMoY0J+LDFzLi3Al9ZlbGiNNSft3nUrVbOu6MOXtdD0/Ms+GN0Yh4peP6/E/nPnFgo2QPGLZTk qD7Stso7dUNWEJQJy5E3VLDTAYhpLrG+8vPm6d0pFi9zJ6IieNifsQ1ZmRlEy9Inil2oHv+XoEOZ MjZwjIQns4HDqxMynQx3Tlm/N9JKAIqMN0+K+oJqUtpRGYIgbAEcdLnYTl5d1RW2hSDVJ/9KXbHQ oC5IdYKZtuwrAKO+Fg4D+uTaGcxx2bk6i+do4GAAR7pV6+sQU0NDvwZkQApp256iNf0QDLOfGw53 g7LqEqBUcPjvC7h2GFZ9canZr46mdJa205VRuDaWsLxSmlSPGHYSJFpqwV4zm7YbVhe5qiSDSpnr uMliprNSmrJ8Zl/IxIp+FKABdNpMrUKnWOhXwOTFrujmPoPzgf+Xlo6Dsku8iRRRllOG1y/rGl8i cua38rSWDCPQ5PGBTOFjpXmq/HN+yGFGKjoMzElptpC2LOyuXO5rYNeI8i4e2Ev28RbJZYPH55Uh b/gOzDZgezGfcpuPgPVwevAIO+RacZ2wXmwI4AcRfedreA8ElzYFadvTIwGiafKH8pXkDyrAIMpC MW4x7gLnxD2WoOsDPv1ifEHLKErMMl0IqU3sZVhPHyoVsB8nOnL035W312SoyGvLwCAcG3ZtRs+b BlWnznQjKrTJNka61cWl2KITXZI1bXVGYnJ6Ehe0lkc6UqI9tULwr05mmsLo4snwnXaz4TJbltsE wo+sSfNcMRTU8CIrcpoYP/p2i9AikzOoUCWUgXBgOr8lSprybI6Br7/XaDmaf6wFfuYNaNiruTHn MO1Z7XrrsWGPMu22Ega4leMKdq+/15HbhPb170v0lXxdMO0bReq6ENabMkul+JAphpgsxizZCdX9 OYzHvgcyvCFMqMWWr8lxRIfBem9rNH/XRiIR5wBXePdXPyCxe7rTIyK7Esanb7kgbzy4tznsGpFW DPaWU8XCD/I5qyKFREEO/xgjyFYcciWuSa01MvuuNtAQmLnuJwHqJ4KSp2Tmkb1ip2WpDjc3RqFW mCxjaLGHrgf4BJ8jURsZT4cTlRgwbV9Og+URKnHlDUT6oYC+88PCSvx6DoL8qpEEXQ4uRCYFFC1R Hoo1kjQXfv/sF7olEDr27VxVVp0Xm6vau6awLdiNBlCeCBOb6RgTS/EHnUGm6Z0QPrBtgdpq6BMv iS1Ok2lFPO2cy21v504nXSruWMJWP9o6ee5cSeCr5UWgFAXcok5MDmomf5xGFNbySdAAMPW9Xi5d bI4i5a+ZNuYei8OA5VVKT7RN8n+yvQELCKfaKsdMoe/VetBw+Vc4tal6MIn52s/+cIY+BrFjpvg4 UgZe+6/m6JgvX+w0/zfbaHfrFIVfk5wE2RJngk2y+bPq9Qcx9m1K3GNi1q8YyTGx1b8deNti18ra WeThQJJslik88D5p7YTtprPvg+jZUnU50Q8nLcFj52GNhUzVNWjNZ4RAwaePiGgFmp+T7v2KW75y e8mhw9rB3qAAyEcL1rTEIgrj4t0bym9JQHOeZEdWl/yCMD00Xe2Dr3kOO56/8YNWf604JKqEhwug qIptUqKqjZC/jFImNouIpC1qV4N5yfSwgB3zs9QtTM1EfZrduA0WjED/n8r9/QQ8lOUADlk3d7lO lQsi9FTkQ8QjyOVacm3Yf+AgayndXjB5ntPevsbfpJYDnJZc49/Bc0zXgezimy/b4xezEHjf0iWn Vub5e9/u1lMjy+HB+Ex4Re9RPj2I+smkrv5L9dqlrOUJt1IXkocraEFMtXcdtRbEbkwKuywNHwEa bIpQ07Zb0Rb6t2Bu8cGd5jVwX+j+/noRlHbGVc8QHTv8fODQZB3eqOL7TqYs5vAQSseInO7yML3M XagRn1JHUUjfftUHSyE612MPtmWjF/g3m8L9QwPpKJw3wMLw3nPwjU8XkOw4bRv3/JCEBRszx7BK BfdslgqegdtRsAeMRzwxsoxg8VGdvUn5vQ+cLITkc3uKePC1NxtwArhzd4Hc8bvO9vFiWj3/gMcX lYeVnd107MqIdWkBoKW+3R4TPxjWJm1oXsxE7hhxV9utkW93MJbXwIzIJl1Db5sElaHG6uJRVhmV 2riXDvHxsI4drsvWelR4zTsmwlmp5rxwe4uDjuYXN8vb6BBX4shqzzdRtDVJg0gjjEl4ntGpFyvy TYWFk4RnYgaS5WPXxzQK7vN1aYKpwrGt8Mk8QH9FhkSBWJRkVkKlEeP8ylpNEi8QF5MwYrc9kpLh TLrdFTvmi850PBFizIjCzIe8JTiQSFev2g35zXIhaOCc6J3pDJpcqkVgHbqgwS9GLCCaR5r42kD0 F0eRcT/8hX5HDzWMfF0029sD07HpNXCiW6xf6q4ymOSpvzmaHeKgdWLILhwWw9qot/v/DLw5B5Fg pEcvlxFCVQU0BAWF77ngo7E6eqQJ/heIWwxFCtNv1XotMp0oEwRc8nWALsJT/NBtGuecBalDZqvd U5jvxJZoJ0PSXFNUR1smkRiP+LDCuvpMspJRrOIIMy+vWdggxjSKTpRxNy0pzklIginNr4XKfVeQ 04pOl6W93AO7688nO77Ohce3B9v4XH+VgBs6cW2ef0hB9M0qFnkBrfZO3hkn1qf+iX8xN3IwFbDx MP1OhK0as+cANbXV/W6gVgH5KpFZFtk0FH9Aknvtxe/HHFVi6a5jnr4kxCJRAsxecW5QyWRR1BjY LzFWYXa+h7ZznyxaMjsiYtpiJy0T/xwOqgjDmnAOsM+vV22YetC+gEfu2ywQ5ra/zYWCB2vF39Q2 sLKW7IPbgf1vXe+dMB0aLgFUcqFVef6B/KOmC9VxyElX8i9+Wgz06cN1CI8Omvy2tXokKl7A6Aub 9OJ/6ZIPUwj4mNWYwNTzZEvhjc2a1Yz988iZf5Ev9orRLd9IIc4hZOFikRowu32Z5U/i9b7KtlVj wyQ1UxZKVwSAPdu1DipdSzhmBNjXgu3s4MQ43jqXRuOSD31d069K4hcvFDAyQbP89asFG1WeM+cf ZDOGDkDfGwPgaQ1zJzpy+g+U8ESATs4df+aEY5OEpVkVu4OKnbeJ2BL3q/W+Pohs5TRZc91v7sTu fb6L+yXXvOOkxOeqSdPwAROZOVGc2c6A/sfDSfwxzky+vAJFtv7BtTLTULLOUz4sn8DKCl/i7wZZ JaUuJAbN3pLzQctPeeNibGeQNslSJbvwRx/uSOAqtrC3HUyDQHOywjyDbCoUlxJ69aEqvrX3DVuO w5dk8XtAEOkVAwZWv3njTWeFNS3+8ZTHacBD8I3p6CkFLT7E4/yhZGwdS3mtonXUqS0ItPh3BgdJ sNE7KTfKLVCAM0Tagda35FMyTy3eGdlSWl8Q7uH1/RG2RwVgicVA1lh5A2sdE5LH8w2QnAmt5NIz BvnJT8aW1ek7gXQlJxZJg6SYaTJBvMacVXsyLwKJP4frqhAdslxOt70I6qsjY0ngKWQrHbf3/Csm Js1W72EzCOrsA/jejAqIYnxXIwYXQZnMv9e1OF0HnOdzvKuwM6H8a2SimJsz5+sYmC10vRGAKxI+ /PSGrue+aB0NJqUrC9c4ZzMIjUoFeZhCi3kH0aU5sshgPsDW66UtCJIy/J7DnjL0CdFMaaBsKrqL 5qXmBXp3Do1qcsD3BFwsV/fWH21yVUsdIxAFfRWuov7DF69I0tC9prx3RmsC6mnSX4PDKC09uwjx zbQjE0gKbLClLVhOIAyhUOMveWFNPdoAglhYvsqNz5b7kVMYDzIHX/0D1yIEjwYiGdo9EZZWbBiv P9IgfcuvBRxOdq51f6Ig16L99BPzjobIdc38KLJ9PA8dFHoY1WSfqWy3usCdXpGJ2OIgA1YeboFs I/BuuesTuk9vs3VKzIO0kyrUQLKqpQ9cAj34zyeMrC0stnIjgfgSkizwbRLnPhj2rGJCcF9DQZd3 Dnbw7SGFDbotctj8nSVFnDOnMRHmy+R3m/txvGzJB//zokCIq4giUxIFWoiCDXE11Eg1q62T3KQz N7W1Cyd136c864oiKtrACpQB+n6M6YGFlCSshhy3GmubemR5I5sGyf5dSmFyGmEQinLmsZFGg1zE FNfDoyO+sK3tERV1XBeza6z/cqc+5VRqZ8BjfcMK+n9TXyi3ZMvESkmpyFo3+n6R6IM+iZKeAbNn ZhRhlZin994YVJQHp5G+sC9sCZUxhOmj6K6BM21JzUzArDxmdDHuiUBAkVN0fdU2Ir/Y1ODyAGAq rciJ3pb0DK1MW/xmuKRqpwCmjE486MSG05MWRmpDvDpa+yF9HZHQKOjk6xmAO3fhnBBDlgjlan+X n+G/xTbYCiBILyLYtngPkMrR2dH3CHgBWySgk/Ue1hR5OHDU5ALVxtKacy6TEklldj3KAdQrCQk0 JwFwlMeze3OdmlmVt087rs//JtVDL5RxP0jQdndJzUenHACa8znx9EVsiNb76TbMc3GS/am+tayy UiXpbTO56r1mz6tRJLjUSTuQHctlkksIJ8832ajvykiZ1Nxi+x7JFB/mDVJqlv3QpaYndAcpBGJ1 QrEXorjKlYnNYy2Eg95y+XhGcWn/r7aNEr7E5URStPvYoXeeHnLZszJ4wTb4+TGtMYg3nvXaDPPs yBML5gKgIZZNuzjCErjEqDPGBTOW/kwoYroDd0ep+iUxbKdlbK/nDNGtEoYX4IvkSR7DVes2dKq1 7/zhTGW0DA7f1mZEQx7C4DgQOwqliDtJsbc6AQV+AxYqAfO5YTsZ1+UaR/V45KwUZ1iju7YG82bU i4ex8rqFUrEVWoofVZm66LRkousde9wtTyqJEvKz69RYKdFyxn0aJ49H9aekfUQ6dtscZ5ZAJgJw F2EhfSnJUmHba4MND1A1S1mxzG1S+1XX9GGlfri4fmGp4PubwTWNe5bAqfz2hr8meKmVROBbn5Q8 KkIibBkIOhgQWsabHl9v9lm763pz+v1tnfvoC2pdkLD8AhqYx7Dd45ZrtpHRzQQLxVUQ6ytbzjSB 0OiiO0tCN7aXpZJngek0SGWqMsbqRz2c8ya2LGooPw+Rk8RqSSsHuEsA5gMZdFGtEYbYrx/8QwVW v51A9LPu9u3+JqfEFybZcKiKGf4FDo2R9MA+MCr1Kpnlo1nhCjh9A/LWDxeoLHHzA96fV+h7uFBe FGboBbSa8O0IriXmi8seAOW+UqlRusMmJLwCfyhWyXP63XbuFqXJTgpX5da1VjWO1960eFF9rypQ srIF/u/d/zNGXQWS9LrAcNlVROT8wwxLKXUTtR3oi5kXGasT576H8Kwi8+8wnkRm2CFjFdvd9p6R cXMUHZlC7UXUtk9RBnkFVJeluP9OzRmOl4MtpTvomQjuhc8FE9EtEcAVtF0HtDicQDrwuR21W5nu lDFLNKv5eH3MEllaVYGBM6udQ6zytMwGhhp5YRn24NHBBOAUaeKpCLvXOsyymQxUu0w8N7JXL8/6 fbJ4+fGy9Hse6tOA7PfuBizapn2MUcgmDaMKwnAuWP4mPpRpuaDxiJ19xhDghM5Xm26p0bXZFgg9 fKxK3mLg83RUE5PKYGgBPjELVsWSBAdMmNSOfycGROAnZO4cqGoo8Dhtiev5M1x+8vdd4xkpeLjF 4fp01gsTwlsJgLQLFdinH1R9FYSzsjVBJywNzqfY3ocodMexKvJFEUIRv5rW1RENvC/Bwt73l6Zd vTdedyscP8xoTV6GLWb15h6Vpu9wWSir1bsMQpJyLTRCSUgplmZeKANcR598WCPcxGBQnHmS2zwQ cg6A9DWUYnEF8m1NkPERbMUBNPAh88b+F1eA1ZSrWsEKVvVb6CPCbeGadCw4PI3fUbNmRolAjQl8 3Bmj9IuVBQKmty0Ylm/BeZK3v6O5A3MmTZPo7NbnsyPXxHUgRO7F2lxyWfkz8/WTQFHgDxq4nUKu XG2BjxyX+JY+MOP0I3PqVM5G6hxapIo2xWJ9+ql1VOHMQJLEpBdx934McdtUy/eTB/6DqZOgzN3h 9KXTVWKEpALDbykGki85FKfsGFng4AO8nlu1sEvMqBxnl/z/5zh5w5TZnN+/sdcIcYPFaG3MRH2x 3cYYqZNczDovPUB6aZNDnmA3yNG8jzkCDLqNqAVtd8fpFEa2WnuVpcCiGW3LULtOw4ogDryda5la YIwokkwLE/hGxe3uaJRPuDh6aT6pJbLiGiNkOf3Y9YnUY3zKfF9777y/9VSoNu5rurYf5oxVtEiF hx2CqaJJCoyyTdgX/j04t5Ij22XGUwSAP6VATUAEJ7ZuQKi7xpMh3oOigKm72QDTX5GvxIRRc6Ju P5LM4xmEmPTiPeC9w6wY12BhZwUAglWG5P/DxiL9ZyLVA/F2s/k7AuG0VDG1Zq+zQsUbGUSI3FmM Q7YF+rpelSQ0ors8PM4dsa0YCuC8jun5YGEGo0eAS/dZzC1CCvMLAtOmSKnh0I230cA/zj7v7W8p PE/HioXKb43sFq+3wXUDjtKdiNysHv5V7sI6b5uB0pIc0tr7B7ZPcLabsbRQTGzKdpSnfYaU9acW fzsbt37tycvQ/WvHjFHryoL8C8XcexPvE0Fv4JLEt6Nlw71bO6/f2Udwhl2KVhZ69e7+2uOuGVZg 7aX6+5+PNMO6G4ctO/SwndxSvzJfm5b9b++ofhYrokN+BXvPeSyV6AFylbivmaqzZ0L7SlTovnkP Qi0NTBtzV7OWSI4m23Lid9egHtqEp8Y7B7vGKG+xeIgVDOecPdqxH2AmgKNqhKi2buTOsdWNqqwR RClBAM134mzc/YO9s278csqp/hkFp7Jr37xt8SFn86NB9LH/pehoTqkIBgEW+DCd9gkOSiQrA+Nf i4DorQzpXGBMPJ7rJ8fjRC+47Ym4//kiH1a/i6TxEAO85I2VNCSbMr107x1W7GBvABt3hfpR4o4a /FkMtpggLRPz4jydmUoP6Kuvs/BdTUwgGbS4erPhJR1WKjKJzZa7PrBf2rcnQCunrrZostk3N0bj kOAXmmE4p37ZE2r2wpZsrl+kG0D/4/9aSbSwq2WbnKVbpnC5sS5pO0skeEhBzJ5hGMke6YkvWtRb 6OO0AioPZsv8t1gFWnyccFJpgyw+meUFoLz6IcY64h3wUVxX4LwQ10DSRbsoZN0OeZw+7o1Gi75I gfK0hFzgEfHxt+tNUSO+9Eh9vuRbtFmnhJs5dlcY9FY5FNY0SlrKq08VI77JfzqCbEK6kin2ui2W i8IuUBqPAY1ZwDYt/o39NIIP1sYjYk5LCpH5APPQzTobzoXBcvyRWDhanYXlJ6i5vRMXJUOqMDh/ DPgNpVJEtxYAvV+plfK7BWNMhY1M1zFsSoEBVkSpaVj6EpmWimaZqaIe7TxCDvB0Fdtsx635ZS/p rWflsVtuAgtouJYB43MhzzGEZW9ce/ZW0xD57zqtNKvhB2aIo1pDgQZGKwmqwn8YHDtOD7WeZXXW qC9YjVCPqumCFQxFN8NPVYzX5MvLnFuaY3rAD+vXz1VsjkwbWARJ6sQCZzIlQEYRtRbGAuCAMHFq Fs078pNzn7JwfgGGqGUECNp8HzxE5zDkLg6UK7hOCOYhMlZm6EPurLmNr8tOOMGiQgvQHU0oe6Hn end5w0IDB//GrGmz4yMECeoSRmwUUtCRXTe2fbnC5cqI4ZQBl7VXs/CiuKpaKf0Pc7Bf+BpJ8WVw 6CC2PTYylGSfVbjMzd45GO7TkTNJXZzXCh1LQEjcFZ/zAPDmxa4EsoK8lmh6URelseis13+5QWRy mgCcaebcXtbND/5K1kdI7IZwWmA/27pb2xNugPLZVSl1EE+G+aaTuhEyxv9+P6e4HWZcm1VhufBp ogLghFd5lFrBL0xNplwLVrus4uQiJe8AJBwNLpJLly3HN3yctZ8cPa5djwykNzR/B8B/2686eVbK dwd2WoTRprHz083oFV/AqZstLN+QoOSA8WRRNSEMfcXTjqHNbbsquUhMkveP1KDafSWAOeUPx2HA XHz/lntVjoHXw8ddXJMXXsKLaclH1rZkydqElwwrlKIwKAZSupJIBod2ngX6jGU583v6a8JPOCy6 8YXfaGosRbjCl+pfMfVjQJ3GJC6+L1dXVTkUFch7017GRC3V9UAmO1wyslowUaN82T4etJNjhFsb NwLN+1jmuJjZxx5zDaeRVhBSkkyxtHcQyy8JMKysig/Q75HkGs1AnI8ktRE1K/lNzTYpaH7HlNkD l94PlVWZCrUHOXO+rsu7vZgbmBsjmFeDaWBsrpLP6aPKQ3NK1z2fALBLbYx3vkQBjuFdyO7CbP0n 6zDi8zCBpJSyM3mD3Xg2kSSKHVuM4hqiSzRawl/vxR8kzOyvafizYjCM8r3iWCgFk7e17bjn38kw wSiZP1nyH6mz3NEOi5dt9RYzz1cngciNfb51gfD2YAnr62cbH2nZ5yOsYQl4wAx34sxw2wSVjxW2 I9SueaM8yMtZtnJJwGs0nks68p8jF7navfnBfp4iHeq3GQk/HH7TyX9PfIYjvcsEnQPnNdInd4uN zzV/XUY4QFNI5hyau/4xmHktOBZo4fd1B4f/7GgytQizdB0buE/NtACJU6bM31Yb7Wqip3E6WHOp GUI3MaDq9WDryLX1f1nzd5uubnEx1Auu5iPk9lLXOhGs9xyqyBfgQND9uy/SYJ/jzcR7nEFPyeYU BP/hiWiiJ/9nQBQOwR/lbaSKc8QhFCA5t/aowEqfZQW3DOSIPNJn7RxggXE9EXmeU+9F2HDD/1Sw bEtKT7McQLfnV7HtarhPFzYCbffNjFevfkQg12MZkb2d/7MxuAi2ZMSvzjr53WFDRfwxMknqCaKm 0HCYzlsWg4xY0hcVNBfu3N47rlzJnv6zbioBTAkJ9XuJ3MajaOaKN41hpSPSvesbq7lO4JdsOMhb xmSCAi4RIbHGoeqIhArbtGRjq5oZ0OTj0qqV3QdBIflnQyjWPFrAsX/fLR13RKGnHc2ocPsK92S7 FUoiK7wkx+8k+Y/epb2pqat3hHIu5jYBIXJNpg2NczxFFzSDLvf1FjVWg+MADYTK3Dv/2AAqvkjk bn/uwNSAVsNTUBSJ3PZOTZgytfdg3CMzXFT6wXBfF31U5+TpD7kRGZWMFDMCcPc8usGenyAEUW1u CWvpPOzQGU9KHXRDY8gX8+PCvoLVyq+LpPhfSiVsRQptvPG07v+mSbUTBgNUHihOzHjVatxF3USx BC2ezOzqfGX/FLXN+qeHwu/q9oZSaoxoLg/7LUdIJ53xXpgA/SM83aQ8csG3+lVaaELHJRty5gGj kBQenQKJfmOPT22YMj+PWshh8/Q6KpaQbOytFQnjK+/iAXzvW+NHD44u6Rzw4H4rhtoNuW++u5kH amNoesZb+L6GNaLNGIl+cD7bC4kLO4xzgjIOBDlwIHNjq3NLzV1mz5QfBpiJI+jC8Ac02PO89fTK RrwoJ/XhxNI8NM7YiN9PPTW7AjekKoTMm5B99EUdNEe1mBey+c25h0GnxPRdlXrlU/lJPQMra+pY EWT1E2J7vBg46vRHL6aJ+94c7C6U1/sONx0ya26Nu12TJmkhW98m7twplHnlfJIgytgr5mrK1L+m Ki9ffCNRyvR2MvBd6wnTtW7R/eJXgqjsXaESn+oSZn9sbsEBtuDPMNVgZzXYZWymD2/Sp/BQ2n51 VpDn0fW0/p4flOra+x3wX9QuXEsoJWQfh6hmcwK1pEkeqX1qAiwyDO8lbrvEJwLoazYyY+7TnMs0 gvtO+37vwjH1MBLUFHLNu/GzHS7Dom44rVhUm7NNmpi3g3AS5UVdmIc67X4bVn11xP1WxGXKhRR2 /D10LSUR6DnV6efOKofOXmwq0j9vDweoaM7C/xJCfDT8ZMlrAp1FGTSEezmfGPQuhMGcfSZp59ij gQN/dN+gDLAv+M3DVtcOY9bEbIsfIhwBJV6++hlqPzI4F9xJPWHVqmXzLvlqrrXQ2ggP6aMz44f7 HfzHFFmWTErilXUVRYiCcIUh99k2YfemsTNXJ/YtUOFfJffiXBjDcM/1SJm2GrsZVV0px371hh7W gwpqealq7Secqwce6rB5I+hQXY/Y8sVxG9OvhOk50/rjUR145VLNgVckBh702DW3rtbVDcVMrZNc t/WkLU80Is+df0FpXvlP+ZlDOqkF46+ZcZ0WbObje2no0eLhsdwR6CTGRyBjR/uR28zE5JOVTQFA Ghwa7/O8dy6ac4Q71vyjU0FgMLCqkYMJJeu9aEsjsgIoDqMi/7lM2aV1qO4Wm5NIEJjzq6iaLqRk jHlHmva0y8GjkWrwnwDEeGps/eREjI8Qklu364A/g6cne3zGeQr176x/hXXu5keqx4YJ/N8O5YgC o4zhZWfH2A0XHlmzgLL0LKGIKLV72sv/ptxnGIKs2GRLMPBF6imN8EPpv0NhaS7wXUoiYmL8iYXw ii0zTikLrhVKZTLx+hAA7IfbrHEo+YuRQNKjLEp685CIMMYuIHHtX2boiW56Bx1HAaTWS2+75Oem lO3tJYO1tDR8aVCXLS3lcWK2Zkn4EOa38BQpkiAGdGfcWZnFb1Ck7kz8QC3m6gRIQIw8aTDnmhay 7R5wgYFr+2wNhtFj/d7kUZ9d264TJ4SW8CJDRjv4PsviYFjZa63N8k0QBnIRvTjdy4IKtlshob5n KL4T0nbwq2qmjHauQ0QOY90W2y6RUdWYDsLqLFAEfZPcVzz23RQcOaLkQP5awb1asiUOWdDYOLm+ Ssw5ICaUoJlLi0KLkX4y+/h8z4dFu7PDtOVQunZjyQLPnR2wPlhOVLV++G8TfxPFeAnRlgXZnHBG haeXUuDy6yPzxiQq+Ufdds+RmaZvh6z7HvNS8S33qQQ6ageXS98UwRyswl8RbYZ+HZ6LkcCL0Omv QbggxLU8VkyDYzOPadGoTqelj9EvIz89DufzlMcMjp7nM8hJRb0CcsUpu+p3ZNP6ex7mS8FRdPmB 46gjDvZD6EBVmJcp9yl56GwrwwAsgoDwwgjdByoguIj3g8ovUbIkKnGzWMUNBssFJg/3oTbOvpxS mqS2QiKd3qsIKN6iJJxNTQcQp1QXrdSExQtrohplVBfPT3dKot8Pl276ypFT9lauAYm+O1Cdqvp0 +QdQCmmyVFZ2ni4/gG5NEqNKwdf7+TJi0ujDgSqusxFLlDXDoOEAdLOlzNIIU2Hmw44i62sNxpET eE17zVPGoy/pBgEZOK7/hNciWZ5oC1DQAph+yvdzED8r/qiBhsIbjXSZR/VFGyAE84nqeA+WfMzO W90ApPxNuWLZTGRdLNa/yfJi1VNh4r2w3oilw7kj2Q5Oa28L4Cdz4w/UxAdKqHI+HiYBLLi9inXO PBemM+XcxM61jpqIlZdn4WXchMjAKhik4oFEU1IAD6Ds4aB/WZTZEtA6nKmr61RJO87ou2HR010f 0+T753IKrfSzU4zz4mN1PGfl6pTAgxJhqvnARONLCTwwyagDQ/DQ3n3iGDqlZtp7eJirYKupK8II Mg6hVBMvA2OwK15wXd9llFfszX1zupBxxfPjUJXKFaPiip7rvineK1bgMZIt0OduXv19tmplnp3S 7fZe6axK/YP4SIimlic8+W4oGyW66h7E9HwAxApP+mSgbo5d6/Hhje/omi6h78pm7bbgpN11/Qao y/klEtWXEJUyKCB3E/OqcrNqGBKEU57pQdrTMJaTKx/eU0ayrnXDuhIpkcW4VfQzd4kDoYXs6ymh 1hn3A5nSUG2YklVX3p59UNiPT+Ligs16RDeZV1doQKeWkV+7rU29ycAaTEBAUl4Dl0U+MVQ5+e7n NvUiC4LKt5rry1DhLywAhRWRWxyy6h3AfK0ov0KMiq+PzIn1caWD1LwGJxTN/5T7aBDEftqfcQy2 KVhfXJDSGnTUwCl8XpYHpZOajruMSfoSOJjs0BDye/tZxzRmHz9h+c9/2U2YLcXZrTFNBzD03xRw HSnBrVtPzcK/aQJuZ7T8gcKHmo565sua7OvEmG6Am/a2RkhFBLuD0cEj2y05OBsKAVBxfa280eqO v8WNuGgRECUYprB7DfZwQzysSrpaVkzzPSqG4NjuFRBaQpwWkq7YhzkKp467Y1J11ExX/kefzk9C qcdv2v8yfMbVsnqgVT6DAuh/xch5MgQHVx86hGC465QS91+o22w5hLdC5By8gZizclaW3S1xKxRb eH8nwPpsaXo6l2Zl5owCQk81FKEm01KnJyIMHbfCxjHubX/8MOyEhqA7RB3+7ONCgET6P4UJsJqN QjSuFgOSqwPuJr85DBK21mE7IBFs8BiPqw7xGrpf2m7GCVvBvjr1WMLyaCCHfiR5qtz0xSPvwmJK FEXN5pDkXhIT504nN8c2bJpJ4wcUIBrFh0VNgeSp3MPYsSA1T6fPDscdDWIOJyGglJ7cE3GMis76 Pva7lvRQV38+cyUhDv4yGASTvywEF/1gm43o5aXSpyLtSa/j0CdJOzdUckfaPTv2zGQKrP6nQw4P CHb4uPy4SygML8CsYVEl3RaGiKNGxXixv5FIdf1w6tv6KYjNe3vZpqCXROQ63SqNCJw0FeCsx/vY n9fBH87BJJN/OdAxGIUOEMiu+s7PnGSCR14/kqN4uqXffoo6OBA1J6kDWs++gu6jnNca8geYmOiK HbEZq2Su3rfPa5s3EQpAFypVMnAmOlIAHFaqOTCo/zCjm7jHSX/A9YZ7JMha4sPGN/xVmv4WzyIc arX1ntDE1nYDbRqjeiwx4kXLHnPbzdKSINrRD5ilKk0FQnxiKBttCFvgq7DtCBCYm6QWQI4WW2oq OfTyKsTtgYrAGJd/wqcRQshNIRp1UVClcfOkiY91gEIM1eBKBLuxPSm5mCYXfKdAxPz6icTzW7Hs fqqhRBGksoJqHfUAuv73qIvtx+tqKByz6ZeKDJjlVQbnI18BLt5QQFz4Jw9bPRg6GlMO+m4hW15m uRFxdLeWyBvhGccC5lIu9/3PKxLvahI8bYrihwVxw64sQgSfQBd1E5SMlsZjkNa3RwuzUh34G8NV ZM35qjwz9siBNg9P2R7mLKj58VRIbBkOwIDDK7jClX9EqMT+OV86z3Nk5xB2dU5rmM/wgF+MmDnn uKWKPERSlEY0c0jasJDD7Qzn4hT7KsyhXs3P5AlQXIc2FtIT586rILiQV7wpllJUtOeHC7Rz0aGN VsjWpB5bgUZcC6S8TI0HwglhjBhb4p9aq1wngn12MhnTv/5iJnDNJluEdAWk7gv/gLDqe1oOSDHL he/GrXlFdCa1NamkdhNYkHrk+j89HgfGO1W0SFNjleksQEBZStYMpFDTzpJSGLg9xNaIPWZt9Pm5 TvoaU2BsGmxK6KEN0ci3wBVc8Z8xdLz04lTqzaHLBIkMUB3Yl7UMQUIfeiHVjTqhbFFZJ4YoMF55 jk2lT30WIQ0jMIPerLbJHkME9SmuepfSboqN/sxajUjw4P4633PCsnIGMgJg6QqwpoedZ+GPakv6 jASqZ7NCKjzCvpXmul+jAoWsgtg90/61KJMy8c+ZP0R3hRcYKbJ8Xp5SM78eDMRB81WFGFnFsuNQ mtr+cU3YUSrp5lyZvINP3ufYVtSmaNo74fS1RNT0GyM8rjmojt1MKUNKnH7yPynxrrX/gQK6O8Dl UurWZErXuNVupGtZSlm3ihdkaWR2atFHvHEKSvfLoWPtX72bcCybefD0ESeZ5N7SHCuHDSaETjJ6 VY47xjnuOYb5YPVVq21suzsV7JrV1UuBtcXdHuHAmYDO91PAGYKcDWNNI3RsCE5F7VSOZCjRbjRH 38DrD5Prn0dpID8mM73T8mRDvpkEv3fxi39Q46stUSNQYikxFcg5EeCWS/rL7ckgrD3UxjEFYcxn 8YLN13+prH1Hj8bXUw0wmCyYvpwfr2JGQaJtHP0EC8vNMuazICWKuCU+q6DrVsrUh6oOe3RRBgqw uZUgcxTfErFSdDzoT0yEQxGkNupGpKAda7nXozpBlc5Nm4ZI7wqpepaninP6b1V0scwwBbEZ5aQZ dHV6XYSnK+VOvnYw+ALaJPfIiQn3W2/bDYWpoTVWq8bVc2ctmE7yjPmVVcWhYYdmTC6YY4DIiBIF sT2BVlTEApVEA3BxHfhaTNzxccFPWEFEDxUrhbDomXrB68vzyDiWNIOos5dH1JWSyOi9cgYOBRIQ /K/LITVZjVErBp88adyYYt+wqsYXC9HA7voXUrsMmM3fLIEOLCcnF7RV5TWi79jcORy5OfV4nkEM IR5QcLiNGbY/YTUXV5JZ7IhHknsPB2eg0w3xXTgXXT5dnol0ZTQLoFXKrl0DjNd27f7qeq4afZuU R+5HLBdesUf4TlDc9ayaK3eapZiqkXCqe6Etd8zJM2zeTLBQCK8ED5Nj2Xjc6nVUgTqmgnDYVWoQ Uhh3M8217vWdjis2a7Oh+A1x+YwUcQHDM3tpcfaGEZTW9weigsld7DdKgVD8ZCTE/+5lqH9LDsUj BMwGka1Zt/sJtOdQiUr7F76ycY5eMQDG5l8PARZQkWWc09HTYAxU8wW458SivQImPRo2VbldDywM ufRcnLlsuX/kY+JmgRrEU/kRjMzHaT7R26kU28JcG0fA+J8s4sjnt0FEdfmP2rNoOILMFfcNXZ7q l+RxGrtdL/3hZs9Nv3HwxFaegQfVk8xT9CNx8KsCQQ016Y0Jv9NpK1PzN9J3T3kStXweHu/rv+tt jarHBQdY1Y3uSul+YY6VqxirHW93jCL2yDGafsgY51+KXJCkUYj2GHBxd3CaTYXTPZcW91lerQRw GcVtg0ZbpmlQ+6xUzjFikJYKVh0eeLSHP/T3RWiLeMK9ZSsbfal7AY1/UFi31ni6Hytf2Hr5ucdP iwMRlGZML9AE4VmrrbIkkkyF/fbyoqvpgJl8XJ6lOuq9ZQBqJvtK33/QBM6t+2JzAhXBp7hXPoK5 sieR/G4HkEzp3MmiBOYQyWd+mOO+Di4/Vtx1lEZzqdfD60utPNZ5ngmB+cdLt0CkELn16zcta3ds 791UuIlknQkI8Ia+7lgHE73xbP30ft+jcFTBwehNeYb5+JeEoet6+yrqxGQIcMxmrqXW3sMEVVxk xZu/oDlQzf9+wgQnJcf8RB9SzLxiPrmGEe/EdTNiYlW9Yn/v3I/fH7ABHltSrW5OBl+aI1jOFasu XXQdYfD1bck1sAnPsmN/UzbSDjtGjS0vdtQe5xSzq3FO5KuThtIrz7cfE6TzswwnDsK1+R+/yId7 0Wts+jRrKsvq6LTD6KxkWMTOOdz4U4gYQ7LZo8HF5UvZADkG5l2UJFsG9qeTHm1pfD67+gU+VIJ9 y1OKbNCbcnfSfJQZ6aW0e7RnwqCH1K8S5RVhq07rlNFpOcEsC7IFtjnchZvZqfOw99w9zxsJIcOY IVSRd+0Y+nfz8cnuprsDjwslkvzKMbrLV4/srx5taAP31RdpUno/kJuIwEqqeuhTpAQcp7G0q6TZ olalWpR156lfZ//GMpTLeMkut8bCD+CnDn5nCHenhXTjARlF5RXROoYrpY3lNSTGxo7OemTk99OR lbBGL+AZDTreHRuImpYoZvDoUVJ4HGWlnxUWtzIo2k27+mwaokPX+crBCiDILEo9eAd52AvfNpkh tSRChINfcpGW3mP2oYKUX1FTpcwWEdkYbbaV8TMEFahb9k02LAFAjM3J4FG4VsyzdWrOF7ZnSz7e DnXZx2vBM0Xhe9/6HVa8mp5dcQ/qik9dgtJD67i+bKOUk+q/mzA8Bk1NfAD1I67/Y/GgcfSoS3no /m8glCaM9WsolIoC5ib+clAXq3ookPDzZDprkRsrK0JhnjuIKvB4zJhlze0AdTF3On+Uq8OCEWCg yeEtqMxEd0ffMntMhxzBckGVR+nnENnQPHkzNTNG3SZCWtgqg6Q7V/gLCkefgO3VLu575tgLvyGw 6Q/4HYdxqGONtWUcaUxI1EDACQzlLXnQoxnheAOPL0uxQ0HSdGloYceaRvGjtNQ3ZMid8BWgG6BV jwbWcakULmoSMDiEL9LpPg6qhygFgPTAK18HpAud1kwgck4ROBNXWA66kE5GiKU5ZZejXG/LBoVD eItZJKb6CPYmCnHntFV8FXYH8vpKLjdaum3iwp+Ix6hTrpENcKvnhzS8xxEWtjygo36Iy1NN9Uiw XWJsugZy/JdVwQJwz8rrVuBe9k7jQtrYXwJzVx9v0a3PjmtoVARStLVHn6SGyPZ0teA5+mdKuRaO Oz4KboOKwuWocr5A/IlCMdQAW4/PGEhXoGErpD/jNZrmo9p7fbZLTJ3IAw4JE0b0yuAkoDBLTDbr AlEkWmau2bilfHbKZi1bKBujLGOa2KPeD8n0vEzyLfTfISYGZYIsnarzzy8WXFpxFY0y0nwKjZgm oX2E6MJ/dmFlhBmK9sUF1D4NgodtO7Juwj1q1IIZ5TrRUlZYjm44XKW+vCKwHS5cv9gZ3WdunxI0 k49wkU9vRbNBSjrM27Z3Ecu73NLmLU4xR0FMODbOoPsLPH+vj+q0OpaO43I+oSwCmfsbVWDODf5W GxL4d8UdCRhc7k4DveBAlEUKasRQc64hAfvZAB5IqqwixF6C0bq4sSje6O06lwnODDuwwlOfWY2T 9Tr+pS3TTRjNoO6FiRxmPWXGGFeyuiatiTgG4pvvuxL1N8ADw4UrS59I9/bOUrkPoJrkwtvnGb8P N4VrAayvmUberZulYYMVfPbQ8ZH/7sLRg9jhuEVdzm0suAEBHIiCTKZxiHI92hxBTCDIm77HsueM zhMnxJWhlSMx0WYa4yr2Q8e8n2rdKQucXDQb4Lp2jcpB2fQADvaSEYUsMnQIaWZ++lCfPCTjjhBz vkkPLGymsN8+n8yzrZNdv3KR0bfHGKzoF/OtemS2PMtkdJhqIE/6Np8j5ylq7diRKi1BlDQa5N0h cPWDxSZX4Dl+g2Ly07xEP7FEALgV/JZcXYQvkmobX6obNjCL1CdLioVpJTipTp/F4aZMTmBS6WXP PCp8SpoGIh58t1KR8IFAHD28QbUsJnw3LtYyqK/zI9C7Lni0cMk0fntislxD58cH4ZcFVh38MNVs 0UOWMSO9mhRssMevgHWWNdQsYlYfquvvB3nKpD0iitjM39r4eyqhKL1ySENrK2wgUADRY16DW8Cj Lv0B0vstAEb7GoDRcTL7/LoO4BDFBFVyzkM8ELezsphsXGyfGNh52C2f5O4Mgi5pjuu7XvL1fpGW a1S15PzXX07ZAH0OIY4eXMAo+h5zIqudIAmTMtKF92co9mwxmM3i3QdASU+SqaotGSbZSmv3iv8J YvcPU0lpKNYJsCFisrYQAkJojWYScpjx9JWKUSV9N5VaIsn26adqQ3dsDd4+2AB+RID7kANp28op OUv4AWuEAlZzvnZa/IpfXY4nFgh4UCgSD9U3FHOfG5cmBlM1buksadr77F/dxF0hGxZiD2AwOeih u2t4sm//x5qrHa6MQhGzizvXqVyG/hFyqfb4SE2Kv+lhDFTaEjxyeLBKCIiDpN/H/rOFqjpMqVN3 kGG0sTDpOEyN7zf876oB2JpCunJVfOw9A8VrVgwTd5G5YU46fN5AzzyFUmt7GaDlNOwUUSnx2ige Oq73QzvfMPJFbUVKG9rJybNNwm+1m6ZKsAj7nCu9VHozL7Ew13VaEeAZUo8dRhk9rpJAQbui0zMm npjbLQhmtDTqdKhxThWdNN9HmIy5En1VxSu3u5D/drMiFAHwBjoAF/l8sTCuV1C6HQ9Aipo13VKv ZaIQ1QSMM9JddIg9fcZK/y7iRRQ9WpZ1Me2M3rLjbODbWvcY2uWT8Hjf5lbJKEKBTX2awIvdmWvz 4Tkv8m+1KYz/0vmF+0XtdTKL7Hg21H2CaXOGK+OcdF7KPCRpYtSaJJHb6PhbBFspJTozcVF6sRJ2 JBl308DD483iC04+74U+nCGn+bdXziBhVv3dQO/ilh2SxDF3xWYGZs1hPsWqYE8yYWWTr/8XQbQa b1L8S8mCF6SgOW6mzKIH4FkrowoJ9vH2UaPZRGSZXXDjGftDz/7dtSn38DjEUl4t7/O2hMFYhCDf QHKwFQd71CmWbC2Yi5wAOouixxQ61znLDPpQv2tLE75wSJexozm6Zf7056tW372tEn4fNb/gaSsu h2xQUtVuI5rqUWV3NoFsj4SCEETBAunrKWz5urlPxhcVT5qD8CrxarUKAXQbeWm0pfpMtFEPp4Ft D6Dhc3ILlxyxZg47j1ZskVljHnczCXgSKbmPKFgNKLDrUDy5fHLF8lQ2axBI3U5XN1fWEQX5k35y ROkaVI4tOMByl+Y1XRpDN2KhqeIQe3KTlpM9pPlrUBQI3mnOz8KBGSwQ9vVnjs+d8a4IWqSDjZpQ Iq7I7diVAv8nzoHAJXp0Dwd5ozS/FK4ahr/E9LJUv5Q+6L+xeUUBSsJEeCD7PxaEckLj2xC6Lmp/ 2j3WYy8XoQFxNTw9kMotsT8fv2VIsZzTzyAqmDZPwxu23QaG26zs7qwUzHYcGrJIlUzrFKkR9hL3 0d3sHx67RbTWlQzAGd5uF7ynFKgbJKecv8ZKv0S/x2OWz+2TFzZww65VljbPtTVeREu5J5NQDVTO mNEgZc8XumfR45HfJmVGjmCaavUJoq1tO77sPAu5JhHrxDHZFntysPt6mDpHacwld9yzOVt1MRoW c7oWbwx8mxkEILOq7elneIixc2msXVfJeVAKMje6a7vm7skroaQBB3z0/Zyglc0ecw09eUNAAL1u Yp2h/Asd4WOwJ6i7TrKpBuBEorEAi91ngGvaF0nV1GWua3F0PQe5pjPEgyS58mPpTY799LgNj7bk UJG6a2de58NphE0AKRHzxWwHjC4rco0YxrwLuzDz/Xc7iVPJzf+ftp/ZeHMTj90oZJdYrymcSdH5 0wCHCsm6YP4k1s5xS2TZKsrwMD0Ibu0tD5g8mGYWGi5QtbfDkTJ1h2WsUYbJ1wkzKUs2tRBrrBu7 SdECPIW1ZABnFBDcMvFQwrgw5/4v3L/9Uwtd4zus8lfBHopfR0aItO1K94rFtCDJKS94AkXXzPVr JBQ+yrukT704kl/tBO/bAPatgIjAIbs5+/+tXXDoE0hRj0xFX9NvnGeNY99AB/6T8ZImMORyw4qm YtoIMwFNP1itJevqvAvh3Xn9R5h25SUZm0H9JHdQQH3TYvTlLbwLQ7mGGM/I1AD3WuW9jJOXEJD+ xaeaWZkpA7e32DtLAtjB0KKGxI1S6nhp5DbLHi07KDqjgPpa0vdOCehK10gEng4uA2k7FESWhlh4 tUp8Dv2QZvN6yMt2DdgctM2cFUgOUMr8tYPWPxCfYdXf8VExmQnS1T9RyLRJwEpX1Nus+epe2yqR +Jqwvlj4m2cDZJqpXa3vGyCcbvD6mqe9dx0Sk+ZxTDqIs9CsR8GPqi+JwuCGusiebuOnm0nI38v0 Q3y/EIwSibNrwYrC6Cc2EIJ87RzU0NERM+ah7hOhdix/i/uKrMIkxMzJgYDx6kcCZKg3i68cWL3+ 2o6okmdQQ5DFwhgKf4siRnhe5I/utflnrYat2rfIZXTq7+nSQgIfghc53h4s9MjvBa0TqKdE0Vbi tMw7n8FPT1V/y1k6zlIVCk1pay8fRrLegxbwXAvqlYMD/5DRj5vCeTNtQGCV4+Wz17GOOBUJTzbb qUJD5WCwvk/bs4IFX15/pWNfVnE671laTqgZRsnQYI99LyHNwM2o9DgFAdmRdCqctRjtk88NnnGm 7bzrNuMm1lRqNkYronU6hMx4NryKQ69roK6Vv28mnXPYDGbG2SgmN9Coog0k0vaekQ7DBnp5XxDS AQE21DScPWwW8optGvEjspPArubOup/6lP0lHoB40HegSkk0Ylg0YJVfYeyyuQC87mafdOCOgQgg VBfnkaExYo0zU03/r26vA78aZMFHkawoRmlywDrGVXFxBo8ETZ87rxMDCRwnEP4dUAxlvxRTS2W/ i7yVBicF/HDrsFoEhZnzuCdUWjC60lBNgato5Rpafc7WJdr6NJtX3KhMgA7saAEu70AHOxxCnYgE TT+Ohrm1Td21/L2focjBgqdyoZBECITxoT61c//oKubx/XhdLR/JNA5CpJSd0Gyew6K8Z1P8aa0p 1S+fnsIKwxJlVaovxz7vQP2u7GBUs9EL0WOMRgjTNU6dx4j7j467AJmBupeWdjVW/LxzMb8iN/sw c+4femc4gJMSoPwmlNHhFbCOosT0tlLBAhyZj8BsHmMmhqYPivU9bIh7/CjFuJrApteh9bFtX+U+ w4bC+VLnd93Tduizpy7iEMWnS2mGeZy9TM8cCM7sQHmU9yQdd+FhkR01Xe/GDdBfZLhcH21ifEQK RqVvmxhun7qLvRf2KpBN6dCL53kueno07auIAwdOExCsSGrpG4RylqYQ3/WTnIiI4lftcI9BYNW3 csaZIiIp/1fbjwDgtOM6vpsRJr1NVCdrfgB+x5dsI1n2NZmUSHo9HnsqmrNI2nm5QmDw/dPwGXge 3UAiqEzQCENv1H+4YDt+tD4snio3boPncnfP2/w/bwoRScRK5KvKPf9F0OvfDyFJiAjmb/swKG4z zg7bHxUXuy1vcFsvzGSTqxOZOzdeLUFBWr0SKHDlfA4YWbt05Th+ZInB966aVHHLd4Zax5ahwsyT L4WbLqzVs1OZOpbsZ6iyg8TaCCxOND8DGDYM8M22voxASRI0C8PuZVDq2gPsTt51nXBdcS9XGP9C LbCQiQjQT6o9krYXz9MGtFOS/HePtrGDL/IReMSxlKICSDi6JFZM5+Srwlnu0BbBmfirGun6Plp0 6KkqMDYta0DBX/m4QbEbyfseBvaKILrOd1ClqBBpB+HOESsNsFE/giroc16HmatvAHoe56QoBy7D n7yH9tOGeJ7oQMrIMCQ0wZrgJegijQ/J4t5wHXGl1RMmjXJ0vEigNgZaKm+zp+Qd5+XmFEkl1u/4 34+kuMKlxitUkpKcGmtF9fdp4pwOwNx+80qPyP2q7cXcFgCRneTDsInFUry5rSkky+9z7qYlszk1 CdULeXLelS2WiSS/Bh4sEFINkTArHN+aTD0BIq9fr+NwTZ4FlH5sZ3X6o67+NxkgZPo87PrInjxj tZO1pYs/dFtyZ168sflcuzmWFH5hyPMCuHk1PZqfwluvDe/fgU8ohvhQKU+GK7rLAMLxbSNdNcBj IvrZI2MS8Q0tDNo985Vp1P4RPnVlce5yBEEt1SXBZfDXPmWxTZilFl94jMVxsRNUbJjcbpGasEmX Hr7ZchZdq3bsbyG3NyWhyrMDQavlKN5fyhUW7NqXk3pZHq6G2YFxUajD8KEHrcgS+YxvxeqW6vgu Vpp7qa9ZM9DBgAJWPc5EzSZd9/kbZ/JRxaQWAoG5TPjPqxM5Z2MVlfVprbxjN3BsKYhEszayTm51 qKp6CSIu0QCvhMN384+zzJ6ZcDzXmPXch9Pi+zaomfNXDafmUdUxlkXCGxkYaR5BdVMcahRdAp7i MS04DYG3bgwn1iz4dJAFIuIicfuKI6jIHuh+o+jwyH03u8VDGMiICNpoD1hNAkZGf/n+L8DyDCqd y5Qw+hL+T1Il/0gWqOhjogEXflVAfLc/O2J4oW3KuCO+oBjjV4rV7V16ezAFgkMZQRGGXcPQJVUJ ub73T5kc9DTARpSH2PTIGJIhhZvQQhfpWJSVMf3U/bVpnamkx02bCKQ+e5acMjshEwVMQgY0DGqH iRnCs28GA3IpeXrobbzuUYyThsj3QPNq9YimeDEZallk7fSM8gsv1PvRZ4kSYZ8DcOWHzBM/rzaE fsjPMgBtlWzrs8JbGK+2QFEq24WhDUKLUXBtfekeeXPXbJsGlplKOZt3ZLXxJzflotSG+fx9yZ+q 6mXH3X71G5fhg9C3uWJvkaaJUiBAsdXZPh66Zfnr07mdpAkMAKeNc1KvK4kMwbPQwYwzPg1xvNUf Cd5vvFZwI5d/y5ED25ayMJ3CuBdQ87cykgkk0a6FAJj38ATvJh9snrMGC8eE0njiamd/elLYV+BR wT6webujvfKui7raoT0cj4JrOtLvyLDkaAuSwr+SgBxVSfJZHvBHI/yGxLg0lA/euCEmsibTwip0 Ty8HtrTpUKlRqCcKkocCn9/OfC+gNwXJ8DlLis2g3WvWu+fQYCLMqFDqhiY76DiOxtbb31u0QgET 2mUKQT58ToGsxW9FQB9MpM5p2uZeILKkNqZR/XtQkPUREM9Zsx7j26Hj1CIsvCK4Shu7aWL65kHR 12naGWaBTe0ZC1svSyJKcqsXB46zPU8RBueHtwHYkvWAlkB+hqCbQ7kdIOCitR2UQOvSv07oDoUn t3iiNq3JD9YhJgrJfqLVvAr1Ib3zC4K2qq5nUyfVqwYVTe0aMngMwj4yuyX88SfsIpF3EGoiXVR1 PBsezaL9yjlrJhHt7zxr+EyLBZ6bm8DAqDoCkovShB5ZeaZ4kfygL/dCGbVmhM5aixVitFAKGv/L 0lDXSSU1H1Iv/DGNys4dHXppic4KEH8biMhbt7AGK45f+CYPBfxhJ0Whxj4nXh3zcshBXIXyt4NG bp8qAMXBFHipcSpOHFf2wq5SSj3aOZQ0Ful7UUpUjf83B/Gt1elLqtdB9+tpAcS6CCOjklKxSni/ D2yw1SYOOa9xSFfma5QHq5e7G0DZ7vnLl0PWr9cE/SYSDhkDH4sT3QJ0dqj7DeRZgSE0t/c5kvE5 h5O6/S9Sd7Pfd0JiF7dFEy9fI60RYmVwQthWz9LO1t04cY2slb5fb0acSJYdIuXQ7NBz9XinGn3+ JQJokaO2EPtpodJiZiciho20RpSjEb1NfiOt2ZNHS5oBuN6T0CPNvr/uweZ9tjsQaUf51AvatUld 1Y+HFH3d8+IQ2Qun6h9bpvNWCre1svNiToMWjMSAqVPNU1x+CxFK0BFNBIwwzq1KnYzzA51YB7rP uNeYW4xo1ESWooxi03mwD03yYyEIvYNm5mOnI/8uBe7yiPzG6sXWiNApJLhCKANeb+I2RNejunyb iYw7wqHpON/RrqX2dUV0uQLfIaVUykqjA2x0CMR7Ty0qGbwilV774kkzjIRTq4nl2wq61r5QrNzX A7LvZDAwuahfiGggR+ziKm2yiY/NDAcSZYbGABf0YbbIj5FiiDneADawO0yrXEPtLA6v2IvluFUx sybHEGwp/eGItjVVemsNLKq9yG9ylsCanaVZWPjRvzd7pn+zVTBCSftcurtvhlcTDI8yOEqNc2i2 xNO3fyRI7D7J38ak7e+JpEZSOWckL3r2+01hjH02F7oD98Qr5DsfvN2H/r09epeLHVSdALyQo8dz Yi2mxjoZE8ZdIayTmyI975zWu6lNuIQM/bYSeFGJ34Bk3Hfy8sblWXQGNgt5IPQbjFrz5Orod0Rn cGEhlnFEnjbSgymQn7apO63t58u7FjjVH9lC/mJ62wIMeSJgevxCPNXZ5jfzVNQIJAONjSV/I05Z lPGMaFcIqx+26sFK5Zdek+8XLb+pdJ/Wbqq/qtHtn+qogJ0+RHzIbQUjHUOQO/Bu1pGyRt58qSq8 s0Ej9fsPYERoJ2B7fPyDRichT6vdcYpI6yyU4N/Bll6QDgmxyvxeujluH6pth8chO6r51oX4Tw/S m61cLGy+KBkTNu+x/UBin3yEuPp9kHALExmJj4Dc6rX6dii1QPk8OOW2LEFeEowGnG3rnsKkH0Jc dYaTo4AkCczkA9o+o+IyT8Tj9HZuletnKVdllQXctTeXfV8POVBqa6iQEq41atFULztAMlHq50ST u7dj9CwfYeHoPAEJJiAu9zoYSJ9ppCUzEE3X+OAUdh2vvt8EKoIzAQr1poi6ETn7K6pODEatWmcz LVI2tQt3Q1ktZXUFQTcMR1CX2xQTfejsAHup02zOXUAYPaFHGUJW/fhE0GL/hhkCrVqecQ2UztaA LEo6oGii6kPtSL2cq342MhV5SRVU1pBgT7jZcfSwJ4EM829nxiMA+mX4BnGwr4cAEk1wwykzdaS1 6LekpYROl3r84tlV1I4sQw3Gw+9vZMpfuh6008nglbAJkOtMCJvhbAmklQGW9k/5MfwYyZhGmYen WYastCZoIM04F9oTFxCt+y4JosjpOLl3RTWyu/AygTa126CID74Y5pWzFKqviN2S8OsYM6r/Znqx RuOk6nLIIkNY1QqdoA5cxaQzRoo28FlHKwT0i48K1JOm+SEkEFF7bsmB/qD+J/il6zp7Gc0fmltP AUcAMW9MqR1hFaaaEVTee/7ec9ZsHkv+5H4edq8sy+nNr2LSfxeQn3vIRtv1A+scbLV2z0+tIh4e oLFYG2+a7lwCHK1eiE9XRB3aaNgbOTiVQhIH3o/DZlASBR6EK4y5daeWyi8EH46e8dN908LJJWwZ YgH7d97/KKkpe4mUvnq7AggN2p02ZBBiOatDuUMGyHN/jWe9GnPkHSNLqy3HlQnQ5RdK6XrBFe10 LdRdsyTMxnZ7e9MzCp+jeT395eDTBeyNMwnfRHmai2A1tZm29KTgrtY8y/U4y+t3cNsV4px5PHLd uJsl/r/ylCRupYYP+zKYpaysImZdUS+92Fg2aNO55SYc5Ov1VzEcW9juW6SLaOctkCkFKcfwm8f2 uS8qvDWWfrnC2a8S7p4Ce3w8XcN6J2LfQOlecfQ6NQ3eo9vHic7AZ4KfzNYvriMBro+zGXvsRPYA 73HAtyr7x7uvavWT+XK/GTrtIXFxeEZT0HBpAzpA0d7dJyfBJ7WHavkythFVHBZcP9buHpYF6f01 80PDyAFoWzYO7YvKqibUOu7qVSyd9uOejd4E+pVIDjZrUrup2vFPGfDBjEarBilEz8yfKAx7R2+i psmThh8vbRbGQmPsYqnHcZR1LhVW54QAAkSR5iVMZsPU4E2gkCMmZEeWU3gMbByOtP2Mv+0qxRMc MoJwxSVL/Jqxha4YY/QrVMCXEMhh/9OjoYF1E1s4YVUAaafJWV1P0LVAXjz6NucVzR0k3SW+BgED 7fc18AMl+rBGD6pROVERABkEmaXO/umiQ0l0amNSYFww8yqT2k287kPBDzR0B7JL5TPaeOee/pf3 xYqjb2YUNGcZSU9pCX9r1VYEKR1qdU1iZCNd9Ct+7BkpxiRGo4bPSmfNDpFw6T/JQc8h9EOO+Dps BqG4izeY1/rAV4DBYMMGDTYQg0n9Pe3WY/JZaJYitP1XfWnCZjzFrXl7QJxbuBKd864bXrhOhCPo yyTKQtiox9KioPZ+GVQ9kQ4ajZbY/p4RJeB9SqIBOMEx2KP6cf0UEqdSM6jUyT/BvJObXaqpFpcH Pi2GLokEaSX7XkXv/KPVo6mWcSBHdZ+YU+ykRgKI6szeRL8gm86TG8V281t3iq55R+yCHR7qNOyw Z1iYJa49nThTs5/p/nFoSiqbmljKEiv1/sVu8GcEuhNaHxxJsNRYuS45mfnzdU6IHUARfwee67+e 4+gjK2zakd+L1GbjRtO7ttmGruQwfwcA5kh2sODugqIzWX/O1Nfp7Slp7ClMGOja21+mda6hkOC/ 80k5WCd8RFsiZG7JOk0RlXoZJk7dtdr7EuRJZ0wc3sfs8BFGQtrZ0oN1Z45kzZsK7Cu8n9uePjt2 /SwlVA6swz9M1oBMMgylTgsCMTF8ZKplJ5vNQxQyDR1LBILAkV5ge8lSQ6jLLZr6s3+BFfnKbiyw +c+llZkWjgXqTCRL8DTs/F34UP8fqAB4lzk9B5eUfmYjcUbPpQ7gt0QAqRr0Hcz6vHx/BZfr/66d Shy3QEvlueBrdof5hxsSl9mwLROQLxPX2dE87UkIVjuGOILDO09BuW6ZiEIb4oW9JKHuO7JpG0NQ Q3QDb4PpndInv2LlE6gNgnJM3BDfdFK9Ql6n9lHiM1uMHxXc1SQoI0H9v5uTUKzqBMrBuJKEXOMo zc8WqD1bG5G4DQ2hY2JstEy5Qo5/hhzhPqFTXlPzJBEF7GJBu7goIr/1vhSBXCdQTNutH3sIhmnQ mZ4z7iFhqSX7N/nltIH4vEKS7ElI5KHXUX7oZVYkrIcaiYesgPHkOfDGX8JsydlhD+lj81Txr9wy CLhBn44QGNOoqJFG3GtccQU1sqkEvZWzTzl1D+mCQNeAp8ILbfOzzUl27LFPVAdJRsf2AqYQNGf7 hJGwNRVTs0dsPaNW8dudy5x1dI5/0GLN0jNHpJfTYKh/Y0AyMkAdCMt/WHrjH9tJA3ISwwASwyti nOEE0FldlhZL7MuqqtpjpPiVZmWhJfKmtnLCqanYdmmQlOT5siu3wAxPIZbz5nYwknxUBOCrHjEd YaNyISPag6f9Bdmz/lespuHIQHdIsPq/EVZyzC8issL3KUYDq30omU/ZH1H1zZUcHQM/TlqVlvoc rI/9xhfxpR5JA5QlqMjVLuexhS+raLhjsPWeQvtbvIKbb3nzUsEezb/CssCcIXI8ALxvvF37AoYc rasdWMmhMMKNc7lLagt3CONprfV2fmgREsXWCkXikfONR3ZW9sl2kmgPD4+Q8QQ0D6j2n5rn1Y7m 6McKli13Ys1otVvzD/ZyqjwKez+7q7FrcB9mQKnaop4As8Oz0A8NsXI0WtTjPOKUBJFCw6H7Lebz GxDJYPYEFzHi+v5x8RRq1scdyAlS8pgB/6VAtTHwcYXulmV26WgbHO0+pOMyQZ1O81+0oxtMMe5w +3dUuWhVdO5E6waGbaSTS+/Dte8ejid7DcSwB+dllohhmDlg8eoHWQenWlUPCQNohsLg7yLaCzaz 4+r2SMoL0NH4nq8EvebNiLcj8HcLZQ+ta0/CL2sokpxQfQzLWQR+EBt2rZRnb2YDupCtCRUy6EuP KMIpuABGH5+Oigp3auQC5ImLkMAlXhyluDqVrRXc7jBHDXZCS25Ro3poTw9J4bzUirylmBg5ge/V Esqv1mk7ux1Oraecvd92my/yvAnNtynMCIpkzf//fxOfngW5LPShLEoUNuE9Db4quPSFBhl4uGax wVwuXnRcRvi9nyhtsgR5sFxmx1h34rkeZYFOCg1asioqyIbJdYfTtA7RFd4qVImdE2HG6sMvGhB/ U9QYXws41d36dN5FLOZfNbLsDJDameop9Jc3jJ8OpzXYlI0VlR6NeAYf0SO7Omy4Xk7jNG692xKu 9eTzGnozfq9SjzQlUgYH6jFzGv5cp8IOw8jJXG5k8oXejyi01+rKJ/fr5TBdIpoiOCIeMDLwzOFQ oyxWlnoAub9yy1aJI4Hd8HvZvMO7axTq03e3SfWk55PyjluUS/p6vufxdpEXih6ubH93YGUMs+dc GqkS7w7FAGjBZlIL2uyeurLq089JKGaRhHbmGmUwLc2pjFilkQrrnLP5ojnnI2U2e79QT6a77L1x Uuy58k0CkiVtrfLSxgSogKXHRVWkrOmtHe4W8v20WgVo8IICWPuNAV+i/uPa9wzXAVwfGSzxxV9T Upn9a/eZFrh967h8pkjkqVahd6imRBs8lmee2u4Tpslslut8rjC+XKKYMrLScUuUPcYREn5iaUPN aN5X2/vIFwaYQx5qoEI1wBnnQH2qolg62/8xZfzouzx5N9cjFrh4UVUFaXtALNaOhc7rT1Sd6uhI 7j7Wi1zb/RZzIjYocS9da90ZKFx5A5mr3/7N0999c6Ac9DWIJy+ws9otUx46BAq+O1O6c6mExMkL iDLgyCEtZN/Enlxdft+MjwCl4RGhnMgE5lOy0gBVuxVQapTrX4w+6Z6VSmQYVpXMy8+wSlzBAoPH 3AwuU2robSzQ/Fvw5QbNTuugFOCbXCQNtEPaxFSQYMJdgvyLX/ioE9DAmuX/75ioUOYniUoPTJUy 9f675OIYicuWrx/axTLysS8E6gpispWwbf3kacRtf3pk1E7PV8MvQq3OBFv6tkP/FK9DRbJc9glI ufx6R39r1S5x2hwv+JzdhnP2UKS7li5qSSFfpg4S0Ao6ggrVYpVY+CNCmTqLZ74c/kqFUN4ck8f5 GLbcQBNyuoslAAByvoesstP0orgRiYnXjMM3PGWsDaBKGMlLePK92G5l/T5KOdwJFCEsME+txOn2 8oLM/TdUI+cBTQIR65DSHphHUYYYP+2G+s4uuThbzoZo01ZsYG/+wSemU8ooRrPBLoR7KZvx5s0Q O9hf9NsDqzNuygL3mT2JSLiuOqUMKSXJBKRn2gcW1bkU1ENg7uFbDFPW92oayjzXXhNrfNWwbI5G YNtFaXf9y5YdmuyzW4zlfpYHXcIV8NTHEojjlZ/L42/vF1XtMdj4heOQHq06LIHBssu14wwRwHuK Pt8u1MOD0F5OHmhVqDBm6r1llBn63J88zI6O3dJiVa25aH8l+vINc/CURksT6K84fA7qdlupTsPc YPkcEsbuovYC7qwCHUKiU0egGcJ9IZru7Ic9bA2XtM2j0wvB3Z5jkCgI0RYpsUhKNzi93Sc3wZAZ St9IbGoV0pXR9CBLRlcYzTHd9dKoKo4wLs0FPyjR5trdo+lcCuAZdF0fFaHhsGApCkEfy787Wo7J un3fjJ/vqCj/yNIyfzxjZILC6ihVGmbMzzkOQnWtNAopAxKTlKIMrBAsMvGOJMH4lD/Qk1Wi8XPB 9v7dfSfrJlgRt7unZg98YsYXEjj1P4GsmMDgdGqXVmts/jDWKtg8fYswfacFIC1+ZCH9fia1iJc1 I9q26LUCG1l8aw369E6+bft1drRVozkU8FcIT4O30yfnUoY72b+IbilJLBpl0xtJBxAxUcyQHLAb WsIP+vsUq1Z7aenFEvYOLMk8DsCcIpD8EU5rYmzO80OnA3BRR3t9p1b34my5aYZYhYzNTlSgkhgr 6ekc4ic8IStB5MvNqkHgDY1qe9c/HskkVdltl+s7Xz3kak1YUmbyl8ukLLlVrPeQnNehy1Fhs8LM f7Jxj+9v0IkU5b+OJSAMGqRZoTUFAX/MIUwxuOeFgYdWp1NeSwua8w8qgH2laU9LoHV7UGcD83ZF X4+CQZhyQAhp6VgSLcHIYkfhh940I3pfSzgj7Obar8YGu8GFMMQucUm5zw0plJFdSHcs0RN1WCod 8DZu6oKA6MkW5E4ks03rjUSNFHKpBCutHW4wYMcIhLPt3BHKn0zn8E8+L94c8z/snA/xWKQZQjJ3 VTY7H07NUWMc38zqEv2HSfHo/GaHSUzPYBMGiKIBWsjmniTvak1nMLji908DghqD8x0ccIo6TA8R b79EbmvwE7rqlGcHHG38KCpsprbboOXbThSBnGjoxw9NdEJ0r/jzmIAPCjC+iH1zfbZa3zCgdXNi AKGiWrDXoNz27RWdwBr8HsSH1S5ZLGZBTS9UE6ZcrucelKibp6U/vKqADNHKUIAlTgzmXdJrkW9J O+Y8OkIKScI0LujiGrty23qqp9LqTKjQdFf7bodr7BETsr3J31DYVrj/cIXLyVhmdKqsXS5kow6G qa/b/XRJy26FhaJ4eR+W5tfCV58Oz3zsrgKkvwhB3AwGYk7xujCY2ceQlmwAT86+dT2+az8LmqxJ BrVa3Chl/i7BTh/GXFKMeK6CUXSbEbhKtdcYRrbuLlnbKFSfnqt/DfiBSxN6t0mpCzs/RS0+mjd5 GYh73mwdIIbn2AKSprJI6EN1WZiDBVxmcZBEHYJ/JAAZvwZg5hYQbDbfBJ+MeuYWj18v903Y9/zR pueRSanxHlQwVQzXNLfOfLMb7m/nYU8Eyke4ishr5MUyVXptYRdTwssiUrQImUiSAQiB3mcQDdX8 cTpo+FCSlAhKmjGKI3Km9XIX7XJFpNL/gtLwLx24QX14EnVVrX4PlR74kEJK4g01Wa7ECXLB6Bov dxSJy6nCl/Cq7qejdGLTcVEi/ZTRickfVx8ng5IQ/f31axzfwL/qetaBiFosqfCBc8kdNPrNXRT7 Y3GVfW8X7ArUx6VnBynH/BRxrDMpBDZljVwR9eMJAjpbtuaWD5X3FxPUBKudTo3H74na/nOaeUYd c6sWpxtbqrg93hQmPEiUI4g/caTnp+ssElldf+BNThlf+KJaNEw82W+dxD+aLW1bDiSRffhGFzWw n1JfDJuLlXg6XQu71fCgYgPfLcJ/n4hcWRDsaZcBKT3ccGjMhSeBhAI7B38pbmUBzIv2eQxoNeQX 1ntuthW3AFLFYUWvjSdet4zByWtdpH/NltyWqddWd91j8Cr3BIS3UiM9p9h57/aGOshTBkcW8tAY aKrSI4U8L9PQnVRTT/B23LVw5NO/nJ3l4x9LKFp1IUz004R9wS3G/PqbLJ+p5JKbzbZl5DBK0RmY o2+38WJC135tRAZvCxWS1DyrhUz9Do89EqzOC7TctnKa9tQ+bkDR9OONJuiYH//vQ2lij2a7T1xq qo18gj3adOpXL+cliamI5TjAHcrw7XzPfZSZlXi6WRNT5a7XREx7RjoTKD7W/gVJDqZRPA/wE9/6 nDHuLxcEqyFc96plM7Nx3j2jLDePWLxao5lUo8Fx3LfX3uKglKkx4lNfsamdChCQjfNY+zf5rt/Y WgToUc0ZClCz6HNXkT7QrZtdj1AMhAG6bXxQp8hWfFcazvPdJB6IXUzpjKdt/ByvsS43R+nmb46L Tci3sT3MOfkCBmbV+Tm3KeRnnfWWxaZ5WYd0W/vRivsIFTDwgsLIS5V+aFSwh2EZD6KzXtxW3EZK 3kYtCOF0k2m0fjNzPO+s0kEHm6ZslA//bwx2N4JvZvtAh0akrRqK2Sq/r/BGilqXr3pCJeECB/kQ TxnHX6V3tapPqMyaiS/FioQrZ9+tsgVvJLNSfQKBvQGnPrp7oS7c5L0cmeUYWQBeTlPvSzISkcBE SlTpuRDAwJR3JgAjHExFzYNuMsT6L4Ys7IuZgTCF50kK3LIkLTwfGybY7y+WU9pwhO/hg/vEA8hk xHas7Leu4fO7Rddohgt8UDF2qi2CXsCLCp/QiobKNVrWa/5DN1dGVYL3EEvEkfrZA4Ipv22duzHC zWH7w02m6lcnHRUtIC4CH4fhD8JH6CEjHIN42YxtPrvMkv5aurpT5U016vCOlt+k8PIIN52cNaCL D8o16JoBK8XmZzPXanOqEJEoGx4N22ybUf3PZy6bT+JfdR8yaNMD+5KLCb0diPqevgXfWpVvhylv Vomvvc0k8Y12btjZH83uxecsvloX0aGrHICEh/HfD6yHbbBaXoWmakz6I962HgtLAMlr3oIKOQeB Ust03vRfIPgH86uPewWKUD2s/+fQ0ZNbuuKtUzQEGQMe+ukmWy1H5PLcl3A2MBWyjDpQwJjIuo3j QaXscS0zAYtqn3gU9Jm+CALitKK5FZ3VOAy7xMKFeAjPLEgJrD/Yv4vSkJF1iz6nCXvSBYsi0R/F za+LAldj6mrEQU47br6hMcO06pruhhzsYXw/TmxUBkjZWIZ2qyoeZtgDVaiY+g4ultW70LwGx2i5 R0Ow6FFeno/aJlYyQ3Gh2l0ELwrxs2X748pPxM6xwsqHfaeOlewrA3Y52RcmGVohLHObTOlesZWv 7kHwjYLvKDYHwF7NEdIwRraxbKEQBqffGj3eeAYWzkoCJ1PWTlJ3OnGMDGZR92RrXDd8Rk5VJ5GF /qNfc3IslGZlfoVP7NXO5Z8p1EgcFhBuBG+0NSWuxsV4oORck75MRnvNWhH/S9C2n5cRh3TIulQi JtZDbd/1n56RkASwINKLUSOPt0xFUhgLImc0G0UCpHNhVr0khzjIY0TUcd9Mb2yblsMbIZrgzoo/ wt1RJzyDRvldNHUKYD8yklT62aV+w0prYfeyU0Xn6ZvHKbyxQGIZ4YoXNZzLS7bo5Um4PnJAth/+ 5GkdqznoED+PGuP26ffcjs69p8PzbcU7fEllAwRKfJfYi9o3GMBpGYhJ8SSTVmSjOtH/QdpPgIKA YgLAMggqEIZV376FLjSdytxuRyYRzHKKiFoBizKysiS946PzuLw1+G3t32J8643A10IbrNpR21yM //8HNbYMkU+tfggMHz2oGQALL1iywtKizjQxqsNMrSNjUeu3gtWGmPTY/sByGLt/+7TQu++/Sc6B Gk7B4/nOECeswC/YN8oaLLKIuasv4gBT2uBHXED/ocffW6n0bjBjBo5zy6eEiPIKMxpOA6S/m1zA UinI2zpE/EEluPPqf7qyzR9xFEcMRp+MN/C7T4GnV8+ii/MDz1BVutKzJS3PiNIrhzFJ3J4BczSe XGcT5ykecqnji7iDkMN0rqVuYlGP/vbMAddioe280b30i+/beXGazS/XGQtk6iVJx/3dmqV5d+Ns tjdbU5Sy3KpkBJ5laxyU+cY2zXu21ir86QKmNIzBOiF2IqSTQWmhGsI6j+TJ2gVCT+syjy23IN7a o79j6I/C2l2mRj/X1I91QdaYdhPvM+fdXN6zPprUm0Z8ISFKWl3pell20tKWqTabgGBge9nqeDga c16IzmclIWBY1SMKtzBB+l5XMprJ2KBX8lKd/aJzVZiLP01vUEvlpxNQyG6I9qAe/aYJ/DZyKKeV G89Hb8XVjdadGhPwmuD6bEXvp777NRJ3JHaX9o40/nLNY8pCFIhFg8CWgWQJRnpViqGUU8IE+dt9 0CzkV3zStnHMefbI1ZiMddUfjwRJI7tVruZ1uy+Vyt4rVb0XT+7iASr8eIdj4abqHTsDCc7bglNE rq44H2VKBXa1TQ0jFQlIH9dGloZSUDv2ABjWz3U+6m3mPg6wc3lR3RQC0TN/QjFvur/NXYwypg5y +2pmDAvBRDLvoeSro7SPK2kbq6mDtExgMSPCrp0II1Qr5Oqf0CormD74mQWHLWSaHQJd6PwAwk10 h5r5sTIN0FMerM9PB8/NN4bgh19hK+N/OZ6EdiBGIJW6XutpM9+Bv5md7o7zssnYssjH4Xavooke oA1+AZTnYNBALEbjx1JgZgfrJvFNM9noCMzdyGkfm9Oc5PSxwqx2ylwAluHKFn3zx/ERU7JaHpTG LRKAiT+jxPl28fzD//hYwgmIrpQDwcLTCGP2Vh4sX1bwaj4nCB7rar/pnVZv/TD7V7SxjwPfkyM9 E5tq6ZVbR+Oj8GiYjs0KiBn5gUw9jnNPA7b4lUiOc99xivj3NqWw/Iv3/rtIo11BWGlBRbMlbM5o /p402YatcVHwDVC/JBJnZcTzhkEeq20OdhG57T48rx7hhonCNsAlqG/zPSxtkrWqfUyJlPzlFHkS oqJirPd72Ho3AenN6hYxpIp401YKqFrfTQefMWtZEVa+eAtR2HM11gJKa2V7jihV9jE3BZzgIThR cP5jyGR+NLRBBJZnDSQ9vh9M81Q9xrDjea8y4Fb0vcovcE04oAlLCEu1mZYBzfENJEjtLT9Oyk3V Af5xAmst4tsvNAfHSoEGIRmuaCgL7UPqozNhng+B14A8L0XwYd0a2wn3fwjMFzfiw6b4Z2UAhklh IPBOtBoKnU9zheIbvYHXfyyqS9IWRPJ7tcYaM2yJCTdOCCARlSBZSDAMLOqOYrMOzJfrI6QfQM0d gXK1HUn5LSptq1o80KBgG6VLOqaNyIFWTZ6MCR00XYG4P4iIltDofzWF5i3nntJOUfsyYtsOQAmp cRbuwILRqTPLxtxXDnSyBwyMwv+YVGjMiEff2PcSg5zhAAAsCVmCNMSAdMHITNs/vtWYKVBBotDv WO8vwM7741RHqu5Yux9k1CURPIuJoPxv1wY+i7gVBIifGN+8LQNN0rZxBaiRuWhxTu5Xsi7yveWZ N/ngxFasIdiJgpQ22hINZqWD6PPpi7H8IhfGmEPclpfbBr+iex7a2+ATTeZi0anAgg3rHxATbQJF 1iq+oCPnIS4ZQXAOW6xPEc4ECxU9m2jQZ4fkRi18EV7JkchQ04YHmPL59mSEZF+rXT6eE/vkpSG/ hleIjtSzjM25hLVi7Meil9W7X8E+atN07c2DTc6079p/LP3tzTxkZZRiieQmXsk6KtnqEIS3VFCs buC+XExg+rjZjlOu9Cg2LmLy8p6LH8BkFBUnqx06alsuz8LZfsA21bhUOCIVqRgAW8hQB21DAHCO 0yg9kPwZlNcigwZfmYp0LVVfpjfqNLDNjYrjz16skHskC3gtmiG3rePY+zQ763q1SZF/ZC6uYHLR cYCirkNjXBXPv/5wO4p0vnFuIn55CsMYWMv9SGHNQ7IRu0GQnOEYII2xd9skBrNCmWExC5kC9K6w ymhWo5/0okmqqep8zi/+R2HivgjiuaLRhTsEzUE0q4nrthYivROXgC1Jd915DmkUQrC4+lsmbUU+ qEWUK0tVOBQVnpSTmL7KCPXqmOJZ9aw/AXBLVnLBYJkjNmuUMpadMW4FmvG9A6rBuIPlI0M9G6BI xE/eUqUG/kNM7p3eN7U6WocSY05pHdPk1cBX8PWzSX7F0Qzyr42hyQjqUtxtIsz8UWF6vdB50mJl Oksaqf+mbdHLUNCBpTDYa6aM1kVq+wyvNKO5TmeBd8kNJYrAToEI0S6Cp1VI4nkZFIxV17wqGcFo Zs+/dUk340QgpjFBGngmJls9pM0m8y4jgoTTcpyrFZTOjro5QnqrhUptLaK3VgRcSKxrMayGLWbz iDd8rTiJ0OCZBgwG+nw7cHEFNj/jo/oVTuJIyvmNgTQU2WMx8U4X//iWCv+GoP0mVAV/IDV0NCw9 gb54Iy5wWmdJFROEHMWGBClPbEdBnNZp9b5ll2Oys/66bakHk7lufs2I2JZymABP0tMq+YOhjfR/ O0lzZUBN2g6lIGgwvmZISxQchkvErzUUm1Al0+ir7pnxwmoZx7pKXYW7sx/uuNFZ2a+QaPR7clf+ XK8wY/0nfoO9iinNEw5LbJu/IjDMt7oMJ7gYM3QC0LVPqGzzQWO9rvCuTJmULaLwz05J9iGHYxeX 9V+asnmcoyXhtJnJBKg8A2d3opfr4fbF5m+yGMi6XCDhBIaUM2AAfeMgWIM+pAYUNFrTwtXeQJ7S dqhOdbxBVth/AloTWLMA5103UjIkichaVG8T/lAsrXYpn/vk1GDbTq2x8Q1KKiUtDedVEchqU2MX tI3qF/XzhPVT1VO9qR9DDHMTg+GQL1lswdGB5ay2X6o8UCQCq4ZG+CVy7DowkbdVoy/yOG3Kf1iY /U/ORyaxt4kWQB01XiEICJX8eUSba+8pHVoUOvS1BzuBHXARJImZ1CVnNAheNrESwOCh9XpT5QHw pqgjAkVsLYsDaPsED3gCp2OkQaG999nKXdcj1k+FqJJMMZhxtiDgXegC7iV13YFC82hV/ZqPYG+A Mrw9E76/3+GduzXMlQTDUfrlArzwl0O9xdseQFk5tVNQ2PY+EkjARp9stGC8p8+HIFJlG/8nNkLS lRAg8eRewnJX4kxU16x77nsHpbYAaSS3YuzaTVxl2XVTRX/ocxCiUf5v0b5aPkrcJfSSherhopGw /fQiCNai1L6iGCtQ5SG7Vbx4vny1dfQdGZSLoqXayPElelBmrRa5qJY7+OlSyqtxYNwBex2d1PXB 8fh6XU/IzE0w5/yahcLDPcrSDWrQnwcgaPA0DjRIgJI7rTehR/7QWNdDRDb01rnzgIO1mwoVVElQ rPMTs28Vs9lplIYeM+9E7WuRmi00x8Uc223HeobfdksrNPt8W+QZ8jy+D2oX1M3SUOpOg3tn2JEo 3Tp+jtcWY4PMN5V9mpLdM7YDQC/cRaIgVHuVgpXWUiGck03jRl5PpchmJXPtkrdRNUCWK2jmQ3LK BpxCJVx3mCCIddo/w/o1YPV/Nt15rpUu9R4HubLBsRN/SRUXsOQcvlNSgb6cjIJRwVXXQxta0ZHM sOw2q+xFddYEgYssX915/fV2ZHdh9or3shhKQMMgf8V699GPja335bA3CHaObRd47TrC4xG4zuVt mGhBTmtA9M+lsAXsAQGPnGNR/N8I2QjHVhouc0WT4T2koIbOtzDC7ARPEbkLZBIuPa9mBonKO8zq 5V30rTpIQtv0kAMrq4fGilx0BPS0KdZKxKGePlFsJK7N9L94q/4h1yaiJMhtkxsZWGXCoRPPi8d5 9U8zxCVZiOyMBAorPizAv/UFZU8+eHsRwBNL6jR9tLA2nUxfuephRJnIjtroei81CHongNXOk7CS 2VQiwHJ1S2RlgoDYETkXfe2B9soTem6ZmcIz9KBzcGr3KHU6eLCPPmx47kkBdhe7VJQ701Ppiii/ PB8prwPMaoAgpaZ7f3siIiCkdyHU7+oPpqP2BmZjakLwvSmoQP461sMW+wmLofCSVxOny4dcOZla MW41ksSueAi6cWQs31+OzFc77oBshVPaqbygJCYTevsN/1WAifYKxf1S7c6fOzuO/zYVvt1DXObq 8Th0E+7fI27Y0wJEbdkf7Y0K0oY2ikhuK2AaC2lLhLSr9tiz+uDhH8lKM4b7ZKGrAh3JVDJ/eAL4 WB18J84sLUK7UxTVipoyXiwhyhEMbkp92zMMc7vZecY2V4Qmsmy2WMZ6rNmCfGzeizha56F6GQUP 1GecG8gK0J4SjJGc5RMWdAhicWeNJGwAAcNbHoqhUrahjunFawL4MCb2B5WKjnvzXXEFAAdanZ71 C1Mg/w/nMMoJ5H90aSg0S3c3TDvVOhdg2cCq1/78oThxdl6wGIzu5fqInXH7sTvMiQhL3rdZnbmM 2s3VxZWpVh1LZ/y2rIFC2diCbykdTf3c5z7OigVne39qnMeVxQJIYQqEYJpn2Hg/BIIVJfEIPSPg zfSvVxwhsYS5DLZzgZCd4piMGUEhhTWLp2oqMbVGuX97Y0S4OarWmlyIzPpxUG3wsE3GcRXk5DAB OJf9Lvp3kOGvBZ5Tu/8/psBImFgocxgPaItGXUlmkJ1wiJdVBLzmm9MexkA4QeteUUdDxSsh752e eMUJXPtjI37bE+3MZ/qZm5jqlxJl9QWLWM4jicQj96MCZu6QygJXB2HHWiucIrUGfPqXVdsY8ZiN oA+n8yuTwZ78fMRTZ3cUjQhKHlNHa784SH6NnIBr87/YUk7Prllq7uCd8oQOXrAOrPHH18+9kjp7 dcSmGUTtPiuGWQhmkF20/qa4BmI2Gv1JO1d1+l+6pxaBvianz17ZR2owjK4jXSVM/D3cdJucTAFF 6f+XCPF8RniROPZbsrVkEs3BtYRP6bv0IOf4gzMae2zs4fKpQh1C2du4zQNb54JoW4SEsa56P/IL rYMoy46EkOhqQthhjQZpYVylSTDrU4mI1EFLz8KEsYqPoRqmXxqYjqYLkbVn67uJ/BqIbgG17i+j 9DxPABVyy0yPKklnH5K91jlmFIw0gyOXQHDMLHbAOf2Z6ggOzeRqSzJXxOsUlLRlOnRGW4FaESSL 8tZSTYx2eOaSMkjyKmOXCsou3D3dBUhul4SmO7YB5Dpp9tcBnFsQMcL3RhbnTUHnvudtoO1iKEW+ AvcD7h/TOidFRhget1RwQH60uOvAFxrBOf0Uk9+liOl2UltKqevxPsW9Tz6JmmtUMqxOajDNEoED MLgOzS5W8XIrBZXh4CtD2Kkm9LRAWiVHUSwbdTlWM2j/zaobE/nhUCh5a5nflHNKbAcxJV1RnsRw dRbYA2ICGiLisDnwgr8gA75JPodHO3s0fouKgpeaQolaW3fkz5yXzPaLUW+nuThJW9SxC+1Tnfz9 lWwGLN6U5AfpYtkLzQhhEehGmWtbaaTl/0B1WXGGTY9ALe3LJarZUsurRBnffetiagJaMR1t5m67 cbm9Adh+JfXEaLy2Kp8OeERW0tRy3whNbgH3SmlgYOJKKqQ+8zYoSxHdGLAvnmxhNlgPtXrBh4b8 TnvbZWVlEdiBNHahoIDXk1qztrdXb0t8TipMOCNQR7FOjyHq8ekgUyCFFoSi42dKFKp7CkN6c/cw cAoJu55Te/0j83c4vc1dimdQ7vIqWMDnmg8gg/+br2OY7+7z7eBZNtPqZ+j4kmGYewN0MaMacpiH ow3tbhzNvllnCwgdLAWr2VPHtBePrlXJlHSZqlsw4BVAZ2mZloTle+8rdwutrFDQUIOzZ0SI7xTD sgvg+BjIw9HqfPDvvxbD17kAMY3trv7f12w5NBzApzaWac9aGbm5aYVKeIsUSedEq5PA9sDqaNxF +qv6CGVOhNX3zHvDZsYCsvn9zoa1eZs7hykstckXJynwo7xoMpiUq31xCjNA+7YM6OJBebjSEr4H 1HG1yLPHi1vTNqpFNRqlywx7l69yZ5PLqgpSGNvmU9BVOVlORXcqpJYExuGY8znzPxBJtThd1G53 hucDbDRgxvEuaMEQ/GrtV+LXXeN6fdHY685kFYfoyrR3hXxr5pE3m7RRY3FigXOavC22Zxj81GSf tlCjZ02pBoxnXOhsjkuq5kCARLKDknkI7MRjv7Iwy9gbZrT4lp4X5hk0IBFGRihE+GDGJNLdH+XU F3Yr/hVdAC2vUDpteMEQk1z7ETVYQ77fjV8oZe7TNseqD/MESvGPCL6gyjis1byGhYVp8kCNJPxV 33Xv2p3ydCDBC70Cr0bIlT1lzvscGjfoEQZJ0PTA1ucgj05wyW7891Ve7ppnS5nPTPlQlusVY7Uq 6S0kr54hudmD007GSMki4xZH0zvshGFU3zqVZiKrNC9HYNkG4ZE+7QUcGfvDaVq4gbkjltFZwu5n 9d2uQRBlBPn6y9k8OXPcM2JCK/7ejT/61ZzAhc9806IE9U4y7AAE8hRHYmEbBCC/GWZWhZlFplsW OxZOl0fdJIN/zTtHx17l3QRi6K12zqfTyjfUZ8FHbdrhaPSg/PkTaqrszHkqTD237nBTo6xCIZV7 LeISJdwlOBPfhOZJ/QLZ5d5Zw6uPIQv0mjch4miQNIath0JuWHs6m50UQYys0Vxjx6Dsvcka9jL8 WvfQuGozlF4R8vkVn1jz6I8ph2Qin7srsUXOUCRNUlD0TbGNL4pdUv5n58Fs/459ktr6cpbREyE3 otdZ/LKfkbUlpuxN6d3pGnf1Ol6iIq292TrDkX/tUeZHd7GLN5afKcDBciYfryWD9FNXRtZQ+NjG s4qNMt1LoM/VvGI/yR4/gnanbqkAt2jkKxp6AJCe0g2LOTSJd7QT75kpPMJjaAdlYnYALKsqRktf Ae6xdBMJLJUME0s/psBTcNsrV0p6ozYHllS3gkw4LKGQiNolUrdzGwicoP91k099seevVcfpyIIC R2c8kkD8XpOKwCiwFdwVwBdvtv6sm7APYQBhZ6xzM7s1+IRZOgper2ho4KrJENf/trjOURCcjSBD HqsPYikGsndTd3GCxiblcjCabnVVatsxsSqEwk38bCe7i3lmGzZK+pdjNVsdn+Bc/RqZtNBCNxkI qjqd6gB1Mz0UHrVdoBA1hqBKOogtLmjSmG5s3ctgQAPPL1AhNKb0CIPgOLSllqTTOZ7LQv1u6DSW +nhSCPO6clgAdwrlpf3h1KAP7nG0OTKifb9yXDJ1YnGl0CCTC/kd+UQ4hA2LWTSwFAuKa5+EZjHV 21ERBv8Up1tq5ScElF3NQfqbMjV+LeSIkloTcrNvTpumZYp6q/fsu1KFmuwV3LXqf6UpQjpuCMur yKSpkYmSyBbCLS/0ouVhFSRdSsLyySsBEUx8y809l3OAIi7jocY/iuyKQme5rZsLhGT0903AfyKh mGwmK8R2324S7yEBDC4GrAHXMwquQP05LkyuQq3QtSI6VhiJd2WRb7EpIdvDoz6rYn5/A9jEQpP8 9fhWW57tFEd/nvnbdOxIoCLZMZyzPupI6BsTy8HQDLgC9azs3QhHRudhS+4IKAToLuhsdB2a297W f6uDa5EI6Ke7NUFcq5tMQ0Jl84KiiNQj2fI9tycvdxl/9mcgw+khoeCoML1+xdm32DKfQQeGLLF/ P32KWHvXvXPk2wA6ICvv3Yy/Oj6pNO+71VppiGr+hnzRoqY4XMhbb+vQdqosUkjRLPYQljqDEuis UJnM0H9IPCnO3nr7i45Ux7aZhyk3idGorUC2kwMmWTrUKvEFTT3amx8DzkKk/JzG/Lhwjb8cDjsa WrLsOLzrUyiRLd2Dny14ujsZUvS/OOnezbiYaJKd3Z7/WCr/hOThUl5D14lczvzWjlurP7HYNXOw gM5TzJ1ilwpXkSrSFMiWaXNXZ1v0xwJzGNlO9wM7lxakTH2pbSELHC4ICngsmUx8h44wv92dZhfs 9BjZf+G3InvvaCXkSa9mLxHEApOaSf68PlD5QEpxzMC2wcOF+hyOpgNtBGuZZ2r3C2GErbPBfuIZ 1YjfwNEnKM3yLq6At4MiORc8mZsq7hga+5Rqm8hck1RTJ/ilIRhwhR+EuEhlLNHqffo8GP82vnDJ GfgjI7eDlofere3tHoOHWnmoATcXhFGt3D+M6uB4iQ3R0Nne2Rf3+w2Cu8Em5SE7YkTd+ArlqDBv vu8iN6dRJpfAjsjASzhekRImps8G398k58MhSIcnTN+igxQ9VXxYLgep/6rn+xejimjUwLW+YUSg Ewfal+u4FSFmd8XD492lXbf3wN2c2TruTK32Xv1WiJAnWEf3PlZbx1Uf6wwd1YYjtnxexlWZjmWQ y0vWG4CRmGYrW3+wPzDCiyNOlCcKkXGQmoHaZUFMsMvky09NxKhU185JceJ2ABiIlK17fmZM6gfa jsUyOESNjMaqkUEfCkgHEe+Lti+2mJZhAebxR9CHDIRp0sQ1H3l5IXzBXkcYqPg6Gj6YSCn4r+bM a+/fvr1DgPX9kHf50RU0A5/TbApp2RkXFQU26L/uUhXTnfvxK3prokwDOegCDXtXc+UTBwcT8LeM ijRc6qeEOpdgnqxGG7HZM0Muih50XM6RZ4b7tRh7trRjozD0xsI+k9WgFddkEeRNIFtAYglP15DZ uxL0lmjDI9LqQm9TfqlGnbHxubkAHq6lzUkx9QuKZ+PLiaB1u4ek0PDg9Kv0nQUjmoa2k5T+oHnn 6o7rrZvCAXIPaEqA6AkuQL7aOw2mtxS+kAayD4DsSBbU4A3y3dvPDvUOQuwAdWqZTj+x4zl4L1Tg zyMavE1mV1p+eQ6/1OOKTKbHlypJ9nfrJrhHqlyyG+z/P6ZqkGkctRNJrgJcy9skhI2QZsH3P9RY D7Bvarz9ph59DDoJB8/7k2fXKVHpeTt8OXKCFPkFc+A9jsdVMJzcYzJkvmA59leLb/pkt1OkLmn7 0M+gkwHn4PmpSL8+gLxuQepk4SUe4v4gFJWQXST0aRRrdZm0COAyRwHVBpCAXqQZ7wk31mdaBli3 MERkf/vdjh4NQ7/3cN7u1m4yZn/vaEwIIpap8JSI9PmN4KKDT586qlAR2R5tLFiUaMRZGNMhrAo7 7ktOXFH/crlx4NPSrKI7w+3Q3W/t9DGawrpGdHaXgrE/IsGH76TgDPtmYOCSVireF63oCZfOfAYo XlssUDCaYXXmc7eQPYCBcAtZcPrie13vQe9ohDvmTyqVZwcHNmDqZcIbBxtQyEj9TKxKM6CGGgBt eSVsUtLDQxsZqddjqcjGARq8wdS2fhLoYpFkp2/Fv5X4AWVL+lkjn1W9qqRz0hiMCCYYJe6It+6W eRT4Rr/zfpfvyNqQa/3F1gjEPIgOcZOvMeW4dXhd6/IkNeFrVRlURCjJ32WhiAhtCVrC61ZJGBF6 aYmNqZ6hMc0x818mQV4BJLkPRFQOL8gzIUPpiD7QQaCnb1dPft1/h9OH9DGD+tQ2iNUIjzccZ87w KL1tamTUbuLAhHyLbjsS6ilV0xw8YpQVQf3b3v/HIhREhOw/6hYUa8AACRM4FyQ09JyftDVJ+rHW 48pATvl6GY3Xq2JhlR/pu+eSnIc1cqcLU6fMUgy37wUREwiNsANIHyCl/9c8juw+6khD15+m3FoQ JdErY8Av+RZ1jqGujdMGqOw5gyKdGlx+iXxbx9lpHHOMh9agqBAUzolMGARqIWmODmTcqcgztV1Q 5f4oGjN6a2a+C4WOZgDDiATe13JpmmTXHWLW9UsvICT0Ub6H03GoqBsx4DPMuHdg5yjPJCfRpwyY J2IEppeEvllZAg35RNF/ztNVylbl+bC114WDCtxPskr1/oCnYVZIvqzZ0lpk/3Il3eB47GAs3RJI 4uUGV5Ayo2DghY+1+Cay3HePGq1BXlmMv9Saz3z653eJdFo0/aehNEzlJl1QSqiiM3zoQKyMAB88 99iguh4/MRQvIXzcATb3lDmda8kpZ2L3/hXB/uKTfwGj7UKNAa+Tw8NZBwYFo5ceLXccl/3u5YOo qPdbx7Y2EXHCFQJRSBd+UgZ0xnzCYyd80dA6Z5cpf5fJpIJUAKHwxpN8I5/RvEdcOi8kSHV9RZwR wX5EvSSow8odOxB84kK7cXMOYwxc9tq/TJ2iQrWAQgx5uxc4pN5uhqf4aY3fyh4KMaFE/nnugROk AimWkr6ipZFDgZ1/pq6A8PFLOUnib2zoSkLTUu363IcEBXMV0MQhBNEGF90Ue89gc2kBc2rNj9P3 lDEvKqg+G4inph5M7T3H5xApfe4tVmmE/3D970XR+xfKtq3F09sA8wtuUajSHBSF6rM1c+Nl/zKE l9g82S9dEH+cyYXvY0jmzMogsXXZuwKzx2EM4Zc6RSWjiIQ3hiNXxAtwf/pv+SWcCwKui4dEGk9h ZxKtZ8HBL/PK2kzNLF6PLQNqev3Q5ZBPhdGapwsVmsIXBioAAEUROpYHrFogt7AlfpzZBFzXWccu eTAZVp1iO7XyMkaoTgBPjZlyGdGwnz6uA9PhHBirfAf/L6VMTaSBSAqQniR2JRg2KMHaJTqB4Imu J4DhqgssbVfhLmMaRthV9bgt+rdH4qG/ZxKJWkLsUbNP6Fo3gHlbOQ7hsFsARpCkQIzaouWKCJlN U/49lh0eC0BafCZMdcoXhj7ARAjmRRJHQCFfN23DeMN2QQkwA3fT3ZBLYVm/iO2IZus8UYPg8V6F /I3mvG61WtBvFGbuo4HKSV7ZPOlTd++FS7U6KWq8v/phLdLBAEpoUEoxCC2F8qJ3yzs/yfGt9d9t ZpEjCwPdrCbd/E2vNWCDUrOKB42zubTnXjGRf/ns+44lohpmSAOI94YD47ozmusrPT5b0QhzK1zO wFTBR65I7WKx+ddsMpgIrUH/KEVGwDNCFXz0cl3+mGyUjGUOx9F1WeqiDtT0MGVzk4BmRWf6WB14 w5HUqx7bURYQc1NUHzkrPOjLMXhQsAPiygdIJZVg2Us92U8g3hBpIR01kNkWc8CQgUaDnFjWlXqW Y5FjgQa0OfMAAT6T2jIFhBmsY2qDgvv7+U9QlmGkQhbaCWo6FDC3H/fcEA0Axjck6CYx1E+jrO0O KPe8Q8JhpDn7LFftpuB+Up531whbpWFmsk+vGEmg0wUFMY6z1GvH1YOLFVtqWDjzkYZTD6ciH+Kh JzI3tzZL66JiamXz9flaHevHrJtq4WCgwYNkOj57vrR6dH8AJh+p37CaJU2KWmA/0D6oCnhkKczO 87asLuVU6TIp0xJRZqmHlRPqJ7lCvkHI1mJuhaoYSZDq3185ZAJzPzjcA/3v/c6mXklK4eysjtF9 7zIqFcdn9x8byBYjMGoOf7bZ6Ia0QwnQpdmLwLcXbCy0XrDzyRyAQ9+gAuxUYntJyvlKLcxD/rqa +7PKkwQNjNlmQHrPEBy2ZLzklyYl0DA5C3c2izttTJazt6KrB4g9N3oxwC4q9GobgaCwdTUFLTM5 o83Oio4CHf9tl5CQj+SVyIL5Vh3TnVAMdjBnQ+1mJmbjFYpCm6esvwQQkXpH1JabmraC5D+aCYcP s2EYxCImnB/WVLQ64fDvF1VBrpICBz6cAxHmwjDngZs0/h+AfRmQeuW1o/JKYS7dVCW0estSr3GY m8IpGUNC8KnVIxZe07fK/TlEHJv8c1U2jGa0B4PsR3sf2lhpd9z4QB9r/GIvIARUU0syYFxtyX6q tQ7JcMwbvMEjIm8ySIw4LfIHsU7ey9+bCh+gKnvlfVUHGgvUh/E5oJ3k07qos/5u3AAoSXsg9s2e gRBy/j5/S5WpQW9FKcVMfUYj20ewcFndeFkDE0stcZvkJR1MgZJaFOz6u/HOk4tNEI5WlXCm8VyQ ZS8CnfIC2J4TK5RZtspYSTiNItvIOpyPPhTIgtW+4JXjEBRWXxsrPgOEXeEmhVdhZnhIqetZ44ut US1des+4lntkmp2Q7vLVI6mBL02D9V2k9XiA9/w5lJyanaNypf21Abu5JkV3BYeDyHNdLMI0HEx3 s4SEMvHgtHYBzfIeNqjO5Gl5rbv3SHofHd1vRTZlDA0mqHV/KDxSnzuVh+8xm534+CGtrLNz1luf HYShRplg3zVo5MHsitN25UDmHmDctZHTnq/8elq9FYfv0Cao0nejcFkVHW4qoVMAX2ZPV/3MlVyo ookBRMuKO5915qvLp2ycMgxzaGWok1eN+oduzRMXxEODLctFVrSTrwLXHZ2zP+g1QkGq2pGwE9Db 6WsvQFyzZUZgh1cVbThVRNyET96aGbgbGi7ybFsIY+7FqYDpHZbFbc5dE/o0y4fCtjxqgISqPtrw nuFD9Fbe//Q11w4d40LoxQsDelSlijzUGk+m6hh7DzK9+wZuM+yZUlUpWZnpzGMYqbWXjJX0wSRx AdYv6J/19s3bLgFXBaVMcV1DGA5hUmWulEuejRPgspXuGhOPOF/Y6pOQF10f1s+NLGhzJb6ENPv7 /aitP5Mo56wluQqW7FD4wcf3b4NzRkJ+DxEeLBrIPVEYuyTtK7lIMqd9Bna0sFdCvx3T66+H8bpT 3f83JkyqpU1DZ96cPx3PIZDMkNa2F6hC9c1S+mG07OURm2Vn+Wx1SxuTHLi4DnzVAhSfdis4CiBA zZi8WBfCGpYZt/MlRicKvn2r13EdctQi7OSXI4yypOrKYFUWlu4wFOYLEn9osjNkaZRYJxjOs1Jl tk8N2OA9VrQ9pXAFgfYBLVfTUNOF/hjDFE2LyzJAsdxDBSQGJIR0pdpPgGaTdmp/hnADdXjrlUgq 4Eeevp8hNZ8QHhzhg2j+pKK4WXxddIapqQalepwx7RjcfQNWlNT56wlQDgsnOgWDMGJVV1DsyOEM JiKLEArzI+oCvoKiDzVDDAgnVkLwuF+oEJNd1rhjdr2GzkoYb9S+pqqRI44nbV1l3OPa0jsEQYrv arl94evNmok8J7H5ZxOyi+PB9UAsqdmggD5mHEjCDh8MtSJYFx5iuuXLGixvXUk+a9ExI4UgmBdL CnMCVeTY62Wm5WNcLEEDwtT+BW3VCdVaOAKTBoZuu1/fD+U0zFRg6/7Nd8VQ2lZU7xITkQKmoMA6 xyeoQiJ8JNO/s4tyxu8dWKEBYdtRhwWp2kdnZlTIIARjnWkP/Cc0iptNY/cjhTg+aX06gDEooObA YdZ+1Nfoq3uOWvRFkaPQ6qbtNq/824zqkVwB+gQYvttm+X+TuWyaVtGCy3m3P+zUGplvQi8RiM7m Vs+MNZejeGO97/ft9WaiVgSJCOiw0gYXSerm76Q1uoxBM5LGYvCbO3bVbkhm5rRQB9HjMRkoulGO 1dGf0YvwUdZAl3ZbRz4LZ3eIqqRVe6R7nobRFQt7pUzXmwhUzLfjcMMHnqHURcd4upDAktscftW3 EFjzWltIfQMtr0JhDQcCs3rQcBA6H57mwnCgbW82jwC62gRm6IIA9FIFx80ggFPmirJ+fyE4uppp St7vNvdqcxqP5fFynFG1Cjk63roI1nJpeArJkPytpK02HtpPsJRIaPZIUwe5KO9RnIC6Kf3wG+U3 Tr7nq0i31ibv7jpvKiXy52MA+ZO15GCFxT3lcmpOtySGwRRQ/vDyqm8gXUhEZgdkvCTrtgl4QIjw jmfKNIMXYMhLpNrFSHmVEZPs10Zy+kdqvtgxyhx/eP6r00yMfsgVXDoaOdcC2aaIo0Ee/pLfsp9R qUSjRmPnsKM0ggFZ86FIkX0rCd0SfzA/JWqBSVem1VVW1hC62E3XIZB8kC5Ry1IWDgHh9j/gFmHd vzBQb85bRyoq5TVWv2rt1pz45rt0OK2+z2OIg2eZilKDfSu8//ons8RjFU1fztcEZ3A8P3SSAmnf WdxqYrbD1HoiIBYX3+izwCrMfRG1ipsPcjyIHTlkGboKibyHuaOSQVddak4Idsl3yjW4LHWJpm9y 0KPNJq5XrNVf9JY+Xzbb8nQtWMCgXaXE/eqfowzDWVE/Tqg7x/qRaPekPcV5jzz45y6kJE6De++w FIWitUB4hO9CWeOy61rFHymjRmeeFRWwNu0H9puAO+vnqi+wBkw9pInizn4X+kxvnTQlgp/mSbkR 6ZItVdxaFHjDeYWoTJDrlzq5zEfUEgZEw7T0BUgFs1d+US85XyWVk3XYDwbUDM6kRmFl9tCKGWhN cLTQKLQ6Bs2rxTxoRgyulzqLE5He2RDVLa+FmuVdrAxyCZRt3YZpjkmd/SHMSjjjj85yGIZjGv75 yyC8/0xwiKrcPvndunz65bdxJ4a+fE3TIeFcUDvJEGL6MKEL2RnyWuSr0INMvDULnLNb02+Mp3tg nC8ffkZslXoXE7Ab3JWlYSS+jCdV2K+ph4/M0iHH/wmpj2W0RzVuuJNXtRKe++IhSzs++21xa54W cLoLp9BM/WFlFPWwyEKNDMw7UMj1/jTyz38bGfbs5r1CXfvqjI6HZF91ahDINOFYWxNzDx+odoQ2 Jq9RmgCRlttr5F1pQozgNboRjpu3UX/navvgsdrsk59BfiRhiDwe1ahuA4xR4HVhT1hmfSILyYED eqBy7XwdtSbZuV3wyBMK/xXNQ9kHh+MMcfqiwUn19g69AesFJD1rM2jdrrREXdWse2/tWqIlcnm2 zYFpsNS5N7tBG0e5to31Ae8Tma9qZmkM+cVYpsDrb5WVPWHUZ0R4NABOSX5XuGBF0GO4Sndu3ffz jXYueMg+yijCthJKlofLaktPR9jhTsbC72F7B2YyqowDr6f5vSeyVR4b9RRkcUs4fMJzAPUbwTO5 viepHivvRh8cYVAWmJcRGVsLSMfvI716oRx2YD0J8Hm9HPZspOyKszYB7+3UDVAKD4OMvgHVQAj+ MRylyglqntxHvhsLVfyV7OIa8YLAcavbA8BZnWiCRn7rlxccE4hFWWtRQC9EV0wE5//bZfEnzQM9 hBeRy52kT0FklEUY1tYolBE4/TnR7hh078vm1Y3IdW5+HsBy4x3osfZAD4C4RWwyYc8V82qjKBBu OlVuRu6g0s35FNQFNiXjcMqlxqZVHgKJp7otGi9tEWn9la+1U/NiVcMzGSC1Oe2BbUS6BWUAa25k o+ubxgobx4WY7zAi53wyRGY6+yH5h0ClSrHcjrDjCbD24Nczt0oh/wun8zRqiMhrz9L5JmvtSKAr Bz/BwUslFqudPJ2LWP3qoBujv0TiLKTloQRZV97bT+bQxNmr4/DLs7gLx82WIkdzZlVakwjf6f35 vgzrR92hVrXcif602FYiC6cqldvBC5bTGo4d+pKcGZxJ/SRG1YVNele8zMVLj+H1Pjy9oJpVZ80i 0qnEPmzGF7i9vAvrcjrRK5Nvxpt9Vc2Mc2Mu6wPFHyWhZLch6RRbkWolpUXh9754QZMuKrGpYBfe e1huOzD62QokRI+lyqOV9zKZuQqblwlxB0/U1zaE42eUSfrDn+meRX0cHgHHw6zHo+y6/0Peyd5Q wTPgrwic6IgnyC3h42X0s0z6V2qSnvd3pWkdcEhBOQIBgpF9XcD7cXDfmm+F4UqcXVcd9Jw0dzMy iKBCjID0RU3YtiAmIM+dzN13vSjSUI5sNWKqtKOEHrnd3B7EPIFCbTyI7eWmIzR+d0OqJq3YmMJL tIP+ieRtAVQpyQCVgkcr4t/MypX1rtGVx9p7cICjTGWk5Ie+G1ihaeS7pr7kNAMdR+Hd0aMxpczT nt6vl3zJI+TcjDukl+E68O6O065rjfayr47VJYQ891AzWr15XaiJDgvIAmAwNiBwIzcYzgwVc8Nc ZhAtTo7ATuEkrsWAp1pSEe0IhcKz7ABwyLqRBzsfxRKumdnKgYeoVEIWwg2S0lWS9mDnCemisG4O EtdCmAt7ztK7nvK/sFvXbkeSi/RnSMCVdAaF+60ppISV1S91xIa7PGE3E8PmATqUt/5jXCvNoxcO 3yRkm58+jS5RMKYXuiyAdHqWVupEEVGybj3IPL8qriBIqbkxF002eFWQz8LHeSqIzwbhKvY0o7Ak TA/W12AfmFEHiAOnG/8AxJLed1oYMKoMIOVxIw2svp5pesQROLNFXG3oAiKF+iW8LHkUMVqagDcb 4TM2vsbvgT2fxnIAQS1c+q7hRW0wYoTDz4rTyqanxAxJw84jrSFwRZB2+sgIyF0arWDNix1Gnoho 91DTYbxu8BpywMATCpEGt+AEZ5CAEtxtHj2oHG8l0NbFlfHwAg6s2q3lmcQNs1UrihrWMaNxPedL 3A8qd7Fpxiyh18JZ3QI82kFZ0/V4W6GeGfsdmkZyWTkV5EW7+FPU/oAn4gDSj9jRFYJUMJaUAfWa jh/6upAGb4OM8jAfmH8eCfQndvZ5CjRchQG16DGd5XOnQR2M6pvkDXQSMFyW6iHzJ24LAYkvFOzR TgpXIcNWouVGIgJMMtaBwnT4KcSCl4NCdRuPiwjSygpBWIb1FmTlkLVZV2GCWaR3GLJ2JXZaKYoZ ow1gCLDLhWubAA3132e/Kg4eKVqf1uboDMhdsnOA1VlpfSPfbf4IHh7WP4OuX2xmkjqHNYvx08x1 eFYrlVfbFRnRPAFq7riqfKx3lD6BsARVlpUcxPYcksyZ+LyXTNtDQgYtwPdP9433L4YeyKFrNnwK JuzCy08HstbRTl1qYTr6VezT+iiFFaxPtDb2BzBMOifkdpmJVttbEad+DiSk65SB3TYK8z2+GeEI ZokKNHjbwMXA+/r0kITCdk4ZM6eDnQ+M0L1sKVIMXdq03DgCrthyXgMCnnq0Tr3D6tJmSfKCnIwR gH2OPhbyuK/AgAKoJ4uaymUchGU7Rk0Fc6lHGVbpcMHsra/guKmf/yWPIksl3H798WeTsQJjHuOX mwzWlLz1zuO5k3an3yE0dOyiXOZWZObdpnINWcPzaE5sX2iX8VpEcb4JLyMBwm07EMGHiXMmBsza WRw9HkPY6aG4hL6F98rmrKoDEZOfSrcnfPGTdUBFJHkJy9kNmcJuvCKHJ5+O+usoPvSwqAPyvM5v wJmduvhd4sA7WGLGIqAlkUmfvHDBzIMs45sOZovY7EoVci1DAX/qdqfzc8kJh7MZQVBSB5zSbekD vFI8d3DLWHW4vd0FWmVnkETSDFd2UKk7Xk2aeJA0FiQKPSpAY/6kQEjE38xkvL9ikVRKWYxh+N/B x/pRA38k2eFIkHW3+TRpnNVWBuHjEKqkT8x7jHYuVbSCrz3HeA/9uyL6riEX8iQfn8QbW49b/dn+ 6BM3GyVddt0Fypt9GltvHCxHblQ429d9/FiBhF+cRXF461UXeLfuBX5icgG25SFvC7jHMaq0xWxr PBF1RNEcHggS719dWQkrw7HZgMXsgSpQDBp0VMSPJON6eBtaIq9f7j4FKSxAP/N3uZ3XATDStrvK gL/pcD7iUDi50wWiILgZ1rIyNvSlManHh/mpCmMPAFaoUgBpCiZ7lNKy5jmA8I14R+ylr8CsiG1H a7qHfdDeNm58qPUHODe09U2FPVxmPNpBZQoKGpac5UuL7fjWYAnEt5c8dc6cXK2vOHTEyCQCs/Py 4G3oMghEvgb+fg+n4QMwrJ1el6NS/Lf4s+vyswaQQes8HZzYmNbRYyHtM3m2npwSoa+8zJWdB246 6jHx1Yq6rTm9bTtfyp/6iU8VI844rCCD5j89/iOIQen73QM5uawSiwZwF7974Ae/rJXjxiXQCeqw XCSxnb+8SGmmnNM5IY5aVVY4Zn8/8s7XpakJfaWZ5JIU/sqoV03mQbKryGn9ZNNCVGeyOKT2UO0j x6RDQAXvPRWUYhO7wFzzQekdRXJJAnvlvEeQTDUCYjatqx00hHreNVs4zPdGuChxxqa8s14M/gQz rrBmbr5AQLdAXyKXj98y5aHYS1wVTos+EQD2vARq0TwMAYh2mAG/ht0llAJv824KQFTHceTbaEVY MBvjEp+yM1cQ6HVBDa1H63VLAkRHHl27i7mn+uUqN3TGwed0K6YK0kRw+wJJfQ3FgIwU69QuE8Wg eK+7NwfwZ0oqtRbTqqaw8Eret2eYJWIDvI54iHIhkSTcXanqlLJopB/9Nztl7oXq5MvEiWBpDdA6 wIAXjrie9dWoQC0pFAaUb0UMb21G2YTuxnNH446DHIoYHC2X7KiJm8J3bRsuDjNrBEiEb1c3JL6G 3rwyUseK8Sb1GSMmc+88U+BZiBY/fP5bOMbfZFAnriUyi/nL7CQNN8iC7bMskXheGPrDVrLfxgRD 3BSub1UwUBe8QlXqYCNeaG6TS0T35EpWEHctluRF+hhS1P0UVmTMhBqbOWwO5a3k8bzW842LiTxF TBoKDJHIvkkAi2ULhjhqLiYJ1Pe9TAMkvGTpK4CEwCs4kL/hLvVMuAh5t1z5WkmxbSPvSOtZEMr8 k/qrysIfrRHLdCPt5Rt4rknS9+FteQec6JeOdhOgbbRWXgOZp9x+k3GZFudG568UIHtwRhf+aYxB NjWQyDI/T2+Z3X6TPvwCbgwdqFdbnd9bq4tMIWwYzpjYbdbJ7jEbsIGr23roLvHG0L5hLzDzFTp1 NN1ClV6PTFbq1hkhYqw7/KQia+R0P0ByAQRrAEMlTYsx0I4CGPRHIEJZW1frVbs5YkPScjgWSQJx mKDUDSU71CeIBa6m1e2VmjYOdoddGus9yxvt3Y/OE1VuLvtabin917BWp/4/cF47mCU0oBcr4RHC Siin6D1hYmyWoEi+iyFmJBX4XtcJgjovyq6eWOff1+3qvlV9HTSTee7zHE58TvoKx5YUfKrtzdMN sydWrgNaZrknV0T+ibj9LGK/HU8Wh2CfeDlR4dbw/bU9oy4FITUSA32ePUBUV0GZ+dSMQKr0rzy/ OryEkmVbzgV5qJhZFa/pkCWVs1qpLj/5fLjNk74kGMW8OClPgmaSgNie1DrSItLN2+pb6oeCiWir ebaa82XsgsiVaCtxyw+nXoTBScoaVwdJuvvMn3XP3AMrZWioToeBcqgA1gvgUsvac6UXy9P9yXz8 AJKbvDhn6eYI391vK16H06N5NBMC/lj00sjpfLEcP9tr12O4WswrLcWgAeuX22INwo+dUQL44fYR v2MPBgWzW7xOsGAtb6Emi58iprbJoVLPNIue3d0kdS4tHOjlTJ6Lk5VN2yTWhvEQI6hgR9vseVml Irb1elKzps5rJKrz7c47eLCTdns73AdrwqssRzkhwP4knr1ewPdp1RhLB1o6KpJEPyTQgvdPrhAD swRWZigqzz+QvmOFy1CfYEEif9B1f5UR4aui5b5uKMy4e1qREJrVlmtQKRCCgw3zQ3984eY1PtJL y7Bj6zB08zD/R0OeXBToGO2seHoH51Wwr8sWHhfM+g03VpPGqD4oLd5PBIuW2VNFEiNWaBPWXp9k G6Oa7MPJtsWbJ7jH8kplrrxPukkfy/Wl6OIvbhmtOpfLisU+m0Y7pxZg85VYHnVs2+7D2PFO1D7O 7OvmPKthJKfuAmaWhH/26PFykjVIGE3CRCyJ8QauM+Rtqg24y5vBHvKGOvKMdXtktyn8/UdWsPFn 4DrMVVhbLChaIjAToLJXKnquLBtIN4ISOUEPbwgcnqcLHymwO2aDDTkJeFkf+0YJGGYeEB6dwH2s Y+F61QJKKQx3hfNmXvC07JEX/Sph9mg7IC0lO/KFZEzmIdmyXjDJhTd7uCDHYEABuLbn/79hCCoX cQFQ4rCg/osqf4qPvUs4JSbqBULqkljadjl9/EpL/VWeH9k6O3STHu4FQWDvPx/w2mU09Q5NWZ28 nSuDIbB1gUJjbppDDXWa17sTZFBZIz4L71KNVw/Lseqx+BbaiC8rPpR+c+3wtByCRpxdBPTkYB2v WcueLySmytKPialzQ15qB+9nKxFhiAs+IQP0BkcE5yGm27X5WyGa76Ia5ZuYpRtTtJVApvOpQcmd RoiYjtlEnmZmlJpjhKdjZmBxhTQwI8k9diul7NqgUehE0IHzIMHEH1BfOdzmsxpMNczKpqLrX3w7 29m1TWUi17ligXVv8RcFM80h9nd3aNvJ1E/I/a81wnFRFcajg+DAOvOe3IFZN5I7bFZzNWk6YgdH 4AU325sM1bIj6Agnf0dhsg0DZmTeG+KcwD5iWsrZaUhUQB4C7V2MJFm8i7C5O02/U4dTCh/Obb+Q 4s/d2nummpNx3sMaBEhHNACjjgBzND9VLy9EKtfBSZxfgI1m+X6ZaDGzJIR8OMvnCK3AerNNPbOd d1X6LvsF5gmxD7l1A7lmcaMDYgOS8d19xzEptiVGycMBZI/InJTQ9RTkss5iJ2NrZLHSFdYeeAAd FDaIE4X11Ryaw8GNYAdKcaobQFEeYITtVaLJq0fDiUmf0h4eTnvKljPnWWpamVUG4msUHPthEAwO loiZ31/VTgubl/nKAmWC9ajY+yqaP5oHObvx0u3qiYYzriU1YsDPVJJs7VBVK52NpxblSJiSXr9U qLOW0tKgv5QvhiX9nhP7KIsBQKSL4xXcqSnuq/kx701jIVD1DUsc73AFf6W3V3hYHy8a0XK25i2w DY6lBPeUWbfNK6l+DJRkkHUv5piORnKcpv4itXvAGgvRWOumdzK3mVL9/dex0VO1vfEuogNt+vOO FwPNKOjuVmDJn6P9gqc7frtHPGcJl0TMi7QAZOfYm3VjshJMOAG8RrYfYS2eqq6GV3I15c/uqH0Y GlIK730+A88rreNWvOUTNlDn/0TVRkUn5Ip96Fx3oFcJiU2/eCK+m4LkNfb4aum6Wv7FdXSOCBO8 WfhgWwRXAqhAPV0IiRTgi2MwM+wYDohlr2odc63KWZU5id2VOGXcLapGMS0suw4tfVwlRdXpiGEN usTavrs3cNbIj/Xq9buua2OaWH4gdMGUiLK00k7wKO2qfQMPz2QNJLYHgfxwMcsFv2bwWc57fygG vxjeuRCfOmF+TER2hkgUCEH8C9m+ix+Tbg20m8E3B35PnioBc6hA1FZItOvcPTRaISQRKSfm71co BdzfjFVJxc6FomvtNLmVaiIgKiWNt+Eh4SIQtzGZf8h0KGqizzqJlzlfUtJ7DirBSyZ5NX0286Oe OmQaq/KCWk8Lr1UPlAhkT0p9KoFgG96rsGc5UAhn76H4SgNcnYoX2o7QWnnZn1us3F0HCQD1dOve 2WRqFGmya4KTqcfipcXrc2BBvtieYwKgZMOKaBXYhpZByVcQobhJa0Iv3OKbD2TBSyQ+o2EF645+ ubnVNuYxP3uD9FdX57xUGI4ShxW2PvttySyYtdCwOdQsGjUpcnq3nnGh6VuP3b2chOt5XaW8pNZU quxacPM6K4uj3PgEvUG6X+RIKrx6wEsJFQTmEgHDU/tZ+9/0kjnKAZx1O9T/6YinLGrb1Apo80Om vpUHvCgW39k/ThkH9UKrcBCG99OjF1GDqHKnsOyl4OHz6dEN6b/5dJa043hftXMJSe/1zufZNDyB qeohaTCoYBiCEHS7W86SxK1TfFlM719AKqLuStQqwEApgY6F6r0zJvntNX/KJ0WiD3OupIgFmUZX xPAF6Lnb66+GOPxxAToHXAX4kzEi/BceM/7WBKDGYgVgMLUTeGqrieZm34dwPyx8fJGQ+oE+8wkH 2Y1pgkOuZNl469voPtDjFGH4QPVuDCdKeicfsw2VcoRNgHAjvbViWiJqcL173JU8zjZ+drOywwrL VOAEqUIpHFM7KeETfVJA8QD0zqCCEj0P+XVByTdS0QQFKUyvePG1/MutFStJu6oh0Wo0rOIw8HQP nJogZA95xTqqAFE6J3OP05F8VVC6B47JH5o2g2fdKanRVF+IZXU6oytjdCPZEOS9wDQB2YjiBd6S RAwW42Ac7H8WmCTgywAEiQHGQMnZqjNFoYMLY9XYqj7HHuz2Vc80LgZADlyzBM0z5lrKUI/oL1bq 7llYHzUaEU5uj0g7luL4Zj//WA+T3/DiuSygIb28XHD1bL1UtiXctRqLGbaRqVO3d4yKFaZEAZhH GUEM+/jk2Cw8OcPZHttMhwskWptLaMQIFmXwdWsCUGE4w6UVSCFpP4bblWzK2eRO05eH4oxCtimQ l5clynU9LIfc65OKYJj2Y4fNS4PNwPpmQ5eNuR9NyuLTm5I67PobMvsjbOvOLCzZiXrEUTMinYKH QUjA7LJuq2stU77msP+TmDRcu8Wosm591Ysmr1DKqJQ9Hn/e3vptvtBvA5c3ES/eBYHoVKTFRX4a s71MakPQvEUjW4szoKFFMEvZ/csB7x5Ty5OhYFI07PkTLTU9GB4L/HCy0JACXUyj8p8ibwCUNEQq 9DBYoZFp/DD/UViITcfRoGn+xsXCGzn3vCHoSRJ7rTCRrRW/x8cC73BnOlwNmEf0P5EwwcbZ2k2w Ugkk/XdAzJyd1r13OeF8gCdV6ZO0x1KipgSja2Zbtt+7geaFEydRgJOcvrxdP2IhQMpbvlviGjl+ REvOV0MzoPEdrv44eBApAVVUWmMamAOyKd/HRChf1bMayFtgfcgzuss3kktFDbqR41VbK4C9TFFv xroeN9wWNWxl5vSgm4Yn0tXhCzE/zltHPqpECivHdHhU956RQfeaZG/tDOcQI4lPPAFlQhWZxnRu jHBvJLTt+Ygko/cFhu9cLpyuF48ie2k7ZtgTyaP0Nz0oi0wEAvUe1A1xeXazvDgarGPu2duPt5ud 79DswDcz8oE0EbIDNnaRa94KBNGCTrGV5PVc9Iq+Ub1A+RC2jEld2RzsWnQAhD0jkW4jfsICierh Eq851lO5EnMcTsQV4sDFqdYGooeMMsFZgqzSEoLSrd/2ZUvAmSkbZ200aTIVEg23ft7Zy43Yrcnc 1QxRFL5NdITBEJwxjW2+NFLGDkRvAHrNzKp2+QswBw4NTX5xp7guk+ZbFo164XMyo796+bRyaTyW LG00hLM7EJKRYk9h3WairfIQfIpEPo1Cx1hlDEyJBxiKY5lJOpHWWhODsY4UN4cXiUuqCqdbvnRf Aoq4RmU2KLKo+2+qokO6xij1rQ4xldUGPLY+C9O0KT0OYyHXkJmqV4vomq1ZUAZG025wiZ02irpQ WqiT3nagM6geoE2Cv44HgDk8fFYm6MSRP/4S3NY3V3rM1DJXG4/Vj/1Ixgv4jwXsSGO14YsKLm5u i7VZbmfN9/rwqO9QPuxgNlx4Rz7aiXo/h7UJ4IAKkYNQwYaBE3NFw6KRtr4nWd3es+GFZLGMq7Z1 dhNX7AAhSMlqg8swKNH3s5MOgh12muNqLUT5L792oy9mETTMCsNHavSZVVLcItx2yYqmlJfc7ABW WbdtQNKozVwhDThkQJYWPbajbEApLQvkOP2vjr5o7hFspfEtyaz8rk+VEsULDbiBUZ7wgqI2BjTE eesq2mgVa18CRvBick72UL5BhptnePndo2h0ManxRw6AUX4gAVx+505KNQ8R/D1LYQFNZr5hWdMU gEGTlieG4jjnyoBF3mWFjj66QdRzfwRn3zu/Ul7eVLQhX/xG+3Gr9mAardcE5G/kDPl9oOFNL7BN LdwKEk2sAfnNGJHqIA8yp96kz8XFc+UD5nKep4i3Z08oyqFo63QXC5wkEfTlgUJEERgiUeMVyH7P /yo/gFBPYg/HkowItJWPODGJUshbLwvkknt/nk6ziu5SaXTGetJrHOsxYtwyjd5biQoZdNuwAOKh Y6KXGRovKV+vZ1bZQh6Tq/8iWl3tUu+NkWmHbzT2q32aJOX98pgC3V5z9BxCmjREv1S25IE6E15U DdTtygLlM50yTeoJBrp/lrROVkh5O5sCb4eja+e/V5tXwcWiAwn5cHKdPaTn/dzPYS/df9i/ADXw LU12K4Bk5vC6hHDI35NI47Dte+DXxN8Wksw+aaPcaHOBJ5tKfKhv7xp7zmtzraUng52R879zUj/z DtyVgLI8EsTVYDAaALLTrIJBG5RVfC8ZXi7Sp/Xf32p5YlnZ3grnBomSwI3wSQ2gUtVM6Qhrl6yM aHghNoNjQFcz6G6o1I+RWCqjt6Xp1hDAj4Uvu1AHa/8tXDec2dZhpitpQsMYmArV4xWKkQ8INZuG XY9kqF5zl6nmqsZpeB8DLfoPLrqWZlpbcpmh+7kdobFjR1WRqreVOquPB5WOyMVzfa/zm1MXuj0e 9i8mXiltDHP3LEOjIvK72PUs2k4eAZS+TZDgFRxOaFXO9Vq+oxOT+qNr2Ke2uQQ9432NS9WF7Pn0 1LtmIqNVChoWMzPQtG8cFjGGDaJXgt1oxWeMqPKF89iWhO+Q1oaknZ5fVYRiZINZ2ksTbOBsfJmh ufNGxBYSiNmacduCnpzx6/2leubB/1Z5vONhG4GpllqEgx4PaZY3TPd5psQROfb0cyFxx8AUH1wr lN56I9j/qzYiHrvNoRMrkCtBKhB4pxGsdS4/Z4tPMg2+xFUIIxSXZodD+HxRGU5OWuxya8pNy/G0 geGsXxk7pnWVEnxnDxRt4WGrkI2bf1ccDDW0RQkAJW1Sp4U3yh6Ki1zUKqzlgQY2jobT4KJDvy48 32zcu6S/8NvLV5fXp+8UGWKE7gzpeWo6CyAH8hYXdy3PFtEwv2GOVQhYxwAiso+3kxwReiYpCgjI NLl0F2CFKmGvoaK2uGFod/HLm1Vv3cT7Pp57F39mRUOxzdKSwoF9COgFdpWzKi26dWQL4wf/hk9t eEaVrYWBbthO6AoRWqQIUmrBcNPxG8LDILkY6dQKu4p6kITDXV+Ug0QEzXY8totdh0WZnm4ocw1X YORDHMK8up0rStTgj5gaLAtzh2LZuYmhnwXhJau7TpbcHBQUMncxPgQoXiEtTLN6P2r8uET0kTHc fRII1XkfC1xzAgo5X8Z/CU41MGaXo567i1LzAxlE9v6OuhyN95+RPjHztUXPkDe5oFL3psLGiWfM 8zVpBDzak2B4uk7u2lGSBpbVXS+L4favlqCP+K+jDIbT+tXzMO4JKIFuH51aq8y9srwubZYAeOSa nASBrNQDyc5kXCcVwAwpkY3rOPIekIWwA0rPvIDhkCFb7CjbCTz9TO7iBwWRdYYatHWfY98EhPez RBiNL9u/lcJtW4s2RLwTAjywwF8k6JRL+qa8g8K8Din22twfVHitboGQRiSEff1oFzljg/rZUuT+ tpwbz8CHr3jnftAGy9Uabzi0YfBjsJo/fkf/k8N4DI0M4A6rhJodJTa1VrUcRp+fPaW+Sg1s32kl G9mG+KZ9EgXEz6H1vrB7Db4tLU0mCgM+8gV5XDSHKHFgAzUcAnbnPwWLEnfV0MCqZKTsaE+vWn/n WFTJvgGQ40BrwDK58s2QvHbyPj4txG/LrpU/ySnnTsobpQissGr7E3T5+8yyOgw6ylvRtL4ldpiw nBcRDtRzcSciY/aGbV2Tt0Hmf8OYKJRQhm8YH3N/mtLDSKkJPwsVHYhz10kSVl8kwKFpnKgaCw+2 Hffm5/xJV77XExP2zEkp0ChC3ILPNuLeD86XbrIf0YAMaL+SxflrOQTzGskZpA2B2Sm+QVnvA4Vq zQfYQdcKOJEsaqW0/wX1FX0uCSKzyPgHR40xXqu6twQCEUiSd99IymBCU+eB83H6Q3CBmswqFCvL A3JHAdD9qNpLzTVqIpG105gtz/Ch0dw6ixp1loq62oMC36oXOUcU2OOr12abdHq45+9B0DIf8BSH yjvQbbyLyrCKeUQKweD4lVjNtYj/DswuZkv+6J6/tcC+N+BWNWCQlxmZgp2J3uj0kPK2wwHQXHQs q/2dohbnK5Vdb4KUaCibiGFekBateJXGUbngqiPqTeqH+CWoq/+2MkzdvxqY2jf/nTB/059d/0hG JhoZwrlu/2at065LcrY6HPLemV9Gsfq+xlc1MujaxgFtdFV44GHkGvp+tHs2dBYiWIk9Le2NGIF/ Ut6UPsg3Ydz5TXtq7amEW8qcNTjVLy8CqFRzbGzdPU2JTDzFTbkdheQ37kil077CQIoI8Vk3pyRr 3V40NTDoFFwJif57m82WQS6rhpfrHvq1VJz343wMnnmJPKKbHxs+lWS0G+st0wTm+u/GC44GZfjz hdt7LF0zKLpnDgS6SdtRSRP6u7qid8QVnUM/7HNrKpwXATRQJKRMMRX2q8YY0cP5k7qe/aBMzWLL PVjgTCXuBRHOaRWK2Lpapdx1t1dWiQc3wLXYJ6YU1WUH0XqDgQXXldl5Si4wR84rfGe+s9HZhQ3c XEdfmDuz6rSgn/4xcQFoLHPB7xT5aOTVXboyHoiKqkr/VpD/ZrMXcFjB/Me/9eLtN+maVsy+XFTY pe6Wnqno9CmrdXpGFhK+5G7sBEoSBUA6b0DyTTiMDGWOhhV8rki9gByADFYyX1X4iz+XtXt3+Eb9 AGplTO3LFa58kbmUP5Owr6p8fXfKhBABxqouUgJ3Ub7J75tHC9XBflp1DkwbVg4omhFQwtEy7/+e uw3r6e3GvWqfHqfg5bYHtstMc8uAGVIairHYVvRw/Cf3KD20yB7b7LkJoI9JzquFKn14xAAqPjOq QzsVmMApetnpWcvCC2NqBnBvLgpa2RvG9RTo04eKP86sXmQPwqwa9rcmBFZLiUpPM8qo+gdvZZgt eWHNhr5U5mFmg8lD0iH2UwVM4KHHOGVkdmCX7a2u1rEUs74VFnKgbHieVH0zpbwb/ECbCw+uSupX YrLeiKJM0XGpxM5hv/tWj7rV5t/wWZfnAmPbtFry5vdPxWwK2Ij91kfBwWgw7wxDZaj0Iz+zN6oe bRs9qCQru2RLN2LEyb5+XdfmB6epwzW53EqqTe6b1RrvnUIDdE+c8NPpJq6suMLo5H6ogEV7oXkq p6uUO/jhSuqy5Rgz47xReu3U4+yDgSRhvF4tP6p3WjMpE9dysMMSEhiOYWlRbymxuCT1LnO5fr0Y 5KaWGAxZnBDUm861Asd7FvcGcnlZZNsmGkKMTZUBaWKjX3qSL+PhPhUAPodDBDlSCNinHBDGJSsq 0us73gW8MTcrQGaxkfTrFXr9YDmDWCaQ1MOENDvgbApla3gXGzeehpApE4i4SC/skMjFBJRj50p7 cDYvgeYfhXn0WgY1FeSQAWCSAiajyfPWngNKPiXdi/qEzeO4I/KhPWCvph3SyclCZXUZqGRzyArp WW3GomBwgU8oywj8UBZjENLolF1FKaPyBi6wVFuehQCn36QhpWVCBGMAj/9LoUY+rkOhB/tu739T Su05RqhzXtbUx11BhjFQ8BN7nO/0zoL6JwbNNe9uuIp4G5RJp3J9vFljr6YM9dyvKpEBJeNOp4jh cS39GpCnCfjt133jCMrqOqhBiBDuBuwYkjCSzPmjdiJPknV4fuqhSNBr5UwAYyEyk39QUjLnl/MC hnKRhZADx56PIFxhhnVDT2UjxrA02xYwDKf4sxcWXsa70BXhHlpu7JzgYvZmMxq1ckL1VmCAwSHe /f5xzuZdvrMWki/ZlTP9DURuGBHwZxMdhqGjCKI0q0VARqm7n7N47xfkkETW+97ZVZQYr9cYUlza QYhbbsHzQmPXUA5lGycM8l7SIuF1Q4zRggkT5of0ipwKjlqXDiJ839HRR6kUuptxiCuNaHHLU92s ijqgyH196qJJlU6Go3yhLk+tcr1HqUdUMqKazutWXodttv4GDOYFpjY9tqJw+TMv1v8puQ8pZNbq ssmSBt6FA9dTGB0aweI1I9gdp8qoCDQ8GmQTKANyVwtJkBHWeDD/3xYpgixtyd4Bq/3s9aMZZKlg TVlRYfKMnlDXX9U3EozjBrp/IxBZhDOnlXiRNDLzItgfbNbAxsu9ghbOSDiWfGSEN0aYfoZMzj5g yja6mxdWo4YnmdiFV9pPrDUDMnCTGbBFO2rNTnwG0K70BaIEsGDh/YkxQZlISPkVh0JLFYCFd0A9 UBheCE9xbgR4HYN4IFyjhvnh1JeNNyC3YM7MN4+OI19Cy6CeSetQxAAWL0cl17r6+lcWe4hPLrFz tizTSg0Vs8Y44HvZnTrCn8WM5JziEPjhz9JOBEi/bHoPpbB9mn3b0S9hs5+0BmhHk5Cos0U6FlrA GjrSnIVMVd7cFOeVgPZdjWxyK+c7vuLEoJWg3hm+MJY3+MFEdGEEnQggVxLh8GaeWb6E37uvqqoN 4KrrF+q3b2AX52fMzvqOoCfmofyUXpiRSoiE9+cNLfQfaXm+g2loC2LB0UfRbkq/dQVko5I6Rr/t gWIvXYtRQ1bERR9CMzG4Sw6ikOjeeNUESfucZJjEIj91amEH9CUSy1z/HuZpMtafvXuPtWhBkRMy 1+UD+nV5apZb+y3U21LZqLfi8Bh+B1EQM0yNyjlxAvVptItmsNcp01CoUuoVUNyrmciR4cjfAENq Qr8uUPCSDP++SQuL3Z0N6bbtnhRNvWQjDm11c+IvpTbdw73714WwNGSnQ5H1G+em3tg1OxZOR0eo RZW5aKarKFmD1hD/NhXnZop0Bpe2djyn3IW894J7MByIcWz+/+4WxFtoyT7SQD0JIEfV/k+tfSpE fQv8wnhsJB1tm2WljbszDALJm59mZq3vHu+/lqGTMv1VCl5AtEAeOuJa78drfI71tm+9azHGPefN mLicwj/GXD+x3hCN2DRXSn7o+/u+AvvKyJjttWm2gZvbkg2Gt/RsXjvXoWP64luP5HB7KmACP/li i5loJ20hUm9d/ppUkrS0DcJVw2PVVXZL/5XXssRinKPlmJMhDpqnK0WPVs8FvndLpnCIf4cv1rN4 zBi2rL2jZC3m4917GqsoIu/RPfe8b6hmUjTxjP4o4fyiXVxLo1NnaFpe9yhvb6dPRRctehK3uo/1 tKDsoQckAkFJQcxqLmAUm+aOfsXQc6sFrFLF0E7c+THtMNP9owjTB6TmpsJJYk7ytuaNLYl50P4p bRmFDmtGDCNkqRphrrExIGhA+1QuPHT3SETccsxb5h8UhQDZ9mW9VJlc+n9vKOoyxOwMwO+bTstV Kp6q1aPkd2xvqoGYWcY9O81ILDyB7ryLkCwQhkiUoQShb0CzVkMuVb42+M3qtgLfTU9wU3Y7bpBb Mfiss8A2gyRTHOd+Cdjg6mQwvs93kS+AhvNiSb7RmzmFSKakO5/GG+1URqxiJCs/U1F4wY2S/8gv yLEU3u/SzbYCn+AGa/t+CEijivHErr4STdzyU/onFZ/G+T7CxrO0eoOdvYYmk1jkegExk/DhA+Z1 rf+zvGkN/JT+9Z3jyQwS84WXaqGOpYfEs8gTJHu/31SNOyGIkg5JOuRP2CyGy1euzJyW+SvfM1YT JGJS3dK9f962DBSxhdl1roibG8ESF5upoRgT487MBo1Rn5ZPYjwD0irlZRzVMNawftFFn4HtYcME 6axeZFtJ5MmQb8WBMGFcfOI2znoWYydAcdahiUmgVlXhPalr/xx9p44yQd+KBqRKf74iPyDBom+P vUnI3/JNVTTnD8/CaNnC7T91Q3K/TiqaKGalUZ62rNUMD1qoxDgJOj74MoLQSCAax+z1tXMbWt2R PX8l9ZR8CYaYYPkukFJmGzp/C/YDQU/O+qIXVedgFy3pFKdf5kYpo166f1yMuiZNuR+ACWKr3/qX KvovPmLJP29mtqD94UFpfYy6w1i1GZ4VRiBTlqCwQrKHpbgMHXWRT0+q8XnI6eemCr3l0OalDu4r 8BWQOjvnoIV7Ab0gNNnjo1IFeAJQTOPTcfDWhM2p8oHpWIFUzQqzhkkNfteaWkPxL2FsbomcpwND /xphPEWcKroVw3oLxdQ3jr5luyGqBByPbB3XjalPz9yGh5ehIu59Do9Pw3MJa+tSXUHjK59lyuKP ZgaXA2J2+JZ4xxu90c2qIow9/jJidHc6gz2/ie7rY+TzSKQ8bvUZTsFZm9sNJFVQoB7pvkds4Z2Z lOqlN85MfDhJYo2Qh3QDRp0MgDstFtSWRD/zfEkbuCNLALeQXRVQ/4OwJjhIPw0qNXlsjlqj35Ao Wr7dwZlwoX315Hdzb5ZVPaeHw13GkiseB3J4WnfyFCWQVDVv//yZzwDJfMfOpt0/8m7AxwQnyJUk r2VD0pn3LvhG0PsXSHDhT2rdMxaBzfF7sAKImxpBDEhHSwyRx5j8n+fVM11Z3hwQLOzMFmcd+xDe 20Aa30D3se9JFSAivLn15731o5umkA39hM2Glhk+P23cb3AiY/ncbOJm+LfsrZis4818oysiHPTy Qo0T2r4Is4ux97URDnJ8rB2Tf4k9OKqG4bJOFrPv8KSpxwd1/r1PZSaWtn2gc8x1KLIbQh3ZJKRx pgTXXzeIcu73k5siaL4uySmTmn0qN9cQYpIEsI0x4iPSW8mP+erRooY800NOIKu8VgZiZXDS3xdR WZb9FeaTuSr4JiHThzI4YL1Y7OIDmjiqw2LbCnJw/9MhoxrDqYzyx+qqlEY1sKHm+aT/8r/JyvS8 JS2Tiq/F2xfNLEhdMXJjvrB6QQ5Bq+ew9ge/OO6WGdGtZNSJcvUCVF/NEJKfQtj3kfCg/ZXNykfy cnghyIgOspCNLJeaH6Wpt8ZsQeD9JQNS0faKT0JDlMEiRMkH5cGRkDNQdiiGviZRX/2tGoaB1wvT zh/8ih1aJlbevZwuxSxefvwpUWqS069jODfA/1sGepii8okd61wp5hzpZB35dK3kS2Wm0jLLzt5n 9K5Ty73ZWS2U4m6d7+c20U1j8BP7crV6RZOSvw6SGKSMvJmJ2w38lBVZ6PRFZzwVpP443vmyQ/qW kW/Id5MivdaoTNoDlNI793hkGJ34h03wjsSrR5Z726KZoF5wmW5kbXFeoc0qplp7DwLoEJTraMos Df8gF7udmBgyQ0D7GoygR5rJFELl3dLkMPPPD1936Ad5Eqpx8Hly5Xc/q2FSnkI4IUSjNKodjhgY TrF869W6njkbCcgRvxCcZSGMS5v93GgTQVGZT2sPXfhOFluXqg1NaIU4/Jr6aF77U800rjpCfDPe Fep3WyDtwFRw5ZymOqdDmRxaxPgSvulP9Os3CcIWCHJhTGdIeygTLWlYWVpsM4oc0jek5h+S0bUU EKtuKYbIavLCSPzGBuuWw7esbDAFLuuGa5neBAj4RlyUCB3hfO0+FY5ludYnKxVTadCmyNub0mL+ 06ctBXERjjug9gAtTIAN5izs5UHXYgZ4PPkzQsejabj+LmwwoOfpjA5gAGUNMX+PSxinOTdfNgTW G8MKRkQc/soW7ELA3DOLGidwDMsIikFgTY+1ttDttMUy3Qph/ZHMHe4VseHZSxcOAsWRPvPBlXbV +/cOFXZDeFPUFUYuOl40hmt8fDjGIw2PjuU0wLD6P4UnyJt7FkT3+VmXWxa6jGmOKIT7c8ARE7vE rAdDxcMS0pCNdEIxlEoul3hVpAFddzVwl+sYEz6sZweLhI8jIvCCjLbdnoBnZvTsZbyNGHKPEQwA KNPn6tu1/96RssIPM7XXl9TM8qH44LT7ErxUROtmk9hyhcGKUha4oVCZa6Eql24X3pZuhVgIQyuj aHGticmr3cW9H6SOvt1GYYbTqPis2WQhpVej4bWjRrINbKbrUMbECL419mRzSHQ7YKyl2MIEdt4M MgoJvxVBUcQUuM7zwbahoFPLsT+JxA/3N/mwPBYaWKXFhT8VysPdyw0YmoagXVPex6tdU2KoCv9c WWhT7Jqit2pVul3eLro3ESnQUUdxoso8nYwPz6v5WFnCcfWMZ3Bs6/3EWHFQr5u9/VhMtLGUuFQe vFMWEgFlfuVYd2cpKNo5HhzF7Apq11wwh4bXCHoOahMGZa3MIshM6gb6HaVLLAXNRsaFEE+upbEm d05+FUbE8+uZXNuQMrVBrNoIzyhtQOmQs26ht2lsc8PkA8sx/gTAxVeFvB6PkR6O2cgg6iA01/Sp RRwZimncMjI5/uJUg+HM3Wr9+3eTRsJT8G1Qs+LCUfeEtw4fINriwUYnNsvropwtnk2SSsdbHKY9 iJvHHvrLqDYa0l0uY4Hg/cNtOO3oQGzCBUh5+6PfidA/1FFqk/8g+3Agv2SJB3lvgcAJvYtJDnX5 x934PrhaAKxKWuU2p976/eA/jpIlwLZqFGG2SNko/m0mStcRXpljkASgDI0KD2hWR4k5CJkeija0 3ZnKtQY3ZTCehZZWePjpQJN4MHKrO8iKZuuOT7ZMkY6Sw3BI/2Gi1R3L5hsCjtwdOHDTDKvmfuOW v41ZDEIirtrAA9/Sm5e8o+6uoFjwMpUW2Ssl5tCbzx7iQcsUqQwOQpzP3FqmKfcxunY1sjkQXlx8 SRQlOQEnmcCT3wQ3NtfwZOS1W67ZFwuv71hIsyN+ubhYqT81f7bSxaSwRSeoZUEC3/m/ZFgdh8kG SknnQ+l4xYxGWRzp4hWKXBhb4em0gsP7mrHGz3sSKKscvaihjLRVmmv14WuJxoHwUZGQJoTD55zN 9G0nzmwz2mq5W4HGwWSBOSd2ZMxpu/9WLcrjuIB+WcotAZgU7gFcH+FyveRuVP2pHiPJIcg1l5JY cAxMycir3cIiBaueClNwEg336uvj2nMhTqBoEU68TERdhMOuVEYqteGKQPyBVkYgqBBBhFw37ttS IWl+oA5ntjoNjD/UcDmBkz5FzCL0vSbDKdVv4+Sn+I8FRtf7X59TFXa9E1Z5QBQKHXJTBUbhoeTK rgalQj7whj741WEstF6FlQX09TkhN46ObucGiFe1b9XKlcQqnewIvV9doepmX/9BO2LYrkHF73sG gYxyJr/KmVVLwWq9ITXHjvjp/e/8ZBS/SmOML2UnYPzOdnajtgCYqKV6Pp0ZV3T+yT3ejExJ9BiR MeEyCKXqIwPK6WGdiHz8JaNjxi42tYSAcm7tKk0mrEvbD3YOuyVDrBU1gdR/gGDzEM6l4+s8MdiN dgOTmTD02HCvcbiFENsNcSmvJmPFflh+XCH57vXM97Ta3okKCCzXZWwlzRPgbMBi01pUiFGd1UDf HmbiGWbhT5vzKI07BstMv1ip3U7q+ESArQlyN6DZTwCF3nPn7n+exukXUspx6S968TA0gxyFnJUc dP7ySsPz+0crsDZIDnve0t3xCYFJS7lN0AReaQPWSFP5LX0fjHL9dRx7MklF6ZRVfhX17EaX1JD8 nF88cWdZM1N5wU28puavXXI6qdOCb+p/wX7Iy4OVawcuHtR9c1GniWee8mwMPLHc9O6yPMm0yg// /pSszjs/BQ5Q4hdnqeBly+8TfWq51ski/DdjiRZ6qoCGPWuGfecie5YCOdWvzXDV/TWcJvmLf/tP UP+bX7vAz3x5IQZBfFxhhq/xlKfOo0ig8Xz7xvRrcA7gN5Qcn00l1LqrFSBe1lL8Uuqp+AvLfD/b +52/mSK3JJ33sQ/pEpilJy8c6d0OGUOmz0w347jrWLJ8eyL8qcFZCCpJZMSE93Ca7NPLHdwB5Prt d5CqghcoU66oyBncNEiNJQ4AYZNHrHrT8dRF2xNVOkzoj1Rb35EllL83r42Cy9G5eAql92Ic02zP GFIIsHSrFjIVfd6DVzoOvnw6AjS5L0uuDhOm5at+jNTd9C7BYxMOZB/fG4LtXFMHxpHGx/psyqd9 yvZSmMlQDXKtiqMiwwvqRu6UPp02OHETh6nED4vY7/vBpWr0kREva4MVXXbOtLkCkAbQZChLZaiG kZHRWtQYsXN9/iErx5olRHSBjgNbNhwUH9HmhSFOCfWpiGgLmlRLQiIkuo2AHOeSeZzhxh2Gfe3c agL+Tismj0hRMkWVcSz6iGPoujCmPHJjsJQcKbrPbTBHPSbWxiJ+H1JqMO8Vc/87ElT1DvM98aUG e0tkUx6lI1dHk7wNtWKcmqapXkl+RiGZMYnGqWTCaSPgOqLen1FNbGaJZXRHiJyrzk+iH4VTsUoQ Vc0ebCk9drLd7k0Lg8LKsIIx1Mh7+der19CE5Hhzbrq7m4/eaE0A4oE9KUUtwURk3lXPhJEVD9JD AIUUHPHqU4nhYQdiAi7HnT2qJf3MGl3dxPzROKjKPZmP66adyLCpGdtPBfK4xNH9u3iMvveJSzpy KtrswLhTaNtDuqPOv4mz0KclgheY3x7NkBLFopwFOPmB8xr24C41kpuCYeoXhp0ylysd94RcNz01 h2kwb1SKvr/Oum1xZUbKz6B2ju4/eE1dsixjvfKtj1O+KX4j662GMyDHIpV7bCGzXR5DkAHhS+kw OYW6AhtCdmnJdL0oQ6MP4LFUdH+JJ9IBeWsm5PUPdXzc7qDZ7Yek4+HmUqQ83nS+pftdhlWOdwzG ozkdyX2YknckQgyJZE3ysXebePB7seHxyhv96uAdGUSP9wx7dOYCWwQrib+xyqC8zxpZr7ms1GXQ C/NKONFYvLen5li1dj/WyuWptzEY+DQl2jJptsUimWOx365vcVg9dnvf1Qs+vhE/sk3WY7rpGRt7 a6X26O+14vPDwUQGkUPQVfyvIefcYWQnguQtEW/ksoqpf2jkpNiBeLxR687uNZJUBZKEDDgy4GH1 RQaMEwN1tWekk+u/PlUEmbSEJfZ0BrzaiuaYvJU5A92cnw5vL+8Sxmwk0Zea0Iu+7pH3iKcrdh+/ WQmS7KjlkRfXqH/wuFH6IOA6Ln6+TZeM8HHnAgZ5LW0PGmAni/jY/e+Y2TqAq1Jk6awUZmSvjyO3 ORpVfzLrer7MWk6l71LQbJvTlVNcFoN9AIp071jwlZGGwllJMEK1xjVMmdOQvgc7+IZvkzCT34At 3R4+GxMcy0NWRY+dPynOKL2ofGxhab2yhzZG/qq/mqjcKiJK8C5k0gxK8aJT9tzpyCnBLkrZVost hwKYn+vbM7R+J1in6MvOPrJqiUkbCqV19odl2DW3rXBTFGakxrshphPud63Uy0X9gq8ne7ccVo2e M8375sZrhrYf1mRUQFi+UAoCFHTx9N2uzK2RbIzH4HV4EJ2Mp8I9b5KB6XNTtDUPEqRJu2DAjOoP NtegLdBEEXOEi3klKWkD8xQW5s3yhtHLSSAEZsQBReDuJTxG4QHbvXEHRDO2z9hwZ3mFXqvLivyi unT/cH9UeazAoUs9ELfOC+H+xc91597vyo8bWegvrLaGvdeGA4XKm/cCJ3BCg9nZJnuUyy8D0UgX /Rl5wkKCBvNGWdYzaAL8n2kXo/BoPqqScLFUouxOM0QNUMaisbLQXAm3vT7zke0466k8sJF9/x7D LB48yY/HOSpFVQ3vdXXuUIzufBaciSNh0l7FF5xiRjRgNkMIBXd9XJgJlq5FhOz9WA3rVwoGHve7 zCgMuo02PCfYTY+7zXY4L9Rgs2QKM2hhw3ZfNtneYdQ/JnGvwc4LHLeoPMr7GdjskbEY8afA/4xC graB0gsxu2J/8wOU99vGAHMlMtfGz1pI3CA7UUGJ1mF+s/BcO4z8D7p8Ym7w3gUM9rUNtvnSjahL yAbgrsJBqeLLQZfFHU4wkD+PkWCc+CpkeWgbYXsug27F81P3Dt7EIahjSLODV60firxKmQbfXWy5 RUSdACUVtl9DZxkxw1udshksdH5S4P35xSIRKf/mhJwaIcea/ayLk4hh0iaUjkK9sMitiC+E5BBY rNF2x0oX9ltryixvqrcs7IqVFzhe6bjcd+Bu8RfObxqEXCUGYBZUjAFandK0uvqaQbHWV7rhQvba kfKzYmypS9oUpTGAvCMBcC990qEHe4nLYYJlRyke59NTre1kG2hmqodBbDC7eOnTTZpsVPxgGctv Tw88DoneGzwHirNVFyY2edeZAi+xnIA0MzfxlGHbXi8FwujSzslq9GZd725mUOIgChq/v6LfOtSe BY3eUftTOCgipGmRxruVN86BU1zwXmxAHmo7Jo3CdoaR9BwAYNm8o01xInIcvHSMNJWVNXLaQrxa bdFT1RrA4u1cEpFcm7Tjkmb/bbls4ge8M+Nks6SWxRdEMaVjMQdEmij+4FZkkzOsFeT3Qg0vT8Vh 7RfdWe6gzwVAnfHHlZKxWGiTO8C1kgMf6r6G9JtsTtU4cTxI+6r84xKDcNq5H6LGi3LbRAgwKuzl 5/tQCsdtCD0KzRlkduSKBU5yWr56QerpX08Dw/Zvwki+wD/ZNJa7rYr54KXFKt3P5tvzSpzfR5yT diCQeoO0YkCAneKfcqgVHflMvaRxDzoi0wVsnk1SUeRml12B2pnqY/p9AVEsRxH0KXbyXD15oxRy gC9NAAyFaahFFX2KMjX2rpr3qO5N44kTrSnJewAA1E9egQRac8KeN6csiYMXM2ZiBEl1LPmzH8XK 0FxHuijf9TVACcpxzKmL+pyXQtbnsUvz0W3OdXPT6992pGtamIBhszlkVSTnLwAHnUCPavevq8TZ H/ASc2odO+89Yk69fvPBYkunq2I/LgL4rP+ITpD2MT6puBHvy3p+79J6itx9YcTAy1c1tMIBh4VM fIvO9HgDUZPJQ0ilMyl4EhH/xqMKcz2Pqrp22Vy88qPqrPNfs3BPQHukWsAs/hi0IyBXKA/0uXkZ V0av6aOQwcKVzCnvXe4IjY1noVzduMbeLawR/AlbvsdJfb/zZfnEp4Kzenwl+dNBnKyJfeNKeLIH 5cakPlYOABb6TMfGzUpziZy96ry0VzRt+Lb+A45TDL8Wj/7nl1Bn9khV9itPEXdX70g9cTbbzedS rGxoBTqs5cAxWzMvmwSHqr+QgsCiLObMgytxygpxdf4zKJXu+gznaTI7pmbJ4hu3cpAgnvRMHNpT fSBTtXDjKET06/JF1INHBCX/Ml28vCCXoyqpMwWp1606E+gaFtkB/IV1kFsILj0HEYrtBHZr8h87 EfwhN9uTiSdW/tbJLJaE04Dc7igbtCv2/9kDPvRYfGIOKxjzAnDLJrg1JPFnz+rnY7yo4VTnumku kXdQVbmyWEB9vQv/7hq3TxfJ0BnYkmOvO3YWuZHZ68yVWJVXMeqI/06eFAEsVzxFCIIgyOh8qEfW zI/ySjPUMYeSMtL+MdtAbpHvJHI95KfX2eVqREZYYE4r0QcKIk0jbq+MsFAodUotgZPX7eHwsFT7 do1A8zLfdKy6jvf+r1K0BwjJL5nLPNAAThEj+M5qUUvH/PYL+hHJB9BBHxCXCmqmuT4RZtntKwaY hUIMQKY8Sj/WjrzqDm01D/muZWu6EfRv2BUEhcy9+s+1jxN5YfIZXk5lGp3OUKZ82R23LOUGOIwL +0mDa95ckF+7QTXhgwVrjuwiaWV8TyEtv86rt3jciSa35oLR8kI3DFnLVAfDz33rh6UGQYVqFlFo lOGEc410s1Zt/furtb6CF2COyd+roEXUhRK6cVEjW8zAhs1yjsJWYoofpwIdeMWCmkp2N1mSb802 K26JxaxK23oTSRR3RmtwQb7eiAOPwoF4sn2KFe8s9mqUHO0wXNkBd88z+wEY2G1pNIpp6v4EOUjG oET183Xc4JjSK3xZtK32BXlDnOcYqV5nO2dY1TXPmnyUz/fzjd9oRMmve8DLCj0tcOskzb5y1D53 ZiTcu81bCd6E3vfNxpVtVh2nmxlyCDK6V90BtxXa4vm/g1F/S544ALTgaqJwfrZ80CpAW+Dosu2z 7foY/DgZPcfbUadUbXnyHzddKPPsmL2/rPWqYKIEV5WXCKK9m8vMKBZPzHqDwF9L7pmCMf2aJkN3 GqRt5jDgSnQmgVfmZbwrYeccs0MgkdHiI+X/qSV0RBPS1IUO8mjWLWFck3FRbFSuy07I/midhjwT d+sljbmMaF+VY5T8iNgXTxAwoJxpfNxgqzZA6PVvCJNPtGLitY7GIosUnXoYeypGMcyqSoNHyQY+ EAoSnAHk9t7kFO9R+C0/KKeUhpUF5DSfYan4kLva32FlXtsw31+RmLZr9iL5Kbw51zhmn1b7LN6P tEp/oDBLg8BnPfnUxIIfDUOmdAHZLGPGP2NHowWv2Uqur4AZaS7SL28bRc1rGCFCEXlMYLuNZAEF RsoCvb6LP6ivZnjSqOVjuqLOn84gBWXJX8h1svUXowR3W1LCjSdch9BR18RcdqyT6s4TrROz5po5 jfDBIaSlpKMQIlo6ehAEsqDRe/q8vTvUC16eNCJgYzH8kFmKlj7d8P4Chnmu2O7IY5LIHvxRuL0b 3z+hxUCmNWVpfcdMIkzQOBPAvpG2mW0J/0DSZg6pOJ78KvlZ/Duf8X3Xkxsk8rvSGIdfa7wXHRCi cimcDflzIjLTJrcCLowLEGYELRiZ9gvPCkf3w5ETif2MGVlDN21wmPUdWigDI07Wbbrm4ZnA7axs Dtp8SSZZfXejdp/HvQL7QV4OaWhkttMoE/TdNy9Za0U7kmH/8lAyLOx43M/TXqQsPkaEvo9dYVv/ TJHKR8g3D72PfA+c4DOwGSqm6x9WAoNOCpCqDJAjXGaK5UnuS7Iks7hB1w1R/HrLDAuPu2wIAvuO Rzj6jqOAvD0TDlNWyXsZbHyL70/PgqAXpP5f/MwBYyr64QZ9U0Nh0h6g5+rMDXnS6IETDtBwFa9b I9rtMgbo5PYpADBn6lrBGHQiE4UqMhCH/oXfFHmyl3N3ooeBbFi3idDT9VbsnPmtN9I9VRbr78Oj t3wLXkap8znS4GkPRCuDjtBty360M2vuVLWIj8iq7cxvWhRqYsY2LThJoL22wg0pLSmFOpxSMoCi NR0V8rx1EHPVOJ3/CYEPcCzJfvoM3WKMeHPCobEMeMyO3gc5F+1SrOeeRhqzaxBcD+AVJnjQridO dfhJ0yVntSi1Ly0J6Ad8ayzQscpcZLQnepFMtPKanlyGtT5DP0vhfPqwPqdzJ2DjZSN5MUh1T6r4 cF2aQFB0fERVbeqkTf8Pz+SrtR/VbiIc9spaZ4XN1v7I8pyvG6jvSrau+Ko2yD06+3kwyo580HM9 P5n5zcdlxUd0fv6eYPUajBqhtIY81i5FL+M1CEDus3tZ43X2tiBABdLPzZJOci+ri9iqxFW7xKj1 5IoczDMIgE9qSDrdb+0o0e/XwURIic/OHPuIEk9EGcCO7CsCkoGNfDLTl/ojw5Ftxuo7HvM03WfG +A4HDVrVBxYnzXbg+84dw7vJuUm/QkBhLX+yg405pDPOndwHTiDMdct93oE5R8q9UpZS8CP+1Al4 zEfRNaWKZ924Fn55etru/wZlioGoClYD/bm3SbjTTEzxGqNqTuQ8TvpCjd/0ISfzIyWDWzJnt07i zD+5wN45ekmDqwif7e1vXyIWIrxR8md+8B3bBH2of3QB5ApwgU3xAgkgCoqaGYw3VeR5958ztqZG crGGDKrpdyBj2Yqy/XAHuMgI+NhMjOn6gSsZrr9EEAxWQhCRe3rRC4kuIisxA8J2BLJcSOIuoAGW Z53WsFh232pvecxe1XyKwmCrnL0DQY97GXIAoTPIa6tMBE2AGsaOoMieSBCz3syKIAUo2Bm6mPSS /UX8IMZ/BssTOLyDvAkMEyDE2tg59F5gchG9fTTXyK99Z7XqwAVoECylsjVXVGCugOEv/ckvvvQX uFQdDell6VMCB7BW5Pomy6C6BzzSCgcMFWPzOAbKufG3zRjGuz59iQTjbZQaTROTrccS9VHa5/jY gk5n+IEC77k6h7KxdTfDh7cXBiKKNUYRdM10PwL1M1ETtvBkGw96793ovwM2jK3yNYdpcvpcKMpS Gfgm8hsPhASeG2gLucxj169f5hA0wfo/+nqVEztd9SDpIwo+TzSFPrItgjoMIDv8th9okDI/597D zhvc8qbXaIZ1vpogowUeWPuNnmtyGETxP/Afbiu7c4mZGJiCDrOZEoOkfTdcHW3miO2Wp9XKNBs+ MmvMDHz9JgrdOdOLDgnvEY0DwOV9G1/Ma8TmcDCcpZXSeO/0tgQOvPfCaIAMiwoOTorotFLynxnq aHP1yt84Fp7uNoAFLHZNf9e5h5DXFL+acAVRY3wV3nZudLySJp9MFxyaO+VnPyZuqJIRTGG+UMAy SLlN10rQDWrrqyGlZzWmcVnCRv9/BwhX3V60cYgWfy4MeOeSYNLoJ+7HhIyIFAJuupSbxTNU29dG yPslAZzs9Ykfkg90CXM+/87tmdsE+66UJ4/JKQTjdYJZWF8FWV+hPm0Esj+kPAsXL6bYv//bun5v KbG76QtrBvVqO02HmAPzTIkC/UcMxYvnSjerosm51hxrzio1hQU8mOormyybRAjycj9NSSRgDC4g Xyi6j5UsaywUcvLvxSem3rXC+ImCb+UVqQebfItPRnbJ+O6uEqH1yC+8hCCGXuBL3CdU1Wotkste bJ3ZypCqhj5hZGpCnsHEeQusjivHMMs9XkZGxTA80QA+okvx0ki9II53z3H50/SaH53B6s4hsOtS AbVJF5uYvUIFNA5+tB46QlYkcWwahfbq3hQJPz3/w/roUwjBfI+xhg48ko8yti7y18vbFf6fv+gf o7NPJiLj+C/gWdZe6jPCmfkWdIE8Qckc6XgMSF4Co/mhkpG1h1FMYZfHCeI4Ac3Y5g+XP2CeyO4J ypDOyjhuDvWHnNEnUGF0XJ9VAXTLrb/ZvQKQ6do2dKIANmAk+sG8SOtn+9ani3omsGKsLLwBHrPC lQ+DuMxOhR3ecLi+9YSNdS+LrJX2VTFZvhqhk3KTGE0+R4xnYrGgBOM2kP7aC8ZAwBt0pMN4NLgM AiKLJndSnDywNtpyhBT5O68kw8ps0YcPzXqzirumhkvs7bUvuiiG9aIYotfFxTplePkm0ooPxJYv V9goU9PDFwSNxjQYh90Ml/FWxjS/j+EqnatA1nTnSPGx9OyevIGMOO+scFhTRzEqYl5FeO0hNFvG JiJVCWGJMKY+lOZf4fMInxodaToNsI2oFprXnrBK0eiufvzQjfYAUPfsHkODBZYrdWmRMQOW2CAL Xm+pICju2pSVm8z/A6vgKD3AOtYfN463MUjVaZuarXpK752i+QfyTIMDXUFVfOOc3Y9S181MqUXS +og5PN1PCKGhst5STXLYnnbpPuYDLt8I5bEwKqpDK8M30pCohiP++8qPMy5XsCp4Dp+bfPPrPssl rhVkbLAOQQ7pNmMQ+//L9ZLiIhjXCS0DxX/cx4VgtmF1Ge/cmTLiI3VbCXG9sb1EeYYRkbjgDi/N AcQooHD6Jkj+xUglUcAiAkW+fbNpbPp1xyv9U6jijvhroKkuI9YmugSvr1I+UD4AVrerFh9zL+KF t4WeDmjwWrpFtYrCZG1Eu9UOzqJW5opyeb3rV82yKrHLVvgdJ8/he8gsyyeR1Vv/yPKQNOTBLtrS 3Y0qdowfCLuTllz8Q45XUchSKU9SujPhKSRWEHrD/84q/3Nl/IbCnuT0NrqxCqbSL0YohS0X4EWy 4ohZUd1X3BfXjYesr/1h/hl7nmITMUqSu0eWi5bahlMdFbQfd5kT61ToGDOooT0Ie1y5TUhn6R8T zg8j1ZaAcwqlUxw1ppHJxdyYdc+LyCA6tiXeptklj2E+KAQM1L4eRZNI3DZZ8/7b6BPYKgBktAiq SGnIzOPBocUbifmVuAZr7lEwffN4d3on1hsyWMoQ8YwA+6YAUKzkVioXdPHTCb/s61uX44fgO2ez pIJq5bruRlMgRtZcVuB8FFDCQxpN41jODXZKBAnK06TEdOZgEf1b7CCE1Jjq7VizaBlMJqLyw0gb SmwmY3S/4eSqpCNka0UUb1VZEu25Q7V37dqcfcbUMEvp5mGyxODJR2o8Hyh7voEdqI+BnxDY/SA6 E0A8vuNUxobZxlX2zApdrphoguPHX+DLxKhGex3OblsDh3JnRsOS2L2MOfbnSHc5rSooTtYWg6bw 2JDPC/1Kng0lun50FAUTUXChMlSBqGgErhaXpgO6YGj38qNMm/1SnK3N+KfTpZ8Jqsr6AGq1r9kB iY93AXAoiq/hwidz1AgU9NMWn2XQMHknsDOXGvvNumydaOvzi0psoW8aLZXNVlqN26vau82U0xwV k9zUYetc9Jz78YD1KNHDeAzw9G0n8gCh9ON9c5sdA3fS4/NqJVY0hpBMt9Rz7xWXEA/Uia1xZJsT fp3zukBD6KzRKMkxBRQZN82sn7I07nlOHKe6UT3rD0bDX/desBFdfigXzFL0R1FritpWHkf19Q9w a1I+CIgZELyUyq1wfCsgRHi0d7BMMW19uZX/IvLKjRWQlNTan9RfzOZU8Qpl9PCix5W5mRHVQGrt RVZc3n0RfXE2bipN1tmis10KTnjlkRSCTpr2TqPCMb+7CnIPZOZ6akzgAMIe47aZfboS6UZWIR+c c8gU2dBxG5OwXH57YduKDro7iWV+/6VIrN2mtrEqOycfr2z7DrNH2Jpy5/EM7IY8MgWqAm0EkLgf 3r7abz7NXEgMSxyarY5qjNQ3WlKpoPkvgY1aC7oXRuV8KxH9X9cMvAr+okHm1fLLQVD+ckRAO/Ag jFcuD89pasaiip+pWIHAQtnMon0jX/FKfS3sFGr5wFEgq0OpAIcEKRcUdtdjkEXg1Vp19Wfsvpqj 1db1Ao9u97DjnUUmuFdDBe2/7iSvI42kqTiS7qZhS13POiL5FW+GcMmF2SZp1tf+TNgo030EPpBa vkx13Z+DOXv6uN6upGWJOq+ewPkShCeOZwOfpHC2p2kHJHEMIMdpuJ07lPrXsLOVgc35h/drWyoI 69Y4I3ZeyHPNxcnqez1Q/N6mS3B7aVPlBreEbgSdI1X3lR3zZmuYT/53NhNDLOA1s+AMk6GSkqEY 5BqT+GpPsVCPCswvn58g+eefsvviQGewf9okIlh3Pnpk6+DyJB8BefEKpPaLYnzQpQvAuCX5OaeP 60lclvh4R5hTiAt012JC8Y4Y40QgTk61siFFWQJyPda3FCO83+QMoD09yx027nKTl16Rh8SjPok4 rJDCTZwjZzbw8MpWPKqikbIiz8G/qlCn5T59GEeibMZHvpgVU783m+Tpy06OQaLXqMnXBLXslRm7 D1jBNDgz4Tl6mkF3sdsG3psQyDIXeVEM9I3GFDVjVZhs071c1IgNqzrs5i/7CjO547tkC458wjnt t0fZV/URgdohPwSZqZsYgNR3ieJ7n0XHNLbdy4zW+hhzFG0sXR5pmh7wmcA9nZ23tALCsDhAMeaS XHQ9h6IfihoUMIVblxqooG0VfLXh5/oNxj0R8Dk8dSWCgZE2vwmp+mq410RsDrRgwRNenKfZ82sh iZxzkf8bVWJCVjB0pDLRpOnSWSzGsffjbvwRoSSykSCMuLuqfLzM3PC64Oe6BrqMRPABTbiK0ey+ vd8t8l6KWwJr28D425383/kuCGFMKX40i/31yGqwnn1floL3pllFbaCCVVyzzkUuFjWZ7JEqPeT+ +v6vBnSS7TQO97RUqw7IRPkozRz9DlD7j8xEnrd73ZXcLI3pOW8aTqfW6RmW3WdXHbjmkdU+0ifs VbwqdNsh9A8eDpDI5S65ME4h+b7gqC2xZS/ti+rCo8jbJlIc8XO6zhTgI2Znud6v4/O0hB1xCFWD zKJHMxfmnsVDwp//sTK8FPiAcdkEnAikxec1Edojt/9XVDMni1aBM9W4LZO9CqX2iIbtHS8eZHYY 72iN1N11aS/7TDwx0aKXadZU+TdLvT1I7mir82csDccgmm634AKyiDxqCecsnVxQf1CtR9NlBSzs cxKFly+P0xtrfTmFoO3S0n8ZzPhh/G3+xieVmAOuQFaIbAwxbpCIEhUW4n7jP9Q0dkiie/0jQWLP h0H/Cabk7SjTXmNxfWtgDYOZP4Jp3Rbqpzm92S7uSEyiTvEnxIs4gNqQOUtFda9RJVHxlPPWOdTS iVaGOFWGtyvJMunIQ7AvY34Z24QrEYJWP+L6wz3jNOjDjmx1AQX0zv4DjdGPVh1VUp1pdBC86l1k ZxCrLrkYGLU75gwnYfJgX36M7bBVbaNsZlT75dxlLEs+RK8RLScbLQK2Sv7YUCdT4PioUOb7RGQE Lc9bsJBA9EkhTwdoRIh1JvKgAkDj9Fdq7ckvgGU0nU17wlIMy4OeOINri70/5tQfbrTeViXHtpu7 HTqn0zPbP0CUaZYVr4oyX95Tm2nY2m68ft/WR20BoS57y502iSQq/Y31fgFs889SZV54UcIRKRSp igAmpnDjzMk94IuvR4b//2eKVMMt37cBHZCi6ZRKr2QzzW4NIRrEZUGYwokXLIynsbVweakZSTnp hDYDQPaSOFVVGDcwX5cox6MV+Mi0s0RWXpnXR5kSSonFmbdTqZ4wNOCKwFcWbxmirVaSekDv9BXu RRv/GqaXHVQRLrjIYvbRL8qurkIxwPl4igZJxfUlVxcHhtC9dRUL2rPwysswClFne3aowYEWrs6o IBDREQJM1L782PP+yb9FD4kM2ChY6X39kDVguS5rxJqo5CYBNN6KyWEYBUcHnumDeVBPmKIF/MQh hU+WPBalhYML5QDMaJDBEa6ol0AfqD9NOe1tWJJkL9r2h/bab2Qizq6fqAIzGJVjDP8jbNqVhkja x+fJ6QuyESlyHKZGsW9UuNdcvIs6VGsQ2FBNpTmTyad257NbNpe/ed1NnCtitKsu5tzO0gSLv8l/ lMQ7KzmefpnOssBSm/1Z+/59EvdYhLWgaVMKkJ5P+10+0WaETEc2reSj14jI/EIoqSGU/yuRrxtK 1uhTcvZWPtao2w3W9Pk3vOv61kcwMgXOAGk/Vk1e8dL5baK9NeqHLo9s7byGyzY5VIjhPlRJPG4B zr4kwLynoCliNNriRSUXVZQJ3fUA5+J2XqnZA5qvP9XoLnRqjypIdltwPJkqMoAYdeTC75xpSKCd uOAlZd6G7LsLZxqeEWB1XsOqxYdsosz6sq0s5RkH9MkPQ9np2V1+ADnPUcV/HmSRK+oDYIa0UR2l usRY756gGMbBFgGG/4+ME0B+K7++nw+UunrNwSh3VyAxvuMKHVV6wViu2tef6iYcj/E3BTvdXaOh G4UpwiTXgMSPEur+RLOTDc3+mzJgGl5CZTghdHuweGjPflX7qI6Bj7A7Joe15LuF2I60fj4rpX3r vSMRhF/pxK4FXeUIitBQboKsYrBd550JsSFmU/JolTshSbpLEz6PacoYIiBHRBMEJluA++CCKt/c +m4C8WgThowEjeT4K5MLl5MJA7tnyIuVxema+OIY5Gd8bOl6A3NSTUcpgwMt2VHwFlErJObcXqsv vql133u6GWOQcXlfYElOLZBohc9w2w4WpgcchH9mbE+DH7Vp7q9yqoInyqyULmvMB8ISOnMTu4lf 39rMdps0Rma8t8/2S4kD7jcGYj7uBLNAKiNbxWqf3K87a+LWyhDNzGx91iWEfCdRjKbntOhcS30R mjaQFTYkI92M/vWcItvY3qvc+Efw2BK1CYlfGRbakXeRWa8uJ6GuySz5+SUFPbx+cRYZvXqugowt D98w2DlhTjEUXkD/GpqtgyqZ1zEgS24tAC0ls/95YQQ8iW1LW50TRi7exTX1iD4wysvqdkTH9Gs0 VyhXM08senbNgf5VQZHwhTjDqS0PXd/YezKiKLncSAQCjZhrl30sBFvT1vy1NODX+pKwbvuc0Sqw DZTcysdO5DhOUxC9injppXcYlQn0Iq45kC6HoETlVrcMNnqBGJ8je63kmhXc/iwF2qP9QCdS2qSt q8fw3iwq34uRB1PUcsKW5sAFBJ1tQZVSfmqwNnUjVRWU5ss4dA0u0l+2c+K+xS8zwNMl79VBWYzU HoKdX9cpeR3AcyAqKjmywoAWYBmKhY34H55wXE1E5ZQt6F4FW6xwmo3o1U5eH3jT7Rc5OESxP0NB YCq0epRmF1W7IClA9PgBewiPWCRIKQN1+AM/9ee4WhiL9NUdiM754mWoii5smW0L5rMYzZWWcX5E 9mlB6uAkicFtFUuiu9azxM0qqJc9k+MPNEt9tpliGZioUiWFEnfOP2fj4dNrBjfYXm/iS3F+4zHT ERSkMn9upU9039obzf1j2L93ADXjJVOVmNr1k9sMuuMs1MbVC3CmcSC551lrAlYnpvoW/KOxVHjk bah6b21PEkZnEaN4G1hJAk4Ej2HC5h6WTvngnZWJMNJi2KKKvP76lCsY/Pqcy/z3Qed6Tf/V7//w WhTc9IHqce19V8elK/sb8HpVRnuXKiXaM53B4fkTak76U0cevFwE9p05UBNjMremVON/3DYGF14m b6eT+YWSoIs3BAwMqb9fB9A6iTqSiECqkZ5Qe8/f7O51O2ZdtcX73oXJWCOxMEMst0+4D5tihkhs L4OZLCmmHTjD4Bbca9QK9yESM9nFGoOguc3zF+TkRrl7JuJqSC5OlALcGKTB+uoZwHlHoCa9T542 XCjSeYzmgb2nqqalePadc7BGpJhLKzDqihRg66QG+VtwKlcAGHMsh1OpxEvCtjHibo37mwc8tu2x w3cpMBaTcexqdU4ysuyEf69JjlX+WcNZ/qBseMd2x58cjM15MQSmzzNiWT5ZSCLx925hzptzvQ42 EaIWQCJ7vaZm/w/Z65CjJvtyMLa0hgM12EfnGzRqWHP0GY3uE9xhrxd+o1Td3qWvF2bo0VjuTP0O H2JNyzaYDDLnjLCXlcm/vKg436KYkVdpru/HSCS5xf+ldEjnfCut320oEt1X2qjmJ0fJKsc9uCo0 FsIKo7zrNRlUX2OjLN7T49R6GUugZ3cDwukxJ7EQFT9Pbbo1IWekamQkJUptGA29dud3S0GRgfZf I7J1WqK9bT91cEinS/W8Xz5ITG3LVbwKHHl0/bOv4s62XbZUXWBiDSumzrT2EhXL6uCQ7YsiSTxt jlWWSPcFKZymVDdIXwz7gNgTkGmcBPPBv/ZVAHnJp5fYG7tJ58y/djG18Q/mODX1yLPC+OOw9TLq evmo5bEGuP6/49dpfhtDI+qbCpGopn1D1uFaZsYqxjITU6Zf1W9uGngZTeKxnk9w7zb+0fzI2dLy 7phRji0IRHoacML3m2DZbYp4q3hJxvY432zZrJ65Fh5zCFTb7mZM5S1ItumalianAsvnXvofilHo Glo26FOhj6JwdfmyRAnXx3LvSgXFJB29jZLnMf/sFFZIDNeU7hynjgroicwEL1UQhsUtAru/g4Jb xZ+SE0UhmeRxtu5DiLAcmdHAlJZgFcOrDjuiM/gzKFkNc1w0ZzIiJq0KW5GKZOk/roDrWkoqnoVu vWbvFsPOdwESaZ0lf/qiUnufTgdfNRvqbiAJrvetOGZT3+bXbCSeN9BG0AgJ3gp1HjKtTdE5tM8M OV0tFzIU8nCwYJBJwSQRBbBfhMe2L2cSAtKh0NnDwYd6qbP4vKr/dyyfe8eRK3Y8RMKza7iHvBmb +7Ol/tmdRGZKFEHe/WXUM78IPjB1zrUjNPLSkXdufoWuzhHReiCmuXHK4K+3cLVhMKaFW4JHlKj9 L76JCKE1N/s4yyxa6gSzYmgPaQnZgGGmvQ6g14zZY86oQszg3Yyrf0byDv9qaMTpeONKcIKnF1Ie F0TeJzuGYqRcW7aXqmdWA2pbJyo3QqLYdKFNSoS+uzZDq7PLzAjVRAGLcj88UKJiNUVRe1S2XgHM 7a1r/ATOI2C98VSMmwkONQmIL5TKwMXb8uWMEutieRiJBh5oTwK/U9hrtrovOrYSGld8JKXndxfX ZRFD6mpoaB+qOXmTagpUGVAX9NaRUpSvoX8FY+9f0fAKDxwLUwIaetjxAyITn4tgWFmCKeIyFZVW q30PHILQnXQU2rLiWgzwzIjDbxavp+WZCPYalgYm98iWuo7Gyi9wanm9ezTI2vJ6rV9JGxAPFgFG ZljZ7YonDTVZ/vWVQP0dCCxmcQYlqMmu53Kr/Tw4SqPZeL8+1g8kGbXyplRrOPESL2oT6sdDoLH3 DGvAY0vmnAP7T4KagH7+ewc5DWuWoF4jMkD9zMELrCi+DZl0Se5y6v3UHgs78AwnyquO9n4A4Tgj M8XAv1SbcIh8LIKjEySTADi/OiGGkX1Cl/JcMs5pymvyr7d0mBNHjsZR+AqoNlvOJdW7AL2C7eUW rTMsE/WJHoH+MKcKn9CxvogBELA5ZCcuFdRhFp1iaAY2qJI7SSKfCj6b8RPMGRlAqEmRZQSRFKRE BxUf2OPtky75ReIpqbMAyD+Wmb8cgppxUN9ItEDS/yeHMnnBM+XMwPnT2MwgZEMRuDqW+hCxcnqU DRmwZq9aAMUbTHl++xBW6/CCCIj4EgRFo6BDyMxc3hdprx4S0/uRcnRKelVG9m6W2ZXx1kE/SEHS P2M3tOfM9IHd0C0IdDgvoeuY2pHQEJZtylmXRqxFxMXeolHPqcLawlgU7Bawy+BhIR8iqB+Vbu7E +J6jTR9ABOV1+eUEli3QHjn5psYvDu7vHtBeQ6hl6+M6j4UmvgnRFfXT2Z5P0qREI/jv4EKKjiLL tDLtC2sIHavYIgtW/nwdudNY2C5XYzBwx65DfKpkwa8rxbKkqX7g4An/7xQxk95gROoMWtzBXgrd me/hETBiO8cXzZ0htMSI+n8ZZHQbhsFsIaE/rCKvXxnyvqAUBiK2/jexSHrTwOl8w0CdD74as+bO qhTD3g5ytyDLRYF1LGjn4mES2gPDTYhvHW2xDcvQ8MwW+jbvQgbg5p+ebk4NO8LsiS8H1cfYyL3N QiIu/WS9ctJPWO8MFnWE8JOCh56CIBLSBg7vN4IjqFWulXWV3E0UEFh8WTU7DoaxboLPToky+GGB 1J5lhWNgu6Ciot3fsGQEA4kXXNmLbQ222ZvR0m+JoxcEQr4O9RdWaf4XdDBP0PMlF4l8cwYvnEjG zezsK+nV8CeRnlZiOCvEak6zuoDVmMOpNUOyiWyrsVGG/q2K7M/P11NEW1isTfi7naq00yZrq3cy pStfrKsgy0TIYljhX63n79wBfV+1w//bqOFGu6tAknbT+3SNsKD61hCgX8hsGk8HDsQzbeCtQYWF /XqqEjVVmrrRjB/PBRdJ0tmxEh8jnPsKJ1H7Wg7B4GIiqvegrRVuadACI07sAV6E6riIPvgCXBEt hxEPizfIMLLdWU9ZSn7J656op9yCCjgmrYqWHxr6ejluC+wMby5R5WiBEcgyTKSTxhg5l1vbqI9Q HBIW80nm/pxQXE2oLGosUFrHXAvf+MbgCxWN4WP2KOEmv7PATZvLgl9ouiNDzqkSnIu+jX/mSVy7 pppJV8D/kqKMwIpQ71+CoLaaWztLv1jO7zXo/P+ayvVSwmT62jw2/FDo7XK6ydnlFRb8g+hmIht0 oszbTb5KTbscQkyoFGhWFlH9FfBtJxbLhzVsY6jcndPSz7fOS82vE/pgKf6pn1lzvoJa85G4ubbE DAa6ubowyg3UDl85hDaWV6p4yOALARtxT9BTkDNnqVfTTssbcFcpWtD+rG9LEFbH3fea5JArOxsr ziW2UTMXhz48s6wih9TNvC6Qtimg2J93X/Vyi6UYg6JJoBDN4bQEa9VjJ/QrbcQG6yNSbQMFJPK9 qxG4peGrk6TRNkZ4VEidSUVIEd1fOkUhZ9Ah4v5lTeWXa8lELFqI6xD+u5qgyRa2w6HzdWag6EB9 iwJVyZfe+zwaVINfiYikkrMYaymTgnhodihzXfimlRhvqYvs2i1Mx9Yl7vegSRlPa32OeGwQz72N t92gFkVYAK1sc7GIkIVhJ76+WcHUCPLPwS3oWlGT0rLz0tBK/PV77m7VrAyOnMCYbDYfkEjyNr4j Kzv8uQ7R46t87Ch6uwB8uxTyNWtVscrfvS5vXh56oOYjboG3T5zh9KqEanfFn52nUIfXnJL0Q0X4 Txls8l5CDho0hMbCKMBntGZZj3KJOV30O5HithbZ3Ska8msugjX/3sqWvAOPWSBVa+kCH8Pd4Y/9 GU4RgDt5l6dWrp96DekCqbpqft7G4jA9X/AyDCV130O/ZMDRUEONUIoTjLok9JNYI12v0Lqof/Tt ItE22OX6wULYYJ+J07UJboGGZ5lGsP6BAa4r+l8a9K3ZlwOPZqbdDwt16SNQGphnf6wpYGOxpXa0 YLMFnzgvhYfeTOTcOAJX5vTM7pfiCSTVaQX03YDhprqsuqGrjoXjrNdDcKs6R9XAqR5y77aGeGoe kdYivjt7TAadgrhh/8XF12k42zBJAOp2wtU49xuOlN04mi94acuD+OOyU6hCmfwS5QXfZAH7JVOe K4jfNAkaC2wKadgR6N2yvYhPDhUAvTux7TlR/02UaENp9fH0jsw+RGoUXonWd4+V1Vasq5pTin2r xzEpHbH+kSPVEcFBqqI0UYJbJ+OjtXOhkTFsk0ScaQUgrvcRjKWq6PdrtZZADdsvaq7ioit/iE42 WrDx78O8cZWJPrEDOQMiVtTmNWXY6m579Hq+H662n07rgNT6yL6c/gtDV6o2EEYdlvuuMFclsz2E e11x0vVkJhHQrs/nCSM73vOtlv1uUWH+PleioxyOQVe9l/pNMFmCrDgl2DKb7aca2WXXKlMkC2DJ gxWLIFUloEsIobDq38/8mCqnuuIg+aVYhBwdmppPZT/BNh9b+bOYLE3HWdu0J8Sr3aSICkk86p1m ngOsFq8oix6tEL12jDxCbpERi9ckijIUBecFblFIT7BXGz1C/sfTH0K24VxCngIMR52RZaqWZCAv 4wfh9b1LsEAeEXobL0kX6ejPBLXRNYs5LALKY+OYU1fUoIEBAApugc8B+zD2RkS5HQnAj8dkyDT2 YDvxtmqKDeqtZN5f29yapNI6oGPLA60IZgR+HNg5tHY6wqaDtreK3ywmf4x1424BSDDtCeGt20F5 07OqdGmf3PwRw3ZeanoqzQENrCKv1Fez4hUjx0j2wVpE714RDhbqxfFzL6xn8rp4Zc4Hah7Qeszn mlEWRwK/rW80MIRC678Ewh4Yz/3VVbXaaaDP2rCkHnR75xlyJPpLA34LA5dkQEX+R2y7fgHf8k8b dlCHhbgbZIGUBRKib03K1mZeGtmyfPotSW5c+Pfqx19pp9Fx8dHtNpzdfJmlIQTzgZYUk+pKM449 6PDlcj38bcB1GVl+6Jk0eQ+gs9+bI/sXo2FmovO781ihjEGA/8B8vzVVY4IhzEGYJTcSlELwL7uu XZdgGWE1hhDAjb8JO1YgrRKbxf2EboMXEmnUv5TgEdNrSH8f44hx/JoKPxaxJ17l6tFiGAqLo5PN MxJboQTOUekIpxmiIJYot/m77aje/tjSB0L1Dq581+M+vDuAx2BQYI8JlWkUWWOsE52WM3LXRX94 VjjGn+j6FT7Df5WPdvDmiKWnjgoTSQR85mtE7gOcp90JPDoGBWO+VIcMahBw6DQd33C3wMxMqUzj mAGFF6j3UZ1TcvNLjyFghHgEyw8bJRyLI0ml++1o54zJoKHR9/WCoV+a4jJe6GyfsmCDVySSkqCH zPalOmGaT/x+06t/6pvllhUd64jo1FUwYrb+uKjH9vs2GszSbVeJKRpgR1z7XO2A9CCG2YNeD4AV FLPoJiRzmLby8KZsX9pakpvasxZny25dz0ajruHBKYnLg9Ss4PVdho6NXyFN7PIN2xePdRSUeaG/ 2PAvsBq+fGk5xWwxyUViWgEqcnI4Tz3hXEKKkghzqlBay2EdtXS5AcqOIJZ9zX4z2MEfKINvdsrX VQKBINlZ2OnU3zGsuEADZ+0P0avIpuVS2Z4XJDcB8egL0FSqcJx3fxsDwEXRPeLzT8LSFvtSvT4X 5c+yrw8LhwqRCKR3xsivyfcPyDEIpEvU60cx68xe476P+ue84J896PaxtYtaBs8fx7YTMy2zM3Mn yEkWf6fgXplv0hnT2zO0dlHeJJqg3JTPagdMQ1OHT0bzdtWSmQEsi46+n6PhwXBzbbQQ8BReQwX3 n28Axhw9QGzzRTGy/SznAI3RuWhi4sQVAvkwZWI+oV0o1JNgozpvHYxgv1o3KryHWtekxlbgkIRP fdkjGzIXDFpxHRcu0aYfYP48vW8+or5Gw2lFQiU1+x7BZmYQEk5S4ZjRWFbRphZTuOM6csB3RT72 HJAzS1vaDi5W/r/nRnLwNJA97USbWjEQOUl9RQRUk5L8yc708MRAEqvJ3Hmp9nQSfixB0YmxPcTR ztss42cafNbSc5bAoggLtFKhn0WIb0cYvOa9XyrElg7dTI6bzgcWwDcx0VWsWgeGpXEC9tjzZgoX qE0spoetbfwD5afeIIzsH+DmLWtyAdS3jKVkKiS5KttRX6DolzvVm0QYecNdwM9wBrF29d4rtHge i1sYnY2iZnWr6vjh1FDTmG4Wv5Mm0t6I+ej+GxDpW/F0rPVcGNLzYdg7zcMy5G2zD1In9u17/FqU cNSD7YQzhEL3pPpBUwOPuBWBYIJ65Tz3SqW+VzO7AZiTAFhi/ppdN3Qk57y7heZ/UjTzYoAQWDqY CQDqM8hXp9A2/kzBi/XUiWVBY9v3c8J6e5YmMmrSAN7Hf40w0dWgeKqvjefTQ94hKPJ6lTmvsO0z ATXk+DUVjk9/joW8YqAf9+sj1OR5YHB45gqMOP3RflXbONRKiu6eO4S/cRXOpo2P7AmBDn5kspib 7vJl8GKs336ahYlXV2+tHULqQ8D+GV1dTpr2xhvziJa26cif15h9IhQC4GeXnzfMP0h7x3gCgID/ CBBd9PucOHtV9l3b3QabaESgeKB6t8ZyytuePNU+0H4Rv5AMVltIP04dlYnL2jk/1Zg3UCwtsTfK iSEEbwhwFiHgn/QAvSmHf3kSNVUkH0F7FSiY6hu/3/2uv06nxIadR4mTF5gx0PiUh4Ni/I0N8Z9t XlyhBs0Cke09dl0yFXytyj5e3z/z2xJR1RPcvHv8JNV5jV1phPPoQOqQyKGBdP2iw4pdbmccRfVB wDJsqBZzT650QDopPBxUmG2zbSC/eMEK7F+nD9ZH2DYJIHbziR2/pxYmrypODg3mj/xPE5g8zfUL 21/Kju60fj3vrmX3s5R0oJIDyp7aA01z8XjJssl7wPLtmeK8tmO3hdk6kWIiYHTB8Sw/bVzEJbne Xp/71mrBbBfDCD5M4SfIoAcjbaoBPhHgo+5hrH/f0ROZ/ua/X3kqFjoOFdYPhfPmIn4oGTMF2wzT c3WieLVIpf2h65SSFCfHvGyCO5BPnDSasc1gdb4oz8M0GmmFjvB1hpZ/F6pe3Q9WBvqsp4mO5RYz GH/QPGRTE3Botd66A8WlRm6+HJIw1c7qulWj+smc6fFPuOqT/DfLM4sCTS07K/E/L9O2osN6YHL+ qdgk/nM/+ZqbruWxGnV/+jivvbeJpcb8Fn/QGzmkuE69wsQAGjXSRRAmZjC/nR3F0cun/pXTiCX5 Nqg5MykQ7Wf6KvJpZ4kZjsStKRjF+s9tcIxClzSO81Ff0XVHVyTMKhZAKRVE6xgu+Lb3TyxpPLYz OPqgjJ4/ujT7bUZI2OoEm0rMY3+3W/UXSkGm9wVFwAbB1HkkkRTND8QswiD2vgLcon4ned87FTyF bCV33dqYG8WndcvqJjGq0foliBUMTw4jIPRPRonEZehibb3/Zfq7iTv21Adn5y07g7Gvue29sfG5 5DmqI3vEyRhSPxqhwUBnCOcZPodZ2QLaEknrsz0GQaPsqjrR68zPNBfCNt+Y0sL48YAJE7hLgYXX ArnZV1K8Qz81rIO2SXa9Mr7oADduVCLsOUKCCKSpnqqKJAV6veg7nKKQaHcZBNLt9xSltFzF6wfm fsFJnq2DEB/aqv65ekBcO3n+32Ofy3lAxK1eQO4kr7GB5vB3jxr9/DpRZxuIQ9O4o1jbDnyITj2f Hmykiv3kX/EdMJDYGJ8reDcTAGE+/7/JD+wk3ss1zQcNAxeWLqIpeqO5LlaRMHUKRkVigujK9Uan fF9lfO2uJ6YYGgFRsiFNx11Oz+hD0rUdp0B5Ztucdi7xxLIVH6qOQ+LMwR0lSJt1Dzhv/Mnx+SbZ 89+Xj/lIGmw4YyF+UOO63dxI727fT6hOToWsG3+xpKJqELOywNsw5kN24+NQ9HtaIbTl3xjJWXqq Z/scwg/0ZMriApsmeENHuDWUIOfMsJGzXZH/gAYHqUNkc9OS/teJ8y5etDQtqaG+BQKw/RzP71CL RiKpMs1+udmQrwBiNKSQ9J3OjFcoe52j8y3dtQtTKg6hd21Shr0QSA3WrYlqTLZleIBp0KK+e9y5 G5tGDasHArPE6+2/CODF+Kh2SyJNbWiV70vO5kgbb7BN8i4yJ35eNXZu2NUww+rqTf+r0pHuRalY 9VPESJYcsG7OmtjQzIuq5VWGhhBCmtuS5A6k5GMGB06AbInKVho9Q/dSKapBZVfAfwPicc/L0RnC i2tylb1rbPj4IIWGmXRhgV19ojXZ3npg1hLqJ0WtQGG1L+QWeNprB17bssUT9rLFUkvLfB4arZ9K FcIow6DLujfNDkvuRjA40FliPCazQMdZ8edwoYbIg7svQSXj+WNSyBSUufESUtHq6i6Lji/thQ9b pLcJxzLjrto9G45D3/suUFdN3OQpWKce9nx8/vxNSRy6H3tNsZB5w1DPWpMEtRzcq3nKTYufmqU0 qYoJ4oJg7dpDNq7HzOFe2OWynMH9kz5htyzVVlqkV0BGfTs6RpF3/KzF74FV2tBseQmsmWYp+OpU abSDjYOlHFfxG40KI68Hjm1azjp1eZCbw4jv8A3Ff+YdzhDEcGoMCpo1wFwP5UmlfzlT24NlKtVv Db9saZOtkMf1C8ZYwyKnm78Q5oigldGoq6xr0mkeH6xuAKFGlUMnVObZYze5FQvhs0+9GQ7miSNs P6dYIYBlyVHb9I/xy9lBBV7smSBeCK/erOh6fbggAhuMEsJusx73SZCQ5sbMNZHfFuuxb3/P7l+Z Ce+0qBpMxMRLIBn0edNxyU7P9H13Be7rtVLx8PkIEYdWPeGq4yhnqpLncYjYwwcAzaiYW3qgraI6 rbK+N9/ZIIx4dYSEwv5TOt/21FefQr4N1o9cgiIOQBXzxJPgqz+KMAPERsb9Df6rHYuE3G/R8N8l AX4A18URtUOXg3ZGEoI05HCsWWvUN5Jp6FQSDWqb7bgxfEZY/DGSgiQN/Q/HpwtfEWIAWKqtqxzW gq3eWR2KgQnzFxrH05mrrrV+qcwTyxfGFAh3zoFvZxsFlMQNgP47hb4cx8mGkXeKz9t5VP3P3saF IRYQ7u8Vo2HtUxxvxHdWxlbYSrmAWEY8gBQeg/S18YoXWh/xhxxsWOzKBg9j9OJTzEZGHfIEIhxr JxjEe724mkQl046B1sJlUbfIAfdbLxv8RHVIIophRWvd0HxUL1v14J4yFKdP6KNWp5mUaKRQKkwu DepzkjekHS8Sv9pyucZVMxc3UkGEhvLU4e7MqEGwrX4LTdfGUR+oGJcAR3GwEhY+7c6efG2n+VIe lAHUKcsrNMZyPBbcfJHQSMeU7eaKmTbAmbmMJc3yWvQ0Wma3qt/RFwas2Gs6lnrEupRfERt3C8qD 3aX5Dzgb3SproyUi/uNXh8TO3wJJ+qMCFaikvo0P8mWY4NdJoQZ5KdZpsdn6mO09dQkVRUHl1xaJ cha++7WOiu5xX05jFu5HGyg3xo5Hv96lJxNttBv5z8/rM43KAiqKpchGQs1rZL7iufIdCazM36bJ 319XaGmnzJa1MvbaUVUjCfKM8vcFr10HamWmmKdnnmUOv2QM4MF14HeMeRldbYV/F/qdBbkw8Ufx CMtyuFHi0/piCWLb1DqJQE7G84dP1GU23G57b8laqr8QVZGPycg0lnpGV2PZzLxu7cG6DNnFEMOo Nr+74ItuAuSv64oXgRRWyCTbu0cJ+IH7CDC99KvxYFojA8XJdAGm6OVFuIfjibvg7YV3711UZWdL 418iFlIl8C3jCcw93YikAYK4R5CG8B9Nu8aK0bZ/oH3vcLYmicLYeZsok0ljJAkYoGQgnD0UELmm t9VINb7LVDU/3cqlYxIm5r6HuqfqvQI6O0fK4ChA0LFu+zUKuQIJQLwzMbi4ceXHOgsFoWz3Csg0 F/ynTC20qklZPK7eiyFBq6pFFO0LWURwtTs0KVxwtGkKlZjmhuMzgUg77eqKNB7seuqij8RJoGNf Xe+MsrFQjwxAel5DbikwsLLR8aWR/EKiqXFFPzu/RIYPTQ2cnJCmqyzL5909HguLcBvX/RJCTiTx UFVNYsHkAbHnWicJkR+z1uZmb1H31rStkj/QG7nFKf3/uK6QarhUZP135W3KgcP2tui4pUY+2XTZ dFEAd+3YXk3i7FVb3eSDWEHuohWX4233nJ2z5zxY2FLiwavMbbrutYxEArRDv8DxIJqR+61k9+wp AhjShNH2U3riwwyRocRKBunLUsw/eYK4ZOYeratwDmM+bvq1LMR2pHhcV4Cu5QR0rLgudzmhTJEC hpJZxBxWxvKpiM+4smK7Evk3YmdZqF6mxsiMXR4WWqsE7Q5+uLDWYQDy48+0gpnJZcyg9fh+qfCx Ox0q2iBHz7JhCgov0RM9vsY7z/Ou5D7PrPm1q2sctALYEJnqnMa4aAA2C1ZFjlLDVsNujfIecBMN JnMXmP47GFRopb3dD4gCcJkuVqZ61GKKTB+fG4At5ul0Sv9Y+CyEpa5+JqV7O5wOr1B3etSJrTfC 5s5rrcFbQ2xQKC/701MPj1fAQ0zVzd3YCPPo4He5penMrhcn88qBIVSMorVYdJkyC1aYchuV45QQ CoUi9F5AaFa5/MvxLnbyq/fs0QkWHtH17ePmYUiWLhbKHBdFKLBZfbUYQkNWNLSuqunVcLMR/snc P8yAMcpBzaveD20gfeqwVuu6XpRnZHemm8RJyTNoa9hkh2fDUtv1m09joOTuH9470IxeqaWa9GtP P0GupJORmudoSDojoh01j/LCGuSelmEgU6LuGO9TREKhrjaHFmujIuRT1vFi96h8ibF39Emnc5cc OpzhonL3bgd1j7xBxYgZQTb1Y+Pa1txoNfe66a70uzyGOHzdSeYBIQsXu651lMbq0RTvFH2Bg4Kl b5eQ6qogNe9aeBTnSPKlXnBHPw+xO5i4bGX7mn8iHm1IY1QAZfwMSv3zic3xFkcYh8HCGgOJhjHx OPo1/IqAUPoO6EbRCZdB7l8oz1OTih/FpNqQ4vl2dYD8sd6pRA5R1615qomOIlXV66VCGm5gEHGH Lwe9w1sgBqdPh8HFg9ZLwHRzXwFWrjiVwdB/FJDkXtEIvXkhggvzHWJ0zTehPaQv6NUB/Ix/WIgZ lSPPoSXxpPX//pI2Ajuv3Guwf6+xWRvRBgE4tKLm0cp6/I+Yk2uuZVfIuPirC0It+wovUS0KotgO +E0ZFoCgsjTxO7rTqFn69RZy5J19D+wfd/F0po5WhIL3i1Ith1Hr47I+tjCbv/ktaWk7h12BkXrm jP0VSGy3njKOnXiYA38yRWwYkzZ1kBZC8iCiKKVoQCqD3hCnHP72YmAQI0pH6bGlvvVi09GdCZ6w l99zfUICO8E1zzpSp/nAaUCWvlKmiU+gIKTLB89EsSKgBf/iA9EnaxRUSbZPWUSx+9veQBW8cE71 bqw5RDtzuzmkfEx3GqYvkNGiyOEYvhQZgoY9ujO2W0c3LyNJvanQAr1LSe/+z4Mf4tteDlVQ3FJl B8g8NNlukEQKv+s1v6HJzX4srmbQKN9WrEse724itiF4Esx8vtWtSYf5MGX/tjn3hUWpQZKku9V7 qWE3+CL/yk09tkhIkFFlUaFyHrcKFtiBZaEIS5GT7GY4eQbrZ08mY9t/l3B0923AU4vgxiV+bqKL 2eB5caKml9TF/5UluknwBrNvS4UKNoo2Q7DcQ40EVQr+sXsSr3MOEcpuZn3CW1Eq2sT/zztalvmw SY03SJuof21P52REV0y0CVPMpwWhMulH6D4VOqYV5mIf1j+PyMrMHuxhHhukxMK7VaaIRdwJDRoc 5yHlHZJQdxTAd1C9WqSSYMd/CvPGaZdwx5q9LrD4dq8huyKiK0J2yEa62tH4XRhNoLHiewXKT3Uf RBATT+fAjWZE2sy26xnaW7bKOp0QdE8/8nbZo67JM+QSGwFbYUNHqFavqdWsto0XCEEWxou3NDHf 14y6kPz+bW8Iko3FZNQs/5PtP5SiFfz7Xlbq1mkJSfVQfr5mQu0LmhRPueiXJWPzt6h8hQq0X4Mw dJipKbSleaJGkXyczZF8vd269DGQkcf8hzdKEnFv54ArbEnE61493Og2GWJZRbjK6zXU9fZKtCG6 77opF02zAlGyQyxe5dARTaPo9ROJxcs5g+dfZgHWqX4fkWwa/WNdrRslutvXxxB0xfxotsM0zr1V J6GpXLiQLRNXBUTaes4/Fm0kHPNpY4r8t/38rQ7tJ3F76ccGUAhE8/HypNRmPzYI/1oBMJiGwHQX NnWiOnzmtEo98hvWfVG4iXgpZssBuT5hPwcyXgpWAeFbMWtdzG9eMpA6z6tZs9GV4NYKLbnN2emw quWL8vrzLbTH9Glo3MYUBI7j/vOezPG4M/rSatEEUY4tW+7w1tPsT8Pz3eAAXmXDG2rmW9yQ6EQX Gi4AEhRY+RtdfuLKhUNUXSgGOXpGMZ8GYQsYmpfJWwzukbm/vrXLSNS24FT9m3ZndEJFuS8ynCfg WTJ4PDajDKZYKbfVzGNA2bun/fZ25MhT3VFl5gwV2ANAHs6UejIvLtuQhur1lRYBihEgARdAJPER 1aT4iuNM3I6PNEwysFwmu/Odb2GRxeAD1XnbosDSSCxLLhMdcWAE5q6AtyAo00fqAzpSTTUqZfGt cd/AQvWFpoUchf6CBQWkOXZJ+2BgnWZzu0xcrUjk7MHAMDu20QyVtK+VuceTWa9cdDWEraeiN7Ny 0vaPAMBiTHJ/xeBdWsIcmknkZqJfZWG3WDOgLc6+2fLWigolcnQurR16TquqbB46ZyNC892eh4zv 9t68VzkD0ANOG6yJdsxZk2zuv8hTdI4w9XAxWWiPRlQw6Y7SXjmsCbEn6tQHADM0N/wC2tQonpz5 PoxahDuO1dEmariDVdCFSeY10b2QAiqYgn+trFZ7Ai+DdXN/7AYFLEqpN5ob6o9oCz/v/PtGHMhv beyJXTJIBo8xmY5u5lU9oiWCeIwtHSL98RNzQ3D1eUz6lljYkgOFtdsAby/wu/PfKIhVITIES1fm 7iJwD6dmRFKbeEGUzJzXRZvlWnEQtC6dAN+azx1uyutcQYnqamcIRrKEfp8m5P+Ey/tazxPmnGch 8jYGuMdJrMzty502qccwKAY7Hq32rJFv8dEQ5YtO0d2jSf+eJJMY3kUD26duyxF7vNG5+tIeXaid +3GQlM8pAxVtJYBAP03lTC+8UVjdP/LfdKUFPp0qzXT3Xfcxf+zllalYNk8/lDnecXoU2oU28e8k q7tZOm+on+3Y5PiDKBd/iXt0M9hCRirUqpsmulw8Z8WC1gqH61pRAnOh+IsP1N8f698JD3BzG9Ht LHOzJsM5Y7pFczxnNfD1OKfOMbma3zoSEJ2Ho7IZxTKrnEdYo5VMOlnYzJ70CY9Lbr0/uM34gAqZ S+nVw9TTN0QUVT8uHd1bpYqp5SQ1JW/xQXSDyYoFE1fOzde5PPRI3/MiuNio6IslQuh3ircM5oQs ZjoWjDtmgy86t994gA6R7bR46miYzBVc5JSGzYQQNMrmOOTa+LTfeU7gnbwkI1ULnKXZEGRPtCZ/ 6Yob94jgEsX4T+L+yZn09Jm7euq9t1Nqegf3aTrUaaiNGIkIxcldsj50EKPr3ARnxiDAdRq5UXMO UvzUyz5wiFQRDSc9iMnoaSVYiMiT3ZabU5J87p9gQKGLQ1LGkxjzkhKNk3wKwELTVpBvftUT7byM 2CS2VPqvTOG7G3GMJji4xRwUBR8cum2ispRcbvkN1XrhJgPjGIvPdmYs0I9aoZh6/+eadw8Gs0p1 HC5AmtSf23XMWzo/D1xadJACVNqP35G+NEqVVFn+MgQDLHc62iBTPTBzWE4X0soYUmXP9/1lM0ul OyS7twAEjY6qtTeVDsCS4Onk+7fgVep+jSkpqmfk8yDTGmDMz4jFRe3Uh33Xlfzh1+odkvXZMRRC Ea2Lfa6lwyWVJjIgOVkRUgG9By3trNMpP/VW8K69UU3BfpPF8q9A2G+xFQTEFFAVAGMW8hV0bdge RbaRSLo/Pa9wOeTDeQo12eFwQ37YH2q1SlrccN8yUSIM9c68UM8wCezkLTOiGeElle/5TFOkl37K ZJeSwMAE72I02psSph0ZYBMXCmVOWoV3B5YU8QhkvmEwtPZy0cnjKUkfnw2TLXfO8JfvUftgxYrR X0I3gk0W2sWcoF8F8/NmDLLJG2+3rDgK0Ex89DCuhGLlk3qn5BdQNhHgJG1v161VP9wcuZ6/C/Hn +4UZdU2q5W/RMLQ7mK6UJPa2/CwWIMXgBiqhSq3qguM4mSf2V82hI4UUQiFsJ0ZUXc1fKylv2zZl 9QnTvR4IdvdNKeUhMPOa/NaayhdYirMy7jRrHfWZou2bLWlDDGM33jWmCFpfm+tBzzPZB/7cX8Py ldoY5yhAdwaxUz6S20biO9ZnPSp1pGrmSv7aSHDNrapG5vbi3ecfcxeQ+uQcnFm/I8Qhomg0zrrE 5WUBQ2no2/VozNOKxdnfOENEZrdxHb6sjzzmFXHFzzFNldfmZvDcwG4GcV61HhgIke+WKnADJAFn JVf++OOiNOFDzCqQNb3PySFIa8pp8g9YjHi8n2QXuCVbEEaeYhUgSog7T3XNXv1xIxE1TnM+7Oee UXJqBysjbqqABooSxhzrR0jF/wTDPIElTUSK8KqUSjNkGkA/pmA/KDq9x9XT2XsSxizmTIqblj5i ckH842d7GqFPRZd1RdMgrvvgaO/SInEexyxxXQiozYWVS8gETrsz3qqWemJ67KSn7XcGkDck6TTg dcyDmYUH7OQwHVHBquX5981u/Sp+fIuDYUDmzml4jD0OUVzEoS3sWOgYAdheRrKEK2VS6EJFC4Xj 30YHSCTuYsQ+07PXeX1TCI032ZoHBvJ7xgnA10347ZZKAFMyLqxN19uTzVKFy6W0uFOsmXLwmYVz 4l3KkDqUMWUGc6Qtxh3kAj7mgwC4D03bXXz/+y80jEaw9lDzNBtia+nKfQtPlIneKJ+spHdx/Cy2 HY/QZwfUh0IaRbyLGVaRJCjUXcMpv+IPYZL/Gf2xZhR8i+3vcD0KEjLCTY3u2f/On9PcRvo/qA13 qhAq2Kqbmsy771cE02P+wxVYxaxoREz25ejD0MYBPYk6VxABXwp7rFuSnb9KJoLBUC3MgZocpSHV tnhER7thWA5yLr9JvQAWCsOlbOmBWyY1ECRMZDqgGKkuG7I31wQjnWNLyCozSf0ILnNamms0FS9j O0BphwZxDdhVJg1Fcevi80xsxK/b68R/Ah8vax7HNX1d9z2VStwWCSy+1spl28u7DrCFPcyebiCv t4LCknE6VjQVQqwCCASlcFSBAdtx3l71oIYp30PHB27vaYw8FNPuqiTRLa+uAnZgc9U76b5V6old dIuctvmgnc448WBcNjACFlazM9qIWKlrzgS2EUk4h9+TQfKkdtecZqB6F/ZZSpqtqr/VQITWC/5M o67v4kJKviSnLwhTcqP6or8N4VKMzjeHc4CORChbha1mBE1XIllZzoRNb1rk2Ksm9kH4Jr1XkOAn S0Wk+XqmVux0CwUhvMu0HtizOjcB9kQ4qCheWVAdK8ttHSvM+pd5nty7wZoVozcx2jJ8gZ7Sj+K8 ivR3qFMQblRjj45JdZ7t7tct0iTRyVtVWNPUkbMq0zMW6e/HpPO4h40p4+YYf4UhQAuzrzG0j9ey WZcW51Cgfb/Pad1rOGmRDuNIMKeU5zglveipK+a3xYUWSwk8GMLlo/hjDyKkpeKJoqjoTzAwNdlm pO3/t/JB32rBvJDQlB5dU/EXgLpixMTo7Yx1Afi4pm4akU0a6bbyEcak4s/2D8gslDaIuQrLaM6g xzTJlaJFRbIMUFrz24GmLOKL6C8HRyhzjvSRhVNZC5rR8wBaY4j9GqMR7vsnDECCXUpnwafjs7EI /bWHbzUkkItoCFU8OecqtpPQyblGCp937tm2C4m80OfPEEstjbApP1Q/5zLVQmeb/Bw9DyNqK9cG xrBqa7B9V+u4tY4kzMxqA75Qu8mJlNSIqDOw0xQPtBq//HU1+71unwgRu6VlOxz2zFPlxAyTOfvk WsYyalRdhENoyklRO6qSzo5JNEMuil4fx2L/Z4+xUiBPlnbb9dO5mpDpD63v/LLYWyVBqm2EsVan HykCIUPFIMkU8xbKGQIVU947n0+5eAzks5JFr5b+4Kpl9v3LnxdxzD9sGH6MlJ3arSFspUNJ8un4 /4JPU4HomQZ1lWKdiTfID50AlVlrffF4ZENGID9DPU4mFb7BtPSGs+tkMlDSdbmLHjopwY3kvLev wCLjy2OWwpdJYPEwX0M8h6iOe0GBbXvXikkHRh4uAzYzbH4K8XYOZ1kxYuSXt8sE7NmOUD+LEAFD qu+4vByn6yzV6vvG6IPnltXqahlly8OD7XGiEy2AOXMfcaBEVMgjozWleBUC4fM650m9XAwV1+wK 64Qjx0uTRrNd4cY5PbAxJJ2jGl5o0vw9wd3qJNrg32oBq3urOVhyxZvxCxKHlLxbyUf5jIgg+MUI LuDd8xiiHfOSdytFCeBl2/pbBipewm/H1xJGjnrlrkjTyl9JedBAiMZ4hSejN8dibbrGAggqqw5/ t6k/xnfBBJTqcxRGS547j8h88s1ERWaWOKsd9vyUSCIfGABM/xEfwWUJdxQj8/BqCWueLHRoI3qA FwcnesIH+Appcsc6dD0h6Gyi6aRkXXiZo32BYnLXXfmPgccTSTL9PKj19oOmfPFxa2xO07C6g5Mo hfbsBsBVfd/xoMhRBUEQh6N2whSuFNGGSzULJOG8TgZ5EzKXfkOEoCO3fG/M6tm01Omh5p1mLExK ZwwMycmAGYfGrSL/8F6VHwh4j/xHh+lmnl3ceKibbGy/W8bwRb1kCJUyt1//iGI6yCqKlkobtmay 6dAYKp+dgdFUbddHvjo1KbbbQxCaV35tw9q3JgNj5JOMlAi6dRmPvWgcfxy3qVRGQn0U5HDkKprP v64GYMqmUig2KK/AwyEFUHFOwO3/LPg1ZyuLBB/sqqDgo+7/xLZnE8qCjiHxX4DJzfQuiIDkvhcM UzmMoZiLGjQuSiQb2guvjD+bWvehk7Mli2vQnX6zOi+GMZQ0AEkJVgfw3OBZb/Jbkpe6MXEPXHv4 A6/PPkRAmyqb2MvgAR1Eit2dbKWMEea/IwreSJR38I7q5FjXsN5kA1zB8e11l++36U+M1vAXaugL NXpFV5JSF6L1lEVP/ABXEBLVOy2R7Py77yq10NI535Jn34U7BGMseo9XZDkrChj9HucLrYdQxopG WbcYO7TMRromANvynYh2ccgmHRDpXqpBuJBK4figczuLdcy0r7uhHZrS43v3g/gYC4naGz1khLZn pNkTF/l1e1039MjfqjiN9VuGtu9LI54KrMkrotCNe7gF6qtM1e1U73U7v9hVHd/ytiDsizR2S5Zq sOrfKAjHxIeUyOyB9j7mzS3XYy2oGJSiyvxdEo4/fVpKtgxmYcSHuRL4VlRUpFkTJnAG43ScyfDH qRL7QJgfbZQyPsqMLUQm+2QKjZJP0I3Zj0IM1JrvqJt4asksnIHJXob+0WaIP7eLYCBXYd1prU6c b/r9MGuwmysLC+n3/ERGx2P78Na+RFkI0ZuU3FQ/yVMXq3XiJgBvueyRr6CRcQxBHgCCRsG9kEWO 6ZkX8bBLVu4xXlzOrGrHFnBxvxSsWkwD6aPHM4hR0/sY7bYXTdI1FpKw8RueVOlmx2iLQ1q3xbKI ZFPpqPT6g2vd+gjOTH+WjCrfHclooohHr4os9YQzOEgzfHXhfJo9TSsCrTf76paXSg9t/l5HJFOl YGrnauP+P+lvfgJV6gILiHJErrRiKDwMwzu3BJV5AQSyy2R6D2Zmt+qiPiRxooED4vYss8o6fWkS J81PNzFyNF5DlMXh+CvohtvCvkFviAUcJLdpyh3IpIOWhXk4k44dxytnS+ETYHrysIK4zIyEIq7c RiNzeYZpDtc+kZ5Lttuaz5pO6pa4emJ2nUma36yq3+EfmGyxs5EIK/flcPndltI+Oosoz8gcJVJr P4pYPlG91RAdYnjtRkjY8/lt9/AAE21JppnnHpX2R8xGe1o9bsHmwYFJDRF44Ijq2njAeoqJQa0Y 8s2x2fdzHLMQBf0umkStx1ty+mQaTQYF3E6KpH9bD/bU6KuHv9CyVBY5gl8LqDewjzR4EDCzUjwG X4U8NESMHIYeSNInLJmOCtbrxCjifpjpSw9IFtganAp6qUDOJc2DrABaXyuyjhVZCuN/N0TbE8Mv +cYhKDOHzBB0Ru2wmNRuQ6XWUEANmiXvgwet6IDkXKnJIbaksWVkqjCjYwYJLJ+nLKFaaCGutS3c SSNchObkfy6Yvs9wLNk9aFJ4MvcJEyc04KPooefkQQ+Dh49dMkmCVGhNh8jIwa2zgWSumGIaEqe5 h00y8Jb5lxbMWtoNDsSkU+n2kVesB6RZ7O+aku3h3QFIo4CBnbETjlvdcUuB7TAw0U0RrvKx825W x2tIuPLKNR/Lvihod8XAn3cIUism79S0Rxupv8xBCzgIbX2uCM9DxFb24eg3/OvLz8QusJYEx0qT vdfxIG+d7Dj91bBjR0wGdkqhw666C7pbUoOfBDAhMgM76IsyrV65/NUx1ICuL6YW3EreJfM4FqLY LscAB6nZIBu43+ItRkdeRFV1f+2D3+NcapNvSxbzGeWxL1bwRckBIyEwAuSBEyEeZmckUBsT0aTZ lKjdyFJl6vwVLKBs9HCZTRYv2GZzQ7+icV6PT+mD7jvXxt0cxzAaFJJv/g97gPycNNa9x/AHakxn OKrY0JbiWozE/stoBtWwLTSHzT2neBrKzns8KYNJMw0b3wyH5I8XdhZhuJPd4aUeg0Cj4k01j6d1 BZFuuSRzUrCnV/plx2RQwFCSHGh8cthaWkCU3auBqAmvUOUqBWiMQ1U6TVAeG8xmb6NoabhYpQP4 G02rzr50sbfhlhmijfBm50FU7alO5pFTFh4oV+BL0gDCDNinKuesR1eu7DZjJBN+P8pe/7+AoFh1 WFLJw4a0gOt0vK6x1zYg8Gn20O5AbSP+jGV4QxeoS4R3mZCPw9j+PhEtTIPorObhQN1DoTLXYOM7 Fx4mWizF/HkPYrP3IdefNhdk0NeipaVXupzAvCOtFwPUSpG4si3dZppXNSN5SJtW1IJCVLRDe4zT tJ3f/YgCSMW0PyTvLQp7rYKVwXw0hVbKwK/nam6044gpGOQZKzcy1ek0hKxcB105y5ZNXfz8C/nJ XtEgY3AxE8ZWF0HcSo+x9Xhjfkywk2eN3wFHFiur19F58dve0B+PajEtvi1Xw4pFzwAkbUbQJHC1 2lHT6+YZAEJaWY9wp7taoAB8So3JGqRwRYOP6lqNA5D55xJfByaObr7VuXRERtn9sLqDAcTsky68 AdlJ2YcMtV3d7eF5k7sTNnyv/GL2pBtwPFF5kFLcgvsGNkRr1w+rRpdDygnW8KvXeTo0/0uHiDdc V7o6kYjE6FY5Co98ummN/z0wMHbvR4ytVr/4/RkAGhDH677lzb47VZzNYR+NCf8CMh8Ljp2xlSRo 0i9pfz+K0eiCjSrEuyxMzX68UL/F0SO7iV0iejS0b0a0pIHiz3MvrG7lmQX9Bjm6nB5ZnuU0AgOn WvPNizHB2dvzKgbSNHNdhB128bMp7TX3J5ymJD6zdAunBkLIGt6FIUGWDyIavqJZM49mCdGLKMV7 1bVaOOAY5/rIO2mO+yK3UFjrpn5JiCPfMSI0ypVnGzxShfN1aI5jeGiDURifb513tSWB2YXFZEDV IDK93zIOf6u5h0wNVvxtl0Xf816+SWXmCC6BzUGZjIVUG9orFrMz23RUpcjCZnz+lGLTT65aGUPj 7j74zO/6sjvOuC9b+QNogI55Qk8ugKN8q7Yv7RXidBbGivq8OKa0bNEqqWMMUFS7WJAqlU95pjFq hYn44bg6htW6+DjqX0Baz5rqVEmrpIdoq+SmKwOOXFJRIz33qS+7LHLugZ8N7iincsPb0GN8rnQW 6chLbcbQlXzusR0FGaWN7qr5vpPZvABgLug4L13f9yUXcd7V564XA251NeZJQnPxtGg6FfFlnqVm Kkj2wsMuYAONf080Bpgla3WFMDWIEyl8SD8kAXQHEHX9WUEtiaoHCVzgRgMfbHr5EUH3gIUVMUWk jaM6k4GnYcSzE4daHV2uxBBD1zI4iuwC+IoVooBhj+MJhM+Tl+ZsfdxKHvGl3jJU3qHfGRToVT/Z boymolwZnGADYy53sqxvYDvB5H+V2AVOjRW1NiXj+MVQsw1FbAPT1mLhv9a1epeSvG13/79TYTFl pG9mZ9bulRgECvPI9FEbca+ZjaW0CllGymnYFw0H4gXlYvSJF1KboUFLUa/vABAiJeVs9GmiKTSH 3uSE0OmaEXNVl0tfn9Lp7mbUcp8hXxrEIan0dPGOzhwl8XSunkK2CkozcoGgxatvEDb09AE1FulS 674rQDK0vLH29rc4nI3xFWZSNAfZQ9ywQNJfr63r4L7xg/Xci2dN1IBAVzeW7DlwaeDtmwuqBPsd JZAnB+Zoi5wnY0qRxcqYKL0WfqbKVucy4Xs6wuDon56cuZDYVkvZakc45zaK5iFH8Y4q9IVj9KmL 0Ji7PcwblpQFyVD7Pe8t4FQhmiiklhEUMEGMsN7J+BWLmkMDVjAcfZGQYoDC8LKIzWalHt6dEAn9 IKSZ9AETdCJjxCg97979fmAp/NE0KYQQmOxKTGjObiUsdGUuE6KkNglwz3tKQq5C66DN091zYT7R JRB2dQiieIPyR30rfzfCSSdGYMQ1Z79/ujX8NZBbGRuvPBV/rhdlroIpH7OVVn5Z63t1CIi0ALkb nbL2B4kx4DyzAXbGjPN35HrN6d1pWaT8WsPUt/fnDniDWfkePOLAwXdYoeMGeEbvVvsrjdUfV9rh ET0mqJZ5OYmWoQwvd3VIoHe0+WcCnjIQYPT9k+JjrQRitytfABCWkzhGx1uGMqxlP5J9WP3xXSGP kGU9qOW0ONxqKfHX5o33/iZ2R2NYrG/SJ3TZm+onqSCQuBpYcobrNBBLc605OGePDvnlhEpRTp4S S/q4IY2LqPsqcJTcpV2rCxmzELoMIFoW0iugaGPhCpneYkXh7PRC5sCaAk5F28edm7y/lOb/0fqr EP86ISU9mZ+AHCEBo0ZVPRICSyVgqCIWjWFbMM0zlOO57uIC+9IBJzSCCHIGkQrfPoGS8fy1XgdS RRKVwv+r3lL8rP/zpiyNIk5nQ+ZQShwnLeN/x9RGDdWp51Vk8uBZrqzPLIO6Ak3regyCxEWartlz Q/f3ybZ6IFbIXhJ3XsFr7vrqxC2kzda9K4zTbT+TcU9O4UghnFRXqrRtiiU+6FbFdBo6XpClB3Yr UuVjGIJpoHyy4EUKd4L9uNVYJK4RJ9HdpayyydsQg6JX47XFVVuiHmxaEqu6VcbL0236uZB8zWV6 f2f9IWIjUBiXMjXVXWBA6WVOOc0NQh6tpQuIl5PvRDhQy3FDCSDdOdQANapAWQOX4rk+KYOqRpag 8shRZUMjFSiGDQa+CeCF+yw5rfM+R2HYtobJ+m0n8YTlSZNcL2NXY7rONTMTJ/kwPNqgP4vzSe2F S6eOyw2aQg6wZV27DWdmEb5fNlc4uGqh8xRImDGKr/yWmq9oD8R3yzwVWJVLKDuaqHC7eJ1WelBc CmBM7UvqGUy59TVAnO9QFNiZ+TY7T6bUkFWdkhTDkRMErtRb5VHarW/AqjNucQPYvpkTV0MmaOlY ObVyc2CcYW0SXqBQOB8gWgF76zmfANQhB1Z22A+VLqDc2E7lndduDqwd6WEptemVIaK+SbfhUAPA 0QpU3jrdYDZMz3PUKXGj9LtBrpkamz3kTuIyPTMfiAoLZup7U+sRLMdH9YrFuDzYkQcWaxzZV+82 ZenmtoTBqViQHjAtTFI0SMSG4BeXlRHRLP+oZz/m1SWr/xr9/nw7Xdhd7lvAgIfGxEbunE1SfLgB jaZuwHtay8sduukvYTCA8ZzPLfpems5TSV/GZ33TEIzc26ze/TqPXSnboEhFdVwZn69MFDVg8Ri7 krol/+eOj50BdwAc92nAKffMoc4k3cONx8izXvnuuAED27KRcw7xM/aEdMTbep4S7zbvbakOhQXn NNGXoelVN4nnBxXObtw2rsTBy553Rca+pt4KuqdeykCKil0S5BbCVB6Km/M1w22YiJ2/O04XHS+F T5ddKRWGJLM0uYT1d9rgxsKC12PsvJpnVhY2nvOJt76+H9skuVCFa/fp5wzk4zzgBg3ZKJmiH9Od Px+7zjL3jkY66eQTleuDURbef8K8iwfw9jqsj2qPxvt2p0DFqbN5MZG5ISXTZhSMbbYOcIJuwLR8 ZT4srbh+Mswah1Xc/5iFs7o/EzT++INglothIHNE7Gb3szerekCD3eOWTrsVGn50dYm9q14pNksi Al3r3aOcxx5uCEc5oS3dNTOnvQq016rpA5pKv/2ACUmIp1KJ9Nye8ohpsm/RkQR74/e2msgIg9Mb q/k7eQh6poiFrCnkfXao/2GnR7xj++HQIjUI/8HMdFUSW8yk5zrCf33g5YslwAL4yolhuol5IgpB z4X0jadJzcQMnGWRx+KX/lxSMBVV0BXm5BCL3UGq4OJhYDYZhIjEbk2JSYyCOGi6TzM0DK6BX0H6 J7y3kKK6UI8mOONQaR8dgIlxQ58HS8JkDTJMBWB8my25V+HkQFO9h5K69yJfW6OEpslEHC2kWhiL lVRf82Ze6PkEr3j/6mLQ6+5lqJ3rKxulkTnbB4mQPE0djvnUHAQ9CG4Omm97O0vtOG6hNV+LhhMl Q+tZnMKbECi3BCHJeRKsMjBUo9U4h5zHkIy+3oJBQ0gIoWmuemlkFcVjz9/FzjSy8ESxG4qg2zFf +EAJjbRu/8SdlbDquAo3BKQ0rWx3VxjwPdLCDT4xF3JfGQ0HxivHMApgeAl2EJsYS1mwYwayK+IV veW/x57GCTVID4+TTMpmT/V+bDx3Ui+qw+GVki7dckpbKEcSYo1rTojsPes2I2bG/CZYNAdjmCWw GywweaGIMkESQYaHATvnZP5oAXObj4BsRgTivR+SHsDjArp1DODqYuvtH7mRkG9Mb+u0sVfCkdQk AjKbuoQm/MGozUSKL7PlqwuBLaZAYBiq9mXbaZeeWX1fEc32hxfhQrPlMfSmTNCSUIM1YkGJ7waP p+nyPO9LZJB0tjYILOVcdcPCdaE7lPDHo6bth7gE9yFqpWdHL1FgHCT9bbW3bJdSk5CjwyVAxdeJ NdBCol7/AUJBUaLScixhmuzDrusr0NmbXir6kJMMGtKxMUj1MQ15VQqPZXnN0D2ScutFFVRGnD7J PPE5/JI5nT8E9S4bVwHvn2kPntMrxekfYVbgpyjzBywNatpXF7tsPa492pvN2yy1Lc6em4Mw5F32 527YLQxxkArrQyBkn3LRHm5HTSIO09r43+oMqBefNsGb/pMsXH3JuKKQdv85/P7Uh/qMJK/OC1yh 6t/65EOl1DpHZm0KVHruGyCUl2N7UIa//i8wahcUaC6Cpku4QJSG9KWQ58U/6qJW3QDxUISR04kX Lr0JIsvmfr/QT8om7ZbEP+rEX3ju1r+IaWbsWPjpYIfqAh8qs4vDD7YBWn585WcMYZW6Ynw7OkdI UvR0gPSMU5d/MnC1LjOg4lQ4IJ2IuGPu68FGFhTL7Z1/m1A4YPHKS6LyPy2zgAflHGjn8jY+TgXJ DtxZ7FQP5aRU9ygL7b5Ht/RtyAq3s7eo+aUCS5pDBBsT0nEiOGYrolb3Qv4TsxelrM4Z00HYd3v6 XNAhkIB5LvC6y0XKQWtwifPuqhUN9uxhIoM4pW0AZOQ8B4ww8TYQMGBEgn1mPzIOA++O08TFC0dT WBOdNCbwKo+3Ryzl4L6P1f94ZI++BBU7Kd/7Ax1GNlmXHhLIbD6MoZf2+xacDHuDpzh/w0LzMiQn 5v/wpDv+F5MrI6wewM7CAa3HdWBuKd/r4DOrdzMElcWZ1nsO2DfzBueSzJPFbPdngBof1M+ekCP+ 6xIGeQ3sx8FSyFnizB9tbMZe0A7BLZFe5YK7jcAgo84YOwpCTTjjHkU8qV5E3WOK3+j2PNs7WN+y Nnd8gV8o/KEbR74Z+zs1fbQ9i6PwCkhiipTlCT1X79asAlGnkU/OncBKhR97mOVsSwzZvUyF+NBT bMhbO2UMi82Cy40wb4Ln1c8tS4x0PUjSfI1VHKPmcV+DTVkIukmvhBYWliYv5K0fEVfhppXy34f9 SAbnnyNcnfU28tbYSeINhM3s1C3kQout/Nev0WYojZX9JO/UbUvEZKa+WSEWl0qH9i3iP6KKM4Ik DYK1c0Gf34TDFR6pXYkNz9fqiKk7sag4v7ncXEW+ULrhpzCX1m9BwToHw6W1WjagE/C8XnIfK+dp jfn0jzCtCDoei2VPHi4sfSi+hr6X8Wf9/JmjwVDw9s1tqaAfkNn6cXrurslmtAHfXU5FLVdMYOr4 XGXBzUURzko14r3ny9kDzbcO7jfK4RAWaMwl53iId3ih5zY2zN38jalPlyHs89QNsm6YPPfY36v7 W+Q8Kkixz6uoRL9C1Wx12aJlaicBgRK84P5+K2B3Ir4HjBdDbCVjwgqm7GlZuB30i0DIEhT4ppc/ 0aD8sh6gp5GqqE4obEmxxmWOcnlb++35JscTBMIabdIOuOfIMg5+5MfZUIEueR//5ohKBVLmCIUl V71JoESFC9pvxLrhcNaydQnT7+we+g8twwuxnjXQ3OlDtJp6rM8GMImee6xQXtAl7sN682LkGAlh YHgXEuQC6kCG78/iIwKQ2nXgrpty040fWjo/kxvAuGw05D8sK1iNCpNrUWUSXyp9hluRkpoyjuBJ E3pLQOAGn8Xfyq+bQWgxPuojQybpwSlXCIDZpEFCnzLQohFhJtY4YaoxJvrHsbZO1WpxqjZEg7jv GuRQkzxKWiOzc1KqLt64zNRyIoTaRRiLTZQmzsfB6PEFC4eRVT2cuHVlsGqzHAuF2fymO0eZLR2F 8gSAERTUuvPsdgFsFttBQPf0oMW05nMjcJJk/ieDX3TFiWNCld+DDe0Khtnne3cfsOMM8PSWNOul mvtAfo3YMw2ufwYdkU+y4K/Xh1WhmTmLjqHnxq9VE4XLQUWbpbys511wxcNoxSiiSsvMk65sdb0w R0lHFbmH+7XHGj4qilkAfaOKwOcY9ZyacQXbJpKqJQh+/agp7VaVy8ik1o+8aZn12kSc3/1XTc/1 4PHfqIs4pa+jYr95g8lPbYVGU5xKABe/DlxUPdvzkXaAVy7Ys/J0/COkVQbcfhkp4FYTY5oLiNG0 Ys95bB3q4QfAZJjg3K58lt1PBZ76UgrZzv8g9r0U18iI9JhMkFJRCW0PUfmS3dY22l6Z+YuVIvMq al6KWymKN5pb69JQyW+gWvIQUCNkAwlyQ44NahfDWDvXFnZdJZcMtlBS1czNw5jvWE4pARpym9fd D2llqogXKJxuFdbGJx76OgqDYwAHhYeKH+rUfjhcjIISJ/XOPWXeQ62HAbg2V40hzHkb852bg1k2 fWsB4evP1cKHW+Lj3ZDGJkl8L5hsXqbfpRtLlkWBOVLSb3kdi7sBnIofiCMe+QruaRoeU2JGg1Qc tU56/gsK1fJ3S1/EPmrp9x717lpctAqIz9ToQvvMC3TuTdEE6DvPq5Y6FD//lGZmwvY5pThmcDiO h4zfl6IdRMubiE7Q3m4NAJNXKRtfDkDml4ROoSxNGZVxfjTXpQsKq85hhTJaExqDEAkqO0I/5KDH rfBz+g4zblBgpG9rlIoK+MuHV7sBGvuCN9hfk1tCEGAFm03hxth0vi+O76ZP/WfP3xCeKmeEBGjk 0dK3w9UTuGUH0/MfpqR4C6l5DIO+kKGJyshs6JaoaYZA8q71vZ+hgdkPzoMkDo4uPKd8SUVan5++ QpMqedDHsmx+S1vbozRMkbcXM4XuVPOnj+Xt13OgW5SjdLMEYPTOgyYSeLQm9twB1tOYIkMskDF8 4nbNhohz0fOnjvwrPGuMmpZMp6qlEbEG1q5Kc1gMv9/dYIgTx/3DPFbL+H+BIhXnZyZmLiDj+Qwd CKI8SjH36u8FgQEm3NKoRX4gJWNrNvr0kT3XYFPmd9fUEbLOx3Ksu7E4yehiwu4uhQxEi3GpNOsi bIF5Ns9ivGwnDSxIyBLcPY6fMLL5ZPFpSicjQBMPl7CCOlg/9q+pb33zamuxMsligCUsnq1FI2/w yVkMntQElWeFxRrIXMPVerUZZNCCnosvmlpB6Rxg4cUEPaRnIko47m7VWf1CcHaR/KyLOKCfQnFi UlahMNjbZo+12Xg+3HMG3GqtjlPNNlYdzCsSW+mTTa0uonLbEI2mCFSWn0NFfeKrVqrzx0qWLc9m CRbL25v4AZbWXdR/PfdNw3Dj9NLKV6CzA2xSiYjYzaB09MRXOnUrF/PN9bP/5/IWh4qkqAFA8+no VLglT0bZmFltd1W/cwvOHO7T2oxaWK35CXOPTn4RnIzV0vmsEkOLifksxKPFV2Dk+QCG73dKhgKF mlCVEUoRHGX9aMSZFjOIl/rldwj2j6A+lJV6ldXf/hXsNya2kRFFHmyYILg/jrmIzpfADddWI/V2 s4XA+ABFvJUIE5IiWh0e/Mpk6obEzilAB7LiX7B5X0uZuzV8aRJw+3jIl8p5UiVxPtsqLFlgkUmx 6GRNEOZ97GU/wKnlyGXiwomyGpVkoiUHwa7ey3oHrZEXcXx8Ks875xnWNSNr `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block VZz3gA1OEAo0GderLFN1vAy9VjW6GHfNIvzuIsiYL4pObhfFuD92v4JXddeUi8Mb9uiE9mWeniAP 6axurY4i4Q== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block V/FHqGcuHkuQA/mXo5Z6hby0fYqv5HdbLwzSf6RRkitLROmzZ7kuem6lbOkkdKPEq7el37wV7LLB lS7Z0SpN6+NFFxvpJwmAXsxAlmNILJUkYM2qy3RGTd18wZzOLOD3LW/CxHw6U/8KDCIE0QPR7gPZ MonMc1sdRumiZxCH6p8= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block MhNvJyoQvuBNKA9BY4qs8iuN6gsubuCwSLP9+9HALR7b3OaTr2NNpcJWL5Z2I5y4M70GpNsDLMPN 9x6xxl6dDjiJRZECV9SwEWPnMOiCoaB+AsXeT7vUUtbJNztLP+IJM8m4GxoaXa82G7GmovIGBV/w 4I8s3WETrKiD+AzsZGw7+K2Rv9qW0odg0raG3Nf3cg0Jj3QVcFHpKgJBjvYUZ5EulGHRyU9ez7w6 y6RJfN1K1RY6s4t66rCqdUJUgL6zuBnooS8J3bfZMDJBU02FgqcqfaGv3VkgxhqebXTwUHH6dt05 XvK5nC5Fa8ivOVaKTCai6uvlheV1U35wjc4UaA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block rQ7j2Mp14x4heUZNN1sxi590D3svKV0yVp+Er26zbuDVzZY2es4L4i8KGLZDZgWNlJ8KygSbSSmu AMrgF/9J6L45adeffFOhMlUSE3dKMc9uVglmHX8+NJzpjX5dYFIRPf6r2tIEsYL669q5VkCA/E1w OYrkIhxgyBWc5KA4bdA= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block XSzu0gCO60UCezzrasTnl1P56lBSmB5WoyDnA9GcGthkxKlRJzbd5G8JQBmzgeQ/X31AQOI/hSSR 0KBXcFTa4p7F8sfh56g8EO9ICdlNGlmK5lwucB30KgGIDn+D16gBT1WrdmuBInOK9hVfZpKxtH1C vNGY4AZdGokYQo2eE7iEU2Odq01QNto1qz8mklUCahoHRhjnyHMerh56TbVU4kjgnoVqlDhf67S9 1gulUL5I72iVvZt4D9d+OrnhSSYIHIsZQNCxGgLPpQh16jelRUvUb8ApjVQqxWWD45oYQxzToKeP cjW5Qj2B5xwGT/QE3ivcnwGi9iOvHlavt386EQ== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 99168) `protect data_block +SfTMUYhoEZEGaPCN6exMh8+5eINx+9h8XenvZKnYT7lUL+SKIud5B7JP92jml/r022nFvj8ljEq tNdfF9RCQGGTHNZFMjYkXDv3AR66TL+QZKuZLZWXeNcUYnnD9SKG2ktEPEeV+OTH+i17D0dQ4dc8 bTXU52lZhc8JkWmQWsu8ynkKhqD6BWq3HkGOlzar6pn+tCzd5YncrCeF+VThPMaAk5jH3m3g/w2q shWfF6W50/ZK6pWfVJaEKW7U7W0hPjwY7YHIv6wk/4Jcp8Pwd541tlwVviXKwQjgLbi/+ya/aw5Q vYOzlQORcZtlqJ/CeO1zAkrkbAcuC9SpMsBws6kEA4yPxooi1EGUbBxQmv2usaJqoZPrUC4AM3s7 XVmpRjfyfU+u6hiNSKCJAtNK8Pf/ZVdOKrTF0UJegfeiZipCFWYlhEa6Vw0cve18V7/celtgL6Qi 02dFMt1MnlCIdiKBeINSQhseONklNm1inHBg2lrRZ5XuOdwt6AR8DLy5LFbzz/XotZjUj9vBDLZ2 8k58WFKvW/AWi0Ljwb27U+NmazCXYCgQH8iPRWybUx6am7q/HFbgCTWVa86R3uAGU8Kz7w/SiIGA ClEqs+5bCxCY9YpO3yTjQn7JNsxMI9G7lnyf0fwoAYJYILxPy3de4EuZX4H4j/F1DKCkUZvShPC3 ng9lu/LnCGNXEdDmP+kqccxCtS/ya/YdcnB0JXG2jEDT/XhPYof02HdktePZVeGgc/+4TxPYBBOS YkYFkpf2HK4bAOWcjQ0/YMGV1WSGkuheG0ZOAxxJ6QnZ/2u0i7FYir6C8ndwT/WNLifbO8aZentK 2R/AcYVghfUw5wkqdhl1payu2drwWUDm0YaYwdQOT+E9YK0srOSEVIU2Wb3/33y+ERafmZ2VMT+9 Vp8XPrDUnJbmDnK3TfxLCXjyh5gUpgnPk0dSInFh0h9gT4dXxO1nRA5535HNxGNq+JOxZJlgrrFm Tac2CUXoyV7aP0Xe14PldjKnkWjCTIYLtrPgf/QCpSatYDczQQxPhoopicnFvRoIe+HazUC21kVL EsQ2TL6enQduIEXH+eKlEG2Yj/9m3X2d0GaRre5K/fYk26T18/HQ5cYn947zFNAZVa72+QEm9fwg qFuCAfWCy5UFtyUTLsRnSceaWF4fQa4hyE9sJNbj3RCLR1MO1OU+NyP8LCX2SVST+Hh9dIzXWfjR LtI09v52T55kfbdxy+5YPssCOJwB9+KoUhRmBbVb2oHvE7FnYkW15aa8Uw6F6uBpSBL07NwsYwZR bbuccv80jiutzHh0KsRxI+JHSj24nUfZ0ofM17xOeacEqnEsACqKoKty2SkeTb34Dng4ApYlG/rH upureRjAqyOtsFzYq1SxsowaOOcXj1+Iw66GWrs7Bb+dwR+uYhvStKhJjrqigEFeCDZcx687OEkw SLnCBUM1Jw2POetGI8/b3N1Gz0viUR1dgCOMo09NLXUm6aQkYgW9B3jjqS6HRjG4VV9DS3kohLuS HP3TlD4vytI3+uaMPHfLi14oWOeYfem79e2HuqoUbR6FoUWetnbGJGZe2iWCWg2SINTGFxm00Onc xLtWRCGasWYBw7j+ZkrHQWiX0k3XIneS+9jUPuq/TegyrbMwzCzwJmvEO/XdXsyuawoTLet7rqhv /S9YDuQgDFQ1A17sWax1RHLy5o1ssg9RBw58LVCBgqGDJLzq+jrakMiPc25EngaDubDWZhU+6Dks HRYt2tfBq+3rqdiyd6RSllBQWMo+ErHVfNpHe6/rf90TNWE8zSSGOvzHn3ssl5SEbdvknDMaWszR 9xJ/EhqWDbBRaW9OnSNBnUHHeXCWCr0Q7tbGAW3DFJO9/pM7rw46r+HuxDXQ83YPI/6jRkJWklvg cOt9/PfrplkSXryD4Mk0mz7XD6ZkRd3UR3gkGBV6TbMrQJjaokzGJidNzBtozgcKBUv2ZyO2UYvY r1Z3KwyfTVjRo8yC7EtVi5bR2pVuQnxpawgCUqsdXHJEXSNUTyIBJuidg8A9rmRZmbPFCbBvflrp vR06UPQuzhkPM0MP6OMUdb4bWtCl0CoF+7gCfST89acZ4J8l/jyxZgqmozk2/rY8eMyQZoxmuZI9 EKfARwISgTIblcj2A9a35MlCnCuE6EGHGYxj7g+2qiVm43pDl4LUhie/uY0haizTya7qhEWCMpbM DHKKeYgAzo5eHPSz/3E35PBqKqhsDxF+2jQvD8TOQudka5xIsbFyYiKIGuEVKPDfwvFr4Wb6geuZ vh6sK/gef736VMq+NMwhttBZWWblU++N59/kn9QhHjqtXemIPmNjFLTY1551+K2Vp/ReLf/ItugD 2yyELVibvL48exJDhzxlGQSEUL4OrEUzZhZNVijIh2POY841oo4oDbdTpTeO9rqnaPuBNwG0SGjY aG+x/OVSuvkoMOP7ltEJ8L6frcxhcIFBaCb1mstlTxHxJGV7EuUW6EHMgHs8WUdUCqTygpZ5HETx XmRM2w8Hp1RJoNUvVoCU8BlmCLxwxgPQyLusCTMf9QU2vQrehhr168+TWKAFvBbOfeWJsXTmKmPd KoTb3eMQdOAHIKtMXDB+lcQ5/gtr1KrVw2GEaSX1azNWcm15lKlGXwwGK0xpNXwuUwr3B+RXuHB9 khcHu6t89MuCv12y8ScdMdVjcGIP2wGYBpU7ZWZPZN4HL4vOA0Arly72YngI6ncA8pK2i9F6Hddi LQaYzWJXVq0pCd8Vxi2UtRE3/2cp9IftpROGb3tOkkBSyQlZhMEiHYro8Uhr9Xq3FiseLszdQQMx 2HttMaRSo6X2Xw5Byh/obUeCOHvOFjvd77tWi2ZjxWxO3lIfrd5uHJRkQeeayCbkDt3niDXDmfBn 9wZsGelQgnYjd8TgHTqeqt+18C//bJ+C2ZNzhSgmDKCHbQU5r7j8QkW4bJqTcLidcfmejGwYX6TG sHT1PdUQtV44faSaQYQBlYYpnWgB18nla+F7L5wt/HLXp7dfNXSTnKK70S7wYKbOuK71BJXnVV6R tBNKmwBh/wS5EMWfkK8kcfkK0twlzP9xmf0UHVAhJ//gTDmzuk71xXD/7xTl+ds8DHw+f7Y9+kiv OwoN1kfP1FF8kwNujzwRj7ZFYf7TUTTZLq43tPN49qCNr9OxzwqjmS/4mapkprrsJbq+eoYz2vuK NlWb/WJdKAsGcI/+BxCWDPxrCu6eiSWQ4eu6/k9rAGnOBWqVn8vBt/UyHtHh+dyKzAGsG3QvqMY4 2gd4ie9rgzN7oJRIs1XVMBJh95UPMozuPPPN0XS91t69HvIzFHpP5LPK+T+qS6awiHi7PZFmOPmT r1nrrX8rIeDquQEJg/K8iO4dpJCK7X1uGpKQAG+iSKuJH2hAEZr7aZlNvLEvqu7Pe9RCwJ5PGSs+ +Ldp1BHxGiyVGAaeMiiaTERsKaevqvg1XtjUQEJ07q86FoXcaMjRoSEUv1fUBd31llzZkaXN2/7A +p8s4dCyQ4mwmcW9I53d2fsouI8Q1RvkO9o/HShVUI5h4sulFO1TxLgXTqe66YnjBF0I8H2JmYif /N76hJvjvGMn+cDElOAFvcc1fLbEaggQiuZS6oyypmCvg2yhE7CpnBEJhtQTYnxnOCaQQSZGh71f CUTVQRtWcLgR3jlrIDzSn/CdMP16P2mezdKg+lp3/vMMKF9yUh2s4uoqA5IAREnqFWlwrI2JTvzS VHpXZThIuSAMzypQZbeG3p5aHq15TRkIggF+Nvy4YK5RLmDTbWobuN6WgwbW4ytxgah2+/MP7Jty pI25N0+99wprjGu7Nzh3uZJBhEYp9f7jipEtcKcxLFIPbHOYufDc0u6p1OBO8stdPDn7UUGwnJfc wHL/+gnyGp514f8SrKYJyouXmd2CtKYb56ZudV+J5JqHkDY/+CijaVbmv9gN/NjKJ4t3BxddUigf WqQ9bKf06UIRucEnFBlNEfFn3kRUapB3g86RcQlvdXCrN4tNDMejKm95iXokAoO4g271B9rFA3+q IYJ2cnizKxWi2G8uQ3TDGDVUDgM9MrVnF6cT/O/dpLWjFr0cUT7aKXAfI5uC+lgUowLo359tcU0V KM3Gh3dpRUQJB5b92zJtGDqClPKZcUu2uernXtRtUmNIOeX3+h/kvKjgpA6wbtwvOmoeUzcqCxs8 FVx7vgSYbvEY68IBQqau0V2eKhHscAuzqwUFc8iGVXA7vzOEcdBXCfPrw53XniGVkL1Lh6VKpRvR yzlYGlDA0ndpqw2McDDAuK1xdbowGLb5RQ4+XNhZ0SkgHzti+H6wMsE256lsCXoA8nBP0Bc22ZjS fNKrL5pe5pYJsKZdTk/3ujRwb10y2uEt3tv5batUtTXVfaG8fX0Sjc7eXzU57VrikRYFqX3CXP2p zBRqqskl/YqJYD27C8MSPyPSSiQYvRkDbD4tU38VQBBQTfx+nB1ouonn3DQg5jTh4RweKZ9OEX1u E5J4jh5+8cRbPJ8DwKyS2WkNrAqVcmdQ5QYqiEakTSvQxDzjoI3Y9a5C3bFzLDjjhH7ycWb2VySI 9MLZdMYWZSfPhiTXOoyrhv0eRXJC4NHAmr2mIKsoTPX8+f7GcvxjgPJUv3Y5QmgSpsXNKAd9zEGg ZCuVhVChqRvv18/jgKUHQs0hAJyE/Lg70Bh5O5A1UHYA+ITLPzFZKcu1wK+gR6GRDcFDjgaEClL2 lIAMUzPe2gbdSLhFkPMj7wYHDpso7dPLRUt64Qb3YT7VzRkWE4Y+Wv9i5JNtYACI32MaNa0yXTlv NlQuk4e9zz6sIjdZIY46jNQe3/R1FU1XmtcvaDdUt0tphLpV96QNS3htw57DFiiIgg735LnAtRod nNkRfjjSrcTTlRdnGE8xNv7qDnI6ZdA3CW5PMmsVko3EVqpfSbRHqCJsyXjXFQynMeItm73A2Y/Y fmBl1p7k5Pb1kaGTZkGyLDqQGW4kfsDpL2mXaEqnBdIQnxk6GvX5BUPe7CVRQGDzaFKnNc0iNEra 6by6yKqqlRBZqHJfcmZY41k8iRu7/9W1+5LdbopU6m7NaGRsnezmZL3YTfdGlmvGLNWC/0/XApnn OuUDFX4KhVIlun2a7R501pK9EE5mrpxe2Q7OHv+tOVEuQQ887/EL1r9wVOmbZEHR12zZ07gj4r/v eFmv215yxNd01mNILTPN6nBP/sNcAWqFRO8JTiwp+sj/j/tPY05YOgQbqMZdf1pPLLiLpXpfLUQ1 73HhbhYKzduzZQQne2AYwldUj5U+oHVUbXuzKDr29FP2X5htlcaRm3IEIXL9jIdi/MbeCajX/VPP +4K1FuKdaVQdj2Y6PcteSibd5+k6bCUKHVIm0ga+XhspuTi2f0/qCnLmDpzfwoaFYBPl2mUTniWK ILDX6xcgrNMqjbCWF2qS9aMwIxIRo1Pi8TPLBxQEgM4gvXxTuvHvwluRksS4ddrZWHvRYWT7o4wF TN3dAHhOBaG5rRdYKVQfGsGx3sr5ZG8S0+fzRv+lbf1i9L1yE6fs3vjkIllRwgicIMr/Re+7D+uD FA10ipj2SuLYGNI9QrOsoROZnYTXdUathKj0wpei7Gj6I5VO4Nzm3Gx0KVeXTIGM+Kb4o327txo4 g2wRANNCj94bBdBdS+JaPuAxieq7gXbMBcGO5FJ4/waUt3Ln4LwGH4M1sM8INl+fvXegoryAIGI1 fdtzL+PdaxNrKA16ERfQ/udFQu9gAnxA5eWNJQRgAnVPJqRetxex1SC68lsNkzw8o5ihh4+07BTi mad3siJogE9rZmlpUZ61cNHUBq9TJ3dX0IRcsHY1lCr6dEnWvIAYmBOH3PU4hPTZsA0rBJRDslc2 NS3LK5cTeQ5cY70mnZoqbiooWIQfkSxbkUVz8X5GSnhkbcggF8oeYZn5e8qhxDFsgubhg08hID4F XtwO7TgGFjUIByVg8WDhOY9tk82WHZTORexsnDru/hUnSFh9/KYLS/RjT5n4noJkOX+Q9wVORzYN /1rQ5lMHUCiUqNSS514hLGyaRMCuVEKqd7QfuGuiXXWrbgEdg09sI7WHKf5jQ1Ei1NFE2ALzdQjW 1+AGnHIkzviFMltb+IpCYTPNtxgO6RXwDht2UOVSFgoy4e64qRYvo4cBOtceHkLn0v2av8svQwVK Bb3FZbdWQeEvaOmoKMcIp+fDiHkTKyxMMI2CZRMLaaQytHiOGlY3hfQwXjaEk7x7gF2jKeYxiUrA Ul924JabF5zfdtH1qQSuyL4If1X2RJ1FHK1SkWMQWHfGJZfiOr1cAXZchBua1Kn4KjbmI7BlJ5UR cRyU4q7gikDw693gskJ93s5DDfo+Tq6zqXtFHZWaPTTsdKJW2APoajp34C+D1ZBlhI8XfIQhVyj7 NR9eowDvgpiPKPWNvPo4c3xCKLiXRIJQI2DN82whv/QzwVUD9woQdio43jMa+Sv1wA8Hz79iH7lv 29ccEzFuieyekQ/nMBSH2+bXhxTm4tbgculG1nNxz8rGg+Nd4fDQsRqt1+b/SQhPXnmQqwdYwO/Y AbK9zCUSJVnoKOIgxI2DJqvBmUQAHRTK8muMvvgIAfuWRmol9YGRuHZbyl/9Cy+CgF646eD6ln/f 43TLV/kunqWljkgfTvL3Ud0F4p2s0PNoX76EXfQ/dl9Uc3T4+jDyqSHNQofgKgvO60YTnlf5Ggf1 OKfuh7jOSz4Krr5nSYIZYvAmxzA20qCJ/9H2k/MJenIsoRC5STXb2sk1Hn6pN6Xjz5URPa5V911q lh9njqsDzMQh/anNR7tA2zG+AucPwAo5qd7DKM2CIwQGTB3f7T5ZbxkL6NQcLIl1H4NsEGZhjhUv jqzhNVDIDWDyNXr0ukyX0nNKDn9JutrdybRKqtEDvVbQDj20/Lbpuh0nF3y5KReCzOdG+HVB3msm /tgOB4Jk/DvrMCFkkYG+PEfhZgOrYkQvvcRl6uBuM+MVA8mDwd73cq85R4VepT4ds8R18EKdeXnX 8QcoKNnzJjiflWfUZqu+I8ykhrQ/DPq9Mc/WG+NDqx5Ui6z3MooW6+BOce/t4QEpM+fH6NPYRg6Z NIHeHSddoV6QlfoB8dA7m6QLzE1oI+/Rf/69mUNmUQIyA2sbGJJ1/foQGczdJt8V2bgkNjfcr6Cs F8rbMeJB+HzI3fTqpwqUhwTm/WDn5pFVaoa2IeX5/U/J9TIHVDVPngW30bO8387rwBkwxBN3D7sR wX+016uKcwRFwHpTpe//fG4RDrG44FgqH/QELydCv+EN2TNUHWOjk9tqZIIt8tB0w0t1Lw3ZePhI Ue9IqarulW0A6hKKSraVXOZ0iYlA/bhnbooE/kQaY4Dul67QWfbMhQlavsxOds7uRvVp8I7LUD4i ShAWIoFKQVBDYR/adyNIfrHvFv3zdJCWwaJNfpsdfwaOrnlekyf6JA9DyaOrsYCK4xIYLPmRdTP5 BcR6EX8fi99PHlOMtOgMJ76knKrzK7nEDkvMg/duI0UsoUXcTk9MvBWpo9jQUBoi0+uVuHauWWad Gpq1CvpMxYB2esJSxl6wJb41ewuYdtR4YvkC8FbJK6pTJYgG8+/SzjcpMFoJr1dewSQVuRA5EnO6 wwdlVTVLeJGSdARyGHsN0G7sikRzCqul6Fp2s044HRoyNKY4eL15r2AQTx+iyiiqBSvTwoDNVME5 iMNQJBqkxfcGZb5ecoKPVnm3rfe36t4DMX9oZLoJtdMbariM+cNyXlkw0EX/vy9xY4AB0tE5i7kC WK3crQwur4Cp8xIzJwzDJEKU6O3Lm5ROVYdSRDWy1ZByW/TB8GsXYIXcRcRPBsJxA9uncV0FwlNI VooB7rctavoEGqC6/EwVSyPB1jz3NBZIAp6eBtpPXRkML2nYVHA0/fUqgg8a4xmYD636ZI9rHtBI UOfqUztlPvGEsKbMXSyrYZFjlvgxbdfLL8kTEdATE3EsH27Uy5Ua3V54epUxSV3kFqCRjLIxY/7r Ds9MEs6tMyvnk9hrid7jFmsvOg5BpHKAYDNrb3gnC7SJLQINXaEBo88RTmULBBNwTV5rc1TQmIMi 5/7NadTE7Mv5U+pK8id+t2o/BDchQPTBtHp8XljVw5k6I3mfhUxrZGQEuYAMBEv0R6OSfUSVmLzo yLUsaIwxgBCKyqUhdH6s+gXrwMgPd6vE5gVMl71N//I7wuT+XSMSdwRtXED8+HxCTdGygAjXa7rQ tJOJvJNZ//oLAgRaZb1DUg2nqcmaigz7nA5hqplF8AlJeRaQkPdm1ZZrPUFyVzBvfMNs9qhIzAsj 7/7nLsbq6buFZmG4yye6i8L83V8i9jWtbczWFdnriz2yOpRlmbOzWc/+b5UKNWsWqWNUwhETz73G YVARytV4JIphmtE9D6Gn1lCW6jqKaZ31T00IOOhRtp1z6N+jZ6Gr3787WV3n/YL6ZzuPjfB+XXs3 0rEuXppcHUG1itQTqKBveI1r42udPmGLY4Gx2zz5uZhvT7EHpQDL8iEUKyWRvvzQmeU6WA/uoftA VsYTq2xeDNJjA9eqJQSU5oMiAfsRaotuEdQXgmAprTIQTtCkLvpTbkFnysQIisZ4+Curd/tkrqr0 eVtGMUA4DUNpixZVnfzrqdM+s1ANo+jAEbtkaaQkvl2O8xR8chwRrxx90DThXOEDZLz1pgH1RC4m ymyLawgqxyNgeLXy/wlSd6NcwmEJdcYH7T8MrsEvnmPLzYeT4/a+NQ2c9DodDC7VsbrNnYFsPKKA nEWt454H/VqxOwCb3yhXqL6vRJKkzj/SLVI9Dh+FSBTIcAd2WH1VWSksk/542EjMHcU1MpXqK0ex mGTsfi3PRizcvCNmQTmFyWrrfVtDr/JGRVupbZerrFiT4hXyzcsA+yyNf5xrhAcFMQtnQV19S/8H XoOstvDMoz+F+iD7bUwunXptsc7x0MZfiWtahNR88QsEW2MVU5RUiGa4/u9eRacPACSc+Pj+FvSO 9o4q57PhE0T5WtSP9F3M/LzHTN2QB3UsfumR1I/U00tkZTBqbXNrVxpWush0LJJ7MX6CBsafPxw3 0mj7+DL9IrS0OGDzUoQLM8N4OQWX/9guPrmRIBEoiiuxIoUr0B0sOWbeIM2GUK0uythRMbcC8Lqi JCqsA91Enoh83GLyviRVIDfehmx8ZMyfANnAvJPCBRE2O5H16pkHMA04JSXQsG5+6hrBNR3pW/zF ALrDM0PsSFeVekGE+FVyOfjtA+Pq5jThuOWBJOOSLWew07UTUIsFFbT6ZqAYogQumuHzryVCl7vZ /mjrCFM91Zd2/kp7IrwJMWnRUfyF7bXdzSIRIy4CMaR9IuR1dLnSfvlDuLoMoBkgyZXdJTrz2o2q dfTmSVBbYZZKhLG2u5J5kyCYckc2Q2FhO7zpvn8UjO9WcjQQ2X3Bnylp0yGmg67QfqvYifMsW3au q0NqrBkfwPCq1VtloU8lzTrkI6owbbwpNqpJjADfZ/NFvvtEDWSQUeF6tSe8r2/6kXpC6UX5Pxmd vyakYoc4uQXOXN9rJpLYijethYwN9q/x9D+IztrUrQtTsg7vYYK1TpEIKVQKsyPt5fCHliJI3lls aRLTHcYc2gkiIqIICxs7VUPgoogf+OegwJPYgiBUXWjo62+ub3d84rvEbrieUNjGK6TkmFSy5ctU IkDv7WozXPVmR70HIJ65kA2tdmuaaf8XIDH+PeT4C63aZcU3ksnQFDPclP64gBnEkI1NJRMjlbE2 FNZZq4tSQQMYEhIqmrac5R5f9W4pf/MQb2qd7s+YYSEGm7xNtCA8azTn218y9mWkvylnfH07VRlL 3DfrXpLhyA6oQt7UHqJRav+wAXi2tFpRTrUsjohgICxf7xVaIhSYsDz2lzEXgNDlaujFZFT8eA9J wwqWGin8TItJMXhzSQBl71et2GuoWiKcGieKBxKPGuAwZuJHAhsvw04nUWiNajUGoant9n/fTGMN BSj4YURU1FfG+FN/TFC+c2PIh9xNIbCmYS1wyE3w//1XePrCcOUH5YtLy03RtZwjde+bBcwc495D DXxgY9z9ydoS/0IFmWi576cpUgK5CyVCi5ala8crnn4thGB44xU9EfludbiCu4KU5pubYuakxggd TreK0+e1BrNeJBWrSMpJ4PBz7BTRxN/O4so98b5+Zm3gx4X6iEVV0EB0qOIyJOcpnL0rGKDRp2c7 oAZjXKrIoSv1csopCplY+jwgTgCQ9lGyRPmZMgFch4PhtAJdX4nfmUnsmE6xzmEmx283N5zJm6jc 4Ov96DWp+R8y06wiw54USBXRnGF8tZWJ9vHvU16vYs2ih7kdY3TFBSMFYKtUFqmb8v4u0NKklF6q 1zOw748DDISz3RTs2iTbjQbD75cC31WqelRDG7jpJygJvf+vd78tk7dPxfewYsjNYE4uSMM4g/JB iYHDTUwY3eMktBrHJsMdbs2/bgMslwhhWhGkk2Sok1NhxFLiRJuQB0QWTGM7IumSlmtV91ACVBPA 4b9DJSHSkGBj64l1JGbLmsTeXnvW84RTssRXilNuL4dCDw7A6K1+gQK4xiZ1u1lbOqrj90BF1tmI Nvf6ar4oTdDduyOoIXrslGvW0IAyZUgrC9EFAHOXXlW0ag2u1O62cIZIEqyvr3yKs48oLqB85UbO WxwKNKX2uLbwinaVnoDSh21nKzMhrODL6VcenNOQLUy5LxTsN2R/UVZU/sxWG+FGuvPdmKCAOU83 DG6GQ7q1omOmRgoKvLjXWkuZW6p+lvZiF6vGVYjZQS4TZDH2RsEU/SoaVeB8hsNMJ0L0IxDmOuzf o4JovKjpJ25o2G3cvFnuqzk9BRcE/UhYcGaBOrU2S3ew+mb2gL5m2jGq1oFhUwsJXMKZWLo1KcM4 CjGlseUQmcsP2oE1haL+41ig8gNsw0lrWm133OD8k/EzV4AcgfUbyAcX4S8qWYGeg6PobBEx0Nrk xxRm2fV6S8gb0UXYemB1nEzbTbMKYkstgu03kBDZxk2z4WUVYy4baMp8+09tzj6BlD+Ob0Twdeo8 5JYYRS7cxOrupdqeQCPpiYu3IMrVW1KsUX49tNvCOSjG6WmkSE3lzOLYA7qPi9cVgeEH3d7MiCiH 66VdtAViA3NLTVygmpMIZl+qtgCs6TTPhPVLRmLCED/N+nMOTDJXiM0oUxD9c4sKfmHK1peuIkur sqcxGlpYytRFugwDBsy5jvltvV7fWuA+J74yGJk4vjVfgdDb7ktwCwDY9A6jCiTC/FEjEccZ48T+ nvTSQ9x4YYzav7wcrsuIRk75bo0Sh0SiUNdvsev5uJn7X7vCmtPSpTe9i0GoK6BgZNx6XTAS+yQr ayccGX4K6+900Ux3ID+leXQ/VJsdF5E4DOYZDf4DSZrLKsDpqkvQCAd/Ks69tB3KJ8W2SdfapI3n LFfckG1DpqlVsR78cwMNdv9BDcFwkVC0mpMcavuExPVPB+NpPJgkFnNWemfxd29Ue16pef/TL06K zVH4k9F2ay0XNAmcCzIIrgiPW9qZSmFu6f8eZaDHIQlf/08MbIL9F5kT+juNgOCvRbk/7l886hLv CHogYxuEy94yzWAJs3Oz+qdqj2VWRtq1yqJu3+v88OqG1C/u3xYfhP/cH3aXA5E7Voy2QBxixe// p8KHq0PWXr8UNcize1yirxhr9Tr/5eL9dZYU9Kz1kv5c12ySsdsGx1Dz/PSqoNr1sN3MgcMdFQ/T M+fssi2+DDhaObEzsstZlNzCAUrTuztUdC0tjSu+14IewBjozbTqvETVQi8DMiaK65qxbXrPGrE7 OFPveT8DT+rmYoxzGX/IMrfP96YJutQyi3NCrxwKiXWgQ1dBU8gCJ/gF5+ldJalHzKx/gkK9MuXw 9hg4ObEOFynQ4k1G02W5zJR3HcAlij0nLzt+mieMU05RWfSn6gDagVD1VHd6YfmhSWX9brWlgL9S IHydcbuTGp1Mk1+TUl13GO/tozr4W7hfE+QRd5V2yZFKpZTxYbNWIrFmzIu76SYtg2vuHSYjl17B CwEARSDmmVkuiAA2h2zxQ/TWMj+cfqbCxoadN1XA9CwI2whI0MueW0jQDu+V/nz7bp+hhNmsNQyC 8jetgpMgDQDUqm/OwmUp/iqgKJWjNFo9Bte7ML4YQGbyl4E2uxQM7rEhuxH4ftr5oYko2afW436J 2foFRQZiJtRSfjT6ASfhK1+bnPXfGZJtRCOL2zy/y+lhjRM2m+N7WN08E2yCM+yNahdqvBUh5Fuj D1O8LwVdV6B1QNJhqrrpS+9LVgKtmifQAn9fSoWhoXXdSWOQSTmtQOg/b+5GubJLaHUsrME2Dh6R zI8V3GCAACho/MqGY//bilVG1a2aI1qIxr0WFg3XydOIQwg4WPfCaF5cWv6Y4lMH3TVYrORABO2k oJsg3W18MFrDS9yzt1o6RiddEBszhGLeliPko0mJPyijiCg9cU8yZU7/ddBtW8WzH0oYsMmSWpbM i/TkZfAAiDCYbVZ+hmRqV2R7SYJ2VFxLkFnQ38GM9KutbfrbbJf8dge25NAvw/sW6lGxjT5RT615 ftiyYzDG7p/XcYgHV7QQaqHVNYTdzgceExskkh3cKUUYjOmAqXIOj7H8DxxvqiGzd+lB0AXedIBN jxy4CHy1lR11gh4YK5Qnt2/GPaWcspK1JYYA7eP7vcX4VeOG0qLrn9MRENvoLClAcr+9KXGGAXc9 OEipNUmFajlRL9+WdDMm/L5IdJ2/ozTmUtjcaDs8CqYFw4RHVk+dUVq3RC/kCSad55qdvsQpv/QU VTyJgSfzFeDUAIoDSntt+X2ZzMJEoU2Lzqsk5+ey6h7C/pqyoLRrHwHirvPdIH9+HLUa3wgU/8Oo IbskvNIYVFTDF47MU0m8kh253QW4OvTYk5WSqZplaKORHHaikFRgHb7uEODxGNwfH+JH4cWI+rhJ WiiF0Y6idFKGG0+QusdlcQB30BU0xSZZISIaI7MDjv87nnDkuQCjMX/0+nteG9Yg8N0BojqSxnZc UQN8tMsQ7hNY5P9UNGCcgRnDt3tgaPaONQIira2/mTl7HFqD6grzOz1lKhr8qu+wFO+7QMkkiNiX EES/TANNhodvgMvt/hm9FWax1Mw14i10Sxh9x8fYKebHXVIeeVrtqYoOXaDnvrE1VFVZt8kyAeo0 DUoCK7kdxIo9UHkTAJWuhEFTN6XCmsOXiWerHDcaQFJI8sgv+i/m8aQDgX1xAAthuunapvM8JpGM jUDJy9Es/lLcna6BquQ2u6EOar61+fVKiEn2ixBIspSzCz5zIdNDBms7YkpLzw/QZ7H2Fx3Eg9Sl 6PWjmmzxyfA7hhcFe39wjOKqQxJdsL5kKGNeSUkmlmAZ3DOM03ZNF/OSytW8sBFYvrx7MlG61DMf DZNnWIQdtj7qK8hNkkDW2T++afFP6Jmikq+Y7CO3lx+CmwA6UXOGwvOHbFE8itWteXqO8cItFXQC h4GR6NlQNWsrnQH5Eb7Z8BRlqaeiBkotNzLW1AJspZdU7M7qH/FsmfiPncKuIxVOaMuOiKTdy0Jb 2H/2BvRJ82Yz2D3DfWy4FVr4NE5rNVR4lL+dp1SBlBW4JtZA4WOML8putvakPG0e3Jh5OCpUJPEZ itWRR8geAMrce5GVrtYBbTF9KtwOmkNeLmwyrqFAjl/OymQa3gT0Z5+a1q90YELKJ59SLrhJe6+L l9HYZx+g8Yy10fdwSHZkfLuGV1niqCUrv+z1gWr4lkdkru3gf9XZLhZioOCSb7ySrtoLz60HAdkv P6j6CLjgqWQmZer1Ov9U+iSBrOxZgb//rQkpZDDyTbnY9cv0qIBgtmKqE1a+q9x2pei5KKrKuIno y4ARYXw2jLD75DZ1qXieHT5Bx0HcXFhfnlQggDWDOGau5jzpt4qj4L9UB0VaZsWLk2iQkJ5huNKN czoaAHaN1QeOYaPVqlyHmnZ6tU948sCBYMOSMbQZt94yvtJXdYjGLZTkQnIGYDnRhwGXMhi1WIJr Otap66+BzQf9N6mw/UeRbZ8lGGOBiRKStUTPJ/jsYErawnF05XGLVx1BPMKw2qLCl3TFq7MgtMlI YqTT1u3iUCVd9vct12mTrDuA+yNCzTN+dkBSVeoceWaTKEa5kLAJHiLX4oKG7jgJ3DhAx2AYO9FR NS7odjQZ0zxQittc75XO5pflC/hgk7o1D0XhXpB3nFvhhgkxPR9zoj3dw1x/iih45rsNEGhl3Ejr WMJ/OXZ1hdQcwPsBKOlKiB9kE0iMiBiDk0WYay4UjpQH1ziXtlV8GelKKHD5B9i31hUkogsFczLn KInbpJZPVwiy/B8jO+9YKJDABaXN8KWiAQe2uSpzvEO+9WOx60mOj7ucVdRuaAdto9p9hqppjgkZ oOkFDr5g557KyB/86Zt/nsHsLuY6F67VoNL5Xzpyw4uT9NjfimXC7YlGilDIfqQkYrBgo0+EsVO3 DtwV5r0UpWStzS6cCMb8INGb+HWrQdHJRgfJ2EUd45Cg/KeZtaU1MFYFBd5U1PWlh/6KOGN5mPkP ou1VPx8fKLIvFr7dACTT+A5JYMO00PiespXqokx/JhDMbrSu0lHsnzwIL2cA6dAbgT2sJHNpCHOp oSfDDA/mB+A1pWI51kVw1gOfytdW4aRSs94/wlHIlIgKyFpm2G5O/P/FE1fOH7TGYwyX7Fhxr3/O hDLCMpn4PUuxv9zbD/r1SFb0eIel0RSJGjF8k7yL5I1+0MqCO4cTmhwJzA4n1nLTRiE3tzCUZSWB thM2agQSRJTUjVrGsmtePJ3k/QEE27b+QFAkz43YuXu+NsWecSNx8GKrMtyaF1iXLhoyZdGechrp Nsx8LOfprQhDK9buSl2oLs7P0c9J+d/hpxJrI8azi+TPzGZi1vbFAH8xYvKQT9gD5zLkD+svWeFW Xsljwwus+rloOjwJvcOMKTnlGnXm9rVJoH7962bAwPC3A5HsIr/KEYric6d6wjiDzZmMMTJmCUcM v1H7eRWKEGyT1fFtm1RrgL7MiX6EPGpMqXnqVIgL/TXUY/aVvkrmlYAgOUYVFzsvHlYEOODYHyTk k4OHkU9shJ9LXqNzgerkUN+CI+QoLv1lZg95rWSzfWoao8Wgal4uviuH51KqWAQaM5Of5NmXjETm dBN4ai7ZvAWmv8A0aofCtYgaKaM8YYc9cRpqp8BuJXi5uQDM1gSTakqBd1JpGBseKBLO/54nqDNG b7sOFkN/o+Js4FwArJ22IN+NPkXcN2qOigFapL2pU+UkuKewvtJJTpKdpbRiVRolmfG4EunMO/hW RZIlgEDCZMkk/jtZi5VoJN0kFaasL8YWAYhRUZVgz6KwA3Gg+5pAV/HeEmUWxIzEkQ45RjpdaHGb YttXVi7VKUyD9dfxsnxXILBRlq/lsh4yDQG32eAHy9I7p8vEhGZUxaNlxiHOHf2jY76kKKRHQ/0p aogJgVhXn0Z5+9DpazQlarrnMROV1K3fCM8dizr4wNMd7oImHk5aO8jbTeKXw3ufGHLQ23RbtnzU AbQdILdh3D+X7/hAAP9tDjyLl2I4FkqQVOt0c+zqlx3Yfq3T0ySYEP0jKP88SX1bY+euWrgzltiy zA913hWsMoA/F63k6BZ7esASEKJ3/8F938MIJgZrjKezhzTkfKzahbV80oxOXbqSw/TycEPmdLpD f9biSu4BqLXrerjIYjWyRMpr2LehhR5OZ4JGivhyBuk/IyawHCpnNbogv6hos0+oi987F614qeuw 3V9zmL89txwLs/ac3cpjWFLcVptAhbFq2VYaFrnh2lNkTUFP4IaL4pY713GtEzB0BJjRG3JUJ5A7 8Pp7Xw1MvQisH700mNN9hq/kZTCa68v2ENRqkbO8ruz3bR3P7xTahirP2PHdTYNLH5RyIsPSxxso ilZCZW0UJXRD2aNVsmuc52/myo0n93SM9kOr2Ehe7zWcb6gNmaU/manV69kzuaTbQDfk6mAq7Ad+ mWeWbZEt9AOdLCqcSuj3V7x8RlohPgIHOGNLhSSJYQPEUEyOTq6dfCZ1Vz4QVQ+Ugwvk+qYU59IN C8Z3bB6Ya1kwuPeimh89UEerLAzmwzmRkmoS+BO3DxVoN6XUyVWB/6kJ0M7WIEbw6V7xAU0fhz/m v0ALCwT9xnpoL+pbPy4GrnW+81Rmq10vsD035b93o8oSsEfpanmNuOrKU0RDoAAi493p2KpTy1Jg EIEc+YoeEH3HEY0CrLJ59YtBejDXg7mkaQqW1KDmUdQ7HICMnnuLmdwB6Xn2u59dEM++FIl8w2zL bzanOyOV9PsQqxrYLiyvcQg3WX0vxX3lSyAqFnNAStEUDP7Cx0+g1dRMJYDEx7VGDHmWUrgl3uDU duZyprbnlwn5+ENzd0TR2btOZlJ70JA+uMJh0eueU1kimAp2jMzTVu90RqM786HVyY4ZhNrWgYfA vxkjPsV7skVjOEE4c4/ZtCMQXb4TpRKDTEuIEfpAeUSzXEDO1Tq22/90BNQY5YpPrP5fFd8vgDIC LbQvXTUcW/w35CVqGSzhXIbxCIQAAub565CwqLQUZ/4/QSZ8g47ypH7sC8rVKP5aRoWV2I64flQC p6hGReVzj9x4oA5XEGC+Gv2/tW4+wfd87rmvf+DEwXjDFg4YXn2zBpjacHlcRQ75QlTsmAAN344A v0dWVEjJDs8FfsmDCVd8+lUTPbS5BKnPaHTCH0sUv5gUNiYys3jY8DPGPIpAEywM8AcL2ojtKrwg 1EGU2SvcRqJZi14l6xPwd5auJFrPHBRZhP13mJMEUj4TjIn6oVhpUIVepyyCXCsumi2sWr+tNYf9 a5umfJoTWpAxeGgv4e517jiZcUixh98qYK+xTM8XCB9vNcWKD5olhsMiY17EPtlgd/7VJYq4qFsl qsyKrl4ciGXgFj3PekDp3/RjpfGwA1rrmzNkVqp3FuLty1g4sXAsCkJRqRK+vS0VVpp4/TVR1dh7 3qIHkI9GQEAhe1ztGxerCXajygi09ktzjG/TIOfLlIgPHDZ3Zh0HGuaztfBMjlnf0FOdALVMg26+ Lg5OVcfczFQU1sCFKxGcpX+Y4XSERZuMTNVgtR3KPqfV445baKATG5fG9LnV/1mK+OZb2ldc2PbA vQ0MmNdPFS/bKhdB6yEcZsxMe0S9qkDGZ/4M0G8p5KX3vWnOum4NSVhuX7RwdlRbXnsxjvjgfsMw jzuZZ3t2NFacrxgkn8TS3xq6BDOVZj6ZpP7D6w488Qc0xCf3/43exr+vs5LAd7j6hzzgCA22NyY8 eENVIe4dzYWA8SBsNJx4Sc2Ae77O8QbzJMVBUfKRijyvFkJEeZlzGtWy+CWsgx9vs59TbXe7Omay dS/7IQ6w+BBBs3x/cp2ZAQMoPJkrryJn5JorUGZFA31fxOmXB5tDKe7y4ZZUsx4CkjF8MWaL0+K8 kDZT1GMBsTA2pIPh/ELaB/mEZxOk2TwGdLfR7ZAws4lE6dzNuTX6S2l8isFCGRFrR70JQwGfMLd8 b+rq3dG1yGqFnu1AufOZvHws/+XFJFyHc5Z9ZRF062ajpVVOgbb7Al4YidRc7805TqsmN2NI0YKb Piq7uXR/d94MNHpLQioTs3h/NOg8lfooMzuyuc5MVoMgvyaxETBRAyZ9QJJpJkZWUMqlBr+i5kBb JpTvxcKCDIeDo/nUTbmpFiOtCNE+DQOO71l4dc9A2UVLlgWSLm6qDlC5zecpLiqh2j8o8m4eeNpi uNa6Cjk0ISWrWVEQ5IZPZwBADLRGKmae3Fv8tjSGrb2lFFTEZXhyelhtxBonkdvU4vkpTu7iDll8 eLgsnm++FsM2Q+I1oBqyJ4uaoxvP/YFUQ3YbJjlxODKIGz/SwSm98JRJKS4857W9LdMP6/r3brpY rngV3eR0Yxx56SPoYFgLNH1CLeLyoSmFMPRl7xch2jvFbXX2LwkQTMLhKRB/7TA/Ef57N1ls2mZf l4SloNmtuX6RH9IItMvKEYtqB7E2MAEYhVc2XdC7iZjf6V+ydloTlDHyhcOXhY7WVFjzHCri23iB HJvuAwBAGqhG+/Dnq7n1kjEON7V38cAOJzTtQrlOCEHBIulKBsZJr8i9dOB8yZmZLWW0K8lCkfrl 5CGy67dQUAsrcvzrYuYX2To9cKhaynesumlERnoLPPQCUSQ6qzK2vUaeEDOSIMaztJB7OfRdgsYT P22OiLK5QZcgcWuzzwiLz7/8HI+Ywn9Q+tquiAQgDbZ2TbgrJ0kpusdq0N1mVgiyM/HSobBLUkuI VAZIe8vSGV1VUGWc3PykNXrtgl5p2xoeGWPa0s8CcHu7Swnj+Ai1b13mqKTIXEmT26xP39ifSydW wZennhy48GJoWWq/gXTCDkTL8GajUUp9KhL/byUldo/IDE9DoBdzswCxRaBf90X9hqLFSy3QD9AQ BMERKQIdN7JofkdKAOtkcAdExiWMG1LunHpO4IigGtKk9vbJ6rCWc9riXQ6pP8YSPo4cNynFYv9+ qaLiwiMKozhYyM1YbWXHsWbLYKwskW8OSiYO6nNbf+RccXFwGFPaBtvVCFM6S74fK5QNmjayQ10j D1PWOo4PDu6h35YkNpCeGiC23pXWgln3v1IMOej4ojKplQ1zQSv2Uzh9+RtTFPlW4g/piikyjzAW 6Lb8Ys4ntJA+H0tlibf0C5JzhJYv4d9M1Vr6bB+aiXYgaszhQPAp/ImC4tCIGVxWc/6SKscg6tY8 p9HMZe20jju4dplCrsO5Ul4xX455sx5six+sliF49J1kO+qfZyUgzgpWwuK3Is6vfQa7tSz8vasb mgj2qeMOABcP8QWniJgijs7w6nb2k/NI5XXHdYO1jsVQxBe0Esd1czIrUAcWhk0l2SeITRcJuNmA DdXSu7t/I01j+Yg6N2sSo5OAXxCVg727N0gxfP1dInivA9Gw32KASiph2XEJlA6q8w0ZT7a1fAd4 OJVgty9szrGY2xvhc7An60QoL9rQrNt1YEz/fbwgFZJR2oSSNAljv5fRVY9/JCoKb7NQKBnn7VFK VWVJ5Lqr8f2aufomhesTAbaVfg/G7PIW52LcCAtha73nUXq8gX0NN7pQgMxGg/HqZUtZRrToud40 cBzfpyt/IYzuCppvP/wNnRn07H79+jp6KOqczr6OLu5p+ikP3xoN9jF8hkCWNN9RH6bXytpARCqS UxlqpkvavNq5zjNxvqbXZjxjv43CYyINWvcyBIQTJZKB83TZP5keEn1tvRuTpwnqWXMcKRQ6eGmO pHwByxVSXThUISQqTnbHaD74C/5F7r1ldx7s5xLwR1JCueO7qQtEk8hT4x41BmFSdC6JFl3+ArFt yI5l+7yKMO7d7Blry07TdtMLWnhIV8CnT5vtWdJK1OEihKUMP78MUdBzhW9gWeD1yOGXhopv86a9 tRn51VIu7RjdfI0t0k53WT9le9cBiqRNDBuWy/+P2g5TF/CYRG1oywtfGKWA529lK3mbgGBmAUdo lYKwtVSGK/tz9Om4rwRtjae7X0spUqaKX/wAUht0oWhgOmLYHfALlwlctHVjbkPyVenESZiNjvW6 34h66pTMlcRGJsGDQu3m8yn5GgnvdjWo2qEAEATWvyzXgIqmR7hiMCs1qmdcSvF/M623LcezPcq1 cYZzxF+I3A8czuLirLrVBpNYR4lX0C/e2ECUc6WEoo2XaYsN1By0ph0ll6YEGWNaHm15CKWnUF2X xN06vbi8FsxnZDO0bQXB+qKD40o867+nRYEQ2tuCIZM6fZi7rLL06IDphjFtMeSugdZuI+w/eREL UbTbYngl2VUF1Lbxdn4CFFrhoUoO49u1d1Mwo7uo1UZCDfxlJCvZ7M3OwUd5HJeewPuPnXoIkDKB ENjBNzG6SkcymKtPQURqbmNcidOMK83lWr9zCBi3qW6cAnTpRBTlmPs69tJuwneb6KGdUBkeSSMh mBWoLpjSAfZDmVbEcaQxxjkkyEHJmb13wWSLoRmyM6vBkB4kE90vWGe1AKKDigI3310YO1E537ig w0DiFYXCxN/0cQc7qXYFf6Phel/dbSf3flTIgK61DPjirVUgDPIIyJB3SCgI2mAA6HsMnCyMLJTf PUDi9g4OAMd/3urvNoSaVLWnq2St4HWOTY8BGygavyvB7Y8BsyWpiOFnQjMQmkm9UwtnRTQ+cEQg 7j+iDyb9BndQzgexViyx37tiNBdMu/TLi0NMeQMomPTqc981zz7R/mlfsXEdMu0noLZoZtjvWz4i q3RZaX9ONzNUVkIFDWsl7M2gwJqvieBR/8j5gjdYGeLXKiWjDBN/ACUuRxy/4tDKsxQEsSlVNziM Awc6GKk8Cr+FMDmDDqZ/GN/YmHj6ckffpvoh6BMGfAqGwZKxS2/oGUeKqNMHaOeQARmHPcSGXS1p wvAZWWppNPid6xOLDrvDAPvDip4OsmY64+epyNH52O55lxfmGQRf7MC9fj2gDIpQtzvZaSvHBYh9 DjC1F6SUPYpgMYSaAZYTkRgAg5XzatohXYCsKCQPOgJ68ikOagHlo/wTM5qMOemEC2v4DpEpeunn HCGExC+STP4bGKkGf9zw5UujOj20w3hfpkBbETVMF1NQ9m1dEAlmX91CmTAbS8CH08rEM1teeZqE 24CRmIpVJPfe9r9rTVJaQKC29EEutYrsGSsIUEx9ms8VXP8+RAptUyCd5ZxIiCEfRvL9s47haq6F FYCQerx/uwzJi5+yKNADK8HLY/2AT5UqZ9qo5dkgF+55bZyk1XbiWYXFgpgwdmLqv/e7wWBzHcE1 k5gRBGX/RmFx8cuDrcXyIfLDYmn0axWURLa49BsRuZHjJ3ozmvTSQYwRCURJCHKVgjU7pzNmTtWk rPrm3Jq6BOrMA3L1/1Ji3X9/lHRiV8uTwS9xHvGXETlg24q95zs8oWbFQcKFNuVpZcw6sjsWxmWZ yu1OQmgxMAzQ0VAK3bQUIuhOfGrTkgUKZgT2vUkMs+1NdCiuBTfsXfAZwh6DTCF3jPcah3tchJt/ g5hfIcYXyb/gIk9rOt4PerKMsoEajXewrGlAktWsFrSu3p45lVhhrCYSqJpv/HBtcpOj6KekOBId Z7upubQGUG/3r3/q1S3ruWwrIk4APCofYA3siIwV8IlzqpCK602tF4VB9chIvtNLuNRuW/vSt5lJ uM433QvoB8PRhkjdOCQq5QJxH5CIajXLc9+BgHpwMUmvVAXFKbFNKPP6Wv9erKyGiqSH74kUAvHl /tfxgcyE4aTEB7bq9WjUJlptebUF55iqCB5wU8Yfwy73/FLWXTN1H4Z4wu2tZ2EzMohedFR4KgUA uFiB/ZhFaK1hxu48Fr/AzHe5temy1f8EKhRelN+N6C886kYyTygnRzBaqNyc1/TVTwXvECsYgCjj co3Qx3VFa+xR4XgLXIrth2BdqxQ4V0OxXY2UYXIBOELjFofStAV3X2MkF5mVwb3dCEUQhQ5OTvDu clUllaEMUex14gJzZjYIAratNmYvEeKwSYirmcYPLZ9sqco+2dbm9M8iKJaMYPjfhmbax03GnUeT yuV7jCQUaYJVyvGz6Hc87rphmFnwSO0xN3wiUALulIkSGxIQJm3OFwTHOQgFUqY8e1YXxL8AI804 sGhgUcLmfrFofmoSb0Hd0Dgq3u/lk6LUSMIDs0Gh3hVd0Y9xix+MgWFBQrsLqtBbkYGLRCFBLfw+ MFKloYd0Pm6YKIsv69bjjBN93zR5A5DEvYMxkKYlqNjEzcoBh9tHti3zYGuOEANPQBMbbJzN5cCv IzpII1TqGNMxOHhblaivw8uTrJXV8sQlVej9sK/X/onCvAEJp74edTxZN6C3muumEMfAG0/JASUh /dTq0QIUYao2EJ8w93NCpHacifUw1qnnXqQSI96EwwEw4oc01V9j2gfGyUYYuLvjQI+vatNW79cB MxdF/TFDCPb5xSskrX1Zl3SYHYy4xlfZYpH70gRwRje9BAJWZJyAo9vtY+r1fDXE2uByIW8m1icz XHILK+CU5omzplR3bcmUxOSyY4Q4pJ2rAZR0lt4bC/AD1lnFq5fnpMDs+kqtbZtXcIiusne1B1PU n3PPypQaVNS2vN7N9/qVaIjH4K90ZVzUWSlsJ1/rHcv2fiJgrwEmpaWBRxAqOm1AbjkNRlBdFtuG i4okcxU8BFBW9QNGVM+ijkXb/Lvy2QiTepdKuX/bheiOMwdF2A9tV7H7PWTOFRaxgfolELWzxr8Q RNHFWjN4HUCKBvaLFfR5na+LDtcZHCxsYBW0u83yRROm2nWfFAKbNbUFUhE8ZDHr+/HJECLurRmK 55wZwcVy86aFDcyJoM24J5DtDddMkhSnnriWUQWUDTjhap6Yio+wNjnU8/uhusborrkELYRsHREZ qGNL1TIGrhYWF1zZCWg8l7uOnypW5B+TQjVLtPnSmoXM1CKas42KtyZNKbPOSaU4+oLX+6UglRVX KP1VNRDYFjFZNSopXsZ6VDLn3/4DFGS9SvM+DAAs7MNq8xrGfc0mClvAEJUi+/qQ25Ndb52b2wLM 4R0WUKpHJ5TLutxMmTOPOWxgj9BN9QbGbnSqDKTFLQYxdNVg2e1iOewe/ErFaFobdVQl8ktGDOnq qwv2+KTjRBETgsnES7HWcliyLJd9XalZk+XpPvDWiczxRgEbXyxx1CVLrQp6kXl2QRXAdzV3NgW7 wJ1lP/eyymHDDtO44g0zYcFYtlKnWeRIh10wgXJ4C48STZydBijfbfAzTQ3yva5TOROSRYLKaCEC mCqAEOsQ0pt8757s28lc3LYZVIek2givKNuO/TuWlqjykJcAvgRcZaWFdiuUbkr0lHkOPqMr/5dV XAhjUdxH9l3IZ4LLgkiY0XH5rQ4mBXyjqIY97Ifbeo7Msbq47PahFl+xCSMGmYZUOXgZ8SLaaPgj T0SAxxyhj+4zh8/NH1te++/3txD6UpulwTyPLu9k8GbxonyqXHwFZm0tWtF5wqbgOPuztpw/fCZV HHVeOLROMiSfzjdmQA5A/8LfwnQo+c4s8mAbLvj8bnXayv9lgXaVFVs39AABZNWphAVVTi1Ssxtv tzyXRKY2a6wFqk5Gq8bqithhw6bh8RcSbUEiFIxIwjVAEEoWVO+7IN4qXtENvnREUyKp5hK2IgJT SbOe7kpErBHsmEzb0R2Jfpd2RfBDqg/YuTERHrLVClQhHMUhX/catIg9OrJVPXhN9S9TjrnUTcWL OK/9sNsByd+kBCyK3y3J0YC+8f5vaPmUK3uPXrsHJZA816NLQUgSjmEqfU0lmtcB1p+sZ2xzRLu5 0MzqULTe1HVtH77FyHhGIeQIQPOca+0bYftc1k+0dj4fMC4mLdTV0rBoY3is4dgVvo+N1YihNjgI UiRif7e5ASXsingMPGhPSJoaLvetZ2i0BPQ4d4pRA9ArL/XmTi9E0Zhll35YOAYKbc6JEpUDDvQJ G/EEg8IYZfNmMTPborojspILJQEajTMSIipK02y58TBPv0vU4ww9gZvcccZ7nbhprTrjJ39JrZ/K WOZNaA7qhpNsQtWgJOgQcS7xF7rhUcApBcm2bWStcOpkrrbTeU9y1QXp7JU//bGPV0OV2SQaPz4v 5NyIEMymFe4S9VM0yGLZXgmLz2/hIJu9gC1jjBsOP/EKuuXhjyEVXzqPKxG/2y6C64kC1ib3DUxq zFWR4U4Av5Qpn/uHoroyMHHQLYbNBQZ530aHvQnevHriSwPThIb+f3p0X4J6X4zR+1Ii3U//ag7x +L26RLg1CKYzm3V/Q1SMlar9ZCBOw6+9UDik3mL+jtLMLj6nxNWI5jBLrvALCVhkExdDYvuzvbyc MuMejZl1xU0cRw6uvqY/dUS75AW/pU1uaQX+K35z1qf9iq/SXLqMmy+ObOyTS+9zW3ry5u17ekRq bYpTWUZiVA2J0NPRJ9P27/qpSdUnZl4Ubn3fW2wg510x5QVJWjK+aNsl+uVg8id+4E1HtFzbumqV xGFqlVSoJ4WiYqPcpulp+aMGJkzcxNPXKbMiqw5+TKrgO9O3/FC1ToLN95ztwHHrOQ7xaQJOotdk Mg0eXdXPpymFBN5fSPrvAE+DmNbjnSDuttdOcxDcLTYUeX/ICqE8Ze+uRbrs7+ElHYdoAsgDgCXH nmrhoz74bAc29AMsuN1ExUFzuddc88ePGgyNe9N4bXT8Ao2/0lKP2hWjwnS22kcPS+WEJheFpbMG MnkMlwdozd47DSA7oEhWCcI/Ju/qBkfHOyYvzSiswl0ImWxwVs762UT7HSsjOvInsMGMfaKJ4x5d v12jVkdFPjdQ94D8JkY58cQOh0JbSlH1uxJ61oI3aEVbld1lCMnfSPsVnqUSBRja9GXezX3q7v33 MO79D5e3neeyoq3XhgpkDohUCem5/b3H7IHUWruhAHSbein98Lv2RZG7BmcEnjVyXaZ8Zz+a7fl8 3PwIDzD+26XYToBhEIwvcJPyq+WUafx1O6WE4AA9HeCa5TVaBt6ytt4ojcBTnZDmx5VpC9CNCQa3 0PJi27HQpJ8NnDMEV5LTy9RUYVUCMT1dvxGqFkHd2cXtJ27hPeKtlGiPA2fFbJXCES7oKPNIUOw8 kp/0xWOEw++MHpsBxQX/KtEPzHFKUyee4G9HoFQJZlc/WGv/6ZPntGba7qEADwggmpogk7+193bM nqg7rBdWOTEfC7TADPf65GJUfgVX/omVtiTZbFtWYv8AhgNjM/U0iLdE2786vrkU0vKHe9STjPun xOwsTHtbnBbPOMSp/anho1eUVz1aKRL81gLLyd7sFMirbDcS2G1hhmuIgVazueWkQHjy+/r0c4Op Qz2nP3aBXTMzZvklf3Oa7YUKvZeYzyInfucxWsUGNk/egnlJEIes3lze4fSGmCOPGcNFiJ8h/K8a 3dmmgzmjSvFB98zbrH+X5RsQ27HCVn1AvFxM39pOJu7bkeZcgvtKoj4iRs+qSGO+Fj+k5K++Kycn Wk69T1SWqT9O55SfQT9TIjZINa6HNW/+6WW2FALfYI849I5ywdP9WsFuwSmS6q17XKUgOTIhybAn Jjw3uOIkOrnjvbQoWMBAwIak64wUJk+SqiuZzOIjWSwU470uIG4wssnOuMUmM1WdqKNfxWVMgPjM ytznqX5xvXrM7aoaWnFuwpAMfmxY3KsJqX5k2UoUyF7yrTpUEsOOd83/cZvb0ErKzBD5d3UeqCdn evKLaiL3VME8V9RHONTELzwPm87oI64gFSggQPPjBwTlR+0sWaTK8DrUeJm21kAC4DMjw/hkeJmz vQw6j1eyFiBBMTigWY8V9zAkQp/nUzpToJGE456v2yBdT8t+Afpm6h3/sQjELf6o3Rwn5Sd2ms7w 0g21Lt6QTRWkebQuP9Y8kO4DH3yjY70sJefIIATKPabCkL1KSTMQdxvEax/J/Ft5aYyNWg34DuUK N9RMdfOI8e1iayTzpqasHQ3MYMouaRIsotWzL0oVb1bd7foxSAUu0QrdQ8lJJ9FJdoR+bNxvThvo foVoLmB1w5s5lEBGD2MihmHUKIpjEBN8qsxeAo6ZMEwTZeV+7+oiT3qyiMeTaWz0sL247V9KaPzu ld32gyCeGEhuY4ilL8o4ScTo39/kbc+SJJG63D1P+lUW//t6x8HurSKTIOzepk3pI86C8mPf8P0p /LQlWtlVbm0Kp1tLfNlYAfywIjOdVvakwaUX6y/rSwxgB8qnMp6bQMIzDkRvYLZy+cCFdMVap5B3 vJ82kmFe+3f/3x4a3BH+Qf9SlyfhNtS1xMM0DStzRgO2MmZ5l0XVYl/7VEPy7slGUFFeqSV90755 PjMroodFTnE2zgBZDeut2ODguQXs+vcyi2xVtA3m0uwqGuSNfg1oHgW1p61peOXsc3AF3YRkDhf6 WDtguJ66ykQ60SAm5LLDAM7bsauDiSaGsLHPhBcmLv21bcvymrekCSfY71NI6/OWQWaFgQ1S4H3h +3r7U6EyuGXC3OqYUmvTQjGSA/aNIk2uyksKmPlEWvpuf6ey8wbU7X4M37/mVvwwr1XyIeLNLX1o jy6uzZaR1kxZ2vcMAp6q01wtFHrk9QS9dsA1X9IUT9Po5f+L0a6OFSgODgXO15dkNkqdHDfmbuIn 26r38jJuzxzN4LWHqG1UEzNNDOAKmLXPJQcTlp0k9ol6XhGcdpCIIT199HPJ1Ydt+VP8oZdvM3iZ d5EpBqMLj4VGcu/wZQOM788/s7Ve5zxWQl3tCoiwsUfIupuvS7xHj7AZKQ2PZ0doZjl39sHQLAdw auFzMoG+cbkgYAr97RlOl3oWL9E7TndnJWnWp6SsM4gQvzCtg+sGDyfl3JUPdAFRVUgunu6A/1pA 19iwxpgS4UB9F8UWCLt99P7p11La6kkCuStymhN/kZLJLwhGrePWghaGhCqzQmjUtDyt4qTU/Lcj aakRx2Cwr4A3lVcqhXFwroquiWa1ifGlvkrRB2A2v+iDylxBMUE9OELUEBP1/Q0EsbW3Sl5nqgW5 HdNlUxNHSY/xYCfXrILv3NgMwphqPIHxLOqSylg8fzcHGP9j0Y0otnGyC0EPFhyjHztODa5iwupc 13aqfTttfxN7ogTLClmO32SkcdmdqFi5Xgf9kA8w6yZex+27Q4bmR1z/5ZCqvq4YcHwRqr3aSrJu n1JZmNv4zr6vSEk+vaI69fJfFfCweRdmaV7FLvNke29e4GNYmoem2Uefu1Fr8otwRELYFNm0+gRZ A758p5JlCQKnv9o8u1z/05WfiHw4G7IsTSqM7ojKuGRBt9/xS4eaeeSfOOQBGS2UK8eZD9L0sPHX JegLqLnTlL0NifQdiHf1gS1hWYIGAwPiduIST5TYaSRwGAkgrq7sDj0T5jhvejHh4NdSikLm0O6U X5MH4rQMQxBPVyQxLhreEFPq4zE3cvQ0zyYOLKzuPjJWPiSnt29YGRwM9yXtMu3A/Wgi/xgDRuNT wTTtloLcZVa54l4YfrYaY77YgcnZKaTrjffBt6G1S+q1RyB+/n6S5ef/ZYQ+ylH3HRPwt8XG65Ih Gv/vZvtJqzBZs4Sg/+Fh3yR33J+jyshZIsRvVjPPGa9+/1QTPX6+/5Ncxniod5B6yd+4hPw56L05 hrmCv6LiCcx8frOwdswcHvYOh/65OvDNhQl1aS1WO35yTDNg7ImnWLwQn9fJ7k7eSyvVgcnZme5f QppFyEHsyKj6lfzSgPsGthItAt2WEAZxjOoubDehAHhcaL+j90u4aVcxVdWt/V2ErQSZTeprxNF0 AWe/lgI6PqQ9SxGqhmerNSx7T1uWUfKVj2tbWkPgr0gRAwugGacR7WkHpSYZARmjhRc1JFA5v6Mf GHidl7tQzPjlkJHW79TaVD47bkAvVO9Sften7Bt01hpR0XyonDg1ZSgtTawOzwKUHqUFZDZsznsh cBcrTwWbyqGzYPQuvf24o2KuE+dQ+scmvlLieUXMyxskasINifTCm7SuCpIaGbb6IoZR0zxe2Ald 6ehn5Z9axToVUAXidOFBCQuAM6PIoR/Hr/8i0dIfJyUGBJRsyOelHUKj9zScYRDo298aTQQBcqmX dvYSvVG1R33CenZsRL5VNl5VgLny6EOscBVbs2bsMATioox7ab/+UsrrQzk6Bq302G/ug0guZB4Z KYVlhX0DjHuswbgC30lyT3NS9U38nPlJzFqXX5PsewN2GCn/G8ud5roMzBISnuiJ3+p8gtoIMFtn m2MJWZL94VbceQ5oXgIvMa6AyQArsq9WKCaA//3nqXyxSqWtFE/XHuZzsZaHAIS4zu9kvUl65Gri 1mHdGPyYS9tCHd1AbrIv3tTyg7S/gX6Wjl07bi4KP71YrR0czmyDolI0MUQgIb1zwgDIOFx/6VJr 6taMoY0J+LDFzLi3Al9ZlbGiNNSft3nUrVbOu6MOXtdD0/Ms+GN0Yh4peP6/E/nPnFgo2QPGLZTk qD7Stso7dUNWEJQJy5E3VLDTAYhpLrG+8vPm6d0pFi9zJ6IieNifsQ1ZmRlEy9Inil2oHv+XoEOZ MjZwjIQns4HDqxMynQx3Tlm/N9JKAIqMN0+K+oJqUtpRGYIgbAEcdLnYTl5d1RW2hSDVJ/9KXbHQ oC5IdYKZtuwrAKO+Fg4D+uTaGcxx2bk6i+do4GAAR7pV6+sQU0NDvwZkQApp256iNf0QDLOfGw53 g7LqEqBUcPjvC7h2GFZ9canZr46mdJa205VRuDaWsLxSmlSPGHYSJFpqwV4zm7YbVhe5qiSDSpnr uMliprNSmrJ8Zl/IxIp+FKABdNpMrUKnWOhXwOTFrujmPoPzgf+Xlo6Dsku8iRRRllOG1y/rGl8i cua38rSWDCPQ5PGBTOFjpXmq/HN+yGFGKjoMzElptpC2LOyuXO5rYNeI8i4e2Ev28RbJZYPH55Uh b/gOzDZgezGfcpuPgPVwevAIO+RacZ2wXmwI4AcRfedreA8ElzYFadvTIwGiafKH8pXkDyrAIMpC MW4x7gLnxD2WoOsDPv1ifEHLKErMMl0IqU3sZVhPHyoVsB8nOnL035W312SoyGvLwCAcG3ZtRs+b BlWnznQjKrTJNka61cWl2KITXZI1bXVGYnJ6Ehe0lkc6UqI9tULwr05mmsLo4snwnXaz4TJbltsE wo+sSfNcMRTU8CIrcpoYP/p2i9AikzOoUCWUgXBgOr8lSprybI6Br7/XaDmaf6wFfuYNaNiruTHn MO1Z7XrrsWGPMu22Ega4leMKdq+/15HbhPb170v0lXxdMO0bReq6ENabMkul+JAphpgsxizZCdX9 OYzHvgcyvCFMqMWWr8lxRIfBem9rNH/XRiIR5wBXePdXPyCxe7rTIyK7Esanb7kgbzy4tznsGpFW DPaWU8XCD/I5qyKFREEO/xgjyFYcciWuSa01MvuuNtAQmLnuJwHqJ4KSp2Tmkb1ip2WpDjc3RqFW mCxjaLGHrgf4BJ8jURsZT4cTlRgwbV9Og+URKnHlDUT6oYC+88PCSvx6DoL8qpEEXQ4uRCYFFC1R Hoo1kjQXfv/sF7olEDr27VxVVp0Xm6vau6awLdiNBlCeCBOb6RgTS/EHnUGm6Z0QPrBtgdpq6BMv iS1Ok2lFPO2cy21v504nXSruWMJWP9o6ee5cSeCr5UWgFAXcok5MDmomf5xGFNbySdAAMPW9Xi5d bI4i5a+ZNuYei8OA5VVKT7RN8n+yvQELCKfaKsdMoe/VetBw+Vc4tal6MIn52s/+cIY+BrFjpvg4 UgZe+6/m6JgvX+w0/zfbaHfrFIVfk5wE2RJngk2y+bPq9Qcx9m1K3GNi1q8YyTGx1b8deNti18ra WeThQJJslik88D5p7YTtprPvg+jZUnU50Q8nLcFj52GNhUzVNWjNZ4RAwaePiGgFmp+T7v2KW75y e8mhw9rB3qAAyEcL1rTEIgrj4t0bym9JQHOeZEdWl/yCMD00Xe2Dr3kOO56/8YNWf604JKqEhwug qIptUqKqjZC/jFImNouIpC1qV4N5yfSwgB3zs9QtTM1EfZrduA0WjED/n8r9/QQ8lOUADlk3d7lO lQsi9FTkQ8QjyOVacm3Yf+AgayndXjB5ntPevsbfpJYDnJZc49/Bc0zXgezimy/b4xezEHjf0iWn Vub5e9/u1lMjy+HB+Ex4Re9RPj2I+smkrv5L9dqlrOUJt1IXkocraEFMtXcdtRbEbkwKuywNHwEa bIpQ07Zb0Rb6t2Bu8cGd5jVwX+j+/noRlHbGVc8QHTv8fODQZB3eqOL7TqYs5vAQSseInO7yML3M XagRn1JHUUjfftUHSyE612MPtmWjF/g3m8L9QwPpKJw3wMLw3nPwjU8XkOw4bRv3/JCEBRszx7BK BfdslgqegdtRsAeMRzwxsoxg8VGdvUn5vQ+cLITkc3uKePC1NxtwArhzd4Hc8bvO9vFiWj3/gMcX lYeVnd107MqIdWkBoKW+3R4TPxjWJm1oXsxE7hhxV9utkW93MJbXwIzIJl1Db5sElaHG6uJRVhmV 2riXDvHxsI4drsvWelR4zTsmwlmp5rxwe4uDjuYXN8vb6BBX4shqzzdRtDVJg0gjjEl4ntGpFyvy TYWFk4RnYgaS5WPXxzQK7vN1aYKpwrGt8Mk8QH9FhkSBWJRkVkKlEeP8ylpNEi8QF5MwYrc9kpLh TLrdFTvmi850PBFizIjCzIe8JTiQSFev2g35zXIhaOCc6J3pDJpcqkVgHbqgwS9GLCCaR5r42kD0 F0eRcT/8hX5HDzWMfF0029sD07HpNXCiW6xf6q4ymOSpvzmaHeKgdWLILhwWw9qot/v/DLw5B5Fg pEcvlxFCVQU0BAWF77ngo7E6eqQJ/heIWwxFCtNv1XotMp0oEwRc8nWALsJT/NBtGuecBalDZqvd U5jvxJZoJ0PSXFNUR1smkRiP+LDCuvpMspJRrOIIMy+vWdggxjSKTpRxNy0pzklIginNr4XKfVeQ 04pOl6W93AO7688nO77Ohce3B9v4XH+VgBs6cW2ef0hB9M0qFnkBrfZO3hkn1qf+iX8xN3IwFbDx MP1OhK0as+cANbXV/W6gVgH5KpFZFtk0FH9Aknvtxe/HHFVi6a5jnr4kxCJRAsxecW5QyWRR1BjY LzFWYXa+h7ZznyxaMjsiYtpiJy0T/xwOqgjDmnAOsM+vV22YetC+gEfu2ywQ5ra/zYWCB2vF39Q2 sLKW7IPbgf1vXe+dMB0aLgFUcqFVef6B/KOmC9VxyElX8i9+Wgz06cN1CI8Omvy2tXokKl7A6Aub 9OJ/6ZIPUwj4mNWYwNTzZEvhjc2a1Yz988iZf5Ev9orRLd9IIc4hZOFikRowu32Z5U/i9b7KtlVj wyQ1UxZKVwSAPdu1DipdSzhmBNjXgu3s4MQ43jqXRuOSD31d069K4hcvFDAyQbP89asFG1WeM+cf ZDOGDkDfGwPgaQ1zJzpy+g+U8ESATs4df+aEY5OEpVkVu4OKnbeJ2BL3q/W+Pohs5TRZc91v7sTu fb6L+yXXvOOkxOeqSdPwAROZOVGc2c6A/sfDSfwxzky+vAJFtv7BtTLTULLOUz4sn8DKCl/i7wZZ JaUuJAbN3pLzQctPeeNibGeQNslSJbvwRx/uSOAqtrC3HUyDQHOywjyDbCoUlxJ69aEqvrX3DVuO w5dk8XtAEOkVAwZWv3njTWeFNS3+8ZTHacBD8I3p6CkFLT7E4/yhZGwdS3mtonXUqS0ItPh3BgdJ sNE7KTfKLVCAM0Tagda35FMyTy3eGdlSWl8Q7uH1/RG2RwVgicVA1lh5A2sdE5LH8w2QnAmt5NIz BvnJT8aW1ek7gXQlJxZJg6SYaTJBvMacVXsyLwKJP4frqhAdslxOt70I6qsjY0ngKWQrHbf3/Csm Js1W72EzCOrsA/jejAqIYnxXIwYXQZnMv9e1OF0HnOdzvKuwM6H8a2SimJsz5+sYmC10vRGAKxI+ /PSGrue+aB0NJqUrC9c4ZzMIjUoFeZhCi3kH0aU5sshgPsDW66UtCJIy/J7DnjL0CdFMaaBsKrqL 5qXmBXp3Do1qcsD3BFwsV/fWH21yVUsdIxAFfRWuov7DF69I0tC9prx3RmsC6mnSX4PDKC09uwjx zbQjE0gKbLClLVhOIAyhUOMveWFNPdoAglhYvsqNz5b7kVMYDzIHX/0D1yIEjwYiGdo9EZZWbBiv P9IgfcuvBRxOdq51f6Ig16L99BPzjobIdc38KLJ9PA8dFHoY1WSfqWy3usCdXpGJ2OIgA1YeboFs I/BuuesTuk9vs3VKzIO0kyrUQLKqpQ9cAj34zyeMrC0stnIjgfgSkizwbRLnPhj2rGJCcF9DQZd3 Dnbw7SGFDbotctj8nSVFnDOnMRHmy+R3m/txvGzJB//zokCIq4giUxIFWoiCDXE11Eg1q62T3KQz N7W1Cyd136c864oiKtrACpQB+n6M6YGFlCSshhy3GmubemR5I5sGyf5dSmFyGmEQinLmsZFGg1zE FNfDoyO+sK3tERV1XBeza6z/cqc+5VRqZ8BjfcMK+n9TXyi3ZMvESkmpyFo3+n6R6IM+iZKeAbNn ZhRhlZin994YVJQHp5G+sC9sCZUxhOmj6K6BM21JzUzArDxmdDHuiUBAkVN0fdU2Ir/Y1ODyAGAq rciJ3pb0DK1MW/xmuKRqpwCmjE486MSG05MWRmpDvDpa+yF9HZHQKOjk6xmAO3fhnBBDlgjlan+X n+G/xTbYCiBILyLYtngPkMrR2dH3CHgBWySgk/Ue1hR5OHDU5ALVxtKacy6TEklldj3KAdQrCQk0 JwFwlMeze3OdmlmVt087rs//JtVDL5RxP0jQdndJzUenHACa8znx9EVsiNb76TbMc3GS/am+tayy UiXpbTO56r1mz6tRJLjUSTuQHctlkksIJ8832ajvykiZ1Nxi+x7JFB/mDVJqlv3QpaYndAcpBGJ1 QrEXorjKlYnNYy2Eg95y+XhGcWn/r7aNEr7E5URStPvYoXeeHnLZszJ4wTb4+TGtMYg3nvXaDPPs yBML5gKgIZZNuzjCErjEqDPGBTOW/kwoYroDd0ep+iUxbKdlbK/nDNGtEoYX4IvkSR7DVes2dKq1 7/zhTGW0DA7f1mZEQx7C4DgQOwqliDtJsbc6AQV+AxYqAfO5YTsZ1+UaR/V45KwUZ1iju7YG82bU i4ex8rqFUrEVWoofVZm66LRkousde9wtTyqJEvKz69RYKdFyxn0aJ49H9aekfUQ6dtscZ5ZAJgJw F2EhfSnJUmHba4MND1A1S1mxzG1S+1XX9GGlfri4fmGp4PubwTWNe5bAqfz2hr8meKmVROBbn5Q8 KkIibBkIOhgQWsabHl9v9lm763pz+v1tnfvoC2pdkLD8AhqYx7Dd45ZrtpHRzQQLxVUQ6ytbzjSB 0OiiO0tCN7aXpZJngek0SGWqMsbqRz2c8ya2LGooPw+Rk8RqSSsHuEsA5gMZdFGtEYbYrx/8QwVW v51A9LPu9u3+JqfEFybZcKiKGf4FDo2R9MA+MCr1Kpnlo1nhCjh9A/LWDxeoLHHzA96fV+h7uFBe FGboBbSa8O0IriXmi8seAOW+UqlRusMmJLwCfyhWyXP63XbuFqXJTgpX5da1VjWO1960eFF9rypQ srIF/u/d/zNGXQWS9LrAcNlVROT8wwxLKXUTtR3oi5kXGasT576H8Kwi8+8wnkRm2CFjFdvd9p6R cXMUHZlC7UXUtk9RBnkFVJeluP9OzRmOl4MtpTvomQjuhc8FE9EtEcAVtF0HtDicQDrwuR21W5nu lDFLNKv5eH3MEllaVYGBM6udQ6zytMwGhhp5YRn24NHBBOAUaeKpCLvXOsyymQxUu0w8N7JXL8/6 fbJ4+fGy9Hse6tOA7PfuBizapn2MUcgmDaMKwnAuWP4mPpRpuaDxiJ19xhDghM5Xm26p0bXZFgg9 fKxK3mLg83RUE5PKYGgBPjELVsWSBAdMmNSOfycGROAnZO4cqGoo8Dhtiev5M1x+8vdd4xkpeLjF 4fp01gsTwlsJgLQLFdinH1R9FYSzsjVBJywNzqfY3ocodMexKvJFEUIRv5rW1RENvC/Bwt73l6Zd vTdedyscP8xoTV6GLWb15h6Vpu9wWSir1bsMQpJyLTRCSUgplmZeKANcR598WCPcxGBQnHmS2zwQ cg6A9DWUYnEF8m1NkPERbMUBNPAh88b+F1eA1ZSrWsEKVvVb6CPCbeGadCw4PI3fUbNmRolAjQl8 3Bmj9IuVBQKmty0Ylm/BeZK3v6O5A3MmTZPo7NbnsyPXxHUgRO7F2lxyWfkz8/WTQFHgDxq4nUKu XG2BjxyX+JY+MOP0I3PqVM5G6hxapIo2xWJ9+ql1VOHMQJLEpBdx934McdtUy/eTB/6DqZOgzN3h 9KXTVWKEpALDbykGki85FKfsGFng4AO8nlu1sEvMqBxnl/z/5zh5w5TZnN+/sdcIcYPFaG3MRH2x 3cYYqZNczDovPUB6aZNDnmA3yNG8jzkCDLqNqAVtd8fpFEa2WnuVpcCiGW3LULtOw4ogDryda5la YIwokkwLE/hGxe3uaJRPuDh6aT6pJbLiGiNkOf3Y9YnUY3zKfF9777y/9VSoNu5rurYf5oxVtEiF hx2CqaJJCoyyTdgX/j04t5Ij22XGUwSAP6VATUAEJ7ZuQKi7xpMh3oOigKm72QDTX5GvxIRRc6Ju P5LM4xmEmPTiPeC9w6wY12BhZwUAglWG5P/DxiL9ZyLVA/F2s/k7AuG0VDG1Zq+zQsUbGUSI3FmM Q7YF+rpelSQ0ors8PM4dsa0YCuC8jun5YGEGo0eAS/dZzC1CCvMLAtOmSKnh0I230cA/zj7v7W8p PE/HioXKb43sFq+3wXUDjtKdiNysHv5V7sI6b5uB0pIc0tr7B7ZPcLabsbRQTGzKdpSnfYaU9acW fzsbt37tycvQ/WvHjFHryoL8C8XcexPvE0Fv4JLEt6Nlw71bO6/f2Udwhl2KVhZ69e7+2uOuGVZg 7aX6+5+PNMO6G4ctO/SwndxSvzJfm5b9b++ofhYrokN+BXvPeSyV6AFylbivmaqzZ0L7SlTovnkP Qi0NTBtzV7OWSI4m23Lid9egHtqEp8Y7B7vGKG+xeIgVDOecPdqxH2AmgKNqhKi2buTOsdWNqqwR RClBAM134mzc/YO9s278csqp/hkFp7Jr37xt8SFn86NB9LH/pehoTqkIBgEW+DCd9gkOSiQrA+Nf i4DorQzpXGBMPJ7rJ8fjRC+47Ym4//kiH1a/i6TxEAO85I2VNCSbMr107x1W7GBvABt3hfpR4o4a /FkMtpggLRPz4jydmUoP6Kuvs/BdTUwgGbS4erPhJR1WKjKJzZa7PrBf2rcnQCunrrZostk3N0bj kOAXmmE4p37ZE2r2wpZsrl+kG0D/4/9aSbSwq2WbnKVbpnC5sS5pO0skeEhBzJ5hGMke6YkvWtRb 6OO0AioPZsv8t1gFWnyccFJpgyw+meUFoLz6IcY64h3wUVxX4LwQ10DSRbsoZN0OeZw+7o1Gi75I gfK0hFzgEfHxt+tNUSO+9Eh9vuRbtFmnhJs5dlcY9FY5FNY0SlrKq08VI77JfzqCbEK6kin2ui2W i8IuUBqPAY1ZwDYt/o39NIIP1sYjYk5LCpH5APPQzTobzoXBcvyRWDhanYXlJ6i5vRMXJUOqMDh/ DPgNpVJEtxYAvV+plfK7BWNMhY1M1zFsSoEBVkSpaVj6EpmWimaZqaIe7TxCDvB0Fdtsx635ZS/p rWflsVtuAgtouJYB43MhzzGEZW9ce/ZW0xD57zqtNKvhB2aIo1pDgQZGKwmqwn8YHDtOD7WeZXXW qC9YjVCPqumCFQxFN8NPVYzX5MvLnFuaY3rAD+vXz1VsjkwbWARJ6sQCZzIlQEYRtRbGAuCAMHFq Fs078pNzn7JwfgGGqGUECNp8HzxE5zDkLg6UK7hOCOYhMlZm6EPurLmNr8tOOMGiQgvQHU0oe6Hn end5w0IDB//GrGmz4yMECeoSRmwUUtCRXTe2fbnC5cqI4ZQBl7VXs/CiuKpaKf0Pc7Bf+BpJ8WVw 6CC2PTYylGSfVbjMzd45GO7TkTNJXZzXCh1LQEjcFZ/zAPDmxa4EsoK8lmh6URelseis13+5QWRy mgCcaebcXtbND/5K1kdI7IZwWmA/27pb2xNugPLZVSl1EE+G+aaTuhEyxv9+P6e4HWZcm1VhufBp ogLghFd5lFrBL0xNplwLVrus4uQiJe8AJBwNLpJLly3HN3yctZ8cPa5djwykNzR/B8B/2686eVbK dwd2WoTRprHz083oFV/AqZstLN+QoOSA8WRRNSEMfcXTjqHNbbsquUhMkveP1KDafSWAOeUPx2HA XHz/lntVjoHXw8ddXJMXXsKLaclH1rZkydqElwwrlKIwKAZSupJIBod2ngX6jGU583v6a8JPOCy6 8YXfaGosRbjCl+pfMfVjQJ3GJC6+L1dXVTkUFch7017GRC3V9UAmO1wyslowUaN82T4etJNjhFsb NwLN+1jmuJjZxx5zDaeRVhBSkkyxtHcQyy8JMKysig/Q75HkGs1AnI8ktRE1K/lNzTYpaH7HlNkD l94PlVWZCrUHOXO+rsu7vZgbmBsjmFeDaWBsrpLP6aPKQ3NK1z2fALBLbYx3vkQBjuFdyO7CbP0n 6zDi8zCBpJSyM3mD3Xg2kSSKHVuM4hqiSzRawl/vxR8kzOyvafizYjCM8r3iWCgFk7e17bjn38kw wSiZP1nyH6mz3NEOi5dt9RYzz1cngciNfb51gfD2YAnr62cbH2nZ5yOsYQl4wAx34sxw2wSVjxW2 I9SueaM8yMtZtnJJwGs0nks68p8jF7navfnBfp4iHeq3GQk/HH7TyX9PfIYjvcsEnQPnNdInd4uN zzV/XUY4QFNI5hyau/4xmHktOBZo4fd1B4f/7GgytQizdB0buE/NtACJU6bM31Yb7Wqip3E6WHOp GUI3MaDq9WDryLX1f1nzd5uubnEx1Auu5iPk9lLXOhGs9xyqyBfgQND9uy/SYJ/jzcR7nEFPyeYU BP/hiWiiJ/9nQBQOwR/lbaSKc8QhFCA5t/aowEqfZQW3DOSIPNJn7RxggXE9EXmeU+9F2HDD/1Sw bEtKT7McQLfnV7HtarhPFzYCbffNjFevfkQg12MZkb2d/7MxuAi2ZMSvzjr53WFDRfwxMknqCaKm 0HCYzlsWg4xY0hcVNBfu3N47rlzJnv6zbioBTAkJ9XuJ3MajaOaKN41hpSPSvesbq7lO4JdsOMhb xmSCAi4RIbHGoeqIhArbtGRjq5oZ0OTj0qqV3QdBIflnQyjWPFrAsX/fLR13RKGnHc2ocPsK92S7 FUoiK7wkx+8k+Y/epb2pqat3hHIu5jYBIXJNpg2NczxFFzSDLvf1FjVWg+MADYTK3Dv/2AAqvkjk bn/uwNSAVsNTUBSJ3PZOTZgytfdg3CMzXFT6wXBfF31U5+TpD7kRGZWMFDMCcPc8usGenyAEUW1u CWvpPOzQGU9KHXRDY8gX8+PCvoLVyq+LpPhfSiVsRQptvPG07v+mSbUTBgNUHihOzHjVatxF3USx BC2ezOzqfGX/FLXN+qeHwu/q9oZSaoxoLg/7LUdIJ53xXpgA/SM83aQ8csG3+lVaaELHJRty5gGj kBQenQKJfmOPT22YMj+PWshh8/Q6KpaQbOytFQnjK+/iAXzvW+NHD44u6Rzw4H4rhtoNuW++u5kH amNoesZb+L6GNaLNGIl+cD7bC4kLO4xzgjIOBDlwIHNjq3NLzV1mz5QfBpiJI+jC8Ac02PO89fTK RrwoJ/XhxNI8NM7YiN9PPTW7AjekKoTMm5B99EUdNEe1mBey+c25h0GnxPRdlXrlU/lJPQMra+pY EWT1E2J7vBg46vRHL6aJ+94c7C6U1/sONx0ya26Nu12TJmkhW98m7twplHnlfJIgytgr5mrK1L+m Ki9ffCNRyvR2MvBd6wnTtW7R/eJXgqjsXaESn+oSZn9sbsEBtuDPMNVgZzXYZWymD2/Sp/BQ2n51 VpDn0fW0/p4flOra+x3wX9QuXEsoJWQfh6hmcwK1pEkeqX1qAiwyDO8lbrvEJwLoazYyY+7TnMs0 gvtO+37vwjH1MBLUFHLNu/GzHS7Dom44rVhUm7NNmpi3g3AS5UVdmIc67X4bVn11xP1WxGXKhRR2 /D10LSUR6DnV6efOKofOXmwq0j9vDweoaM7C/xJCfDT8ZMlrAp1FGTSEezmfGPQuhMGcfSZp59ij gQN/dN+gDLAv+M3DVtcOY9bEbIsfIhwBJV6++hlqPzI4F9xJPWHVqmXzLvlqrrXQ2ggP6aMz44f7 HfzHFFmWTErilXUVRYiCcIUh99k2YfemsTNXJ/YtUOFfJffiXBjDcM/1SJm2GrsZVV0px371hh7W gwpqealq7Secqwce6rB5I+hQXY/Y8sVxG9OvhOk50/rjUR145VLNgVckBh702DW3rtbVDcVMrZNc t/WkLU80Is+df0FpXvlP+ZlDOqkF46+ZcZ0WbObje2no0eLhsdwR6CTGRyBjR/uR28zE5JOVTQFA Ghwa7/O8dy6ac4Q71vyjU0FgMLCqkYMJJeu9aEsjsgIoDqMi/7lM2aV1qO4Wm5NIEJjzq6iaLqRk jHlHmva0y8GjkWrwnwDEeGps/eREjI8Qklu364A/g6cne3zGeQr176x/hXXu5keqx4YJ/N8O5YgC o4zhZWfH2A0XHlmzgLL0LKGIKLV72sv/ptxnGIKs2GRLMPBF6imN8EPpv0NhaS7wXUoiYmL8iYXw ii0zTikLrhVKZTLx+hAA7IfbrHEo+YuRQNKjLEp685CIMMYuIHHtX2boiW56Bx1HAaTWS2+75Oem lO3tJYO1tDR8aVCXLS3lcWK2Zkn4EOa38BQpkiAGdGfcWZnFb1Ck7kz8QC3m6gRIQIw8aTDnmhay 7R5wgYFr+2wNhtFj/d7kUZ9d264TJ4SW8CJDRjv4PsviYFjZa63N8k0QBnIRvTjdy4IKtlshob5n KL4T0nbwq2qmjHauQ0QOY90W2y6RUdWYDsLqLFAEfZPcVzz23RQcOaLkQP5awb1asiUOWdDYOLm+ Ssw5ICaUoJlLi0KLkX4y+/h8z4dFu7PDtOVQunZjyQLPnR2wPlhOVLV++G8TfxPFeAnRlgXZnHBG haeXUuDy6yPzxiQq+Ufdds+RmaZvh6z7HvNS8S33qQQ6ageXS98UwRyswl8RbYZ+HZ6LkcCL0Omv QbggxLU8VkyDYzOPadGoTqelj9EvIz89DufzlMcMjp7nM8hJRb0CcsUpu+p3ZNP6ex7mS8FRdPmB 46gjDvZD6EBVmJcp9yl56GwrwwAsgoDwwgjdByoguIj3g8ovUbIkKnGzWMUNBssFJg/3oTbOvpxS mqS2QiKd3qsIKN6iJJxNTQcQp1QXrdSExQtrohplVBfPT3dKot8Pl276ypFT9lauAYm+O1Cdqvp0 +QdQCmmyVFZ2ni4/gG5NEqNKwdf7+TJi0ujDgSqusxFLlDXDoOEAdLOlzNIIU2Hmw44i62sNxpET eE17zVPGoy/pBgEZOK7/hNciWZ5oC1DQAph+yvdzED8r/qiBhsIbjXSZR/VFGyAE84nqeA+WfMzO W90ApPxNuWLZTGRdLNa/yfJi1VNh4r2w3oilw7kj2Q5Oa28L4Cdz4w/UxAdKqHI+HiYBLLi9inXO PBemM+XcxM61jpqIlZdn4WXchMjAKhik4oFEU1IAD6Ds4aB/WZTZEtA6nKmr61RJO87ou2HR010f 0+T753IKrfSzU4zz4mN1PGfl6pTAgxJhqvnARONLCTwwyagDQ/DQ3n3iGDqlZtp7eJirYKupK8II Mg6hVBMvA2OwK15wXd9llFfszX1zupBxxfPjUJXKFaPiip7rvineK1bgMZIt0OduXv19tmplnp3S 7fZe6axK/YP4SIimlic8+W4oGyW66h7E9HwAxApP+mSgbo5d6/Hhje/omi6h78pm7bbgpN11/Qao y/klEtWXEJUyKCB3E/OqcrNqGBKEU57pQdrTMJaTKx/eU0ayrnXDuhIpkcW4VfQzd4kDoYXs6ymh 1hn3A5nSUG2YklVX3p59UNiPT+Ligs16RDeZV1doQKeWkV+7rU29ycAaTEBAUl4Dl0U+MVQ5+e7n NvUiC4LKt5rry1DhLywAhRWRWxyy6h3AfK0ov0KMiq+PzIn1caWD1LwGJxTN/5T7aBDEftqfcQy2 KVhfXJDSGnTUwCl8XpYHpZOajruMSfoSOJjs0BDye/tZxzRmHz9h+c9/2U2YLcXZrTFNBzD03xRw HSnBrVtPzcK/aQJuZ7T8gcKHmo565sua7OvEmG6Am/a2RkhFBLuD0cEj2y05OBsKAVBxfa280eqO v8WNuGgRECUYprB7DfZwQzysSrpaVkzzPSqG4NjuFRBaQpwWkq7YhzkKp467Y1J11ExX/kefzk9C qcdv2v8yfMbVsnqgVT6DAuh/xch5MgQHVx86hGC465QS91+o22w5hLdC5By8gZizclaW3S1xKxRb eH8nwPpsaXo6l2Zl5owCQk81FKEm01KnJyIMHbfCxjHubX/8MOyEhqA7RB3+7ONCgET6P4UJsJqN QjSuFgOSqwPuJr85DBK21mE7IBFs8BiPqw7xGrpf2m7GCVvBvjr1WMLyaCCHfiR5qtz0xSPvwmJK FEXN5pDkXhIT504nN8c2bJpJ4wcUIBrFh0VNgeSp3MPYsSA1T6fPDscdDWIOJyGglJ7cE3GMis76 Pva7lvRQV38+cyUhDv4yGASTvywEF/1gm43o5aXSpyLtSa/j0CdJOzdUckfaPTv2zGQKrP6nQw4P CHb4uPy4SygML8CsYVEl3RaGiKNGxXixv5FIdf1w6tv6KYjNe3vZpqCXROQ63SqNCJw0FeCsx/vY n9fBH87BJJN/OdAxGIUOEMiu+s7PnGSCR14/kqN4uqXffoo6OBA1J6kDWs++gu6jnNca8geYmOiK HbEZq2Su3rfPa5s3EQpAFypVMnAmOlIAHFaqOTCo/zCjm7jHSX/A9YZ7JMha4sPGN/xVmv4WzyIc arX1ntDE1nYDbRqjeiwx4kXLHnPbzdKSINrRD5ilKk0FQnxiKBttCFvgq7DtCBCYm6QWQI4WW2oq OfTyKsTtgYrAGJd/wqcRQshNIRp1UVClcfOkiY91gEIM1eBKBLuxPSm5mCYXfKdAxPz6icTzW7Hs fqqhRBGksoJqHfUAuv73qIvtx+tqKByz6ZeKDJjlVQbnI18BLt5QQFz4Jw9bPRg6GlMO+m4hW15m uRFxdLeWyBvhGccC5lIu9/3PKxLvahI8bYrihwVxw64sQgSfQBd1E5SMlsZjkNa3RwuzUh34G8NV ZM35qjwz9siBNg9P2R7mLKj58VRIbBkOwIDDK7jClX9EqMT+OV86z3Nk5xB2dU5rmM/wgF+MmDnn uKWKPERSlEY0c0jasJDD7Qzn4hT7KsyhXs3P5AlQXIc2FtIT586rILiQV7wpllJUtOeHC7Rz0aGN VsjWpB5bgUZcC6S8TI0HwglhjBhb4p9aq1wngn12MhnTv/5iJnDNJluEdAWk7gv/gLDqe1oOSDHL he/GrXlFdCa1NamkdhNYkHrk+j89HgfGO1W0SFNjleksQEBZStYMpFDTzpJSGLg9xNaIPWZt9Pm5 TvoaU2BsGmxK6KEN0ci3wBVc8Z8xdLz04lTqzaHLBIkMUB3Yl7UMQUIfeiHVjTqhbFFZJ4YoMF55 jk2lT30WIQ0jMIPerLbJHkME9SmuepfSboqN/sxajUjw4P4633PCsnIGMgJg6QqwpoedZ+GPakv6 jASqZ7NCKjzCvpXmul+jAoWsgtg90/61KJMy8c+ZP0R3hRcYKbJ8Xp5SM78eDMRB81WFGFnFsuNQ mtr+cU3YUSrp5lyZvINP3ufYVtSmaNo74fS1RNT0GyM8rjmojt1MKUNKnH7yPynxrrX/gQK6O8Dl UurWZErXuNVupGtZSlm3ihdkaWR2atFHvHEKSvfLoWPtX72bcCybefD0ESeZ5N7SHCuHDSaETjJ6 VY47xjnuOYb5YPVVq21suzsV7JrV1UuBtcXdHuHAmYDO91PAGYKcDWNNI3RsCE5F7VSOZCjRbjRH 38DrD5Prn0dpID8mM73T8mRDvpkEv3fxi39Q46stUSNQYikxFcg5EeCWS/rL7ckgrD3UxjEFYcxn 8YLN13+prH1Hj8bXUw0wmCyYvpwfr2JGQaJtHP0EC8vNMuazICWKuCU+q6DrVsrUh6oOe3RRBgqw uZUgcxTfErFSdDzoT0yEQxGkNupGpKAda7nXozpBlc5Nm4ZI7wqpepaninP6b1V0scwwBbEZ5aQZ dHV6XYSnK+VOvnYw+ALaJPfIiQn3W2/bDYWpoTVWq8bVc2ctmE7yjPmVVcWhYYdmTC6YY4DIiBIF sT2BVlTEApVEA3BxHfhaTNzxccFPWEFEDxUrhbDomXrB68vzyDiWNIOos5dH1JWSyOi9cgYOBRIQ /K/LITVZjVErBp88adyYYt+wqsYXC9HA7voXUrsMmM3fLIEOLCcnF7RV5TWi79jcORy5OfV4nkEM IR5QcLiNGbY/YTUXV5JZ7IhHknsPB2eg0w3xXTgXXT5dnol0ZTQLoFXKrl0DjNd27f7qeq4afZuU R+5HLBdesUf4TlDc9ayaK3eapZiqkXCqe6Etd8zJM2zeTLBQCK8ED5Nj2Xjc6nVUgTqmgnDYVWoQ Uhh3M8217vWdjis2a7Oh+A1x+YwUcQHDM3tpcfaGEZTW9weigsld7DdKgVD8ZCTE/+5lqH9LDsUj BMwGka1Zt/sJtOdQiUr7F76ycY5eMQDG5l8PARZQkWWc09HTYAxU8wW458SivQImPRo2VbldDywM ufRcnLlsuX/kY+JmgRrEU/kRjMzHaT7R26kU28JcG0fA+J8s4sjnt0FEdfmP2rNoOILMFfcNXZ7q l+RxGrtdL/3hZs9Nv3HwxFaegQfVk8xT9CNx8KsCQQ016Y0Jv9NpK1PzN9J3T3kStXweHu/rv+tt jarHBQdY1Y3uSul+YY6VqxirHW93jCL2yDGafsgY51+KXJCkUYj2GHBxd3CaTYXTPZcW91lerQRw GcVtg0ZbpmlQ+6xUzjFikJYKVh0eeLSHP/T3RWiLeMK9ZSsbfal7AY1/UFi31ni6Hytf2Hr5ucdP iwMRlGZML9AE4VmrrbIkkkyF/fbyoqvpgJl8XJ6lOuq9ZQBqJvtK33/QBM6t+2JzAhXBp7hXPoK5 sieR/G4HkEzp3MmiBOYQyWd+mOO+Di4/Vtx1lEZzqdfD60utPNZ5ngmB+cdLt0CkELn16zcta3ds 791UuIlknQkI8Ia+7lgHE73xbP30ft+jcFTBwehNeYb5+JeEoet6+yrqxGQIcMxmrqXW3sMEVVxk xZu/oDlQzf9+wgQnJcf8RB9SzLxiPrmGEe/EdTNiYlW9Yn/v3I/fH7ABHltSrW5OBl+aI1jOFasu XXQdYfD1bck1sAnPsmN/UzbSDjtGjS0vdtQe5xSzq3FO5KuThtIrz7cfE6TzswwnDsK1+R+/yId7 0Wts+jRrKsvq6LTD6KxkWMTOOdz4U4gYQ7LZo8HF5UvZADkG5l2UJFsG9qeTHm1pfD67+gU+VIJ9 y1OKbNCbcnfSfJQZ6aW0e7RnwqCH1K8S5RVhq07rlNFpOcEsC7IFtjnchZvZqfOw99w9zxsJIcOY IVSRd+0Y+nfz8cnuprsDjwslkvzKMbrLV4/srx5taAP31RdpUno/kJuIwEqqeuhTpAQcp7G0q6TZ olalWpR156lfZ//GMpTLeMkut8bCD+CnDn5nCHenhXTjARlF5RXROoYrpY3lNSTGxo7OemTk99OR lbBGL+AZDTreHRuImpYoZvDoUVJ4HGWlnxUWtzIo2k27+mwaokPX+crBCiDILEo9eAd52AvfNpkh tSRChINfcpGW3mP2oYKUX1FTpcwWEdkYbbaV8TMEFahb9k02LAFAjM3J4FG4VsyzdWrOF7ZnSz7e DnXZx2vBM0Xhe9/6HVa8mp5dcQ/qik9dgtJD67i+bKOUk+q/mzA8Bk1NfAD1I67/Y/GgcfSoS3no /m8glCaM9WsolIoC5ib+clAXq3ookPDzZDprkRsrK0JhnjuIKvB4zJhlze0AdTF3On+Uq8OCEWCg yeEtqMxEd0ffMntMhxzBckGVR+nnENnQPHkzNTNG3SZCWtgqg6Q7V/gLCkefgO3VLu575tgLvyGw 6Q/4HYdxqGONtWUcaUxI1EDACQzlLXnQoxnheAOPL0uxQ0HSdGloYceaRvGjtNQ3ZMid8BWgG6BV jwbWcakULmoSMDiEL9LpPg6qhygFgPTAK18HpAud1kwgck4ROBNXWA66kE5GiKU5ZZejXG/LBoVD eItZJKb6CPYmCnHntFV8FXYH8vpKLjdaum3iwp+Ix6hTrpENcKvnhzS8xxEWtjygo36Iy1NN9Uiw XWJsugZy/JdVwQJwz8rrVuBe9k7jQtrYXwJzVx9v0a3PjmtoVARStLVHn6SGyPZ0teA5+mdKuRaO Oz4KboOKwuWocr5A/IlCMdQAW4/PGEhXoGErpD/jNZrmo9p7fbZLTJ3IAw4JE0b0yuAkoDBLTDbr AlEkWmau2bilfHbKZi1bKBujLGOa2KPeD8n0vEzyLfTfISYGZYIsnarzzy8WXFpxFY0y0nwKjZgm oX2E6MJ/dmFlhBmK9sUF1D4NgodtO7Juwj1q1IIZ5TrRUlZYjm44XKW+vCKwHS5cv9gZ3WdunxI0 k49wkU9vRbNBSjrM27Z3Ecu73NLmLU4xR0FMODbOoPsLPH+vj+q0OpaO43I+oSwCmfsbVWDODf5W GxL4d8UdCRhc7k4DveBAlEUKasRQc64hAfvZAB5IqqwixF6C0bq4sSje6O06lwnODDuwwlOfWY2T 9Tr+pS3TTRjNoO6FiRxmPWXGGFeyuiatiTgG4pvvuxL1N8ADw4UrS59I9/bOUrkPoJrkwtvnGb8P N4VrAayvmUberZulYYMVfPbQ8ZH/7sLRg9jhuEVdzm0suAEBHIiCTKZxiHI92hxBTCDIm77HsueM zhMnxJWhlSMx0WYa4yr2Q8e8n2rdKQucXDQb4Lp2jcpB2fQADvaSEYUsMnQIaWZ++lCfPCTjjhBz vkkPLGymsN8+n8yzrZNdv3KR0bfHGKzoF/OtemS2PMtkdJhqIE/6Np8j5ylq7diRKi1BlDQa5N0h cPWDxSZX4Dl+g2Ly07xEP7FEALgV/JZcXYQvkmobX6obNjCL1CdLioVpJTipTp/F4aZMTmBS6WXP PCp8SpoGIh58t1KR8IFAHD28QbUsJnw3LtYyqK/zI9C7Lni0cMk0fntislxD58cH4ZcFVh38MNVs 0UOWMSO9mhRssMevgHWWNdQsYlYfquvvB3nKpD0iitjM39r4eyqhKL1ySENrK2wgUADRY16DW8Cj Lv0B0vstAEb7GoDRcTL7/LoO4BDFBFVyzkM8ELezsphsXGyfGNh52C2f5O4Mgi5pjuu7XvL1fpGW a1S15PzXX07ZAH0OIY4eXMAo+h5zIqudIAmTMtKF92co9mwxmM3i3QdASU+SqaotGSbZSmv3iv8J YvcPU0lpKNYJsCFisrYQAkJojWYScpjx9JWKUSV9N5VaIsn26adqQ3dsDd4+2AB+RID7kANp28op OUv4AWuEAlZzvnZa/IpfXY4nFgh4UCgSD9U3FHOfG5cmBlM1buksadr77F/dxF0hGxZiD2AwOeih u2t4sm//x5qrHa6MQhGzizvXqVyG/hFyqfb4SE2Kv+lhDFTaEjxyeLBKCIiDpN/H/rOFqjpMqVN3 kGG0sTDpOEyN7zf876oB2JpCunJVfOw9A8VrVgwTd5G5YU46fN5AzzyFUmt7GaDlNOwUUSnx2ige Oq73QzvfMPJFbUVKG9rJybNNwm+1m6ZKsAj7nCu9VHozL7Ew13VaEeAZUo8dRhk9rpJAQbui0zMm npjbLQhmtDTqdKhxThWdNN9HmIy5En1VxSu3u5D/drMiFAHwBjoAF/l8sTCuV1C6HQ9Aipo13VKv ZaIQ1QSMM9JddIg9fcZK/y7iRRQ9WpZ1Me2M3rLjbODbWvcY2uWT8Hjf5lbJKEKBTX2awIvdmWvz 4Tkv8m+1KYz/0vmF+0XtdTKL7Hg21H2CaXOGK+OcdF7KPCRpYtSaJJHb6PhbBFspJTozcVF6sRJ2 JBl308DD483iC04+74U+nCGn+bdXziBhVv3dQO/ilh2SxDF3xWYGZs1hPsWqYE8yYWWTr/8XQbQa b1L8S8mCF6SgOW6mzKIH4FkrowoJ9vH2UaPZRGSZXXDjGftDz/7dtSn38DjEUl4t7/O2hMFYhCDf QHKwFQd71CmWbC2Yi5wAOouixxQ61znLDPpQv2tLE75wSJexozm6Zf7056tW372tEn4fNb/gaSsu h2xQUtVuI5rqUWV3NoFsj4SCEETBAunrKWz5urlPxhcVT5qD8CrxarUKAXQbeWm0pfpMtFEPp4Ft D6Dhc3ILlxyxZg47j1ZskVljHnczCXgSKbmPKFgNKLDrUDy5fHLF8lQ2axBI3U5XN1fWEQX5k35y ROkaVI4tOMByl+Y1XRpDN2KhqeIQe3KTlpM9pPlrUBQI3mnOz8KBGSwQ9vVnjs+d8a4IWqSDjZpQ Iq7I7diVAv8nzoHAJXp0Dwd5ozS/FK4ahr/E9LJUv5Q+6L+xeUUBSsJEeCD7PxaEckLj2xC6Lmp/ 2j3WYy8XoQFxNTw9kMotsT8fv2VIsZzTzyAqmDZPwxu23QaG26zs7qwUzHYcGrJIlUzrFKkR9hL3 0d3sHx67RbTWlQzAGd5uF7ynFKgbJKecv8ZKv0S/x2OWz+2TFzZww65VljbPtTVeREu5J5NQDVTO mNEgZc8XumfR45HfJmVGjmCaavUJoq1tO77sPAu5JhHrxDHZFntysPt6mDpHacwld9yzOVt1MRoW c7oWbwx8mxkEILOq7elneIixc2msXVfJeVAKMje6a7vm7skroaQBB3z0/Zyglc0ecw09eUNAAL1u Yp2h/Asd4WOwJ6i7TrKpBuBEorEAi91ngGvaF0nV1GWua3F0PQe5pjPEgyS58mPpTY799LgNj7bk UJG6a2de58NphE0AKRHzxWwHjC4rco0YxrwLuzDz/Xc7iVPJzf+ftp/ZeHMTj90oZJdYrymcSdH5 0wCHCsm6YP4k1s5xS2TZKsrwMD0Ibu0tD5g8mGYWGi5QtbfDkTJ1h2WsUYbJ1wkzKUs2tRBrrBu7 SdECPIW1ZABnFBDcMvFQwrgw5/4v3L/9Uwtd4zus8lfBHopfR0aItO1K94rFtCDJKS94AkXXzPVr JBQ+yrukT704kl/tBO/bAPatgIjAIbs5+/+tXXDoE0hRj0xFX9NvnGeNY99AB/6T8ZImMORyw4qm YtoIMwFNP1itJevqvAvh3Xn9R5h25SUZm0H9JHdQQH3TYvTlLbwLQ7mGGM/I1AD3WuW9jJOXEJD+ xaeaWZkpA7e32DtLAtjB0KKGxI1S6nhp5DbLHi07KDqjgPpa0vdOCehK10gEng4uA2k7FESWhlh4 tUp8Dv2QZvN6yMt2DdgctM2cFUgOUMr8tYPWPxCfYdXf8VExmQnS1T9RyLRJwEpX1Nus+epe2yqR +Jqwvlj4m2cDZJqpXa3vGyCcbvD6mqe9dx0Sk+ZxTDqIs9CsR8GPqi+JwuCGusiebuOnm0nI38v0 Q3y/EIwSibNrwYrC6Cc2EIJ87RzU0NERM+ah7hOhdix/i/uKrMIkxMzJgYDx6kcCZKg3i68cWL3+ 2o6okmdQQ5DFwhgKf4siRnhe5I/utflnrYat2rfIZXTq7+nSQgIfghc53h4s9MjvBa0TqKdE0Vbi tMw7n8FPT1V/y1k6zlIVCk1pay8fRrLegxbwXAvqlYMD/5DRj5vCeTNtQGCV4+Wz17GOOBUJTzbb qUJD5WCwvk/bs4IFX15/pWNfVnE671laTqgZRsnQYI99LyHNwM2o9DgFAdmRdCqctRjtk88NnnGm 7bzrNuMm1lRqNkYronU6hMx4NryKQ69roK6Vv28mnXPYDGbG2SgmN9Coog0k0vaekQ7DBnp5XxDS AQE21DScPWwW8optGvEjspPArubOup/6lP0lHoB40HegSkk0Ylg0YJVfYeyyuQC87mafdOCOgQgg VBfnkaExYo0zU03/r26vA78aZMFHkawoRmlywDrGVXFxBo8ETZ87rxMDCRwnEP4dUAxlvxRTS2W/ i7yVBicF/HDrsFoEhZnzuCdUWjC60lBNgato5Rpafc7WJdr6NJtX3KhMgA7saAEu70AHOxxCnYgE TT+Ohrm1Td21/L2focjBgqdyoZBECITxoT61c//oKubx/XhdLR/JNA5CpJSd0Gyew6K8Z1P8aa0p 1S+fnsIKwxJlVaovxz7vQP2u7GBUs9EL0WOMRgjTNU6dx4j7j467AJmBupeWdjVW/LxzMb8iN/sw c+4femc4gJMSoPwmlNHhFbCOosT0tlLBAhyZj8BsHmMmhqYPivU9bIh7/CjFuJrApteh9bFtX+U+ w4bC+VLnd93Tduizpy7iEMWnS2mGeZy9TM8cCM7sQHmU9yQdd+FhkR01Xe/GDdBfZLhcH21ifEQK RqVvmxhun7qLvRf2KpBN6dCL53kueno07auIAwdOExCsSGrpG4RylqYQ3/WTnIiI4lftcI9BYNW3 csaZIiIp/1fbjwDgtOM6vpsRJr1NVCdrfgB+x5dsI1n2NZmUSHo9HnsqmrNI2nm5QmDw/dPwGXge 3UAiqEzQCENv1H+4YDt+tD4snio3boPncnfP2/w/bwoRScRK5KvKPf9F0OvfDyFJiAjmb/swKG4z zg7bHxUXuy1vcFsvzGSTqxOZOzdeLUFBWr0SKHDlfA4YWbt05Th+ZInB966aVHHLd4Zax5ahwsyT L4WbLqzVs1OZOpbsZ6iyg8TaCCxOND8DGDYM8M22voxASRI0C8PuZVDq2gPsTt51nXBdcS9XGP9C LbCQiQjQT6o9krYXz9MGtFOS/HePtrGDL/IReMSxlKICSDi6JFZM5+Srwlnu0BbBmfirGun6Plp0 6KkqMDYta0DBX/m4QbEbyfseBvaKILrOd1ClqBBpB+HOESsNsFE/giroc16HmatvAHoe56QoBy7D n7yH9tOGeJ7oQMrIMCQ0wZrgJegijQ/J4t5wHXGl1RMmjXJ0vEigNgZaKm+zp+Qd5+XmFEkl1u/4 34+kuMKlxitUkpKcGmtF9fdp4pwOwNx+80qPyP2q7cXcFgCRneTDsInFUry5rSkky+9z7qYlszk1 CdULeXLelS2WiSS/Bh4sEFINkTArHN+aTD0BIq9fr+NwTZ4FlH5sZ3X6o67+NxkgZPo87PrInjxj tZO1pYs/dFtyZ168sflcuzmWFH5hyPMCuHk1PZqfwluvDe/fgU8ohvhQKU+GK7rLAMLxbSNdNcBj IvrZI2MS8Q0tDNo985Vp1P4RPnVlce5yBEEt1SXBZfDXPmWxTZilFl94jMVxsRNUbJjcbpGasEmX Hr7ZchZdq3bsbyG3NyWhyrMDQavlKN5fyhUW7NqXk3pZHq6G2YFxUajD8KEHrcgS+YxvxeqW6vgu Vpp7qa9ZM9DBgAJWPc5EzSZd9/kbZ/JRxaQWAoG5TPjPqxM5Z2MVlfVprbxjN3BsKYhEszayTm51 qKp6CSIu0QCvhMN384+zzJ6ZcDzXmPXch9Pi+zaomfNXDafmUdUxlkXCGxkYaR5BdVMcahRdAp7i MS04DYG3bgwn1iz4dJAFIuIicfuKI6jIHuh+o+jwyH03u8VDGMiICNpoD1hNAkZGf/n+L8DyDCqd y5Qw+hL+T1Il/0gWqOhjogEXflVAfLc/O2J4oW3KuCO+oBjjV4rV7V16ezAFgkMZQRGGXcPQJVUJ ub73T5kc9DTARpSH2PTIGJIhhZvQQhfpWJSVMf3U/bVpnamkx02bCKQ+e5acMjshEwVMQgY0DGqH iRnCs28GA3IpeXrobbzuUYyThsj3QPNq9YimeDEZallk7fSM8gsv1PvRZ4kSYZ8DcOWHzBM/rzaE fsjPMgBtlWzrs8JbGK+2QFEq24WhDUKLUXBtfekeeXPXbJsGlplKOZt3ZLXxJzflotSG+fx9yZ+q 6mXH3X71G5fhg9C3uWJvkaaJUiBAsdXZPh66Zfnr07mdpAkMAKeNc1KvK4kMwbPQwYwzPg1xvNUf Cd5vvFZwI5d/y5ED25ayMJ3CuBdQ87cykgkk0a6FAJj38ATvJh9snrMGC8eE0njiamd/elLYV+BR wT6webujvfKui7raoT0cj4JrOtLvyLDkaAuSwr+SgBxVSfJZHvBHI/yGxLg0lA/euCEmsibTwip0 Ty8HtrTpUKlRqCcKkocCn9/OfC+gNwXJ8DlLis2g3WvWu+fQYCLMqFDqhiY76DiOxtbb31u0QgET 2mUKQT58ToGsxW9FQB9MpM5p2uZeILKkNqZR/XtQkPUREM9Zsx7j26Hj1CIsvCK4Shu7aWL65kHR 12naGWaBTe0ZC1svSyJKcqsXB46zPU8RBueHtwHYkvWAlkB+hqCbQ7kdIOCitR2UQOvSv07oDoUn t3iiNq3JD9YhJgrJfqLVvAr1Ib3zC4K2qq5nUyfVqwYVTe0aMngMwj4yuyX88SfsIpF3EGoiXVR1 PBsezaL9yjlrJhHt7zxr+EyLBZ6bm8DAqDoCkovShB5ZeaZ4kfygL/dCGbVmhM5aixVitFAKGv/L 0lDXSSU1H1Iv/DGNys4dHXppic4KEH8biMhbt7AGK45f+CYPBfxhJ0Whxj4nXh3zcshBXIXyt4NG bp8qAMXBFHipcSpOHFf2wq5SSj3aOZQ0Ful7UUpUjf83B/Gt1elLqtdB9+tpAcS6CCOjklKxSni/ D2yw1SYOOa9xSFfma5QHq5e7G0DZ7vnLl0PWr9cE/SYSDhkDH4sT3QJ0dqj7DeRZgSE0t/c5kvE5 h5O6/S9Sd7Pfd0JiF7dFEy9fI60RYmVwQthWz9LO1t04cY2slb5fb0acSJYdIuXQ7NBz9XinGn3+ JQJokaO2EPtpodJiZiciho20RpSjEb1NfiOt2ZNHS5oBuN6T0CPNvr/uweZ9tjsQaUf51AvatUld 1Y+HFH3d8+IQ2Qun6h9bpvNWCre1svNiToMWjMSAqVPNU1x+CxFK0BFNBIwwzq1KnYzzA51YB7rP uNeYW4xo1ESWooxi03mwD03yYyEIvYNm5mOnI/8uBe7yiPzG6sXWiNApJLhCKANeb+I2RNejunyb iYw7wqHpON/RrqX2dUV0uQLfIaVUykqjA2x0CMR7Ty0qGbwilV774kkzjIRTq4nl2wq61r5QrNzX A7LvZDAwuahfiGggR+ziKm2yiY/NDAcSZYbGABf0YbbIj5FiiDneADawO0yrXEPtLA6v2IvluFUx sybHEGwp/eGItjVVemsNLKq9yG9ylsCanaVZWPjRvzd7pn+zVTBCSftcurtvhlcTDI8yOEqNc2i2 xNO3fyRI7D7J38ak7e+JpEZSOWckL3r2+01hjH02F7oD98Qr5DsfvN2H/r09epeLHVSdALyQo8dz Yi2mxjoZE8ZdIayTmyI975zWu6lNuIQM/bYSeFGJ34Bk3Hfy8sblWXQGNgt5IPQbjFrz5Orod0Rn cGEhlnFEnjbSgymQn7apO63t58u7FjjVH9lC/mJ62wIMeSJgevxCPNXZ5jfzVNQIJAONjSV/I05Z lPGMaFcIqx+26sFK5Zdek+8XLb+pdJ/Wbqq/qtHtn+qogJ0+RHzIbQUjHUOQO/Bu1pGyRt58qSq8 s0Ej9fsPYERoJ2B7fPyDRichT6vdcYpI6yyU4N/Bll6QDgmxyvxeujluH6pth8chO6r51oX4Tw/S m61cLGy+KBkTNu+x/UBin3yEuPp9kHALExmJj4Dc6rX6dii1QPk8OOW2LEFeEowGnG3rnsKkH0Jc dYaTo4AkCczkA9o+o+IyT8Tj9HZuletnKVdllQXctTeXfV8POVBqa6iQEq41atFULztAMlHq50ST u7dj9CwfYeHoPAEJJiAu9zoYSJ9ppCUzEE3X+OAUdh2vvt8EKoIzAQr1poi6ETn7K6pODEatWmcz LVI2tQt3Q1ktZXUFQTcMR1CX2xQTfejsAHup02zOXUAYPaFHGUJW/fhE0GL/hhkCrVqecQ2UztaA LEo6oGii6kPtSL2cq342MhV5SRVU1pBgT7jZcfSwJ4EM829nxiMA+mX4BnGwr4cAEk1wwykzdaS1 6LekpYROl3r84tlV1I4sQw3Gw+9vZMpfuh6008nglbAJkOtMCJvhbAmklQGW9k/5MfwYyZhGmYen WYastCZoIM04F9oTFxCt+y4JosjpOLl3RTWyu/AygTa126CID74Y5pWzFKqviN2S8OsYM6r/Znqx RuOk6nLIIkNY1QqdoA5cxaQzRoo28FlHKwT0i48K1JOm+SEkEFF7bsmB/qD+J/il6zp7Gc0fmltP AUcAMW9MqR1hFaaaEVTee/7ec9ZsHkv+5H4edq8sy+nNr2LSfxeQn3vIRtv1A+scbLV2z0+tIh4e oLFYG2+a7lwCHK1eiE9XRB3aaNgbOTiVQhIH3o/DZlASBR6EK4y5daeWyi8EH46e8dN908LJJWwZ YgH7d97/KKkpe4mUvnq7AggN2p02ZBBiOatDuUMGyHN/jWe9GnPkHSNLqy3HlQnQ5RdK6XrBFe10 LdRdsyTMxnZ7e9MzCp+jeT395eDTBeyNMwnfRHmai2A1tZm29KTgrtY8y/U4y+t3cNsV4px5PHLd uJsl/r/ylCRupYYP+zKYpaysImZdUS+92Fg2aNO55SYc5Ov1VzEcW9juW6SLaOctkCkFKcfwm8f2 uS8qvDWWfrnC2a8S7p4Ce3w8XcN6J2LfQOlecfQ6NQ3eo9vHic7AZ4KfzNYvriMBro+zGXvsRPYA 73HAtyr7x7uvavWT+XK/GTrtIXFxeEZT0HBpAzpA0d7dJyfBJ7WHavkythFVHBZcP9buHpYF6f01 80PDyAFoWzYO7YvKqibUOu7qVSyd9uOejd4E+pVIDjZrUrup2vFPGfDBjEarBilEz8yfKAx7R2+i psmThh8vbRbGQmPsYqnHcZR1LhVW54QAAkSR5iVMZsPU4E2gkCMmZEeWU3gMbByOtP2Mv+0qxRMc MoJwxSVL/Jqxha4YY/QrVMCXEMhh/9OjoYF1E1s4YVUAaafJWV1P0LVAXjz6NucVzR0k3SW+BgED 7fc18AMl+rBGD6pROVERABkEmaXO/umiQ0l0amNSYFww8yqT2k287kPBDzR0B7JL5TPaeOee/pf3 xYqjb2YUNGcZSU9pCX9r1VYEKR1qdU1iZCNd9Ct+7BkpxiRGo4bPSmfNDpFw6T/JQc8h9EOO+Dps BqG4izeY1/rAV4DBYMMGDTYQg0n9Pe3WY/JZaJYitP1XfWnCZjzFrXl7QJxbuBKd864bXrhOhCPo yyTKQtiox9KioPZ+GVQ9kQ4ajZbY/p4RJeB9SqIBOMEx2KP6cf0UEqdSM6jUyT/BvJObXaqpFpcH Pi2GLokEaSX7XkXv/KPVo6mWcSBHdZ+YU+ykRgKI6szeRL8gm86TG8V281t3iq55R+yCHR7qNOyw Z1iYJa49nThTs5/p/nFoSiqbmljKEiv1/sVu8GcEuhNaHxxJsNRYuS45mfnzdU6IHUARfwee67+e 4+gjK2zakd+L1GbjRtO7ttmGruQwfwcA5kh2sODugqIzWX/O1Nfp7Slp7ClMGOja21+mda6hkOC/ 80k5WCd8RFsiZG7JOk0RlXoZJk7dtdr7EuRJZ0wc3sfs8BFGQtrZ0oN1Z45kzZsK7Cu8n9uePjt2 /SwlVA6swz9M1oBMMgylTgsCMTF8ZKplJ5vNQxQyDR1LBILAkV5ge8lSQ6jLLZr6s3+BFfnKbiyw +c+llZkWjgXqTCRL8DTs/F34UP8fqAB4lzk9B5eUfmYjcUbPpQ7gt0QAqRr0Hcz6vHx/BZfr/66d Shy3QEvlueBrdof5hxsSl9mwLROQLxPX2dE87UkIVjuGOILDO09BuW6ZiEIb4oW9JKHuO7JpG0NQ Q3QDb4PpndInv2LlE6gNgnJM3BDfdFK9Ql6n9lHiM1uMHxXc1SQoI0H9v5uTUKzqBMrBuJKEXOMo zc8WqD1bG5G4DQ2hY2JstEy5Qo5/hhzhPqFTXlPzJBEF7GJBu7goIr/1vhSBXCdQTNutH3sIhmnQ mZ4z7iFhqSX7N/nltIH4vEKS7ElI5KHXUX7oZVYkrIcaiYesgPHkOfDGX8JsydlhD+lj81Txr9wy CLhBn44QGNOoqJFG3GtccQU1sqkEvZWzTzl1D+mCQNeAp8ILbfOzzUl27LFPVAdJRsf2AqYQNGf7 hJGwNRVTs0dsPaNW8dudy5x1dI5/0GLN0jNHpJfTYKh/Y0AyMkAdCMt/WHrjH9tJA3ISwwASwyti nOEE0FldlhZL7MuqqtpjpPiVZmWhJfKmtnLCqanYdmmQlOT5siu3wAxPIZbz5nYwknxUBOCrHjEd YaNyISPag6f9Bdmz/lespuHIQHdIsPq/EVZyzC8issL3KUYDq30omU/ZH1H1zZUcHQM/TlqVlvoc rI/9xhfxpR5JA5QlqMjVLuexhS+raLhjsPWeQvtbvIKbb3nzUsEezb/CssCcIXI8ALxvvF37AoYc rasdWMmhMMKNc7lLagt3CONprfV2fmgREsXWCkXikfONR3ZW9sl2kmgPD4+Q8QQ0D6j2n5rn1Y7m 6McKli13Ys1otVvzD/ZyqjwKez+7q7FrcB9mQKnaop4As8Oz0A8NsXI0WtTjPOKUBJFCw6H7Lebz GxDJYPYEFzHi+v5x8RRq1scdyAlS8pgB/6VAtTHwcYXulmV26WgbHO0+pOMyQZ1O81+0oxtMMe5w +3dUuWhVdO5E6waGbaSTS+/Dte8ejid7DcSwB+dllohhmDlg8eoHWQenWlUPCQNohsLg7yLaCzaz 4+r2SMoL0NH4nq8EvebNiLcj8HcLZQ+ta0/CL2sokpxQfQzLWQR+EBt2rZRnb2YDupCtCRUy6EuP KMIpuABGH5+Oigp3auQC5ImLkMAlXhyluDqVrRXc7jBHDXZCS25Ro3poTw9J4bzUirylmBg5ge/V Esqv1mk7ux1Oraecvd92my/yvAnNtynMCIpkzf//fxOfngW5LPShLEoUNuE9Db4quPSFBhl4uGax wVwuXnRcRvi9nyhtsgR5sFxmx1h34rkeZYFOCg1asioqyIbJdYfTtA7RFd4qVImdE2HG6sMvGhB/ U9QYXws41d36dN5FLOZfNbLsDJDameop9Jc3jJ8OpzXYlI0VlR6NeAYf0SO7Omy4Xk7jNG692xKu 9eTzGnozfq9SjzQlUgYH6jFzGv5cp8IOw8jJXG5k8oXejyi01+rKJ/fr5TBdIpoiOCIeMDLwzOFQ oyxWlnoAub9yy1aJI4Hd8HvZvMO7axTq03e3SfWk55PyjluUS/p6vufxdpEXih6ubH93YGUMs+dc GqkS7w7FAGjBZlIL2uyeurLq089JKGaRhHbmGmUwLc2pjFilkQrrnLP5ojnnI2U2e79QT6a77L1x Uuy58k0CkiVtrfLSxgSogKXHRVWkrOmtHe4W8v20WgVo8IICWPuNAV+i/uPa9wzXAVwfGSzxxV9T Upn9a/eZFrh967h8pkjkqVahd6imRBs8lmee2u4Tpslslut8rjC+XKKYMrLScUuUPcYREn5iaUPN aN5X2/vIFwaYQx5qoEI1wBnnQH2qolg62/8xZfzouzx5N9cjFrh4UVUFaXtALNaOhc7rT1Sd6uhI 7j7Wi1zb/RZzIjYocS9da90ZKFx5A5mr3/7N0999c6Ac9DWIJy+ws9otUx46BAq+O1O6c6mExMkL iDLgyCEtZN/Enlxdft+MjwCl4RGhnMgE5lOy0gBVuxVQapTrX4w+6Z6VSmQYVpXMy8+wSlzBAoPH 3AwuU2robSzQ/Fvw5QbNTuugFOCbXCQNtEPaxFSQYMJdgvyLX/ioE9DAmuX/75ioUOYniUoPTJUy 9f675OIYicuWrx/axTLysS8E6gpispWwbf3kacRtf3pk1E7PV8MvQq3OBFv6tkP/FK9DRbJc9glI ufx6R39r1S5x2hwv+JzdhnP2UKS7li5qSSFfpg4S0Ao6ggrVYpVY+CNCmTqLZ74c/kqFUN4ck8f5 GLbcQBNyuoslAAByvoesstP0orgRiYnXjMM3PGWsDaBKGMlLePK92G5l/T5KOdwJFCEsME+txOn2 8oLM/TdUI+cBTQIR65DSHphHUYYYP+2G+s4uuThbzoZo01ZsYG/+wSemU8ooRrPBLoR7KZvx5s0Q O9hf9NsDqzNuygL3mT2JSLiuOqUMKSXJBKRn2gcW1bkU1ENg7uFbDFPW92oayjzXXhNrfNWwbI5G YNtFaXf9y5YdmuyzW4zlfpYHXcIV8NTHEojjlZ/L42/vF1XtMdj4heOQHq06LIHBssu14wwRwHuK Pt8u1MOD0F5OHmhVqDBm6r1llBn63J88zI6O3dJiVa25aH8l+vINc/CURksT6K84fA7qdlupTsPc YPkcEsbuovYC7qwCHUKiU0egGcJ9IZru7Ic9bA2XtM2j0wvB3Z5jkCgI0RYpsUhKNzi93Sc3wZAZ St9IbGoV0pXR9CBLRlcYzTHd9dKoKo4wLs0FPyjR5trdo+lcCuAZdF0fFaHhsGApCkEfy787Wo7J un3fjJ/vqCj/yNIyfzxjZILC6ihVGmbMzzkOQnWtNAopAxKTlKIMrBAsMvGOJMH4lD/Qk1Wi8XPB 9v7dfSfrJlgRt7unZg98YsYXEjj1P4GsmMDgdGqXVmts/jDWKtg8fYswfacFIC1+ZCH9fia1iJc1 I9q26LUCG1l8aw369E6+bft1drRVozkU8FcIT4O30yfnUoY72b+IbilJLBpl0xtJBxAxUcyQHLAb WsIP+vsUq1Z7aenFEvYOLMk8DsCcIpD8EU5rYmzO80OnA3BRR3t9p1b34my5aYZYhYzNTlSgkhgr 6ekc4ic8IStB5MvNqkHgDY1qe9c/HskkVdltl+s7Xz3kak1YUmbyl8ukLLlVrPeQnNehy1Fhs8LM f7Jxj+9v0IkU5b+OJSAMGqRZoTUFAX/MIUwxuOeFgYdWp1NeSwua8w8qgH2laU9LoHV7UGcD83ZF X4+CQZhyQAhp6VgSLcHIYkfhh940I3pfSzgj7Obar8YGu8GFMMQucUm5zw0plJFdSHcs0RN1WCod 8DZu6oKA6MkW5E4ks03rjUSNFHKpBCutHW4wYMcIhLPt3BHKn0zn8E8+L94c8z/snA/xWKQZQjJ3 VTY7H07NUWMc38zqEv2HSfHo/GaHSUzPYBMGiKIBWsjmniTvak1nMLji908DghqD8x0ccIo6TA8R b79EbmvwE7rqlGcHHG38KCpsprbboOXbThSBnGjoxw9NdEJ0r/jzmIAPCjC+iH1zfbZa3zCgdXNi AKGiWrDXoNz27RWdwBr8HsSH1S5ZLGZBTS9UE6ZcrucelKibp6U/vKqADNHKUIAlTgzmXdJrkW9J O+Y8OkIKScI0LujiGrty23qqp9LqTKjQdFf7bodr7BETsr3J31DYVrj/cIXLyVhmdKqsXS5kow6G qa/b/XRJy26FhaJ4eR+W5tfCV58Oz3zsrgKkvwhB3AwGYk7xujCY2ceQlmwAT86+dT2+az8LmqxJ BrVa3Chl/i7BTh/GXFKMeK6CUXSbEbhKtdcYRrbuLlnbKFSfnqt/DfiBSxN6t0mpCzs/RS0+mjd5 GYh73mwdIIbn2AKSprJI6EN1WZiDBVxmcZBEHYJ/JAAZvwZg5hYQbDbfBJ+MeuYWj18v903Y9/zR pueRSanxHlQwVQzXNLfOfLMb7m/nYU8Eyke4ishr5MUyVXptYRdTwssiUrQImUiSAQiB3mcQDdX8 cTpo+FCSlAhKmjGKI3Km9XIX7XJFpNL/gtLwLx24QX14EnVVrX4PlR74kEJK4g01Wa7ECXLB6Bov dxSJy6nCl/Cq7qejdGLTcVEi/ZTRickfVx8ng5IQ/f31axzfwL/qetaBiFosqfCBc8kdNPrNXRT7 Y3GVfW8X7ArUx6VnBynH/BRxrDMpBDZljVwR9eMJAjpbtuaWD5X3FxPUBKudTo3H74na/nOaeUYd c6sWpxtbqrg93hQmPEiUI4g/caTnp+ssElldf+BNThlf+KJaNEw82W+dxD+aLW1bDiSRffhGFzWw n1JfDJuLlXg6XQu71fCgYgPfLcJ/n4hcWRDsaZcBKT3ccGjMhSeBhAI7B38pbmUBzIv2eQxoNeQX 1ntuthW3AFLFYUWvjSdet4zByWtdpH/NltyWqddWd91j8Cr3BIS3UiM9p9h57/aGOshTBkcW8tAY aKrSI4U8L9PQnVRTT/B23LVw5NO/nJ3l4x9LKFp1IUz004R9wS3G/PqbLJ+p5JKbzbZl5DBK0RmY o2+38WJC135tRAZvCxWS1DyrhUz9Do89EqzOC7TctnKa9tQ+bkDR9OONJuiYH//vQ2lij2a7T1xq qo18gj3adOpXL+cliamI5TjAHcrw7XzPfZSZlXi6WRNT5a7XREx7RjoTKD7W/gVJDqZRPA/wE9/6 nDHuLxcEqyFc96plM7Nx3j2jLDePWLxao5lUo8Fx3LfX3uKglKkx4lNfsamdChCQjfNY+zf5rt/Y WgToUc0ZClCz6HNXkT7QrZtdj1AMhAG6bXxQp8hWfFcazvPdJB6IXUzpjKdt/ByvsS43R+nmb46L Tci3sT3MOfkCBmbV+Tm3KeRnnfWWxaZ5WYd0W/vRivsIFTDwgsLIS5V+aFSwh2EZD6KzXtxW3EZK 3kYtCOF0k2m0fjNzPO+s0kEHm6ZslA//bwx2N4JvZvtAh0akrRqK2Sq/r/BGilqXr3pCJeECB/kQ TxnHX6V3tapPqMyaiS/FioQrZ9+tsgVvJLNSfQKBvQGnPrp7oS7c5L0cmeUYWQBeTlPvSzISkcBE SlTpuRDAwJR3JgAjHExFzYNuMsT6L4Ys7IuZgTCF50kK3LIkLTwfGybY7y+WU9pwhO/hg/vEA8hk xHas7Leu4fO7Rddohgt8UDF2qi2CXsCLCp/QiobKNVrWa/5DN1dGVYL3EEvEkfrZA4Ipv22duzHC zWH7w02m6lcnHRUtIC4CH4fhD8JH6CEjHIN42YxtPrvMkv5aurpT5U016vCOlt+k8PIIN52cNaCL D8o16JoBK8XmZzPXanOqEJEoGx4N22ybUf3PZy6bT+JfdR8yaNMD+5KLCb0diPqevgXfWpVvhylv Vomvvc0k8Y12btjZH83uxecsvloX0aGrHICEh/HfD6yHbbBaXoWmakz6I962HgtLAMlr3oIKOQeB Ust03vRfIPgH86uPewWKUD2s/+fQ0ZNbuuKtUzQEGQMe+ukmWy1H5PLcl3A2MBWyjDpQwJjIuo3j QaXscS0zAYtqn3gU9Jm+CALitKK5FZ3VOAy7xMKFeAjPLEgJrD/Yv4vSkJF1iz6nCXvSBYsi0R/F za+LAldj6mrEQU47br6hMcO06pruhhzsYXw/TmxUBkjZWIZ2qyoeZtgDVaiY+g4ultW70LwGx2i5 R0Ow6FFeno/aJlYyQ3Gh2l0ELwrxs2X748pPxM6xwsqHfaeOlewrA3Y52RcmGVohLHObTOlesZWv 7kHwjYLvKDYHwF7NEdIwRraxbKEQBqffGj3eeAYWzkoCJ1PWTlJ3OnGMDGZR92RrXDd8Rk5VJ5GF /qNfc3IslGZlfoVP7NXO5Z8p1EgcFhBuBG+0NSWuxsV4oORck75MRnvNWhH/S9C2n5cRh3TIulQi JtZDbd/1n56RkASwINKLUSOPt0xFUhgLImc0G0UCpHNhVr0khzjIY0TUcd9Mb2yblsMbIZrgzoo/ wt1RJzyDRvldNHUKYD8yklT62aV+w0prYfeyU0Xn6ZvHKbyxQGIZ4YoXNZzLS7bo5Um4PnJAth/+ 5GkdqznoED+PGuP26ffcjs69p8PzbcU7fEllAwRKfJfYi9o3GMBpGYhJ8SSTVmSjOtH/QdpPgIKA YgLAMggqEIZV376FLjSdytxuRyYRzHKKiFoBizKysiS946PzuLw1+G3t32J8643A10IbrNpR21yM //8HNbYMkU+tfggMHz2oGQALL1iywtKizjQxqsNMrSNjUeu3gtWGmPTY/sByGLt/+7TQu++/Sc6B Gk7B4/nOECeswC/YN8oaLLKIuasv4gBT2uBHXED/ocffW6n0bjBjBo5zy6eEiPIKMxpOA6S/m1zA UinI2zpE/EEluPPqf7qyzR9xFEcMRp+MN/C7T4GnV8+ii/MDz1BVutKzJS3PiNIrhzFJ3J4BczSe XGcT5ykecqnji7iDkMN0rqVuYlGP/vbMAddioe280b30i+/beXGazS/XGQtk6iVJx/3dmqV5d+Ns tjdbU5Sy3KpkBJ5laxyU+cY2zXu21ir86QKmNIzBOiF2IqSTQWmhGsI6j+TJ2gVCT+syjy23IN7a o79j6I/C2l2mRj/X1I91QdaYdhPvM+fdXN6zPprUm0Z8ISFKWl3pell20tKWqTabgGBge9nqeDga c16IzmclIWBY1SMKtzBB+l5XMprJ2KBX8lKd/aJzVZiLP01vUEvlpxNQyG6I9qAe/aYJ/DZyKKeV G89Hb8XVjdadGhPwmuD6bEXvp777NRJ3JHaX9o40/nLNY8pCFIhFg8CWgWQJRnpViqGUU8IE+dt9 0CzkV3zStnHMefbI1ZiMddUfjwRJI7tVruZ1uy+Vyt4rVb0XT+7iASr8eIdj4abqHTsDCc7bglNE rq44H2VKBXa1TQ0jFQlIH9dGloZSUDv2ABjWz3U+6m3mPg6wc3lR3RQC0TN/QjFvur/NXYwypg5y +2pmDAvBRDLvoeSro7SPK2kbq6mDtExgMSPCrp0II1Qr5Oqf0CormD74mQWHLWSaHQJd6PwAwk10 h5r5sTIN0FMerM9PB8/NN4bgh19hK+N/OZ6EdiBGIJW6XutpM9+Bv5md7o7zssnYssjH4Xavooke oA1+AZTnYNBALEbjx1JgZgfrJvFNM9noCMzdyGkfm9Oc5PSxwqx2ylwAluHKFn3zx/ERU7JaHpTG LRKAiT+jxPl28fzD//hYwgmIrpQDwcLTCGP2Vh4sX1bwaj4nCB7rar/pnVZv/TD7V7SxjwPfkyM9 E5tq6ZVbR+Oj8GiYjs0KiBn5gUw9jnNPA7b4lUiOc99xivj3NqWw/Iv3/rtIo11BWGlBRbMlbM5o /p402YatcVHwDVC/JBJnZcTzhkEeq20OdhG57T48rx7hhonCNsAlqG/zPSxtkrWqfUyJlPzlFHkS oqJirPd72Ho3AenN6hYxpIp401YKqFrfTQefMWtZEVa+eAtR2HM11gJKa2V7jihV9jE3BZzgIThR cP5jyGR+NLRBBJZnDSQ9vh9M81Q9xrDjea8y4Fb0vcovcE04oAlLCEu1mZYBzfENJEjtLT9Oyk3V Af5xAmst4tsvNAfHSoEGIRmuaCgL7UPqozNhng+B14A8L0XwYd0a2wn3fwjMFzfiw6b4Z2UAhklh IPBOtBoKnU9zheIbvYHXfyyqS9IWRPJ7tcYaM2yJCTdOCCARlSBZSDAMLOqOYrMOzJfrI6QfQM0d gXK1HUn5LSptq1o80KBgG6VLOqaNyIFWTZ6MCR00XYG4P4iIltDofzWF5i3nntJOUfsyYtsOQAmp cRbuwILRqTPLxtxXDnSyBwyMwv+YVGjMiEff2PcSg5zhAAAsCVmCNMSAdMHITNs/vtWYKVBBotDv WO8vwM7741RHqu5Yux9k1CURPIuJoPxv1wY+i7gVBIifGN+8LQNN0rZxBaiRuWhxTu5Xsi7yveWZ N/ngxFasIdiJgpQ22hINZqWD6PPpi7H8IhfGmEPclpfbBr+iex7a2+ATTeZi0anAgg3rHxATbQJF 1iq+oCPnIS4ZQXAOW6xPEc4ECxU9m2jQZ4fkRi18EV7JkchQ04YHmPL59mSEZF+rXT6eE/vkpSG/ hleIjtSzjM25hLVi7Meil9W7X8E+atN07c2DTc6079p/LP3tzTxkZZRiieQmXsk6KtnqEIS3VFCs buC+XExg+rjZjlOu9Cg2LmLy8p6LH8BkFBUnqx06alsuz8LZfsA21bhUOCIVqRgAW8hQB21DAHCO 0yg9kPwZlNcigwZfmYp0LVVfpjfqNLDNjYrjz16skHskC3gtmiG3rePY+zQ763q1SZF/ZC6uYHLR cYCirkNjXBXPv/5wO4p0vnFuIn55CsMYWMv9SGHNQ7IRu0GQnOEYII2xd9skBrNCmWExC5kC9K6w ymhWo5/0okmqqep8zi/+R2HivgjiuaLRhTsEzUE0q4nrthYivROXgC1Jd915DmkUQrC4+lsmbUU+ qEWUK0tVOBQVnpSTmL7KCPXqmOJZ9aw/AXBLVnLBYJkjNmuUMpadMW4FmvG9A6rBuIPlI0M9G6BI xE/eUqUG/kNM7p3eN7U6WocSY05pHdPk1cBX8PWzSX7F0Qzyr42hyQjqUtxtIsz8UWF6vdB50mJl Oksaqf+mbdHLUNCBpTDYa6aM1kVq+wyvNKO5TmeBd8kNJYrAToEI0S6Cp1VI4nkZFIxV17wqGcFo Zs+/dUk340QgpjFBGngmJls9pM0m8y4jgoTTcpyrFZTOjro5QnqrhUptLaK3VgRcSKxrMayGLWbz iDd8rTiJ0OCZBgwG+nw7cHEFNj/jo/oVTuJIyvmNgTQU2WMx8U4X//iWCv+GoP0mVAV/IDV0NCw9 gb54Iy5wWmdJFROEHMWGBClPbEdBnNZp9b5ll2Oys/66bakHk7lufs2I2JZymABP0tMq+YOhjfR/ O0lzZUBN2g6lIGgwvmZISxQchkvErzUUm1Al0+ir7pnxwmoZx7pKXYW7sx/uuNFZ2a+QaPR7clf+ XK8wY/0nfoO9iinNEw5LbJu/IjDMt7oMJ7gYM3QC0LVPqGzzQWO9rvCuTJmULaLwz05J9iGHYxeX 9V+asnmcoyXhtJnJBKg8A2d3opfr4fbF5m+yGMi6XCDhBIaUM2AAfeMgWIM+pAYUNFrTwtXeQJ7S dqhOdbxBVth/AloTWLMA5103UjIkichaVG8T/lAsrXYpn/vk1GDbTq2x8Q1KKiUtDedVEchqU2MX tI3qF/XzhPVT1VO9qR9DDHMTg+GQL1lswdGB5ay2X6o8UCQCq4ZG+CVy7DowkbdVoy/yOG3Kf1iY /U/ORyaxt4kWQB01XiEICJX8eUSba+8pHVoUOvS1BzuBHXARJImZ1CVnNAheNrESwOCh9XpT5QHw pqgjAkVsLYsDaPsED3gCp2OkQaG999nKXdcj1k+FqJJMMZhxtiDgXegC7iV13YFC82hV/ZqPYG+A Mrw9E76/3+GduzXMlQTDUfrlArzwl0O9xdseQFk5tVNQ2PY+EkjARp9stGC8p8+HIFJlG/8nNkLS lRAg8eRewnJX4kxU16x77nsHpbYAaSS3YuzaTVxl2XVTRX/ocxCiUf5v0b5aPkrcJfSSherhopGw /fQiCNai1L6iGCtQ5SG7Vbx4vny1dfQdGZSLoqXayPElelBmrRa5qJY7+OlSyqtxYNwBex2d1PXB 8fh6XU/IzE0w5/yahcLDPcrSDWrQnwcgaPA0DjRIgJI7rTehR/7QWNdDRDb01rnzgIO1mwoVVElQ rPMTs28Vs9lplIYeM+9E7WuRmi00x8Uc223HeobfdksrNPt8W+QZ8jy+D2oX1M3SUOpOg3tn2JEo 3Tp+jtcWY4PMN5V9mpLdM7YDQC/cRaIgVHuVgpXWUiGck03jRl5PpchmJXPtkrdRNUCWK2jmQ3LK BpxCJVx3mCCIddo/w/o1YPV/Nt15rpUu9R4HubLBsRN/SRUXsOQcvlNSgb6cjIJRwVXXQxta0ZHM sOw2q+xFddYEgYssX915/fV2ZHdh9or3shhKQMMgf8V699GPja335bA3CHaObRd47TrC4xG4zuVt mGhBTmtA9M+lsAXsAQGPnGNR/N8I2QjHVhouc0WT4T2koIbOtzDC7ARPEbkLZBIuPa9mBonKO8zq 5V30rTpIQtv0kAMrq4fGilx0BPS0KdZKxKGePlFsJK7N9L94q/4h1yaiJMhtkxsZWGXCoRPPi8d5 9U8zxCVZiOyMBAorPizAv/UFZU8+eHsRwBNL6jR9tLA2nUxfuephRJnIjtroei81CHongNXOk7CS 2VQiwHJ1S2RlgoDYETkXfe2B9soTem6ZmcIz9KBzcGr3KHU6eLCPPmx47kkBdhe7VJQ701Ppiii/ PB8prwPMaoAgpaZ7f3siIiCkdyHU7+oPpqP2BmZjakLwvSmoQP461sMW+wmLofCSVxOny4dcOZla MW41ksSueAi6cWQs31+OzFc77oBshVPaqbygJCYTevsN/1WAifYKxf1S7c6fOzuO/zYVvt1DXObq 8Th0E+7fI27Y0wJEbdkf7Y0K0oY2ikhuK2AaC2lLhLSr9tiz+uDhH8lKM4b7ZKGrAh3JVDJ/eAL4 WB18J84sLUK7UxTVipoyXiwhyhEMbkp92zMMc7vZecY2V4Qmsmy2WMZ6rNmCfGzeizha56F6GQUP 1GecG8gK0J4SjJGc5RMWdAhicWeNJGwAAcNbHoqhUrahjunFawL4MCb2B5WKjnvzXXEFAAdanZ71 C1Mg/w/nMMoJ5H90aSg0S3c3TDvVOhdg2cCq1/78oThxdl6wGIzu5fqInXH7sTvMiQhL3rdZnbmM 2s3VxZWpVh1LZ/y2rIFC2diCbykdTf3c5z7OigVne39qnMeVxQJIYQqEYJpn2Hg/BIIVJfEIPSPg zfSvVxwhsYS5DLZzgZCd4piMGUEhhTWLp2oqMbVGuX97Y0S4OarWmlyIzPpxUG3wsE3GcRXk5DAB OJf9Lvp3kOGvBZ5Tu/8/psBImFgocxgPaItGXUlmkJ1wiJdVBLzmm9MexkA4QeteUUdDxSsh752e eMUJXPtjI37bE+3MZ/qZm5jqlxJl9QWLWM4jicQj96MCZu6QygJXB2HHWiucIrUGfPqXVdsY8ZiN oA+n8yuTwZ78fMRTZ3cUjQhKHlNHa784SH6NnIBr87/YUk7Prllq7uCd8oQOXrAOrPHH18+9kjp7 dcSmGUTtPiuGWQhmkF20/qa4BmI2Gv1JO1d1+l+6pxaBvianz17ZR2owjK4jXSVM/D3cdJucTAFF 6f+XCPF8RniROPZbsrVkEs3BtYRP6bv0IOf4gzMae2zs4fKpQh1C2du4zQNb54JoW4SEsa56P/IL rYMoy46EkOhqQthhjQZpYVylSTDrU4mI1EFLz8KEsYqPoRqmXxqYjqYLkbVn67uJ/BqIbgG17i+j 9DxPABVyy0yPKklnH5K91jlmFIw0gyOXQHDMLHbAOf2Z6ggOzeRqSzJXxOsUlLRlOnRGW4FaESSL 8tZSTYx2eOaSMkjyKmOXCsou3D3dBUhul4SmO7YB5Dpp9tcBnFsQMcL3RhbnTUHnvudtoO1iKEW+ AvcD7h/TOidFRhget1RwQH60uOvAFxrBOf0Uk9+liOl2UltKqevxPsW9Tz6JmmtUMqxOajDNEoED MLgOzS5W8XIrBZXh4CtD2Kkm9LRAWiVHUSwbdTlWM2j/zaobE/nhUCh5a5nflHNKbAcxJV1RnsRw dRbYA2ICGiLisDnwgr8gA75JPodHO3s0fouKgpeaQolaW3fkz5yXzPaLUW+nuThJW9SxC+1Tnfz9 lWwGLN6U5AfpYtkLzQhhEehGmWtbaaTl/0B1WXGGTY9ALe3LJarZUsurRBnffetiagJaMR1t5m67 cbm9Adh+JfXEaLy2Kp8OeERW0tRy3whNbgH3SmlgYOJKKqQ+8zYoSxHdGLAvnmxhNlgPtXrBh4b8 TnvbZWVlEdiBNHahoIDXk1qztrdXb0t8TipMOCNQR7FOjyHq8ekgUyCFFoSi42dKFKp7CkN6c/cw cAoJu55Te/0j83c4vc1dimdQ7vIqWMDnmg8gg/+br2OY7+7z7eBZNtPqZ+j4kmGYewN0MaMacpiH ow3tbhzNvllnCwgdLAWr2VPHtBePrlXJlHSZqlsw4BVAZ2mZloTle+8rdwutrFDQUIOzZ0SI7xTD sgvg+BjIw9HqfPDvvxbD17kAMY3trv7f12w5NBzApzaWac9aGbm5aYVKeIsUSedEq5PA9sDqaNxF +qv6CGVOhNX3zHvDZsYCsvn9zoa1eZs7hykstckXJynwo7xoMpiUq31xCjNA+7YM6OJBebjSEr4H 1HG1yLPHi1vTNqpFNRqlywx7l69yZ5PLqgpSGNvmU9BVOVlORXcqpJYExuGY8znzPxBJtThd1G53 hucDbDRgxvEuaMEQ/GrtV+LXXeN6fdHY685kFYfoyrR3hXxr5pE3m7RRY3FigXOavC22Zxj81GSf tlCjZ02pBoxnXOhsjkuq5kCARLKDknkI7MRjv7Iwy9gbZrT4lp4X5hk0IBFGRihE+GDGJNLdH+XU F3Yr/hVdAC2vUDpteMEQk1z7ETVYQ77fjV8oZe7TNseqD/MESvGPCL6gyjis1byGhYVp8kCNJPxV 33Xv2p3ydCDBC70Cr0bIlT1lzvscGjfoEQZJ0PTA1ucgj05wyW7891Ve7ppnS5nPTPlQlusVY7Uq 6S0kr54hudmD007GSMki4xZH0zvshGFU3zqVZiKrNC9HYNkG4ZE+7QUcGfvDaVq4gbkjltFZwu5n 9d2uQRBlBPn6y9k8OXPcM2JCK/7ejT/61ZzAhc9806IE9U4y7AAE8hRHYmEbBCC/GWZWhZlFplsW OxZOl0fdJIN/zTtHx17l3QRi6K12zqfTyjfUZ8FHbdrhaPSg/PkTaqrszHkqTD237nBTo6xCIZV7 LeISJdwlOBPfhOZJ/QLZ5d5Zw6uPIQv0mjch4miQNIath0JuWHs6m50UQYys0Vxjx6Dsvcka9jL8 WvfQuGozlF4R8vkVn1jz6I8ph2Qin7srsUXOUCRNUlD0TbGNL4pdUv5n58Fs/459ktr6cpbREyE3 otdZ/LKfkbUlpuxN6d3pGnf1Ol6iIq292TrDkX/tUeZHd7GLN5afKcDBciYfryWD9FNXRtZQ+NjG s4qNMt1LoM/VvGI/yR4/gnanbqkAt2jkKxp6AJCe0g2LOTSJd7QT75kpPMJjaAdlYnYALKsqRktf Ae6xdBMJLJUME0s/psBTcNsrV0p6ozYHllS3gkw4LKGQiNolUrdzGwicoP91k099seevVcfpyIIC R2c8kkD8XpOKwCiwFdwVwBdvtv6sm7APYQBhZ6xzM7s1+IRZOgper2ho4KrJENf/trjOURCcjSBD HqsPYikGsndTd3GCxiblcjCabnVVatsxsSqEwk38bCe7i3lmGzZK+pdjNVsdn+Bc/RqZtNBCNxkI qjqd6gB1Mz0UHrVdoBA1hqBKOogtLmjSmG5s3ctgQAPPL1AhNKb0CIPgOLSllqTTOZ7LQv1u6DSW +nhSCPO6clgAdwrlpf3h1KAP7nG0OTKifb9yXDJ1YnGl0CCTC/kd+UQ4hA2LWTSwFAuKa5+EZjHV 21ERBv8Up1tq5ScElF3NQfqbMjV+LeSIkloTcrNvTpumZYp6q/fsu1KFmuwV3LXqf6UpQjpuCMur yKSpkYmSyBbCLS/0ouVhFSRdSsLyySsBEUx8y809l3OAIi7jocY/iuyKQme5rZsLhGT0903AfyKh mGwmK8R2324S7yEBDC4GrAHXMwquQP05LkyuQq3QtSI6VhiJd2WRb7EpIdvDoz6rYn5/A9jEQpP8 9fhWW57tFEd/nvnbdOxIoCLZMZyzPupI6BsTy8HQDLgC9azs3QhHRudhS+4IKAToLuhsdB2a297W f6uDa5EI6Ke7NUFcq5tMQ0Jl84KiiNQj2fI9tycvdxl/9mcgw+khoeCoML1+xdm32DKfQQeGLLF/ P32KWHvXvXPk2wA6ICvv3Yy/Oj6pNO+71VppiGr+hnzRoqY4XMhbb+vQdqosUkjRLPYQljqDEuis UJnM0H9IPCnO3nr7i45Ux7aZhyk3idGorUC2kwMmWTrUKvEFTT3amx8DzkKk/JzG/Lhwjb8cDjsa WrLsOLzrUyiRLd2Dny14ujsZUvS/OOnezbiYaJKd3Z7/WCr/hOThUl5D14lczvzWjlurP7HYNXOw gM5TzJ1ilwpXkSrSFMiWaXNXZ1v0xwJzGNlO9wM7lxakTH2pbSELHC4ICngsmUx8h44wv92dZhfs 9BjZf+G3InvvaCXkSa9mLxHEApOaSf68PlD5QEpxzMC2wcOF+hyOpgNtBGuZZ2r3C2GErbPBfuIZ 1YjfwNEnKM3yLq6At4MiORc8mZsq7hga+5Rqm8hck1RTJ/ilIRhwhR+EuEhlLNHqffo8GP82vnDJ GfgjI7eDlofere3tHoOHWnmoATcXhFGt3D+M6uB4iQ3R0Nne2Rf3+w2Cu8Em5SE7YkTd+ArlqDBv vu8iN6dRJpfAjsjASzhekRImps8G398k58MhSIcnTN+igxQ9VXxYLgep/6rn+xejimjUwLW+YUSg Ewfal+u4FSFmd8XD492lXbf3wN2c2TruTK32Xv1WiJAnWEf3PlZbx1Uf6wwd1YYjtnxexlWZjmWQ y0vWG4CRmGYrW3+wPzDCiyNOlCcKkXGQmoHaZUFMsMvky09NxKhU185JceJ2ABiIlK17fmZM6gfa jsUyOESNjMaqkUEfCkgHEe+Lti+2mJZhAebxR9CHDIRp0sQ1H3l5IXzBXkcYqPg6Gj6YSCn4r+bM a+/fvr1DgPX9kHf50RU0A5/TbApp2RkXFQU26L/uUhXTnfvxK3prokwDOegCDXtXc+UTBwcT8LeM ijRc6qeEOpdgnqxGG7HZM0Muih50XM6RZ4b7tRh7trRjozD0xsI+k9WgFddkEeRNIFtAYglP15DZ uxL0lmjDI9LqQm9TfqlGnbHxubkAHq6lzUkx9QuKZ+PLiaB1u4ek0PDg9Kv0nQUjmoa2k5T+oHnn 6o7rrZvCAXIPaEqA6AkuQL7aOw2mtxS+kAayD4DsSBbU4A3y3dvPDvUOQuwAdWqZTj+x4zl4L1Tg zyMavE1mV1p+eQ6/1OOKTKbHlypJ9nfrJrhHqlyyG+z/P6ZqkGkctRNJrgJcy9skhI2QZsH3P9RY D7Bvarz9ph59DDoJB8/7k2fXKVHpeTt8OXKCFPkFc+A9jsdVMJzcYzJkvmA59leLb/pkt1OkLmn7 0M+gkwHn4PmpSL8+gLxuQepk4SUe4v4gFJWQXST0aRRrdZm0COAyRwHVBpCAXqQZ7wk31mdaBli3 MERkf/vdjh4NQ7/3cN7u1m4yZn/vaEwIIpap8JSI9PmN4KKDT586qlAR2R5tLFiUaMRZGNMhrAo7 7ktOXFH/crlx4NPSrKI7w+3Q3W/t9DGawrpGdHaXgrE/IsGH76TgDPtmYOCSVireF63oCZfOfAYo XlssUDCaYXXmc7eQPYCBcAtZcPrie13vQe9ohDvmTyqVZwcHNmDqZcIbBxtQyEj9TKxKM6CGGgBt eSVsUtLDQxsZqddjqcjGARq8wdS2fhLoYpFkp2/Fv5X4AWVL+lkjn1W9qqRz0hiMCCYYJe6It+6W eRT4Rr/zfpfvyNqQa/3F1gjEPIgOcZOvMeW4dXhd6/IkNeFrVRlURCjJ32WhiAhtCVrC61ZJGBF6 aYmNqZ6hMc0x818mQV4BJLkPRFQOL8gzIUPpiD7QQaCnb1dPft1/h9OH9DGD+tQ2iNUIjzccZ87w KL1tamTUbuLAhHyLbjsS6ilV0xw8YpQVQf3b3v/HIhREhOw/6hYUa8AACRM4FyQ09JyftDVJ+rHW 48pATvl6GY3Xq2JhlR/pu+eSnIc1cqcLU6fMUgy37wUREwiNsANIHyCl/9c8juw+6khD15+m3FoQ JdErY8Av+RZ1jqGujdMGqOw5gyKdGlx+iXxbx9lpHHOMh9agqBAUzolMGARqIWmODmTcqcgztV1Q 5f4oGjN6a2a+C4WOZgDDiATe13JpmmTXHWLW9UsvICT0Ub6H03GoqBsx4DPMuHdg5yjPJCfRpwyY J2IEppeEvllZAg35RNF/ztNVylbl+bC114WDCtxPskr1/oCnYVZIvqzZ0lpk/3Il3eB47GAs3RJI 4uUGV5Ayo2DghY+1+Cay3HePGq1BXlmMv9Saz3z653eJdFo0/aehNEzlJl1QSqiiM3zoQKyMAB88 99iguh4/MRQvIXzcATb3lDmda8kpZ2L3/hXB/uKTfwGj7UKNAa+Tw8NZBwYFo5ceLXccl/3u5YOo qPdbx7Y2EXHCFQJRSBd+UgZ0xnzCYyd80dA6Z5cpf5fJpIJUAKHwxpN8I5/RvEdcOi8kSHV9RZwR wX5EvSSow8odOxB84kK7cXMOYwxc9tq/TJ2iQrWAQgx5uxc4pN5uhqf4aY3fyh4KMaFE/nnugROk AimWkr6ipZFDgZ1/pq6A8PFLOUnib2zoSkLTUu363IcEBXMV0MQhBNEGF90Ue89gc2kBc2rNj9P3 lDEvKqg+G4inph5M7T3H5xApfe4tVmmE/3D970XR+xfKtq3F09sA8wtuUajSHBSF6rM1c+Nl/zKE l9g82S9dEH+cyYXvY0jmzMogsXXZuwKzx2EM4Zc6RSWjiIQ3hiNXxAtwf/pv+SWcCwKui4dEGk9h ZxKtZ8HBL/PK2kzNLF6PLQNqev3Q5ZBPhdGapwsVmsIXBioAAEUROpYHrFogt7AlfpzZBFzXWccu eTAZVp1iO7XyMkaoTgBPjZlyGdGwnz6uA9PhHBirfAf/L6VMTaSBSAqQniR2JRg2KMHaJTqB4Imu J4DhqgssbVfhLmMaRthV9bgt+rdH4qG/ZxKJWkLsUbNP6Fo3gHlbOQ7hsFsARpCkQIzaouWKCJlN U/49lh0eC0BafCZMdcoXhj7ARAjmRRJHQCFfN23DeMN2QQkwA3fT3ZBLYVm/iO2IZus8UYPg8V6F /I3mvG61WtBvFGbuo4HKSV7ZPOlTd++FS7U6KWq8v/phLdLBAEpoUEoxCC2F8qJ3yzs/yfGt9d9t ZpEjCwPdrCbd/E2vNWCDUrOKB42zubTnXjGRf/ns+44lohpmSAOI94YD47ozmusrPT5b0QhzK1zO wFTBR65I7WKx+ddsMpgIrUH/KEVGwDNCFXz0cl3+mGyUjGUOx9F1WeqiDtT0MGVzk4BmRWf6WB14 w5HUqx7bURYQc1NUHzkrPOjLMXhQsAPiygdIJZVg2Us92U8g3hBpIR01kNkWc8CQgUaDnFjWlXqW Y5FjgQa0OfMAAT6T2jIFhBmsY2qDgvv7+U9QlmGkQhbaCWo6FDC3H/fcEA0Axjck6CYx1E+jrO0O KPe8Q8JhpDn7LFftpuB+Up531whbpWFmsk+vGEmg0wUFMY6z1GvH1YOLFVtqWDjzkYZTD6ciH+Kh JzI3tzZL66JiamXz9flaHevHrJtq4WCgwYNkOj57vrR6dH8AJh+p37CaJU2KWmA/0D6oCnhkKczO 87asLuVU6TIp0xJRZqmHlRPqJ7lCvkHI1mJuhaoYSZDq3185ZAJzPzjcA/3v/c6mXklK4eysjtF9 7zIqFcdn9x8byBYjMGoOf7bZ6Ia0QwnQpdmLwLcXbCy0XrDzyRyAQ9+gAuxUYntJyvlKLcxD/rqa +7PKkwQNjNlmQHrPEBy2ZLzklyYl0DA5C3c2izttTJazt6KrB4g9N3oxwC4q9GobgaCwdTUFLTM5 o83Oio4CHf9tl5CQj+SVyIL5Vh3TnVAMdjBnQ+1mJmbjFYpCm6esvwQQkXpH1JabmraC5D+aCYcP s2EYxCImnB/WVLQ64fDvF1VBrpICBz6cAxHmwjDngZs0/h+AfRmQeuW1o/JKYS7dVCW0estSr3GY m8IpGUNC8KnVIxZe07fK/TlEHJv8c1U2jGa0B4PsR3sf2lhpd9z4QB9r/GIvIARUU0syYFxtyX6q tQ7JcMwbvMEjIm8ySIw4LfIHsU7ey9+bCh+gKnvlfVUHGgvUh/E5oJ3k07qos/5u3AAoSXsg9s2e gRBy/j5/S5WpQW9FKcVMfUYj20ewcFndeFkDE0stcZvkJR1MgZJaFOz6u/HOk4tNEI5WlXCm8VyQ ZS8CnfIC2J4TK5RZtspYSTiNItvIOpyPPhTIgtW+4JXjEBRWXxsrPgOEXeEmhVdhZnhIqetZ44ut US1des+4lntkmp2Q7vLVI6mBL02D9V2k9XiA9/w5lJyanaNypf21Abu5JkV3BYeDyHNdLMI0HEx3 s4SEMvHgtHYBzfIeNqjO5Gl5rbv3SHofHd1vRTZlDA0mqHV/KDxSnzuVh+8xm534+CGtrLNz1luf HYShRplg3zVo5MHsitN25UDmHmDctZHTnq/8elq9FYfv0Cao0nejcFkVHW4qoVMAX2ZPV/3MlVyo ookBRMuKO5915qvLp2ycMgxzaGWok1eN+oduzRMXxEODLctFVrSTrwLXHZ2zP+g1QkGq2pGwE9Db 6WsvQFyzZUZgh1cVbThVRNyET96aGbgbGi7ybFsIY+7FqYDpHZbFbc5dE/o0y4fCtjxqgISqPtrw nuFD9Fbe//Q11w4d40LoxQsDelSlijzUGk+m6hh7DzK9+wZuM+yZUlUpWZnpzGMYqbWXjJX0wSRx AdYv6J/19s3bLgFXBaVMcV1DGA5hUmWulEuejRPgspXuGhOPOF/Y6pOQF10f1s+NLGhzJb6ENPv7 /aitP5Mo56wluQqW7FD4wcf3b4NzRkJ+DxEeLBrIPVEYuyTtK7lIMqd9Bna0sFdCvx3T66+H8bpT 3f83JkyqpU1DZ96cPx3PIZDMkNa2F6hC9c1S+mG07OURm2Vn+Wx1SxuTHLi4DnzVAhSfdis4CiBA zZi8WBfCGpYZt/MlRicKvn2r13EdctQi7OSXI4yypOrKYFUWlu4wFOYLEn9osjNkaZRYJxjOs1Jl tk8N2OA9VrQ9pXAFgfYBLVfTUNOF/hjDFE2LyzJAsdxDBSQGJIR0pdpPgGaTdmp/hnADdXjrlUgq 4Eeevp8hNZ8QHhzhg2j+pKK4WXxddIapqQalepwx7RjcfQNWlNT56wlQDgsnOgWDMGJVV1DsyOEM JiKLEArzI+oCvoKiDzVDDAgnVkLwuF+oEJNd1rhjdr2GzkoYb9S+pqqRI44nbV1l3OPa0jsEQYrv arl94evNmok8J7H5ZxOyi+PB9UAsqdmggD5mHEjCDh8MtSJYFx5iuuXLGixvXUk+a9ExI4UgmBdL CnMCVeTY62Wm5WNcLEEDwtT+BW3VCdVaOAKTBoZuu1/fD+U0zFRg6/7Nd8VQ2lZU7xITkQKmoMA6 xyeoQiJ8JNO/s4tyxu8dWKEBYdtRhwWp2kdnZlTIIARjnWkP/Cc0iptNY/cjhTg+aX06gDEooObA YdZ+1Nfoq3uOWvRFkaPQ6qbtNq/824zqkVwB+gQYvttm+X+TuWyaVtGCy3m3P+zUGplvQi8RiM7m Vs+MNZejeGO97/ft9WaiVgSJCOiw0gYXSerm76Q1uoxBM5LGYvCbO3bVbkhm5rRQB9HjMRkoulGO 1dGf0YvwUdZAl3ZbRz4LZ3eIqqRVe6R7nobRFQt7pUzXmwhUzLfjcMMHnqHURcd4upDAktscftW3 EFjzWltIfQMtr0JhDQcCs3rQcBA6H57mwnCgbW82jwC62gRm6IIA9FIFx80ggFPmirJ+fyE4uppp St7vNvdqcxqP5fFynFG1Cjk63roI1nJpeArJkPytpK02HtpPsJRIaPZIUwe5KO9RnIC6Kf3wG+U3 Tr7nq0i31ibv7jpvKiXy52MA+ZO15GCFxT3lcmpOtySGwRRQ/vDyqm8gXUhEZgdkvCTrtgl4QIjw jmfKNIMXYMhLpNrFSHmVEZPs10Zy+kdqvtgxyhx/eP6r00yMfsgVXDoaOdcC2aaIo0Ee/pLfsp9R qUSjRmPnsKM0ggFZ86FIkX0rCd0SfzA/JWqBSVem1VVW1hC62E3XIZB8kC5Ry1IWDgHh9j/gFmHd vzBQb85bRyoq5TVWv2rt1pz45rt0OK2+z2OIg2eZilKDfSu8//ons8RjFU1fztcEZ3A8P3SSAmnf WdxqYrbD1HoiIBYX3+izwCrMfRG1ipsPcjyIHTlkGboKibyHuaOSQVddak4Idsl3yjW4LHWJpm9y 0KPNJq5XrNVf9JY+Xzbb8nQtWMCgXaXE/eqfowzDWVE/Tqg7x/qRaPekPcV5jzz45y6kJE6De++w FIWitUB4hO9CWeOy61rFHymjRmeeFRWwNu0H9puAO+vnqi+wBkw9pInizn4X+kxvnTQlgp/mSbkR 6ZItVdxaFHjDeYWoTJDrlzq5zEfUEgZEw7T0BUgFs1d+US85XyWVk3XYDwbUDM6kRmFl9tCKGWhN cLTQKLQ6Bs2rxTxoRgyulzqLE5He2RDVLa+FmuVdrAxyCZRt3YZpjkmd/SHMSjjjj85yGIZjGv75 yyC8/0xwiKrcPvndunz65bdxJ4a+fE3TIeFcUDvJEGL6MKEL2RnyWuSr0INMvDULnLNb02+Mp3tg nC8ffkZslXoXE7Ab3JWlYSS+jCdV2K+ph4/M0iHH/wmpj2W0RzVuuJNXtRKe++IhSzs++21xa54W cLoLp9BM/WFlFPWwyEKNDMw7UMj1/jTyz38bGfbs5r1CXfvqjI6HZF91ahDINOFYWxNzDx+odoQ2 Jq9RmgCRlttr5F1pQozgNboRjpu3UX/navvgsdrsk59BfiRhiDwe1ahuA4xR4HVhT1hmfSILyYED eqBy7XwdtSbZuV3wyBMK/xXNQ9kHh+MMcfqiwUn19g69AesFJD1rM2jdrrREXdWse2/tWqIlcnm2 zYFpsNS5N7tBG0e5to31Ae8Tma9qZmkM+cVYpsDrb5WVPWHUZ0R4NABOSX5XuGBF0GO4Sndu3ffz jXYueMg+yijCthJKlofLaktPR9jhTsbC72F7B2YyqowDr6f5vSeyVR4b9RRkcUs4fMJzAPUbwTO5 viepHivvRh8cYVAWmJcRGVsLSMfvI716oRx2YD0J8Hm9HPZspOyKszYB7+3UDVAKD4OMvgHVQAj+ MRylyglqntxHvhsLVfyV7OIa8YLAcavbA8BZnWiCRn7rlxccE4hFWWtRQC9EV0wE5//bZfEnzQM9 hBeRy52kT0FklEUY1tYolBE4/TnR7hh078vm1Y3IdW5+HsBy4x3osfZAD4C4RWwyYc8V82qjKBBu OlVuRu6g0s35FNQFNiXjcMqlxqZVHgKJp7otGi9tEWn9la+1U/NiVcMzGSC1Oe2BbUS6BWUAa25k o+ubxgobx4WY7zAi53wyRGY6+yH5h0ClSrHcjrDjCbD24Nczt0oh/wun8zRqiMhrz9L5JmvtSKAr Bz/BwUslFqudPJ2LWP3qoBujv0TiLKTloQRZV97bT+bQxNmr4/DLs7gLx82WIkdzZlVakwjf6f35 vgzrR92hVrXcif602FYiC6cqldvBC5bTGo4d+pKcGZxJ/SRG1YVNele8zMVLj+H1Pjy9oJpVZ80i 0qnEPmzGF7i9vAvrcjrRK5Nvxpt9Vc2Mc2Mu6wPFHyWhZLch6RRbkWolpUXh9754QZMuKrGpYBfe e1huOzD62QokRI+lyqOV9zKZuQqblwlxB0/U1zaE42eUSfrDn+meRX0cHgHHw6zHo+y6/0Peyd5Q wTPgrwic6IgnyC3h42X0s0z6V2qSnvd3pWkdcEhBOQIBgpF9XcD7cXDfmm+F4UqcXVcd9Jw0dzMy iKBCjID0RU3YtiAmIM+dzN13vSjSUI5sNWKqtKOEHrnd3B7EPIFCbTyI7eWmIzR+d0OqJq3YmMJL tIP+ieRtAVQpyQCVgkcr4t/MypX1rtGVx9p7cICjTGWk5Ie+G1ihaeS7pr7kNAMdR+Hd0aMxpczT nt6vl3zJI+TcjDukl+E68O6O065rjfayr47VJYQ891AzWr15XaiJDgvIAmAwNiBwIzcYzgwVc8Nc ZhAtTo7ATuEkrsWAp1pSEe0IhcKz7ABwyLqRBzsfxRKumdnKgYeoVEIWwg2S0lWS9mDnCemisG4O EtdCmAt7ztK7nvK/sFvXbkeSi/RnSMCVdAaF+60ppISV1S91xIa7PGE3E8PmATqUt/5jXCvNoxcO 3yRkm58+jS5RMKYXuiyAdHqWVupEEVGybj3IPL8qriBIqbkxF002eFWQz8LHeSqIzwbhKvY0o7Ak TA/W12AfmFEHiAOnG/8AxJLed1oYMKoMIOVxIw2svp5pesQROLNFXG3oAiKF+iW8LHkUMVqagDcb 4TM2vsbvgT2fxnIAQS1c+q7hRW0wYoTDz4rTyqanxAxJw84jrSFwRZB2+sgIyF0arWDNix1Gnoho 91DTYbxu8BpywMATCpEGt+AEZ5CAEtxtHj2oHG8l0NbFlfHwAg6s2q3lmcQNs1UrihrWMaNxPedL 3A8qd7Fpxiyh18JZ3QI82kFZ0/V4W6GeGfsdmkZyWTkV5EW7+FPU/oAn4gDSj9jRFYJUMJaUAfWa jh/6upAGb4OM8jAfmH8eCfQndvZ5CjRchQG16DGd5XOnQR2M6pvkDXQSMFyW6iHzJ24LAYkvFOzR TgpXIcNWouVGIgJMMtaBwnT4KcSCl4NCdRuPiwjSygpBWIb1FmTlkLVZV2GCWaR3GLJ2JXZaKYoZ ow1gCLDLhWubAA3132e/Kg4eKVqf1uboDMhdsnOA1VlpfSPfbf4IHh7WP4OuX2xmkjqHNYvx08x1 eFYrlVfbFRnRPAFq7riqfKx3lD6BsARVlpUcxPYcksyZ+LyXTNtDQgYtwPdP9433L4YeyKFrNnwK JuzCy08HstbRTl1qYTr6VezT+iiFFaxPtDb2BzBMOifkdpmJVttbEad+DiSk65SB3TYK8z2+GeEI ZokKNHjbwMXA+/r0kITCdk4ZM6eDnQ+M0L1sKVIMXdq03DgCrthyXgMCnnq0Tr3D6tJmSfKCnIwR gH2OPhbyuK/AgAKoJ4uaymUchGU7Rk0Fc6lHGVbpcMHsra/guKmf/yWPIksl3H798WeTsQJjHuOX mwzWlLz1zuO5k3an3yE0dOyiXOZWZObdpnINWcPzaE5sX2iX8VpEcb4JLyMBwm07EMGHiXMmBsza WRw9HkPY6aG4hL6F98rmrKoDEZOfSrcnfPGTdUBFJHkJy9kNmcJuvCKHJ5+O+usoPvSwqAPyvM5v wJmduvhd4sA7WGLGIqAlkUmfvHDBzIMs45sOZovY7EoVci1DAX/qdqfzc8kJh7MZQVBSB5zSbekD vFI8d3DLWHW4vd0FWmVnkETSDFd2UKk7Xk2aeJA0FiQKPSpAY/6kQEjE38xkvL9ikVRKWYxh+N/B x/pRA38k2eFIkHW3+TRpnNVWBuHjEKqkT8x7jHYuVbSCrz3HeA/9uyL6riEX8iQfn8QbW49b/dn+ 6BM3GyVddt0Fypt9GltvHCxHblQ429d9/FiBhF+cRXF461UXeLfuBX5icgG25SFvC7jHMaq0xWxr PBF1RNEcHggS719dWQkrw7HZgMXsgSpQDBp0VMSPJON6eBtaIq9f7j4FKSxAP/N3uZ3XATDStrvK gL/pcD7iUDi50wWiILgZ1rIyNvSlManHh/mpCmMPAFaoUgBpCiZ7lNKy5jmA8I14R+ylr8CsiG1H a7qHfdDeNm58qPUHODe09U2FPVxmPNpBZQoKGpac5UuL7fjWYAnEt5c8dc6cXK2vOHTEyCQCs/Py 4G3oMghEvgb+fg+n4QMwrJ1el6NS/Lf4s+vyswaQQes8HZzYmNbRYyHtM3m2npwSoa+8zJWdB246 6jHx1Yq6rTm9bTtfyp/6iU8VI844rCCD5j89/iOIQen73QM5uawSiwZwF7974Ae/rJXjxiXQCeqw XCSxnb+8SGmmnNM5IY5aVVY4Zn8/8s7XpakJfaWZ5JIU/sqoV03mQbKryGn9ZNNCVGeyOKT2UO0j x6RDQAXvPRWUYhO7wFzzQekdRXJJAnvlvEeQTDUCYjatqx00hHreNVs4zPdGuChxxqa8s14M/gQz rrBmbr5AQLdAXyKXj98y5aHYS1wVTos+EQD2vARq0TwMAYh2mAG/ht0llAJv824KQFTHceTbaEVY MBvjEp+yM1cQ6HVBDa1H63VLAkRHHl27i7mn+uUqN3TGwed0K6YK0kRw+wJJfQ3FgIwU69QuE8Wg eK+7NwfwZ0oqtRbTqqaw8Eret2eYJWIDvI54iHIhkSTcXanqlLJopB/9Nztl7oXq5MvEiWBpDdA6 wIAXjrie9dWoQC0pFAaUb0UMb21G2YTuxnNH446DHIoYHC2X7KiJm8J3bRsuDjNrBEiEb1c3JL6G 3rwyUseK8Sb1GSMmc+88U+BZiBY/fP5bOMbfZFAnriUyi/nL7CQNN8iC7bMskXheGPrDVrLfxgRD 3BSub1UwUBe8QlXqYCNeaG6TS0T35EpWEHctluRF+hhS1P0UVmTMhBqbOWwO5a3k8bzW842LiTxF TBoKDJHIvkkAi2ULhjhqLiYJ1Pe9TAMkvGTpK4CEwCs4kL/hLvVMuAh5t1z5WkmxbSPvSOtZEMr8 k/qrysIfrRHLdCPt5Rt4rknS9+FteQec6JeOdhOgbbRWXgOZp9x+k3GZFudG568UIHtwRhf+aYxB NjWQyDI/T2+Z3X6TPvwCbgwdqFdbnd9bq4tMIWwYzpjYbdbJ7jEbsIGr23roLvHG0L5hLzDzFTp1 NN1ClV6PTFbq1hkhYqw7/KQia+R0P0ByAQRrAEMlTYsx0I4CGPRHIEJZW1frVbs5YkPScjgWSQJx mKDUDSU71CeIBa6m1e2VmjYOdoddGus9yxvt3Y/OE1VuLvtabin917BWp/4/cF47mCU0oBcr4RHC Siin6D1hYmyWoEi+iyFmJBX4XtcJgjovyq6eWOff1+3qvlV9HTSTee7zHE58TvoKx5YUfKrtzdMN sydWrgNaZrknV0T+ibj9LGK/HU8Wh2CfeDlR4dbw/bU9oy4FITUSA32ePUBUV0GZ+dSMQKr0rzy/ OryEkmVbzgV5qJhZFa/pkCWVs1qpLj/5fLjNk74kGMW8OClPgmaSgNie1DrSItLN2+pb6oeCiWir ebaa82XsgsiVaCtxyw+nXoTBScoaVwdJuvvMn3XP3AMrZWioToeBcqgA1gvgUsvac6UXy9P9yXz8 AJKbvDhn6eYI391vK16H06N5NBMC/lj00sjpfLEcP9tr12O4WswrLcWgAeuX22INwo+dUQL44fYR v2MPBgWzW7xOsGAtb6Emi58iprbJoVLPNIue3d0kdS4tHOjlTJ6Lk5VN2yTWhvEQI6hgR9vseVml Irb1elKzps5rJKrz7c47eLCTdns73AdrwqssRzkhwP4knr1ewPdp1RhLB1o6KpJEPyTQgvdPrhAD swRWZigqzz+QvmOFy1CfYEEif9B1f5UR4aui5b5uKMy4e1qREJrVlmtQKRCCgw3zQ3984eY1PtJL y7Bj6zB08zD/R0OeXBToGO2seHoH51Wwr8sWHhfM+g03VpPGqD4oLd5PBIuW2VNFEiNWaBPWXp9k G6Oa7MPJtsWbJ7jH8kplrrxPukkfy/Wl6OIvbhmtOpfLisU+m0Y7pxZg85VYHnVs2+7D2PFO1D7O 7OvmPKthJKfuAmaWhH/26PFykjVIGE3CRCyJ8QauM+Rtqg24y5vBHvKGOvKMdXtktyn8/UdWsPFn 4DrMVVhbLChaIjAToLJXKnquLBtIN4ISOUEPbwgcnqcLHymwO2aDDTkJeFkf+0YJGGYeEB6dwH2s Y+F61QJKKQx3hfNmXvC07JEX/Sph9mg7IC0lO/KFZEzmIdmyXjDJhTd7uCDHYEABuLbn/79hCCoX cQFQ4rCg/osqf4qPvUs4JSbqBULqkljadjl9/EpL/VWeH9k6O3STHu4FQWDvPx/w2mU09Q5NWZ28 nSuDIbB1gUJjbppDDXWa17sTZFBZIz4L71KNVw/Lseqx+BbaiC8rPpR+c+3wtByCRpxdBPTkYB2v WcueLySmytKPialzQ15qB+9nKxFhiAs+IQP0BkcE5yGm27X5WyGa76Ia5ZuYpRtTtJVApvOpQcmd RoiYjtlEnmZmlJpjhKdjZmBxhTQwI8k9diul7NqgUehE0IHzIMHEH1BfOdzmsxpMNczKpqLrX3w7 29m1TWUi17ligXVv8RcFM80h9nd3aNvJ1E/I/a81wnFRFcajg+DAOvOe3IFZN5I7bFZzNWk6YgdH 4AU325sM1bIj6Agnf0dhsg0DZmTeG+KcwD5iWsrZaUhUQB4C7V2MJFm8i7C5O02/U4dTCh/Obb+Q 4s/d2nummpNx3sMaBEhHNACjjgBzND9VLy9EKtfBSZxfgI1m+X6ZaDGzJIR8OMvnCK3AerNNPbOd d1X6LvsF5gmxD7l1A7lmcaMDYgOS8d19xzEptiVGycMBZI/InJTQ9RTkss5iJ2NrZLHSFdYeeAAd FDaIE4X11Ryaw8GNYAdKcaobQFEeYITtVaLJq0fDiUmf0h4eTnvKljPnWWpamVUG4msUHPthEAwO loiZ31/VTgubl/nKAmWC9ajY+yqaP5oHObvx0u3qiYYzriU1YsDPVJJs7VBVK52NpxblSJiSXr9U qLOW0tKgv5QvhiX9nhP7KIsBQKSL4xXcqSnuq/kx701jIVD1DUsc73AFf6W3V3hYHy8a0XK25i2w DY6lBPeUWbfNK6l+DJRkkHUv5piORnKcpv4itXvAGgvRWOumdzK3mVL9/dex0VO1vfEuogNt+vOO FwPNKOjuVmDJn6P9gqc7frtHPGcJl0TMi7QAZOfYm3VjshJMOAG8RrYfYS2eqq6GV3I15c/uqH0Y GlIK730+A88rreNWvOUTNlDn/0TVRkUn5Ip96Fx3oFcJiU2/eCK+m4LkNfb4aum6Wv7FdXSOCBO8 WfhgWwRXAqhAPV0IiRTgi2MwM+wYDohlr2odc63KWZU5id2VOGXcLapGMS0suw4tfVwlRdXpiGEN usTavrs3cNbIj/Xq9buua2OaWH4gdMGUiLK00k7wKO2qfQMPz2QNJLYHgfxwMcsFv2bwWc57fygG vxjeuRCfOmF+TER2hkgUCEH8C9m+ix+Tbg20m8E3B35PnioBc6hA1FZItOvcPTRaISQRKSfm71co BdzfjFVJxc6FomvtNLmVaiIgKiWNt+Eh4SIQtzGZf8h0KGqizzqJlzlfUtJ7DirBSyZ5NX0286Oe OmQaq/KCWk8Lr1UPlAhkT0p9KoFgG96rsGc5UAhn76H4SgNcnYoX2o7QWnnZn1us3F0HCQD1dOve 2WRqFGmya4KTqcfipcXrc2BBvtieYwKgZMOKaBXYhpZByVcQobhJa0Iv3OKbD2TBSyQ+o2EF645+ ubnVNuYxP3uD9FdX57xUGI4ShxW2PvttySyYtdCwOdQsGjUpcnq3nnGh6VuP3b2chOt5XaW8pNZU quxacPM6K4uj3PgEvUG6X+RIKrx6wEsJFQTmEgHDU/tZ+9/0kjnKAZx1O9T/6YinLGrb1Apo80Om vpUHvCgW39k/ThkH9UKrcBCG99OjF1GDqHKnsOyl4OHz6dEN6b/5dJa043hftXMJSe/1zufZNDyB qeohaTCoYBiCEHS7W86SxK1TfFlM719AKqLuStQqwEApgY6F6r0zJvntNX/KJ0WiD3OupIgFmUZX xPAF6Lnb66+GOPxxAToHXAX4kzEi/BceM/7WBKDGYgVgMLUTeGqrieZm34dwPyx8fJGQ+oE+8wkH 2Y1pgkOuZNl469voPtDjFGH4QPVuDCdKeicfsw2VcoRNgHAjvbViWiJqcL173JU8zjZ+drOywwrL VOAEqUIpHFM7KeETfVJA8QD0zqCCEj0P+XVByTdS0QQFKUyvePG1/MutFStJu6oh0Wo0rOIw8HQP nJogZA95xTqqAFE6J3OP05F8VVC6B47JH5o2g2fdKanRVF+IZXU6oytjdCPZEOS9wDQB2YjiBd6S RAwW42Ac7H8WmCTgywAEiQHGQMnZqjNFoYMLY9XYqj7HHuz2Vc80LgZADlyzBM0z5lrKUI/oL1bq 7llYHzUaEU5uj0g7luL4Zj//WA+T3/DiuSygIb28XHD1bL1UtiXctRqLGbaRqVO3d4yKFaZEAZhH GUEM+/jk2Cw8OcPZHttMhwskWptLaMQIFmXwdWsCUGE4w6UVSCFpP4bblWzK2eRO05eH4oxCtimQ l5clynU9LIfc65OKYJj2Y4fNS4PNwPpmQ5eNuR9NyuLTm5I67PobMvsjbOvOLCzZiXrEUTMinYKH QUjA7LJuq2stU77msP+TmDRcu8Wosm591Ysmr1DKqJQ9Hn/e3vptvtBvA5c3ES/eBYHoVKTFRX4a s71MakPQvEUjW4szoKFFMEvZ/csB7x5Ty5OhYFI07PkTLTU9GB4L/HCy0JACXUyj8p8ibwCUNEQq 9DBYoZFp/DD/UViITcfRoGn+xsXCGzn3vCHoSRJ7rTCRrRW/x8cC73BnOlwNmEf0P5EwwcbZ2k2w Ugkk/XdAzJyd1r13OeF8gCdV6ZO0x1KipgSja2Zbtt+7geaFEydRgJOcvrxdP2IhQMpbvlviGjl+ REvOV0MzoPEdrv44eBApAVVUWmMamAOyKd/HRChf1bMayFtgfcgzuss3kktFDbqR41VbK4C9TFFv xroeN9wWNWxl5vSgm4Yn0tXhCzE/zltHPqpECivHdHhU956RQfeaZG/tDOcQI4lPPAFlQhWZxnRu jHBvJLTt+Ygko/cFhu9cLpyuF48ie2k7ZtgTyaP0Nz0oi0wEAvUe1A1xeXazvDgarGPu2duPt5ud 79DswDcz8oE0EbIDNnaRa94KBNGCTrGV5PVc9Iq+Ub1A+RC2jEld2RzsWnQAhD0jkW4jfsICierh Eq851lO5EnMcTsQV4sDFqdYGooeMMsFZgqzSEoLSrd/2ZUvAmSkbZ200aTIVEg23ft7Zy43Yrcnc 1QxRFL5NdITBEJwxjW2+NFLGDkRvAHrNzKp2+QswBw4NTX5xp7guk+ZbFo164XMyo796+bRyaTyW LG00hLM7EJKRYk9h3WairfIQfIpEPo1Cx1hlDEyJBxiKY5lJOpHWWhODsY4UN4cXiUuqCqdbvnRf Aoq4RmU2KLKo+2+qokO6xij1rQ4xldUGPLY+C9O0KT0OYyHXkJmqV4vomq1ZUAZG025wiZ02irpQ WqiT3nagM6geoE2Cv44HgDk8fFYm6MSRP/4S3NY3V3rM1DJXG4/Vj/1Ixgv4jwXsSGO14YsKLm5u i7VZbmfN9/rwqO9QPuxgNlx4Rz7aiXo/h7UJ4IAKkYNQwYaBE3NFw6KRtr4nWd3es+GFZLGMq7Z1 dhNX7AAhSMlqg8swKNH3s5MOgh12muNqLUT5L792oy9mETTMCsNHavSZVVLcItx2yYqmlJfc7ABW WbdtQNKozVwhDThkQJYWPbajbEApLQvkOP2vjr5o7hFspfEtyaz8rk+VEsULDbiBUZ7wgqI2BjTE eesq2mgVa18CRvBick72UL5BhptnePndo2h0ManxRw6AUX4gAVx+505KNQ8R/D1LYQFNZr5hWdMU gEGTlieG4jjnyoBF3mWFjj66QdRzfwRn3zu/Ul7eVLQhX/xG+3Gr9mAardcE5G/kDPl9oOFNL7BN LdwKEk2sAfnNGJHqIA8yp96kz8XFc+UD5nKep4i3Z08oyqFo63QXC5wkEfTlgUJEERgiUeMVyH7P /yo/gFBPYg/HkowItJWPODGJUshbLwvkknt/nk6ziu5SaXTGetJrHOsxYtwyjd5biQoZdNuwAOKh Y6KXGRovKV+vZ1bZQh6Tq/8iWl3tUu+NkWmHbzT2q32aJOX98pgC3V5z9BxCmjREv1S25IE6E15U DdTtygLlM50yTeoJBrp/lrROVkh5O5sCb4eja+e/V5tXwcWiAwn5cHKdPaTn/dzPYS/df9i/ADXw LU12K4Bk5vC6hHDI35NI47Dte+DXxN8Wksw+aaPcaHOBJ5tKfKhv7xp7zmtzraUng52R879zUj/z DtyVgLI8EsTVYDAaALLTrIJBG5RVfC8ZXi7Sp/Xf32p5YlnZ3grnBomSwI3wSQ2gUtVM6Qhrl6yM aHghNoNjQFcz6G6o1I+RWCqjt6Xp1hDAj4Uvu1AHa/8tXDec2dZhpitpQsMYmArV4xWKkQ8INZuG XY9kqF5zl6nmqsZpeB8DLfoPLrqWZlpbcpmh+7kdobFjR1WRqreVOquPB5WOyMVzfa/zm1MXuj0e 9i8mXiltDHP3LEOjIvK72PUs2k4eAZS+TZDgFRxOaFXO9Vq+oxOT+qNr2Ke2uQQ9432NS9WF7Pn0 1LtmIqNVChoWMzPQtG8cFjGGDaJXgt1oxWeMqPKF89iWhO+Q1oaknZ5fVYRiZINZ2ksTbOBsfJmh ufNGxBYSiNmacduCnpzx6/2leubB/1Z5vONhG4GpllqEgx4PaZY3TPd5psQROfb0cyFxx8AUH1wr lN56I9j/qzYiHrvNoRMrkCtBKhB4pxGsdS4/Z4tPMg2+xFUIIxSXZodD+HxRGU5OWuxya8pNy/G0 geGsXxk7pnWVEnxnDxRt4WGrkI2bf1ccDDW0RQkAJW1Sp4U3yh6Ki1zUKqzlgQY2jobT4KJDvy48 32zcu6S/8NvLV5fXp+8UGWKE7gzpeWo6CyAH8hYXdy3PFtEwv2GOVQhYxwAiso+3kxwReiYpCgjI NLl0F2CFKmGvoaK2uGFod/HLm1Vv3cT7Pp57F39mRUOxzdKSwoF9COgFdpWzKi26dWQL4wf/hk9t eEaVrYWBbthO6AoRWqQIUmrBcNPxG8LDILkY6dQKu4p6kITDXV+Ug0QEzXY8totdh0WZnm4ocw1X YORDHMK8up0rStTgj5gaLAtzh2LZuYmhnwXhJau7TpbcHBQUMncxPgQoXiEtTLN6P2r8uET0kTHc fRII1XkfC1xzAgo5X8Z/CU41MGaXo567i1LzAxlE9v6OuhyN95+RPjHztUXPkDe5oFL3psLGiWfM 8zVpBDzak2B4uk7u2lGSBpbVXS+L4favlqCP+K+jDIbT+tXzMO4JKIFuH51aq8y9srwubZYAeOSa nASBrNQDyc5kXCcVwAwpkY3rOPIekIWwA0rPvIDhkCFb7CjbCTz9TO7iBwWRdYYatHWfY98EhPez RBiNL9u/lcJtW4s2RLwTAjywwF8k6JRL+qa8g8K8Din22twfVHitboGQRiSEff1oFzljg/rZUuT+ tpwbz8CHr3jnftAGy9Uabzi0YfBjsJo/fkf/k8N4DI0M4A6rhJodJTa1VrUcRp+fPaW+Sg1s32kl G9mG+KZ9EgXEz6H1vrB7Db4tLU0mCgM+8gV5XDSHKHFgAzUcAnbnPwWLEnfV0MCqZKTsaE+vWn/n WFTJvgGQ40BrwDK58s2QvHbyPj4txG/LrpU/ySnnTsobpQissGr7E3T5+8yyOgw6ylvRtL4ldpiw nBcRDtRzcSciY/aGbV2Tt0Hmf8OYKJRQhm8YH3N/mtLDSKkJPwsVHYhz10kSVl8kwKFpnKgaCw+2 Hffm5/xJV77XExP2zEkp0ChC3ILPNuLeD86XbrIf0YAMaL+SxflrOQTzGskZpA2B2Sm+QVnvA4Vq zQfYQdcKOJEsaqW0/wX1FX0uCSKzyPgHR40xXqu6twQCEUiSd99IymBCU+eB83H6Q3CBmswqFCvL A3JHAdD9qNpLzTVqIpG105gtz/Ch0dw6ixp1loq62oMC36oXOUcU2OOr12abdHq45+9B0DIf8BSH yjvQbbyLyrCKeUQKweD4lVjNtYj/DswuZkv+6J6/tcC+N+BWNWCQlxmZgp2J3uj0kPK2wwHQXHQs q/2dohbnK5Vdb4KUaCibiGFekBateJXGUbngqiPqTeqH+CWoq/+2MkzdvxqY2jf/nTB/059d/0hG JhoZwrlu/2at065LcrY6HPLemV9Gsfq+xlc1MujaxgFtdFV44GHkGvp+tHs2dBYiWIk9Le2NGIF/ Ut6UPsg3Ydz5TXtq7amEW8qcNTjVLy8CqFRzbGzdPU2JTDzFTbkdheQ37kil077CQIoI8Vk3pyRr 3V40NTDoFFwJif57m82WQS6rhpfrHvq1VJz343wMnnmJPKKbHxs+lWS0G+st0wTm+u/GC44GZfjz hdt7LF0zKLpnDgS6SdtRSRP6u7qid8QVnUM/7HNrKpwXATRQJKRMMRX2q8YY0cP5k7qe/aBMzWLL PVjgTCXuBRHOaRWK2Lpapdx1t1dWiQc3wLXYJ6YU1WUH0XqDgQXXldl5Si4wR84rfGe+s9HZhQ3c XEdfmDuz6rSgn/4xcQFoLHPB7xT5aOTVXboyHoiKqkr/VpD/ZrMXcFjB/Me/9eLtN+maVsy+XFTY pe6Wnqno9CmrdXpGFhK+5G7sBEoSBUA6b0DyTTiMDGWOhhV8rki9gByADFYyX1X4iz+XtXt3+Eb9 AGplTO3LFa58kbmUP5Owr6p8fXfKhBABxqouUgJ3Ub7J75tHC9XBflp1DkwbVg4omhFQwtEy7/+e uw3r6e3GvWqfHqfg5bYHtstMc8uAGVIairHYVvRw/Cf3KD20yB7b7LkJoI9JzquFKn14xAAqPjOq QzsVmMApetnpWcvCC2NqBnBvLgpa2RvG9RTo04eKP86sXmQPwqwa9rcmBFZLiUpPM8qo+gdvZZgt eWHNhr5U5mFmg8lD0iH2UwVM4KHHOGVkdmCX7a2u1rEUs74VFnKgbHieVH0zpbwb/ECbCw+uSupX YrLeiKJM0XGpxM5hv/tWj7rV5t/wWZfnAmPbtFry5vdPxWwK2Ij91kfBwWgw7wxDZaj0Iz+zN6oe bRs9qCQru2RLN2LEyb5+XdfmB6epwzW53EqqTe6b1RrvnUIDdE+c8NPpJq6suMLo5H6ogEV7oXkq p6uUO/jhSuqy5Rgz47xReu3U4+yDgSRhvF4tP6p3WjMpE9dysMMSEhiOYWlRbymxuCT1LnO5fr0Y 5KaWGAxZnBDUm861Asd7FvcGcnlZZNsmGkKMTZUBaWKjX3qSL+PhPhUAPodDBDlSCNinHBDGJSsq 0us73gW8MTcrQGaxkfTrFXr9YDmDWCaQ1MOENDvgbApla3gXGzeehpApE4i4SC/skMjFBJRj50p7 cDYvgeYfhXn0WgY1FeSQAWCSAiajyfPWngNKPiXdi/qEzeO4I/KhPWCvph3SyclCZXUZqGRzyArp WW3GomBwgU8oywj8UBZjENLolF1FKaPyBi6wVFuehQCn36QhpWVCBGMAj/9LoUY+rkOhB/tu739T Su05RqhzXtbUx11BhjFQ8BN7nO/0zoL6JwbNNe9uuIp4G5RJp3J9vFljr6YM9dyvKpEBJeNOp4jh cS39GpCnCfjt133jCMrqOqhBiBDuBuwYkjCSzPmjdiJPknV4fuqhSNBr5UwAYyEyk39QUjLnl/MC hnKRhZADx56PIFxhhnVDT2UjxrA02xYwDKf4sxcWXsa70BXhHlpu7JzgYvZmMxq1ckL1VmCAwSHe /f5xzuZdvrMWki/ZlTP9DURuGBHwZxMdhqGjCKI0q0VARqm7n7N47xfkkETW+97ZVZQYr9cYUlza QYhbbsHzQmPXUA5lGycM8l7SIuF1Q4zRggkT5of0ipwKjlqXDiJ839HRR6kUuptxiCuNaHHLU92s ijqgyH196qJJlU6Go3yhLk+tcr1HqUdUMqKazutWXodttv4GDOYFpjY9tqJw+TMv1v8puQ8pZNbq ssmSBt6FA9dTGB0aweI1I9gdp8qoCDQ8GmQTKANyVwtJkBHWeDD/3xYpgixtyd4Bq/3s9aMZZKlg TVlRYfKMnlDXX9U3EozjBrp/IxBZhDOnlXiRNDLzItgfbNbAxsu9ghbOSDiWfGSEN0aYfoZMzj5g yja6mxdWo4YnmdiFV9pPrDUDMnCTGbBFO2rNTnwG0K70BaIEsGDh/YkxQZlISPkVh0JLFYCFd0A9 UBheCE9xbgR4HYN4IFyjhvnh1JeNNyC3YM7MN4+OI19Cy6CeSetQxAAWL0cl17r6+lcWe4hPLrFz tizTSg0Vs8Y44HvZnTrCn8WM5JziEPjhz9JOBEi/bHoPpbB9mn3b0S9hs5+0BmhHk5Cos0U6FlrA GjrSnIVMVd7cFOeVgPZdjWxyK+c7vuLEoJWg3hm+MJY3+MFEdGEEnQggVxLh8GaeWb6E37uvqqoN 4KrrF+q3b2AX52fMzvqOoCfmofyUXpiRSoiE9+cNLfQfaXm+g2loC2LB0UfRbkq/dQVko5I6Rr/t gWIvXYtRQ1bERR9CMzG4Sw6ikOjeeNUESfucZJjEIj91amEH9CUSy1z/HuZpMtafvXuPtWhBkRMy 1+UD+nV5apZb+y3U21LZqLfi8Bh+B1EQM0yNyjlxAvVptItmsNcp01CoUuoVUNyrmciR4cjfAENq Qr8uUPCSDP++SQuL3Z0N6bbtnhRNvWQjDm11c+IvpTbdw73714WwNGSnQ5H1G+em3tg1OxZOR0eo RZW5aKarKFmD1hD/NhXnZop0Bpe2djyn3IW894J7MByIcWz+/+4WxFtoyT7SQD0JIEfV/k+tfSpE fQv8wnhsJB1tm2WljbszDALJm59mZq3vHu+/lqGTMv1VCl5AtEAeOuJa78drfI71tm+9azHGPefN mLicwj/GXD+x3hCN2DRXSn7o+/u+AvvKyJjttWm2gZvbkg2Gt/RsXjvXoWP64luP5HB7KmACP/li i5loJ20hUm9d/ppUkrS0DcJVw2PVVXZL/5XXssRinKPlmJMhDpqnK0WPVs8FvndLpnCIf4cv1rN4 zBi2rL2jZC3m4917GqsoIu/RPfe8b6hmUjTxjP4o4fyiXVxLo1NnaFpe9yhvb6dPRRctehK3uo/1 tKDsoQckAkFJQcxqLmAUm+aOfsXQc6sFrFLF0E7c+THtMNP9owjTB6TmpsJJYk7ytuaNLYl50P4p bRmFDmtGDCNkqRphrrExIGhA+1QuPHT3SETccsxb5h8UhQDZ9mW9VJlc+n9vKOoyxOwMwO+bTstV Kp6q1aPkd2xvqoGYWcY9O81ILDyB7ryLkCwQhkiUoQShb0CzVkMuVb42+M3qtgLfTU9wU3Y7bpBb Mfiss8A2gyRTHOd+Cdjg6mQwvs93kS+AhvNiSb7RmzmFSKakO5/GG+1URqxiJCs/U1F4wY2S/8gv yLEU3u/SzbYCn+AGa/t+CEijivHErr4STdzyU/onFZ/G+T7CxrO0eoOdvYYmk1jkegExk/DhA+Z1 rf+zvGkN/JT+9Z3jyQwS84WXaqGOpYfEs8gTJHu/31SNOyGIkg5JOuRP2CyGy1euzJyW+SvfM1YT JGJS3dK9f962DBSxhdl1roibG8ESF5upoRgT487MBo1Rn5ZPYjwD0irlZRzVMNawftFFn4HtYcME 6axeZFtJ5MmQb8WBMGFcfOI2znoWYydAcdahiUmgVlXhPalr/xx9p44yQd+KBqRKf74iPyDBom+P vUnI3/JNVTTnD8/CaNnC7T91Q3K/TiqaKGalUZ62rNUMD1qoxDgJOj74MoLQSCAax+z1tXMbWt2R PX8l9ZR8CYaYYPkukFJmGzp/C/YDQU/O+qIXVedgFy3pFKdf5kYpo166f1yMuiZNuR+ACWKr3/qX KvovPmLJP29mtqD94UFpfYy6w1i1GZ4VRiBTlqCwQrKHpbgMHXWRT0+q8XnI6eemCr3l0OalDu4r 8BWQOjvnoIV7Ab0gNNnjo1IFeAJQTOPTcfDWhM2p8oHpWIFUzQqzhkkNfteaWkPxL2FsbomcpwND /xphPEWcKroVw3oLxdQ3jr5luyGqBByPbB3XjalPz9yGh5ehIu59Do9Pw3MJa+tSXUHjK59lyuKP ZgaXA2J2+JZ4xxu90c2qIow9/jJidHc6gz2/ie7rY+TzSKQ8bvUZTsFZm9sNJFVQoB7pvkds4Z2Z lOqlN85MfDhJYo2Qh3QDRp0MgDstFtSWRD/zfEkbuCNLALeQXRVQ/4OwJjhIPw0qNXlsjlqj35Ao Wr7dwZlwoX315Hdzb5ZVPaeHw13GkiseB3J4WnfyFCWQVDVv//yZzwDJfMfOpt0/8m7AxwQnyJUk r2VD0pn3LvhG0PsXSHDhT2rdMxaBzfF7sAKImxpBDEhHSwyRx5j8n+fVM11Z3hwQLOzMFmcd+xDe 20Aa30D3se9JFSAivLn15731o5umkA39hM2Glhk+P23cb3AiY/ncbOJm+LfsrZis4818oysiHPTy Qo0T2r4Is4ux97URDnJ8rB2Tf4k9OKqG4bJOFrPv8KSpxwd1/r1PZSaWtn2gc8x1KLIbQh3ZJKRx pgTXXzeIcu73k5siaL4uySmTmn0qN9cQYpIEsI0x4iPSW8mP+erRooY800NOIKu8VgZiZXDS3xdR WZb9FeaTuSr4JiHThzI4YL1Y7OIDmjiqw2LbCnJw/9MhoxrDqYzyx+qqlEY1sKHm+aT/8r/JyvS8 JS2Tiq/F2xfNLEhdMXJjvrB6QQ5Bq+ew9ge/OO6WGdGtZNSJcvUCVF/NEJKfQtj3kfCg/ZXNykfy cnghyIgOspCNLJeaH6Wpt8ZsQeD9JQNS0faKT0JDlMEiRMkH5cGRkDNQdiiGviZRX/2tGoaB1wvT zh/8ih1aJlbevZwuxSxefvwpUWqS069jODfA/1sGepii8okd61wp5hzpZB35dK3kS2Wm0jLLzt5n 9K5Ty73ZWS2U4m6d7+c20U1j8BP7crV6RZOSvw6SGKSMvJmJ2w38lBVZ6PRFZzwVpP443vmyQ/qW kW/Id5MivdaoTNoDlNI793hkGJ34h03wjsSrR5Z726KZoF5wmW5kbXFeoc0qplp7DwLoEJTraMos Df8gF7udmBgyQ0D7GoygR5rJFELl3dLkMPPPD1936Ad5Eqpx8Hly5Xc/q2FSnkI4IUSjNKodjhgY TrF869W6njkbCcgRvxCcZSGMS5v93GgTQVGZT2sPXfhOFluXqg1NaIU4/Jr6aF77U800rjpCfDPe Fep3WyDtwFRw5ZymOqdDmRxaxPgSvulP9Os3CcIWCHJhTGdIeygTLWlYWVpsM4oc0jek5h+S0bUU EKtuKYbIavLCSPzGBuuWw7esbDAFLuuGa5neBAj4RlyUCB3hfO0+FY5ludYnKxVTadCmyNub0mL+ 06ctBXERjjug9gAtTIAN5izs5UHXYgZ4PPkzQsejabj+LmwwoOfpjA5gAGUNMX+PSxinOTdfNgTW G8MKRkQc/soW7ELA3DOLGidwDMsIikFgTY+1ttDttMUy3Qph/ZHMHe4VseHZSxcOAsWRPvPBlXbV +/cOFXZDeFPUFUYuOl40hmt8fDjGIw2PjuU0wLD6P4UnyJt7FkT3+VmXWxa6jGmOKIT7c8ARE7vE rAdDxcMS0pCNdEIxlEoul3hVpAFddzVwl+sYEz6sZweLhI8jIvCCjLbdnoBnZvTsZbyNGHKPEQwA KNPn6tu1/96RssIPM7XXl9TM8qH44LT7ErxUROtmk9hyhcGKUha4oVCZa6Eql24X3pZuhVgIQyuj aHGticmr3cW9H6SOvt1GYYbTqPis2WQhpVej4bWjRrINbKbrUMbECL419mRzSHQ7YKyl2MIEdt4M MgoJvxVBUcQUuM7zwbahoFPLsT+JxA/3N/mwPBYaWKXFhT8VysPdyw0YmoagXVPex6tdU2KoCv9c WWhT7Jqit2pVul3eLro3ESnQUUdxoso8nYwPz6v5WFnCcfWMZ3Bs6/3EWHFQr5u9/VhMtLGUuFQe vFMWEgFlfuVYd2cpKNo5HhzF7Apq11wwh4bXCHoOahMGZa3MIshM6gb6HaVLLAXNRsaFEE+upbEm d05+FUbE8+uZXNuQMrVBrNoIzyhtQOmQs26ht2lsc8PkA8sx/gTAxVeFvB6PkR6O2cgg6iA01/Sp RRwZimncMjI5/uJUg+HM3Wr9+3eTRsJT8G1Qs+LCUfeEtw4fINriwUYnNsvropwtnk2SSsdbHKY9 iJvHHvrLqDYa0l0uY4Hg/cNtOO3oQGzCBUh5+6PfidA/1FFqk/8g+3Agv2SJB3lvgcAJvYtJDnX5 x934PrhaAKxKWuU2p976/eA/jpIlwLZqFGG2SNko/m0mStcRXpljkASgDI0KD2hWR4k5CJkeija0 3ZnKtQY3ZTCehZZWePjpQJN4MHKrO8iKZuuOT7ZMkY6Sw3BI/2Gi1R3L5hsCjtwdOHDTDKvmfuOW v41ZDEIirtrAA9/Sm5e8o+6uoFjwMpUW2Ssl5tCbzx7iQcsUqQwOQpzP3FqmKfcxunY1sjkQXlx8 SRQlOQEnmcCT3wQ3NtfwZOS1W67ZFwuv71hIsyN+ubhYqT81f7bSxaSwRSeoZUEC3/m/ZFgdh8kG SknnQ+l4xYxGWRzp4hWKXBhb4em0gsP7mrHGz3sSKKscvaihjLRVmmv14WuJxoHwUZGQJoTD55zN 9G0nzmwz2mq5W4HGwWSBOSd2ZMxpu/9WLcrjuIB+WcotAZgU7gFcH+FyveRuVP2pHiPJIcg1l5JY cAxMycir3cIiBaueClNwEg336uvj2nMhTqBoEU68TERdhMOuVEYqteGKQPyBVkYgqBBBhFw37ttS IWl+oA5ntjoNjD/UcDmBkz5FzCL0vSbDKdVv4+Sn+I8FRtf7X59TFXa9E1Z5QBQKHXJTBUbhoeTK rgalQj7whj741WEstF6FlQX09TkhN46ObucGiFe1b9XKlcQqnewIvV9doepmX/9BO2LYrkHF73sG gYxyJr/KmVVLwWq9ITXHjvjp/e/8ZBS/SmOML2UnYPzOdnajtgCYqKV6Pp0ZV3T+yT3ejExJ9BiR MeEyCKXqIwPK6WGdiHz8JaNjxi42tYSAcm7tKk0mrEvbD3YOuyVDrBU1gdR/gGDzEM6l4+s8MdiN dgOTmTD02HCvcbiFENsNcSmvJmPFflh+XCH57vXM97Ta3okKCCzXZWwlzRPgbMBi01pUiFGd1UDf HmbiGWbhT5vzKI07BstMv1ip3U7q+ESArQlyN6DZTwCF3nPn7n+exukXUspx6S968TA0gxyFnJUc dP7ySsPz+0crsDZIDnve0t3xCYFJS7lN0AReaQPWSFP5LX0fjHL9dRx7MklF6ZRVfhX17EaX1JD8 nF88cWdZM1N5wU28puavXXI6qdOCb+p/wX7Iy4OVawcuHtR9c1GniWee8mwMPLHc9O6yPMm0yg// /pSszjs/BQ5Q4hdnqeBly+8TfWq51ski/DdjiRZ6qoCGPWuGfecie5YCOdWvzXDV/TWcJvmLf/tP UP+bX7vAz3x5IQZBfFxhhq/xlKfOo0ig8Xz7xvRrcA7gN5Qcn00l1LqrFSBe1lL8Uuqp+AvLfD/b +52/mSK3JJ33sQ/pEpilJy8c6d0OGUOmz0w347jrWLJ8eyL8qcFZCCpJZMSE93Ca7NPLHdwB5Prt d5CqghcoU66oyBncNEiNJQ4AYZNHrHrT8dRF2xNVOkzoj1Rb35EllL83r42Cy9G5eAql92Ic02zP GFIIsHSrFjIVfd6DVzoOvnw6AjS5L0uuDhOm5at+jNTd9C7BYxMOZB/fG4LtXFMHxpHGx/psyqd9 yvZSmMlQDXKtiqMiwwvqRu6UPp02OHETh6nED4vY7/vBpWr0kREva4MVXXbOtLkCkAbQZChLZaiG kZHRWtQYsXN9/iErx5olRHSBjgNbNhwUH9HmhSFOCfWpiGgLmlRLQiIkuo2AHOeSeZzhxh2Gfe3c agL+Tismj0hRMkWVcSz6iGPoujCmPHJjsJQcKbrPbTBHPSbWxiJ+H1JqMO8Vc/87ElT1DvM98aUG e0tkUx6lI1dHk7wNtWKcmqapXkl+RiGZMYnGqWTCaSPgOqLen1FNbGaJZXRHiJyrzk+iH4VTsUoQ Vc0ebCk9drLd7k0Lg8LKsIIx1Mh7+der19CE5Hhzbrq7m4/eaE0A4oE9KUUtwURk3lXPhJEVD9JD AIUUHPHqU4nhYQdiAi7HnT2qJf3MGl3dxPzROKjKPZmP66adyLCpGdtPBfK4xNH9u3iMvveJSzpy KtrswLhTaNtDuqPOv4mz0KclgheY3x7NkBLFopwFOPmB8xr24C41kpuCYeoXhp0ylysd94RcNz01 h2kwb1SKvr/Oum1xZUbKz6B2ju4/eE1dsixjvfKtj1O+KX4j662GMyDHIpV7bCGzXR5DkAHhS+kw OYW6AhtCdmnJdL0oQ6MP4LFUdH+JJ9IBeWsm5PUPdXzc7qDZ7Yek4+HmUqQ83nS+pftdhlWOdwzG ozkdyX2YknckQgyJZE3ysXebePB7seHxyhv96uAdGUSP9wx7dOYCWwQrib+xyqC8zxpZr7ms1GXQ C/NKONFYvLen5li1dj/WyuWptzEY+DQl2jJptsUimWOx365vcVg9dnvf1Qs+vhE/sk3WY7rpGRt7 a6X26O+14vPDwUQGkUPQVfyvIefcYWQnguQtEW/ksoqpf2jkpNiBeLxR687uNZJUBZKEDDgy4GH1 RQaMEwN1tWekk+u/PlUEmbSEJfZ0BrzaiuaYvJU5A92cnw5vL+8Sxmwk0Zea0Iu+7pH3iKcrdh+/ WQmS7KjlkRfXqH/wuFH6IOA6Ln6+TZeM8HHnAgZ5LW0PGmAni/jY/e+Y2TqAq1Jk6awUZmSvjyO3 ORpVfzLrer7MWk6l71LQbJvTlVNcFoN9AIp071jwlZGGwllJMEK1xjVMmdOQvgc7+IZvkzCT34At 3R4+GxMcy0NWRY+dPynOKL2ofGxhab2yhzZG/qq/mqjcKiJK8C5k0gxK8aJT9tzpyCnBLkrZVost hwKYn+vbM7R+J1in6MvOPrJqiUkbCqV19odl2DW3rXBTFGakxrshphPud63Uy0X9gq8ne7ccVo2e M8375sZrhrYf1mRUQFi+UAoCFHTx9N2uzK2RbIzH4HV4EJ2Mp8I9b5KB6XNTtDUPEqRJu2DAjOoP NtegLdBEEXOEi3klKWkD8xQW5s3yhtHLSSAEZsQBReDuJTxG4QHbvXEHRDO2z9hwZ3mFXqvLivyi unT/cH9UeazAoUs9ELfOC+H+xc91597vyo8bWegvrLaGvdeGA4XKm/cCJ3BCg9nZJnuUyy8D0UgX /Rl5wkKCBvNGWdYzaAL8n2kXo/BoPqqScLFUouxOM0QNUMaisbLQXAm3vT7zke0466k8sJF9/x7D LB48yY/HOSpFVQ3vdXXuUIzufBaciSNh0l7FF5xiRjRgNkMIBXd9XJgJlq5FhOz9WA3rVwoGHve7 zCgMuo02PCfYTY+7zXY4L9Rgs2QKM2hhw3ZfNtneYdQ/JnGvwc4LHLeoPMr7GdjskbEY8afA/4xC graB0gsxu2J/8wOU99vGAHMlMtfGz1pI3CA7UUGJ1mF+s/BcO4z8D7p8Ym7w3gUM9rUNtvnSjahL yAbgrsJBqeLLQZfFHU4wkD+PkWCc+CpkeWgbYXsug27F81P3Dt7EIahjSLODV60firxKmQbfXWy5 RUSdACUVtl9DZxkxw1udshksdH5S4P35xSIRKf/mhJwaIcea/ayLk4hh0iaUjkK9sMitiC+E5BBY rNF2x0oX9ltryixvqrcs7IqVFzhe6bjcd+Bu8RfObxqEXCUGYBZUjAFandK0uvqaQbHWV7rhQvba kfKzYmypS9oUpTGAvCMBcC990qEHe4nLYYJlRyke59NTre1kG2hmqodBbDC7eOnTTZpsVPxgGctv Tw88DoneGzwHirNVFyY2edeZAi+xnIA0MzfxlGHbXi8FwujSzslq9GZd725mUOIgChq/v6LfOtSe BY3eUftTOCgipGmRxruVN86BU1zwXmxAHmo7Jo3CdoaR9BwAYNm8o01xInIcvHSMNJWVNXLaQrxa bdFT1RrA4u1cEpFcm7Tjkmb/bbls4ge8M+Nks6SWxRdEMaVjMQdEmij+4FZkkzOsFeT3Qg0vT8Vh 7RfdWe6gzwVAnfHHlZKxWGiTO8C1kgMf6r6G9JtsTtU4cTxI+6r84xKDcNq5H6LGi3LbRAgwKuzl 5/tQCsdtCD0KzRlkduSKBU5yWr56QerpX08Dw/Zvwki+wD/ZNJa7rYr54KXFKt3P5tvzSpzfR5yT diCQeoO0YkCAneKfcqgVHflMvaRxDzoi0wVsnk1SUeRml12B2pnqY/p9AVEsRxH0KXbyXD15oxRy gC9NAAyFaahFFX2KMjX2rpr3qO5N44kTrSnJewAA1E9egQRac8KeN6csiYMXM2ZiBEl1LPmzH8XK 0FxHuijf9TVACcpxzKmL+pyXQtbnsUvz0W3OdXPT6992pGtamIBhszlkVSTnLwAHnUCPavevq8TZ H/ASc2odO+89Yk69fvPBYkunq2I/LgL4rP+ITpD2MT6puBHvy3p+79J6itx9YcTAy1c1tMIBh4VM fIvO9HgDUZPJQ0ilMyl4EhH/xqMKcz2Pqrp22Vy88qPqrPNfs3BPQHukWsAs/hi0IyBXKA/0uXkZ V0av6aOQwcKVzCnvXe4IjY1noVzduMbeLawR/AlbvsdJfb/zZfnEp4Kzenwl+dNBnKyJfeNKeLIH 5cakPlYOABb6TMfGzUpziZy96ry0VzRt+Lb+A45TDL8Wj/7nl1Bn9khV9itPEXdX70g9cTbbzedS rGxoBTqs5cAxWzMvmwSHqr+QgsCiLObMgytxygpxdf4zKJXu+gznaTI7pmbJ4hu3cpAgnvRMHNpT fSBTtXDjKET06/JF1INHBCX/Ml28vCCXoyqpMwWp1606E+gaFtkB/IV1kFsILj0HEYrtBHZr8h87 EfwhN9uTiSdW/tbJLJaE04Dc7igbtCv2/9kDPvRYfGIOKxjzAnDLJrg1JPFnz+rnY7yo4VTnumku kXdQVbmyWEB9vQv/7hq3TxfJ0BnYkmOvO3YWuZHZ68yVWJVXMeqI/06eFAEsVzxFCIIgyOh8qEfW zI/ySjPUMYeSMtL+MdtAbpHvJHI95KfX2eVqREZYYE4r0QcKIk0jbq+MsFAodUotgZPX7eHwsFT7 do1A8zLfdKy6jvf+r1K0BwjJL5nLPNAAThEj+M5qUUvH/PYL+hHJB9BBHxCXCmqmuT4RZtntKwaY hUIMQKY8Sj/WjrzqDm01D/muZWu6EfRv2BUEhcy9+s+1jxN5YfIZXk5lGp3OUKZ82R23LOUGOIwL +0mDa95ckF+7QTXhgwVrjuwiaWV8TyEtv86rt3jciSa35oLR8kI3DFnLVAfDz33rh6UGQYVqFlFo lOGEc410s1Zt/furtb6CF2COyd+roEXUhRK6cVEjW8zAhs1yjsJWYoofpwIdeMWCmkp2N1mSb802 K26JxaxK23oTSRR3RmtwQb7eiAOPwoF4sn2KFe8s9mqUHO0wXNkBd88z+wEY2G1pNIpp6v4EOUjG oET183Xc4JjSK3xZtK32BXlDnOcYqV5nO2dY1TXPmnyUz/fzjd9oRMmve8DLCj0tcOskzb5y1D53 ZiTcu81bCd6E3vfNxpVtVh2nmxlyCDK6V90BtxXa4vm/g1F/S544ALTgaqJwfrZ80CpAW+Dosu2z 7foY/DgZPcfbUadUbXnyHzddKPPsmL2/rPWqYKIEV5WXCKK9m8vMKBZPzHqDwF9L7pmCMf2aJkN3 GqRt5jDgSnQmgVfmZbwrYeccs0MgkdHiI+X/qSV0RBPS1IUO8mjWLWFck3FRbFSuy07I/midhjwT d+sljbmMaF+VY5T8iNgXTxAwoJxpfNxgqzZA6PVvCJNPtGLitY7GIosUnXoYeypGMcyqSoNHyQY+ EAoSnAHk9t7kFO9R+C0/KKeUhpUF5DSfYan4kLva32FlXtsw31+RmLZr9iL5Kbw51zhmn1b7LN6P tEp/oDBLg8BnPfnUxIIfDUOmdAHZLGPGP2NHowWv2Uqur4AZaS7SL28bRc1rGCFCEXlMYLuNZAEF RsoCvb6LP6ivZnjSqOVjuqLOn84gBWXJX8h1svUXowR3W1LCjSdch9BR18RcdqyT6s4TrROz5po5 jfDBIaSlpKMQIlo6ehAEsqDRe/q8vTvUC16eNCJgYzH8kFmKlj7d8P4Chnmu2O7IY5LIHvxRuL0b 3z+hxUCmNWVpfcdMIkzQOBPAvpG2mW0J/0DSZg6pOJ78KvlZ/Duf8X3Xkxsk8rvSGIdfa7wXHRCi cimcDflzIjLTJrcCLowLEGYELRiZ9gvPCkf3w5ETif2MGVlDN21wmPUdWigDI07Wbbrm4ZnA7axs Dtp8SSZZfXejdp/HvQL7QV4OaWhkttMoE/TdNy9Za0U7kmH/8lAyLOx43M/TXqQsPkaEvo9dYVv/ TJHKR8g3D72PfA+c4DOwGSqm6x9WAoNOCpCqDJAjXGaK5UnuS7Iks7hB1w1R/HrLDAuPu2wIAvuO Rzj6jqOAvD0TDlNWyXsZbHyL70/PgqAXpP5f/MwBYyr64QZ9U0Nh0h6g5+rMDXnS6IETDtBwFa9b I9rtMgbo5PYpADBn6lrBGHQiE4UqMhCH/oXfFHmyl3N3ooeBbFi3idDT9VbsnPmtN9I9VRbr78Oj t3wLXkap8znS4GkPRCuDjtBty360M2vuVLWIj8iq7cxvWhRqYsY2LThJoL22wg0pLSmFOpxSMoCi NR0V8rx1EHPVOJ3/CYEPcCzJfvoM3WKMeHPCobEMeMyO3gc5F+1SrOeeRhqzaxBcD+AVJnjQridO dfhJ0yVntSi1Ly0J6Ad8ayzQscpcZLQnepFMtPKanlyGtT5DP0vhfPqwPqdzJ2DjZSN5MUh1T6r4 cF2aQFB0fERVbeqkTf8Pz+SrtR/VbiIc9spaZ4XN1v7I8pyvG6jvSrau+Ko2yD06+3kwyo580HM9 P5n5zcdlxUd0fv6eYPUajBqhtIY81i5FL+M1CEDus3tZ43X2tiBABdLPzZJOci+ri9iqxFW7xKj1 5IoczDMIgE9qSDrdb+0o0e/XwURIic/OHPuIEk9EGcCO7CsCkoGNfDLTl/ojw5Ftxuo7HvM03WfG +A4HDVrVBxYnzXbg+84dw7vJuUm/QkBhLX+yg405pDPOndwHTiDMdct93oE5R8q9UpZS8CP+1Al4 zEfRNaWKZ924Fn55etru/wZlioGoClYD/bm3SbjTTEzxGqNqTuQ8TvpCjd/0ISfzIyWDWzJnt07i zD+5wN45ekmDqwif7e1vXyIWIrxR8md+8B3bBH2of3QB5ApwgU3xAgkgCoqaGYw3VeR5958ztqZG crGGDKrpdyBj2Yqy/XAHuMgI+NhMjOn6gSsZrr9EEAxWQhCRe3rRC4kuIisxA8J2BLJcSOIuoAGW Z53WsFh232pvecxe1XyKwmCrnL0DQY97GXIAoTPIa6tMBE2AGsaOoMieSBCz3syKIAUo2Bm6mPSS /UX8IMZ/BssTOLyDvAkMEyDE2tg59F5gchG9fTTXyK99Z7XqwAVoECylsjVXVGCugOEv/ckvvvQX uFQdDell6VMCB7BW5Pomy6C6BzzSCgcMFWPzOAbKufG3zRjGuz59iQTjbZQaTROTrccS9VHa5/jY gk5n+IEC77k6h7KxdTfDh7cXBiKKNUYRdM10PwL1M1ETtvBkGw96793ovwM2jK3yNYdpcvpcKMpS Gfgm8hsPhASeG2gLucxj169f5hA0wfo/+nqVEztd9SDpIwo+TzSFPrItgjoMIDv8th9okDI/597D zhvc8qbXaIZ1vpogowUeWPuNnmtyGETxP/Afbiu7c4mZGJiCDrOZEoOkfTdcHW3miO2Wp9XKNBs+ MmvMDHz9JgrdOdOLDgnvEY0DwOV9G1/Ma8TmcDCcpZXSeO/0tgQOvPfCaIAMiwoOTorotFLynxnq aHP1yt84Fp7uNoAFLHZNf9e5h5DXFL+acAVRY3wV3nZudLySJp9MFxyaO+VnPyZuqJIRTGG+UMAy SLlN10rQDWrrqyGlZzWmcVnCRv9/BwhX3V60cYgWfy4MeOeSYNLoJ+7HhIyIFAJuupSbxTNU29dG yPslAZzs9Ykfkg90CXM+/87tmdsE+66UJ4/JKQTjdYJZWF8FWV+hPm0Esj+kPAsXL6bYv//bun5v KbG76QtrBvVqO02HmAPzTIkC/UcMxYvnSjerosm51hxrzio1hQU8mOormyybRAjycj9NSSRgDC4g Xyi6j5UsaywUcvLvxSem3rXC+ImCb+UVqQebfItPRnbJ+O6uEqH1yC+8hCCGXuBL3CdU1Wotkste bJ3ZypCqhj5hZGpCnsHEeQusjivHMMs9XkZGxTA80QA+okvx0ki9II53z3H50/SaH53B6s4hsOtS AbVJF5uYvUIFNA5+tB46QlYkcWwahfbq3hQJPz3/w/roUwjBfI+xhg48ko8yti7y18vbFf6fv+gf o7NPJiLj+C/gWdZe6jPCmfkWdIE8Qckc6XgMSF4Co/mhkpG1h1FMYZfHCeI4Ac3Y5g+XP2CeyO4J ypDOyjhuDvWHnNEnUGF0XJ9VAXTLrb/ZvQKQ6do2dKIANmAk+sG8SOtn+9ani3omsGKsLLwBHrPC lQ+DuMxOhR3ecLi+9YSNdS+LrJX2VTFZvhqhk3KTGE0+R4xnYrGgBOM2kP7aC8ZAwBt0pMN4NLgM AiKLJndSnDywNtpyhBT5O68kw8ps0YcPzXqzirumhkvs7bUvuiiG9aIYotfFxTplePkm0ooPxJYv V9goU9PDFwSNxjQYh90Ml/FWxjS/j+EqnatA1nTnSPGx9OyevIGMOO+scFhTRzEqYl5FeO0hNFvG JiJVCWGJMKY+lOZf4fMInxodaToNsI2oFprXnrBK0eiufvzQjfYAUPfsHkODBZYrdWmRMQOW2CAL Xm+pICju2pSVm8z/A6vgKD3AOtYfN463MUjVaZuarXpK752i+QfyTIMDXUFVfOOc3Y9S181MqUXS +og5PN1PCKGhst5STXLYnnbpPuYDLt8I5bEwKqpDK8M30pCohiP++8qPMy5XsCp4Dp+bfPPrPssl rhVkbLAOQQ7pNmMQ+//L9ZLiIhjXCS0DxX/cx4VgtmF1Ge/cmTLiI3VbCXG9sb1EeYYRkbjgDi/N AcQooHD6Jkj+xUglUcAiAkW+fbNpbPp1xyv9U6jijvhroKkuI9YmugSvr1I+UD4AVrerFh9zL+KF t4WeDmjwWrpFtYrCZG1Eu9UOzqJW5opyeb3rV82yKrHLVvgdJ8/he8gsyyeR1Vv/yPKQNOTBLtrS 3Y0qdowfCLuTllz8Q45XUchSKU9SujPhKSRWEHrD/84q/3Nl/IbCnuT0NrqxCqbSL0YohS0X4EWy 4ohZUd1X3BfXjYesr/1h/hl7nmITMUqSu0eWi5bahlMdFbQfd5kT61ToGDOooT0Ie1y5TUhn6R8T zg8j1ZaAcwqlUxw1ppHJxdyYdc+LyCA6tiXeptklj2E+KAQM1L4eRZNI3DZZ8/7b6BPYKgBktAiq SGnIzOPBocUbifmVuAZr7lEwffN4d3on1hsyWMoQ8YwA+6YAUKzkVioXdPHTCb/s61uX44fgO2ez pIJq5bruRlMgRtZcVuB8FFDCQxpN41jODXZKBAnK06TEdOZgEf1b7CCE1Jjq7VizaBlMJqLyw0gb SmwmY3S/4eSqpCNka0UUb1VZEu25Q7V37dqcfcbUMEvp5mGyxODJR2o8Hyh7voEdqI+BnxDY/SA6 E0A8vuNUxobZxlX2zApdrphoguPHX+DLxKhGex3OblsDh3JnRsOS2L2MOfbnSHc5rSooTtYWg6bw 2JDPC/1Kng0lun50FAUTUXChMlSBqGgErhaXpgO6YGj38qNMm/1SnK3N+KfTpZ8Jqsr6AGq1r9kB iY93AXAoiq/hwidz1AgU9NMWn2XQMHknsDOXGvvNumydaOvzi0psoW8aLZXNVlqN26vau82U0xwV k9zUYetc9Jz78YD1KNHDeAzw9G0n8gCh9ON9c5sdA3fS4/NqJVY0hpBMt9Rz7xWXEA/Uia1xZJsT fp3zukBD6KzRKMkxBRQZN82sn7I07nlOHKe6UT3rD0bDX/desBFdfigXzFL0R1FritpWHkf19Q9w a1I+CIgZELyUyq1wfCsgRHi0d7BMMW19uZX/IvLKjRWQlNTan9RfzOZU8Qpl9PCix5W5mRHVQGrt RVZc3n0RfXE2bipN1tmis10KTnjlkRSCTpr2TqPCMb+7CnIPZOZ6akzgAMIe47aZfboS6UZWIR+c c8gU2dBxG5OwXH57YduKDro7iWV+/6VIrN2mtrEqOycfr2z7DrNH2Jpy5/EM7IY8MgWqAm0EkLgf 3r7abz7NXEgMSxyarY5qjNQ3WlKpoPkvgY1aC7oXRuV8KxH9X9cMvAr+okHm1fLLQVD+ckRAO/Ag jFcuD89pasaiip+pWIHAQtnMon0jX/FKfS3sFGr5wFEgq0OpAIcEKRcUdtdjkEXg1Vp19Wfsvpqj 1db1Ao9u97DjnUUmuFdDBe2/7iSvI42kqTiS7qZhS13POiL5FW+GcMmF2SZp1tf+TNgo030EPpBa vkx13Z+DOXv6uN6upGWJOq+ewPkShCeOZwOfpHC2p2kHJHEMIMdpuJ07lPrXsLOVgc35h/drWyoI 69Y4I3ZeyHPNxcnqez1Q/N6mS3B7aVPlBreEbgSdI1X3lR3zZmuYT/53NhNDLOA1s+AMk6GSkqEY 5BqT+GpPsVCPCswvn58g+eefsvviQGewf9okIlh3Pnpk6+DyJB8BefEKpPaLYnzQpQvAuCX5OaeP 60lclvh4R5hTiAt012JC8Y4Y40QgTk61siFFWQJyPda3FCO83+QMoD09yx027nKTl16Rh8SjPok4 rJDCTZwjZzbw8MpWPKqikbIiz8G/qlCn5T59GEeibMZHvpgVU783m+Tpy06OQaLXqMnXBLXslRm7 D1jBNDgz4Tl6mkF3sdsG3psQyDIXeVEM9I3GFDVjVZhs071c1IgNqzrs5i/7CjO547tkC458wjnt t0fZV/URgdohPwSZqZsYgNR3ieJ7n0XHNLbdy4zW+hhzFG0sXR5pmh7wmcA9nZ23tALCsDhAMeaS XHQ9h6IfihoUMIVblxqooG0VfLXh5/oNxj0R8Dk8dSWCgZE2vwmp+mq410RsDrRgwRNenKfZ82sh iZxzkf8bVWJCVjB0pDLRpOnSWSzGsffjbvwRoSSykSCMuLuqfLzM3PC64Oe6BrqMRPABTbiK0ey+ vd8t8l6KWwJr28D425383/kuCGFMKX40i/31yGqwnn1floL3pllFbaCCVVyzzkUuFjWZ7JEqPeT+ +v6vBnSS7TQO97RUqw7IRPkozRz9DlD7j8xEnrd73ZXcLI3pOW8aTqfW6RmW3WdXHbjmkdU+0ifs VbwqdNsh9A8eDpDI5S65ME4h+b7gqC2xZS/ti+rCo8jbJlIc8XO6zhTgI2Znud6v4/O0hB1xCFWD zKJHMxfmnsVDwp//sTK8FPiAcdkEnAikxec1Edojt/9XVDMni1aBM9W4LZO9CqX2iIbtHS8eZHYY 72iN1N11aS/7TDwx0aKXadZU+TdLvT1I7mir82csDccgmm634AKyiDxqCecsnVxQf1CtR9NlBSzs cxKFly+P0xtrfTmFoO3S0n8ZzPhh/G3+xieVmAOuQFaIbAwxbpCIEhUW4n7jP9Q0dkiie/0jQWLP h0H/Cabk7SjTXmNxfWtgDYOZP4Jp3Rbqpzm92S7uSEyiTvEnxIs4gNqQOUtFda9RJVHxlPPWOdTS iVaGOFWGtyvJMunIQ7AvY34Z24QrEYJWP+L6wz3jNOjDjmx1AQX0zv4DjdGPVh1VUp1pdBC86l1k ZxCrLrkYGLU75gwnYfJgX36M7bBVbaNsZlT75dxlLEs+RK8RLScbLQK2Sv7YUCdT4PioUOb7RGQE Lc9bsJBA9EkhTwdoRIh1JvKgAkDj9Fdq7ckvgGU0nU17wlIMy4OeOINri70/5tQfbrTeViXHtpu7 HTqn0zPbP0CUaZYVr4oyX95Tm2nY2m68ft/WR20BoS57y502iSQq/Y31fgFs889SZV54UcIRKRSp igAmpnDjzMk94IuvR4b//2eKVMMt37cBHZCi6ZRKr2QzzW4NIRrEZUGYwokXLIynsbVweakZSTnp hDYDQPaSOFVVGDcwX5cox6MV+Mi0s0RWXpnXR5kSSonFmbdTqZ4wNOCKwFcWbxmirVaSekDv9BXu RRv/GqaXHVQRLrjIYvbRL8qurkIxwPl4igZJxfUlVxcHhtC9dRUL2rPwysswClFne3aowYEWrs6o IBDREQJM1L782PP+yb9FD4kM2ChY6X39kDVguS5rxJqo5CYBNN6KyWEYBUcHnumDeVBPmKIF/MQh hU+WPBalhYML5QDMaJDBEa6ol0AfqD9NOe1tWJJkL9r2h/bab2Qizq6fqAIzGJVjDP8jbNqVhkja x+fJ6QuyESlyHKZGsW9UuNdcvIs6VGsQ2FBNpTmTyad257NbNpe/ed1NnCtitKsu5tzO0gSLv8l/ lMQ7KzmefpnOssBSm/1Z+/59EvdYhLWgaVMKkJ5P+10+0WaETEc2reSj14jI/EIoqSGU/yuRrxtK 1uhTcvZWPtao2w3W9Pk3vOv61kcwMgXOAGk/Vk1e8dL5baK9NeqHLo9s7byGyzY5VIjhPlRJPG4B zr4kwLynoCliNNriRSUXVZQJ3fUA5+J2XqnZA5qvP9XoLnRqjypIdltwPJkqMoAYdeTC75xpSKCd uOAlZd6G7LsLZxqeEWB1XsOqxYdsosz6sq0s5RkH9MkPQ9np2V1+ADnPUcV/HmSRK+oDYIa0UR2l usRY756gGMbBFgGG/4+ME0B+K7++nw+UunrNwSh3VyAxvuMKHVV6wViu2tef6iYcj/E3BTvdXaOh G4UpwiTXgMSPEur+RLOTDc3+mzJgGl5CZTghdHuweGjPflX7qI6Bj7A7Joe15LuF2I60fj4rpX3r vSMRhF/pxK4FXeUIitBQboKsYrBd550JsSFmU/JolTshSbpLEz6PacoYIiBHRBMEJluA++CCKt/c +m4C8WgThowEjeT4K5MLl5MJA7tnyIuVxema+OIY5Gd8bOl6A3NSTUcpgwMt2VHwFlErJObcXqsv vql133u6GWOQcXlfYElOLZBohc9w2w4WpgcchH9mbE+DH7Vp7q9yqoInyqyULmvMB8ISOnMTu4lf 39rMdps0Rma8t8/2S4kD7jcGYj7uBLNAKiNbxWqf3K87a+LWyhDNzGx91iWEfCdRjKbntOhcS30R mjaQFTYkI92M/vWcItvY3qvc+Efw2BK1CYlfGRbakXeRWa8uJ6GuySz5+SUFPbx+cRYZvXqugowt D98w2DlhTjEUXkD/GpqtgyqZ1zEgS24tAC0ls/95YQQ8iW1LW50TRi7exTX1iD4wysvqdkTH9Gs0 VyhXM08senbNgf5VQZHwhTjDqS0PXd/YezKiKLncSAQCjZhrl30sBFvT1vy1NODX+pKwbvuc0Sqw DZTcysdO5DhOUxC9injppXcYlQn0Iq45kC6HoETlVrcMNnqBGJ8je63kmhXc/iwF2qP9QCdS2qSt q8fw3iwq34uRB1PUcsKW5sAFBJ1tQZVSfmqwNnUjVRWU5ss4dA0u0l+2c+K+xS8zwNMl79VBWYzU HoKdX9cpeR3AcyAqKjmywoAWYBmKhY34H55wXE1E5ZQt6F4FW6xwmo3o1U5eH3jT7Rc5OESxP0NB YCq0epRmF1W7IClA9PgBewiPWCRIKQN1+AM/9ee4WhiL9NUdiM754mWoii5smW0L5rMYzZWWcX5E 9mlB6uAkicFtFUuiu9azxM0qqJc9k+MPNEt9tpliGZioUiWFEnfOP2fj4dNrBjfYXm/iS3F+4zHT ERSkMn9upU9039obzf1j2L93ADXjJVOVmNr1k9sMuuMs1MbVC3CmcSC551lrAlYnpvoW/KOxVHjk bah6b21PEkZnEaN4G1hJAk4Ej2HC5h6WTvngnZWJMNJi2KKKvP76lCsY/Pqcy/z3Qed6Tf/V7//w WhTc9IHqce19V8elK/sb8HpVRnuXKiXaM53B4fkTak76U0cevFwE9p05UBNjMremVON/3DYGF14m b6eT+YWSoIs3BAwMqb9fB9A6iTqSiECqkZ5Qe8/f7O51O2ZdtcX73oXJWCOxMEMst0+4D5tihkhs L4OZLCmmHTjD4Bbca9QK9yESM9nFGoOguc3zF+TkRrl7JuJqSC5OlALcGKTB+uoZwHlHoCa9T542 XCjSeYzmgb2nqqalePadc7BGpJhLKzDqihRg66QG+VtwKlcAGHMsh1OpxEvCtjHibo37mwc8tu2x w3cpMBaTcexqdU4ysuyEf69JjlX+WcNZ/qBseMd2x58cjM15MQSmzzNiWT5ZSCLx925hzptzvQ42 EaIWQCJ7vaZm/w/Z65CjJvtyMLa0hgM12EfnGzRqWHP0GY3uE9xhrxd+o1Td3qWvF2bo0VjuTP0O H2JNyzaYDDLnjLCXlcm/vKg436KYkVdpru/HSCS5xf+ldEjnfCut320oEt1X2qjmJ0fJKsc9uCo0 FsIKo7zrNRlUX2OjLN7T49R6GUugZ3cDwukxJ7EQFT9Pbbo1IWekamQkJUptGA29dud3S0GRgfZf I7J1WqK9bT91cEinS/W8Xz5ITG3LVbwKHHl0/bOv4s62XbZUXWBiDSumzrT2EhXL6uCQ7YsiSTxt jlWWSPcFKZymVDdIXwz7gNgTkGmcBPPBv/ZVAHnJp5fYG7tJ58y/djG18Q/mODX1yLPC+OOw9TLq evmo5bEGuP6/49dpfhtDI+qbCpGopn1D1uFaZsYqxjITU6Zf1W9uGngZTeKxnk9w7zb+0fzI2dLy 7phRji0IRHoacML3m2DZbYp4q3hJxvY432zZrJ65Fh5zCFTb7mZM5S1ItumalianAsvnXvofilHo Glo26FOhj6JwdfmyRAnXx3LvSgXFJB29jZLnMf/sFFZIDNeU7hynjgroicwEL1UQhsUtAru/g4Jb xZ+SE0UhmeRxtu5DiLAcmdHAlJZgFcOrDjuiM/gzKFkNc1w0ZzIiJq0KW5GKZOk/roDrWkoqnoVu vWbvFsPOdwESaZ0lf/qiUnufTgdfNRvqbiAJrvetOGZT3+bXbCSeN9BG0AgJ3gp1HjKtTdE5tM8M OV0tFzIU8nCwYJBJwSQRBbBfhMe2L2cSAtKh0NnDwYd6qbP4vKr/dyyfe8eRK3Y8RMKza7iHvBmb +7Ol/tmdRGZKFEHe/WXUM78IPjB1zrUjNPLSkXdufoWuzhHReiCmuXHK4K+3cLVhMKaFW4JHlKj9 L76JCKE1N/s4yyxa6gSzYmgPaQnZgGGmvQ6g14zZY86oQszg3Yyrf0byDv9qaMTpeONKcIKnF1Ie F0TeJzuGYqRcW7aXqmdWA2pbJyo3QqLYdKFNSoS+uzZDq7PLzAjVRAGLcj88UKJiNUVRe1S2XgHM 7a1r/ATOI2C98VSMmwkONQmIL5TKwMXb8uWMEutieRiJBh5oTwK/U9hrtrovOrYSGld8JKXndxfX ZRFD6mpoaB+qOXmTagpUGVAX9NaRUpSvoX8FY+9f0fAKDxwLUwIaetjxAyITn4tgWFmCKeIyFZVW q30PHILQnXQU2rLiWgzwzIjDbxavp+WZCPYalgYm98iWuo7Gyi9wanm9ezTI2vJ6rV9JGxAPFgFG ZljZ7YonDTVZ/vWVQP0dCCxmcQYlqMmu53Kr/Tw4SqPZeL8+1g8kGbXyplRrOPESL2oT6sdDoLH3 DGvAY0vmnAP7T4KagH7+ewc5DWuWoF4jMkD9zMELrCi+DZl0Se5y6v3UHgs78AwnyquO9n4A4Tgj M8XAv1SbcIh8LIKjEySTADi/OiGGkX1Cl/JcMs5pymvyr7d0mBNHjsZR+AqoNlvOJdW7AL2C7eUW rTMsE/WJHoH+MKcKn9CxvogBELA5ZCcuFdRhFp1iaAY2qJI7SSKfCj6b8RPMGRlAqEmRZQSRFKRE BxUf2OPtky75ReIpqbMAyD+Wmb8cgppxUN9ItEDS/yeHMnnBM+XMwPnT2MwgZEMRuDqW+hCxcnqU DRmwZq9aAMUbTHl++xBW6/CCCIj4EgRFo6BDyMxc3hdprx4S0/uRcnRKelVG9m6W2ZXx1kE/SEHS P2M3tOfM9IHd0C0IdDgvoeuY2pHQEJZtylmXRqxFxMXeolHPqcLawlgU7Bawy+BhIR8iqB+Vbu7E +J6jTR9ABOV1+eUEli3QHjn5psYvDu7vHtBeQ6hl6+M6j4UmvgnRFfXT2Z5P0qREI/jv4EKKjiLL tDLtC2sIHavYIgtW/nwdudNY2C5XYzBwx65DfKpkwa8rxbKkqX7g4An/7xQxk95gROoMWtzBXgrd me/hETBiO8cXzZ0htMSI+n8ZZHQbhsFsIaE/rCKvXxnyvqAUBiK2/jexSHrTwOl8w0CdD74as+bO qhTD3g5ytyDLRYF1LGjn4mES2gPDTYhvHW2xDcvQ8MwW+jbvQgbg5p+ebk4NO8LsiS8H1cfYyL3N QiIu/WS9ctJPWO8MFnWE8JOCh56CIBLSBg7vN4IjqFWulXWV3E0UEFh8WTU7DoaxboLPToky+GGB 1J5lhWNgu6Ciot3fsGQEA4kXXNmLbQ222ZvR0m+JoxcEQr4O9RdWaf4XdDBP0PMlF4l8cwYvnEjG zezsK+nV8CeRnlZiOCvEak6zuoDVmMOpNUOyiWyrsVGG/q2K7M/P11NEW1isTfi7naq00yZrq3cy pStfrKsgy0TIYljhX63n79wBfV+1w//bqOFGu6tAknbT+3SNsKD61hCgX8hsGk8HDsQzbeCtQYWF /XqqEjVVmrrRjB/PBRdJ0tmxEh8jnPsKJ1H7Wg7B4GIiqvegrRVuadACI07sAV6E6riIPvgCXBEt hxEPizfIMLLdWU9ZSn7J656op9yCCjgmrYqWHxr6ejluC+wMby5R5WiBEcgyTKSTxhg5l1vbqI9Q HBIW80nm/pxQXE2oLGosUFrHXAvf+MbgCxWN4WP2KOEmv7PATZvLgl9ouiNDzqkSnIu+jX/mSVy7 pppJV8D/kqKMwIpQ71+CoLaaWztLv1jO7zXo/P+ayvVSwmT62jw2/FDo7XK6ydnlFRb8g+hmIht0 oszbTb5KTbscQkyoFGhWFlH9FfBtJxbLhzVsY6jcndPSz7fOS82vE/pgKf6pn1lzvoJa85G4ubbE DAa6ubowyg3UDl85hDaWV6p4yOALARtxT9BTkDNnqVfTTssbcFcpWtD+rG9LEFbH3fea5JArOxsr ziW2UTMXhz48s6wih9TNvC6Qtimg2J93X/Vyi6UYg6JJoBDN4bQEa9VjJ/QrbcQG6yNSbQMFJPK9 qxG4peGrk6TRNkZ4VEidSUVIEd1fOkUhZ9Ah4v5lTeWXa8lELFqI6xD+u5qgyRa2w6HzdWag6EB9 iwJVyZfe+zwaVINfiYikkrMYaymTgnhodihzXfimlRhvqYvs2i1Mx9Yl7vegSRlPa32OeGwQz72N t92gFkVYAK1sc7GIkIVhJ76+WcHUCPLPwS3oWlGT0rLz0tBK/PV77m7VrAyOnMCYbDYfkEjyNr4j Kzv8uQ7R46t87Ch6uwB8uxTyNWtVscrfvS5vXh56oOYjboG3T5zh9KqEanfFn52nUIfXnJL0Q0X4 Txls8l5CDho0hMbCKMBntGZZj3KJOV30O5HithbZ3Ska8msugjX/3sqWvAOPWSBVa+kCH8Pd4Y/9 GU4RgDt5l6dWrp96DekCqbpqft7G4jA9X/AyDCV130O/ZMDRUEONUIoTjLok9JNYI12v0Lqof/Tt ItE22OX6wULYYJ+J07UJboGGZ5lGsP6BAa4r+l8a9K3ZlwOPZqbdDwt16SNQGphnf6wpYGOxpXa0 YLMFnzgvhYfeTOTcOAJX5vTM7pfiCSTVaQX03YDhprqsuqGrjoXjrNdDcKs6R9XAqR5y77aGeGoe kdYivjt7TAadgrhh/8XF12k42zBJAOp2wtU49xuOlN04mi94acuD+OOyU6hCmfwS5QXfZAH7JVOe K4jfNAkaC2wKadgR6N2yvYhPDhUAvTux7TlR/02UaENp9fH0jsw+RGoUXonWd4+V1Vasq5pTin2r xzEpHbH+kSPVEcFBqqI0UYJbJ+OjtXOhkTFsk0ScaQUgrvcRjKWq6PdrtZZADdsvaq7ioit/iE42 WrDx78O8cZWJPrEDOQMiVtTmNWXY6m579Hq+H662n07rgNT6yL6c/gtDV6o2EEYdlvuuMFclsz2E e11x0vVkJhHQrs/nCSM73vOtlv1uUWH+PleioxyOQVe9l/pNMFmCrDgl2DKb7aca2WXXKlMkC2DJ gxWLIFUloEsIobDq38/8mCqnuuIg+aVYhBwdmppPZT/BNh9b+bOYLE3HWdu0J8Sr3aSICkk86p1m ngOsFq8oix6tEL12jDxCbpERi9ckijIUBecFblFIT7BXGz1C/sfTH0K24VxCngIMR52RZaqWZCAv 4wfh9b1LsEAeEXobL0kX6ejPBLXRNYs5LALKY+OYU1fUoIEBAApugc8B+zD2RkS5HQnAj8dkyDT2 YDvxtmqKDeqtZN5f29yapNI6oGPLA60IZgR+HNg5tHY6wqaDtreK3ywmf4x1424BSDDtCeGt20F5 07OqdGmf3PwRw3ZeanoqzQENrCKv1Fez4hUjx0j2wVpE714RDhbqxfFzL6xn8rp4Zc4Hah7Qeszn mlEWRwK/rW80MIRC678Ewh4Yz/3VVbXaaaDP2rCkHnR75xlyJPpLA34LA5dkQEX+R2y7fgHf8k8b dlCHhbgbZIGUBRKib03K1mZeGtmyfPotSW5c+Pfqx19pp9Fx8dHtNpzdfJmlIQTzgZYUk+pKM449 6PDlcj38bcB1GVl+6Jk0eQ+gs9+bI/sXo2FmovO781ihjEGA/8B8vzVVY4IhzEGYJTcSlELwL7uu XZdgGWE1hhDAjb8JO1YgrRKbxf2EboMXEmnUv5TgEdNrSH8f44hx/JoKPxaxJ17l6tFiGAqLo5PN MxJboQTOUekIpxmiIJYot/m77aje/tjSB0L1Dq581+M+vDuAx2BQYI8JlWkUWWOsE52WM3LXRX94 VjjGn+j6FT7Df5WPdvDmiKWnjgoTSQR85mtE7gOcp90JPDoGBWO+VIcMahBw6DQd33C3wMxMqUzj mAGFF6j3UZ1TcvNLjyFghHgEyw8bJRyLI0ml++1o54zJoKHR9/WCoV+a4jJe6GyfsmCDVySSkqCH zPalOmGaT/x+06t/6pvllhUd64jo1FUwYrb+uKjH9vs2GszSbVeJKRpgR1z7XO2A9CCG2YNeD4AV FLPoJiRzmLby8KZsX9pakpvasxZny25dz0ajruHBKYnLg9Ss4PVdho6NXyFN7PIN2xePdRSUeaG/ 2PAvsBq+fGk5xWwxyUViWgEqcnI4Tz3hXEKKkghzqlBay2EdtXS5AcqOIJZ9zX4z2MEfKINvdsrX VQKBINlZ2OnU3zGsuEADZ+0P0avIpuVS2Z4XJDcB8egL0FSqcJx3fxsDwEXRPeLzT8LSFvtSvT4X 5c+yrw8LhwqRCKR3xsivyfcPyDEIpEvU60cx68xe476P+ue84J896PaxtYtaBs8fx7YTMy2zM3Mn yEkWf6fgXplv0hnT2zO0dlHeJJqg3JTPagdMQ1OHT0bzdtWSmQEsi46+n6PhwXBzbbQQ8BReQwX3 n28Axhw9QGzzRTGy/SznAI3RuWhi4sQVAvkwZWI+oV0o1JNgozpvHYxgv1o3KryHWtekxlbgkIRP fdkjGzIXDFpxHRcu0aYfYP48vW8+or5Gw2lFQiU1+x7BZmYQEk5S4ZjRWFbRphZTuOM6csB3RT72 HJAzS1vaDi5W/r/nRnLwNJA97USbWjEQOUl9RQRUk5L8yc708MRAEqvJ3Hmp9nQSfixB0YmxPcTR ztss42cafNbSc5bAoggLtFKhn0WIb0cYvOa9XyrElg7dTI6bzgcWwDcx0VWsWgeGpXEC9tjzZgoX qE0spoetbfwD5afeIIzsH+DmLWtyAdS3jKVkKiS5KttRX6DolzvVm0QYecNdwM9wBrF29d4rtHge i1sYnY2iZnWr6vjh1FDTmG4Wv5Mm0t6I+ej+GxDpW/F0rPVcGNLzYdg7zcMy5G2zD1In9u17/FqU cNSD7YQzhEL3pPpBUwOPuBWBYIJ65Tz3SqW+VzO7AZiTAFhi/ppdN3Qk57y7heZ/UjTzYoAQWDqY CQDqM8hXp9A2/kzBi/XUiWVBY9v3c8J6e5YmMmrSAN7Hf40w0dWgeKqvjefTQ94hKPJ6lTmvsO0z ATXk+DUVjk9/joW8YqAf9+sj1OR5YHB45gqMOP3RflXbONRKiu6eO4S/cRXOpo2P7AmBDn5kspib 7vJl8GKs336ahYlXV2+tHULqQ8D+GV1dTpr2xhvziJa26cif15h9IhQC4GeXnzfMP0h7x3gCgID/ CBBd9PucOHtV9l3b3QabaESgeKB6t8ZyytuePNU+0H4Rv5AMVltIP04dlYnL2jk/1Zg3UCwtsTfK iSEEbwhwFiHgn/QAvSmHf3kSNVUkH0F7FSiY6hu/3/2uv06nxIadR4mTF5gx0PiUh4Ni/I0N8Z9t XlyhBs0Cke09dl0yFXytyj5e3z/z2xJR1RPcvHv8JNV5jV1phPPoQOqQyKGBdP2iw4pdbmccRfVB wDJsqBZzT650QDopPBxUmG2zbSC/eMEK7F+nD9ZH2DYJIHbziR2/pxYmrypODg3mj/xPE5g8zfUL 21/Kju60fj3vrmX3s5R0oJIDyp7aA01z8XjJssl7wPLtmeK8tmO3hdk6kWIiYHTB8Sw/bVzEJbne Xp/71mrBbBfDCD5M4SfIoAcjbaoBPhHgo+5hrH/f0ROZ/ua/X3kqFjoOFdYPhfPmIn4oGTMF2wzT c3WieLVIpf2h65SSFCfHvGyCO5BPnDSasc1gdb4oz8M0GmmFjvB1hpZ/F6pe3Q9WBvqsp4mO5RYz GH/QPGRTE3Botd66A8WlRm6+HJIw1c7qulWj+smc6fFPuOqT/DfLM4sCTS07K/E/L9O2osN6YHL+ qdgk/nM/+ZqbruWxGnV/+jivvbeJpcb8Fn/QGzmkuE69wsQAGjXSRRAmZjC/nR3F0cun/pXTiCX5 Nqg5MykQ7Wf6KvJpZ4kZjsStKRjF+s9tcIxClzSO81Ff0XVHVyTMKhZAKRVE6xgu+Lb3TyxpPLYz OPqgjJ4/ujT7bUZI2OoEm0rMY3+3W/UXSkGm9wVFwAbB1HkkkRTND8QswiD2vgLcon4ned87FTyF bCV33dqYG8WndcvqJjGq0foliBUMTw4jIPRPRonEZehibb3/Zfq7iTv21Adn5y07g7Gvue29sfG5 5DmqI3vEyRhSPxqhwUBnCOcZPodZ2QLaEknrsz0GQaPsqjrR68zPNBfCNt+Y0sL48YAJE7hLgYXX ArnZV1K8Qz81rIO2SXa9Mr7oADduVCLsOUKCCKSpnqqKJAV6veg7nKKQaHcZBNLt9xSltFzF6wfm fsFJnq2DEB/aqv65ekBcO3n+32Ofy3lAxK1eQO4kr7GB5vB3jxr9/DpRZxuIQ9O4o1jbDnyITj2f Hmykiv3kX/EdMJDYGJ8reDcTAGE+/7/JD+wk3ss1zQcNAxeWLqIpeqO5LlaRMHUKRkVigujK9Uan fF9lfO2uJ6YYGgFRsiFNx11Oz+hD0rUdp0B5Ztucdi7xxLIVH6qOQ+LMwR0lSJt1Dzhv/Mnx+SbZ 89+Xj/lIGmw4YyF+UOO63dxI727fT6hOToWsG3+xpKJqELOywNsw5kN24+NQ9HtaIbTl3xjJWXqq Z/scwg/0ZMriApsmeENHuDWUIOfMsJGzXZH/gAYHqUNkc9OS/teJ8y5etDQtqaG+BQKw/RzP71CL RiKpMs1+udmQrwBiNKSQ9J3OjFcoe52j8y3dtQtTKg6hd21Shr0QSA3WrYlqTLZleIBp0KK+e9y5 G5tGDasHArPE6+2/CODF+Kh2SyJNbWiV70vO5kgbb7BN8i4yJ35eNXZu2NUww+rqTf+r0pHuRalY 9VPESJYcsG7OmtjQzIuq5VWGhhBCmtuS5A6k5GMGB06AbInKVho9Q/dSKapBZVfAfwPicc/L0RnC i2tylb1rbPj4IIWGmXRhgV19ojXZ3npg1hLqJ0WtQGG1L+QWeNprB17bssUT9rLFUkvLfB4arZ9K FcIow6DLujfNDkvuRjA40FliPCazQMdZ8edwoYbIg7svQSXj+WNSyBSUufESUtHq6i6Lji/thQ9b pLcJxzLjrto9G45D3/suUFdN3OQpWKce9nx8/vxNSRy6H3tNsZB5w1DPWpMEtRzcq3nKTYufmqU0 qYoJ4oJg7dpDNq7HzOFe2OWynMH9kz5htyzVVlqkV0BGfTs6RpF3/KzF74FV2tBseQmsmWYp+OpU abSDjYOlHFfxG40KI68Hjm1azjp1eZCbw4jv8A3Ff+YdzhDEcGoMCpo1wFwP5UmlfzlT24NlKtVv Db9saZOtkMf1C8ZYwyKnm78Q5oigldGoq6xr0mkeH6xuAKFGlUMnVObZYze5FQvhs0+9GQ7miSNs P6dYIYBlyVHb9I/xy9lBBV7smSBeCK/erOh6fbggAhuMEsJusx73SZCQ5sbMNZHfFuuxb3/P7l+Z Ce+0qBpMxMRLIBn0edNxyU7P9H13Be7rtVLx8PkIEYdWPeGq4yhnqpLncYjYwwcAzaiYW3qgraI6 rbK+N9/ZIIx4dYSEwv5TOt/21FefQr4N1o9cgiIOQBXzxJPgqz+KMAPERsb9Df6rHYuE3G/R8N8l AX4A18URtUOXg3ZGEoI05HCsWWvUN5Jp6FQSDWqb7bgxfEZY/DGSgiQN/Q/HpwtfEWIAWKqtqxzW gq3eWR2KgQnzFxrH05mrrrV+qcwTyxfGFAh3zoFvZxsFlMQNgP47hb4cx8mGkXeKz9t5VP3P3saF IRYQ7u8Vo2HtUxxvxHdWxlbYSrmAWEY8gBQeg/S18YoXWh/xhxxsWOzKBg9j9OJTzEZGHfIEIhxr JxjEe724mkQl046B1sJlUbfIAfdbLxv8RHVIIophRWvd0HxUL1v14J4yFKdP6KNWp5mUaKRQKkwu DepzkjekHS8Sv9pyucZVMxc3UkGEhvLU4e7MqEGwrX4LTdfGUR+oGJcAR3GwEhY+7c6efG2n+VIe lAHUKcsrNMZyPBbcfJHQSMeU7eaKmTbAmbmMJc3yWvQ0Wma3qt/RFwas2Gs6lnrEupRfERt3C8qD 3aX5Dzgb3SproyUi/uNXh8TO3wJJ+qMCFaikvo0P8mWY4NdJoQZ5KdZpsdn6mO09dQkVRUHl1xaJ cha++7WOiu5xX05jFu5HGyg3xo5Hv96lJxNttBv5z8/rM43KAiqKpchGQs1rZL7iufIdCazM36bJ 319XaGmnzJa1MvbaUVUjCfKM8vcFr10HamWmmKdnnmUOv2QM4MF14HeMeRldbYV/F/qdBbkw8Ufx CMtyuFHi0/piCWLb1DqJQE7G84dP1GU23G57b8laqr8QVZGPycg0lnpGV2PZzLxu7cG6DNnFEMOo Nr+74ItuAuSv64oXgRRWyCTbu0cJ+IH7CDC99KvxYFojA8XJdAGm6OVFuIfjibvg7YV3711UZWdL 418iFlIl8C3jCcw93YikAYK4R5CG8B9Nu8aK0bZ/oH3vcLYmicLYeZsok0ljJAkYoGQgnD0UELmm t9VINb7LVDU/3cqlYxIm5r6HuqfqvQI6O0fK4ChA0LFu+zUKuQIJQLwzMbi4ceXHOgsFoWz3Csg0 F/ynTC20qklZPK7eiyFBq6pFFO0LWURwtTs0KVxwtGkKlZjmhuMzgUg77eqKNB7seuqij8RJoGNf Xe+MsrFQjwxAel5DbikwsLLR8aWR/EKiqXFFPzu/RIYPTQ2cnJCmqyzL5909HguLcBvX/RJCTiTx UFVNYsHkAbHnWicJkR+z1uZmb1H31rStkj/QG7nFKf3/uK6QarhUZP135W3KgcP2tui4pUY+2XTZ dFEAd+3YXk3i7FVb3eSDWEHuohWX4233nJ2z5zxY2FLiwavMbbrutYxEArRDv8DxIJqR+61k9+wp AhjShNH2U3riwwyRocRKBunLUsw/eYK4ZOYeratwDmM+bvq1LMR2pHhcV4Cu5QR0rLgudzmhTJEC hpJZxBxWxvKpiM+4smK7Evk3YmdZqF6mxsiMXR4WWqsE7Q5+uLDWYQDy48+0gpnJZcyg9fh+qfCx Ox0q2iBHz7JhCgov0RM9vsY7z/Ou5D7PrPm1q2sctALYEJnqnMa4aAA2C1ZFjlLDVsNujfIecBMN JnMXmP47GFRopb3dD4gCcJkuVqZ61GKKTB+fG4At5ul0Sv9Y+CyEpa5+JqV7O5wOr1B3etSJrTfC 5s5rrcFbQ2xQKC/701MPj1fAQ0zVzd3YCPPo4He5penMrhcn88qBIVSMorVYdJkyC1aYchuV45QQ CoUi9F5AaFa5/MvxLnbyq/fs0QkWHtH17ePmYUiWLhbKHBdFKLBZfbUYQkNWNLSuqunVcLMR/snc P8yAMcpBzaveD20gfeqwVuu6XpRnZHemm8RJyTNoa9hkh2fDUtv1m09joOTuH9470IxeqaWa9GtP P0GupJORmudoSDojoh01j/LCGuSelmEgU6LuGO9TREKhrjaHFmujIuRT1vFi96h8ibF39Emnc5cc OpzhonL3bgd1j7xBxYgZQTb1Y+Pa1txoNfe66a70uzyGOHzdSeYBIQsXu651lMbq0RTvFH2Bg4Kl b5eQ6qogNe9aeBTnSPKlXnBHPw+xO5i4bGX7mn8iHm1IY1QAZfwMSv3zic3xFkcYh8HCGgOJhjHx OPo1/IqAUPoO6EbRCZdB7l8oz1OTih/FpNqQ4vl2dYD8sd6pRA5R1615qomOIlXV66VCGm5gEHGH Lwe9w1sgBqdPh8HFg9ZLwHRzXwFWrjiVwdB/FJDkXtEIvXkhggvzHWJ0zTehPaQv6NUB/Ix/WIgZ lSPPoSXxpPX//pI2Ajuv3Guwf6+xWRvRBgE4tKLm0cp6/I+Yk2uuZVfIuPirC0It+wovUS0KotgO +E0ZFoCgsjTxO7rTqFn69RZy5J19D+wfd/F0po5WhIL3i1Ith1Hr47I+tjCbv/ktaWk7h12BkXrm jP0VSGy3njKOnXiYA38yRWwYkzZ1kBZC8iCiKKVoQCqD3hCnHP72YmAQI0pH6bGlvvVi09GdCZ6w l99zfUICO8E1zzpSp/nAaUCWvlKmiU+gIKTLB89EsSKgBf/iA9EnaxRUSbZPWUSx+9veQBW8cE71 bqw5RDtzuzmkfEx3GqYvkNGiyOEYvhQZgoY9ujO2W0c3LyNJvanQAr1LSe/+z4Mf4tteDlVQ3FJl B8g8NNlukEQKv+s1v6HJzX4srmbQKN9WrEse724itiF4Esx8vtWtSYf5MGX/tjn3hUWpQZKku9V7 qWE3+CL/yk09tkhIkFFlUaFyHrcKFtiBZaEIS5GT7GY4eQbrZ08mY9t/l3B0923AU4vgxiV+bqKL 2eB5caKml9TF/5UluknwBrNvS4UKNoo2Q7DcQ40EVQr+sXsSr3MOEcpuZn3CW1Eq2sT/zztalvmw SY03SJuof21P52REV0y0CVPMpwWhMulH6D4VOqYV5mIf1j+PyMrMHuxhHhukxMK7VaaIRdwJDRoc 5yHlHZJQdxTAd1C9WqSSYMd/CvPGaZdwx5q9LrD4dq8huyKiK0J2yEa62tH4XRhNoLHiewXKT3Uf RBATT+fAjWZE2sy26xnaW7bKOp0QdE8/8nbZo67JM+QSGwFbYUNHqFavqdWsto0XCEEWxou3NDHf 14y6kPz+bW8Iko3FZNQs/5PtP5SiFfz7Xlbq1mkJSfVQfr5mQu0LmhRPueiXJWPzt6h8hQq0X4Mw dJipKbSleaJGkXyczZF8vd269DGQkcf8hzdKEnFv54ArbEnE61493Og2GWJZRbjK6zXU9fZKtCG6 77opF02zAlGyQyxe5dARTaPo9ROJxcs5g+dfZgHWqX4fkWwa/WNdrRslutvXxxB0xfxotsM0zr1V J6GpXLiQLRNXBUTaes4/Fm0kHPNpY4r8t/38rQ7tJ3F76ccGUAhE8/HypNRmPzYI/1oBMJiGwHQX NnWiOnzmtEo98hvWfVG4iXgpZssBuT5hPwcyXgpWAeFbMWtdzG9eMpA6z6tZs9GV4NYKLbnN2emw quWL8vrzLbTH9Glo3MYUBI7j/vOezPG4M/rSatEEUY4tW+7w1tPsT8Pz3eAAXmXDG2rmW9yQ6EQX Gi4AEhRY+RtdfuLKhUNUXSgGOXpGMZ8GYQsYmpfJWwzukbm/vrXLSNS24FT9m3ZndEJFuS8ynCfg WTJ4PDajDKZYKbfVzGNA2bun/fZ25MhT3VFl5gwV2ANAHs6UejIvLtuQhur1lRYBihEgARdAJPER 1aT4iuNM3I6PNEwysFwmu/Odb2GRxeAD1XnbosDSSCxLLhMdcWAE5q6AtyAo00fqAzpSTTUqZfGt cd/AQvWFpoUchf6CBQWkOXZJ+2BgnWZzu0xcrUjk7MHAMDu20QyVtK+VuceTWa9cdDWEraeiN7Ny 0vaPAMBiTHJ/xeBdWsIcmknkZqJfZWG3WDOgLc6+2fLWigolcnQurR16TquqbB46ZyNC892eh4zv 9t68VzkD0ANOG6yJdsxZk2zuv8hTdI4w9XAxWWiPRlQw6Y7SXjmsCbEn6tQHADM0N/wC2tQonpz5 PoxahDuO1dEmariDVdCFSeY10b2QAiqYgn+trFZ7Ai+DdXN/7AYFLEqpN5ob6o9oCz/v/PtGHMhv beyJXTJIBo8xmY5u5lU9oiWCeIwtHSL98RNzQ3D1eUz6lljYkgOFtdsAby/wu/PfKIhVITIES1fm 7iJwD6dmRFKbeEGUzJzXRZvlWnEQtC6dAN+azx1uyutcQYnqamcIRrKEfp8m5P+Ey/tazxPmnGch 8jYGuMdJrMzty502qccwKAY7Hq32rJFv8dEQ5YtO0d2jSf+eJJMY3kUD26duyxF7vNG5+tIeXaid +3GQlM8pAxVtJYBAP03lTC+8UVjdP/LfdKUFPp0qzXT3Xfcxf+zllalYNk8/lDnecXoU2oU28e8k q7tZOm+on+3Y5PiDKBd/iXt0M9hCRirUqpsmulw8Z8WC1gqH61pRAnOh+IsP1N8f698JD3BzG9Ht LHOzJsM5Y7pFczxnNfD1OKfOMbma3zoSEJ2Ho7IZxTKrnEdYo5VMOlnYzJ70CY9Lbr0/uM34gAqZ S+nVw9TTN0QUVT8uHd1bpYqp5SQ1JW/xQXSDyYoFE1fOzde5PPRI3/MiuNio6IslQuh3ircM5oQs ZjoWjDtmgy86t994gA6R7bR46miYzBVc5JSGzYQQNMrmOOTa+LTfeU7gnbwkI1ULnKXZEGRPtCZ/ 6Yob94jgEsX4T+L+yZn09Jm7euq9t1Nqegf3aTrUaaiNGIkIxcldsj50EKPr3ARnxiDAdRq5UXMO UvzUyz5wiFQRDSc9iMnoaSVYiMiT3ZabU5J87p9gQKGLQ1LGkxjzkhKNk3wKwELTVpBvftUT7byM 2CS2VPqvTOG7G3GMJji4xRwUBR8cum2ispRcbvkN1XrhJgPjGIvPdmYs0I9aoZh6/+eadw8Gs0p1 HC5AmtSf23XMWzo/D1xadJACVNqP35G+NEqVVFn+MgQDLHc62iBTPTBzWE4X0soYUmXP9/1lM0ul OyS7twAEjY6qtTeVDsCS4Onk+7fgVep+jSkpqmfk8yDTGmDMz4jFRe3Uh33Xlfzh1+odkvXZMRRC Ea2Lfa6lwyWVJjIgOVkRUgG9By3trNMpP/VW8K69UU3BfpPF8q9A2G+xFQTEFFAVAGMW8hV0bdge RbaRSLo/Pa9wOeTDeQo12eFwQ37YH2q1SlrccN8yUSIM9c68UM8wCezkLTOiGeElle/5TFOkl37K ZJeSwMAE72I02psSph0ZYBMXCmVOWoV3B5YU8QhkvmEwtPZy0cnjKUkfnw2TLXfO8JfvUftgxYrR X0I3gk0W2sWcoF8F8/NmDLLJG2+3rDgK0Ex89DCuhGLlk3qn5BdQNhHgJG1v161VP9wcuZ6/C/Hn +4UZdU2q5W/RMLQ7mK6UJPa2/CwWIMXgBiqhSq3qguM4mSf2V82hI4UUQiFsJ0ZUXc1fKylv2zZl 9QnTvR4IdvdNKeUhMPOa/NaayhdYirMy7jRrHfWZou2bLWlDDGM33jWmCFpfm+tBzzPZB/7cX8Py ldoY5yhAdwaxUz6S20biO9ZnPSp1pGrmSv7aSHDNrapG5vbi3ecfcxeQ+uQcnFm/I8Qhomg0zrrE 5WUBQ2no2/VozNOKxdnfOENEZrdxHb6sjzzmFXHFzzFNldfmZvDcwG4GcV61HhgIke+WKnADJAFn JVf++OOiNOFDzCqQNb3PySFIa8pp8g9YjHi8n2QXuCVbEEaeYhUgSog7T3XNXv1xIxE1TnM+7Oee UXJqBysjbqqABooSxhzrR0jF/wTDPIElTUSK8KqUSjNkGkA/pmA/KDq9x9XT2XsSxizmTIqblj5i ckH842d7GqFPRZd1RdMgrvvgaO/SInEexyxxXQiozYWVS8gETrsz3qqWemJ67KSn7XcGkDck6TTg dcyDmYUH7OQwHVHBquX5981u/Sp+fIuDYUDmzml4jD0OUVzEoS3sWOgYAdheRrKEK2VS6EJFC4Xj 30YHSCTuYsQ+07PXeX1TCI032ZoHBvJ7xgnA10347ZZKAFMyLqxN19uTzVKFy6W0uFOsmXLwmYVz 4l3KkDqUMWUGc6Qtxh3kAj7mgwC4D03bXXz/+y80jEaw9lDzNBtia+nKfQtPlIneKJ+spHdx/Cy2 HY/QZwfUh0IaRbyLGVaRJCjUXcMpv+IPYZL/Gf2xZhR8i+3vcD0KEjLCTY3u2f/On9PcRvo/qA13 qhAq2Kqbmsy771cE02P+wxVYxaxoREz25ejD0MYBPYk6VxABXwp7rFuSnb9KJoLBUC3MgZocpSHV tnhER7thWA5yLr9JvQAWCsOlbOmBWyY1ECRMZDqgGKkuG7I31wQjnWNLyCozSf0ILnNamms0FS9j O0BphwZxDdhVJg1Fcevi80xsxK/b68R/Ah8vax7HNX1d9z2VStwWCSy+1spl28u7DrCFPcyebiCv t4LCknE6VjQVQqwCCASlcFSBAdtx3l71oIYp30PHB27vaYw8FNPuqiTRLa+uAnZgc9U76b5V6old dIuctvmgnc448WBcNjACFlazM9qIWKlrzgS2EUk4h9+TQfKkdtecZqB6F/ZZSpqtqr/VQITWC/5M o67v4kJKviSnLwhTcqP6or8N4VKMzjeHc4CORChbha1mBE1XIllZzoRNb1rk2Ksm9kH4Jr1XkOAn S0Wk+XqmVux0CwUhvMu0HtizOjcB9kQ4qCheWVAdK8ttHSvM+pd5nty7wZoVozcx2jJ8gZ7Sj+K8 ivR3qFMQblRjj45JdZ7t7tct0iTRyVtVWNPUkbMq0zMW6e/HpPO4h40p4+YYf4UhQAuzrzG0j9ey WZcW51Cgfb/Pad1rOGmRDuNIMKeU5zglveipK+a3xYUWSwk8GMLlo/hjDyKkpeKJoqjoTzAwNdlm pO3/t/JB32rBvJDQlB5dU/EXgLpixMTo7Yx1Afi4pm4akU0a6bbyEcak4s/2D8gslDaIuQrLaM6g xzTJlaJFRbIMUFrz24GmLOKL6C8HRyhzjvSRhVNZC5rR8wBaY4j9GqMR7vsnDECCXUpnwafjs7EI /bWHbzUkkItoCFU8OecqtpPQyblGCp937tm2C4m80OfPEEstjbApP1Q/5zLVQmeb/Bw9DyNqK9cG xrBqa7B9V+u4tY4kzMxqA75Qu8mJlNSIqDOw0xQPtBq//HU1+71unwgRu6VlOxz2zFPlxAyTOfvk WsYyalRdhENoyklRO6qSzo5JNEMuil4fx2L/Z4+xUiBPlnbb9dO5mpDpD63v/LLYWyVBqm2EsVan HykCIUPFIMkU8xbKGQIVU947n0+5eAzks5JFr5b+4Kpl9v3LnxdxzD9sGH6MlJ3arSFspUNJ8un4 /4JPU4HomQZ1lWKdiTfID50AlVlrffF4ZENGID9DPU4mFb7BtPSGs+tkMlDSdbmLHjopwY3kvLev wCLjy2OWwpdJYPEwX0M8h6iOe0GBbXvXikkHRh4uAzYzbH4K8XYOZ1kxYuSXt8sE7NmOUD+LEAFD qu+4vByn6yzV6vvG6IPnltXqahlly8OD7XGiEy2AOXMfcaBEVMgjozWleBUC4fM650m9XAwV1+wK 64Qjx0uTRrNd4cY5PbAxJJ2jGl5o0vw9wd3qJNrg32oBq3urOVhyxZvxCxKHlLxbyUf5jIgg+MUI LuDd8xiiHfOSdytFCeBl2/pbBipewm/H1xJGjnrlrkjTyl9JedBAiMZ4hSejN8dibbrGAggqqw5/ t6k/xnfBBJTqcxRGS547j8h88s1ERWaWOKsd9vyUSCIfGABM/xEfwWUJdxQj8/BqCWueLHRoI3qA FwcnesIH+Appcsc6dD0h6Gyi6aRkXXiZo32BYnLXXfmPgccTSTL9PKj19oOmfPFxa2xO07C6g5Mo hfbsBsBVfd/xoMhRBUEQh6N2whSuFNGGSzULJOG8TgZ5EzKXfkOEoCO3fG/M6tm01Omh5p1mLExK ZwwMycmAGYfGrSL/8F6VHwh4j/xHh+lmnl3ceKibbGy/W8bwRb1kCJUyt1//iGI6yCqKlkobtmay 6dAYKp+dgdFUbddHvjo1KbbbQxCaV35tw9q3JgNj5JOMlAi6dRmPvWgcfxy3qVRGQn0U5HDkKprP v64GYMqmUig2KK/AwyEFUHFOwO3/LPg1ZyuLBB/sqqDgo+7/xLZnE8qCjiHxX4DJzfQuiIDkvhcM UzmMoZiLGjQuSiQb2guvjD+bWvehk7Mli2vQnX6zOi+GMZQ0AEkJVgfw3OBZb/Jbkpe6MXEPXHv4 A6/PPkRAmyqb2MvgAR1Eit2dbKWMEea/IwreSJR38I7q5FjXsN5kA1zB8e11l++36U+M1vAXaugL NXpFV5JSF6L1lEVP/ABXEBLVOy2R7Py77yq10NI535Jn34U7BGMseo9XZDkrChj9HucLrYdQxopG WbcYO7TMRromANvynYh2ccgmHRDpXqpBuJBK4figczuLdcy0r7uhHZrS43v3g/gYC4naGz1khLZn pNkTF/l1e1039MjfqjiN9VuGtu9LI54KrMkrotCNe7gF6qtM1e1U73U7v9hVHd/ytiDsizR2S5Zq sOrfKAjHxIeUyOyB9j7mzS3XYy2oGJSiyvxdEo4/fVpKtgxmYcSHuRL4VlRUpFkTJnAG43ScyfDH qRL7QJgfbZQyPsqMLUQm+2QKjZJP0I3Zj0IM1JrvqJt4asksnIHJXob+0WaIP7eLYCBXYd1prU6c b/r9MGuwmysLC+n3/ERGx2P78Na+RFkI0ZuU3FQ/yVMXq3XiJgBvueyRr6CRcQxBHgCCRsG9kEWO 6ZkX8bBLVu4xXlzOrGrHFnBxvxSsWkwD6aPHM4hR0/sY7bYXTdI1FpKw8RueVOlmx2iLQ1q3xbKI ZFPpqPT6g2vd+gjOTH+WjCrfHclooohHr4os9YQzOEgzfHXhfJo9TSsCrTf76paXSg9t/l5HJFOl YGrnauP+P+lvfgJV6gILiHJErrRiKDwMwzu3BJV5AQSyy2R6D2Zmt+qiPiRxooED4vYss8o6fWkS J81PNzFyNF5DlMXh+CvohtvCvkFviAUcJLdpyh3IpIOWhXk4k44dxytnS+ETYHrysIK4zIyEIq7c RiNzeYZpDtc+kZ5Lttuaz5pO6pa4emJ2nUma36yq3+EfmGyxs5EIK/flcPndltI+Oosoz8gcJVJr P4pYPlG91RAdYnjtRkjY8/lt9/AAE21JppnnHpX2R8xGe1o9bsHmwYFJDRF44Ijq2njAeoqJQa0Y 8s2x2fdzHLMQBf0umkStx1ty+mQaTQYF3E6KpH9bD/bU6KuHv9CyVBY5gl8LqDewjzR4EDCzUjwG X4U8NESMHIYeSNInLJmOCtbrxCjifpjpSw9IFtganAp6qUDOJc2DrABaXyuyjhVZCuN/N0TbE8Mv +cYhKDOHzBB0Ru2wmNRuQ6XWUEANmiXvgwet6IDkXKnJIbaksWVkqjCjYwYJLJ+nLKFaaCGutS3c SSNchObkfy6Yvs9wLNk9aFJ4MvcJEyc04KPooefkQQ+Dh49dMkmCVGhNh8jIwa2zgWSumGIaEqe5 h00y8Jb5lxbMWtoNDsSkU+n2kVesB6RZ7O+aku3h3QFIo4CBnbETjlvdcUuB7TAw0U0RrvKx825W x2tIuPLKNR/Lvihod8XAn3cIUism79S0Rxupv8xBCzgIbX2uCM9DxFb24eg3/OvLz8QusJYEx0qT vdfxIG+d7Dj91bBjR0wGdkqhw666C7pbUoOfBDAhMgM76IsyrV65/NUx1ICuL6YW3EreJfM4FqLY LscAB6nZIBu43+ItRkdeRFV1f+2D3+NcapNvSxbzGeWxL1bwRckBIyEwAuSBEyEeZmckUBsT0aTZ lKjdyFJl6vwVLKBs9HCZTRYv2GZzQ7+icV6PT+mD7jvXxt0cxzAaFJJv/g97gPycNNa9x/AHakxn OKrY0JbiWozE/stoBtWwLTSHzT2neBrKzns8KYNJMw0b3wyH5I8XdhZhuJPd4aUeg0Cj4k01j6d1 BZFuuSRzUrCnV/plx2RQwFCSHGh8cthaWkCU3auBqAmvUOUqBWiMQ1U6TVAeG8xmb6NoabhYpQP4 G02rzr50sbfhlhmijfBm50FU7alO5pFTFh4oV+BL0gDCDNinKuesR1eu7DZjJBN+P8pe/7+AoFh1 WFLJw4a0gOt0vK6x1zYg8Gn20O5AbSP+jGV4QxeoS4R3mZCPw9j+PhEtTIPorObhQN1DoTLXYOM7 Fx4mWizF/HkPYrP3IdefNhdk0NeipaVXupzAvCOtFwPUSpG4si3dZppXNSN5SJtW1IJCVLRDe4zT tJ3f/YgCSMW0PyTvLQp7rYKVwXw0hVbKwK/nam6044gpGOQZKzcy1ek0hKxcB105y5ZNXfz8C/nJ XtEgY3AxE8ZWF0HcSo+x9Xhjfkywk2eN3wFHFiur19F58dve0B+PajEtvi1Xw4pFzwAkbUbQJHC1 2lHT6+YZAEJaWY9wp7taoAB8So3JGqRwRYOP6lqNA5D55xJfByaObr7VuXRERtn9sLqDAcTsky68 AdlJ2YcMtV3d7eF5k7sTNnyv/GL2pBtwPFF5kFLcgvsGNkRr1w+rRpdDygnW8KvXeTo0/0uHiDdc V7o6kYjE6FY5Co98ummN/z0wMHbvR4ytVr/4/RkAGhDH677lzb47VZzNYR+NCf8CMh8Ljp2xlSRo 0i9pfz+K0eiCjSrEuyxMzX68UL/F0SO7iV0iejS0b0a0pIHiz3MvrG7lmQX9Bjm6nB5ZnuU0AgOn WvPNizHB2dvzKgbSNHNdhB128bMp7TX3J5ymJD6zdAunBkLIGt6FIUGWDyIavqJZM49mCdGLKMV7 1bVaOOAY5/rIO2mO+yK3UFjrpn5JiCPfMSI0ypVnGzxShfN1aI5jeGiDURifb513tSWB2YXFZEDV IDK93zIOf6u5h0wNVvxtl0Xf816+SWXmCC6BzUGZjIVUG9orFrMz23RUpcjCZnz+lGLTT65aGUPj 7j74zO/6sjvOuC9b+QNogI55Qk8ugKN8q7Yv7RXidBbGivq8OKa0bNEqqWMMUFS7WJAqlU95pjFq hYn44bg6htW6+DjqX0Baz5rqVEmrpIdoq+SmKwOOXFJRIz33qS+7LHLugZ8N7iincsPb0GN8rnQW 6chLbcbQlXzusR0FGaWN7qr5vpPZvABgLug4L13f9yUXcd7V564XA251NeZJQnPxtGg6FfFlnqVm Kkj2wsMuYAONf080Bpgla3WFMDWIEyl8SD8kAXQHEHX9WUEtiaoHCVzgRgMfbHr5EUH3gIUVMUWk jaM6k4GnYcSzE4daHV2uxBBD1zI4iuwC+IoVooBhj+MJhM+Tl+ZsfdxKHvGl3jJU3qHfGRToVT/Z boymolwZnGADYy53sqxvYDvB5H+V2AVOjRW1NiXj+MVQsw1FbAPT1mLhv9a1epeSvG13/79TYTFl pG9mZ9bulRgECvPI9FEbca+ZjaW0CllGymnYFw0H4gXlYvSJF1KboUFLUa/vABAiJeVs9GmiKTSH 3uSE0OmaEXNVl0tfn9Lp7mbUcp8hXxrEIan0dPGOzhwl8XSunkK2CkozcoGgxatvEDb09AE1FulS 674rQDK0vLH29rc4nI3xFWZSNAfZQ9ywQNJfr63r4L7xg/Xci2dN1IBAVzeW7DlwaeDtmwuqBPsd JZAnB+Zoi5wnY0qRxcqYKL0WfqbKVucy4Xs6wuDon56cuZDYVkvZakc45zaK5iFH8Y4q9IVj9KmL 0Ji7PcwblpQFyVD7Pe8t4FQhmiiklhEUMEGMsN7J+BWLmkMDVjAcfZGQYoDC8LKIzWalHt6dEAn9 IKSZ9AETdCJjxCg97979fmAp/NE0KYQQmOxKTGjObiUsdGUuE6KkNglwz3tKQq5C66DN091zYT7R JRB2dQiieIPyR30rfzfCSSdGYMQ1Z79/ujX8NZBbGRuvPBV/rhdlroIpH7OVVn5Z63t1CIi0ALkb nbL2B4kx4DyzAXbGjPN35HrN6d1pWaT8WsPUt/fnDniDWfkePOLAwXdYoeMGeEbvVvsrjdUfV9rh ET0mqJZ5OYmWoQwvd3VIoHe0+WcCnjIQYPT9k+JjrQRitytfABCWkzhGx1uGMqxlP5J9WP3xXSGP kGU9qOW0ONxqKfHX5o33/iZ2R2NYrG/SJ3TZm+onqSCQuBpYcobrNBBLc605OGePDvnlhEpRTp4S S/q4IY2LqPsqcJTcpV2rCxmzELoMIFoW0iugaGPhCpneYkXh7PRC5sCaAk5F28edm7y/lOb/0fqr EP86ISU9mZ+AHCEBo0ZVPRICSyVgqCIWjWFbMM0zlOO57uIC+9IBJzSCCHIGkQrfPoGS8fy1XgdS RRKVwv+r3lL8rP/zpiyNIk5nQ+ZQShwnLeN/x9RGDdWp51Vk8uBZrqzPLIO6Ak3regyCxEWartlz Q/f3ybZ6IFbIXhJ3XsFr7vrqxC2kzda9K4zTbT+TcU9O4UghnFRXqrRtiiU+6FbFdBo6XpClB3Yr UuVjGIJpoHyy4EUKd4L9uNVYJK4RJ9HdpayyydsQg6JX47XFVVuiHmxaEqu6VcbL0236uZB8zWV6 f2f9IWIjUBiXMjXVXWBA6WVOOc0NQh6tpQuIl5PvRDhQy3FDCSDdOdQANapAWQOX4rk+KYOqRpag 8shRZUMjFSiGDQa+CeCF+yw5rfM+R2HYtobJ+m0n8YTlSZNcL2NXY7rONTMTJ/kwPNqgP4vzSe2F S6eOyw2aQg6wZV27DWdmEb5fNlc4uGqh8xRImDGKr/yWmq9oD8R3yzwVWJVLKDuaqHC7eJ1WelBc CmBM7UvqGUy59TVAnO9QFNiZ+TY7T6bUkFWdkhTDkRMErtRb5VHarW/AqjNucQPYvpkTV0MmaOlY ObVyc2CcYW0SXqBQOB8gWgF76zmfANQhB1Z22A+VLqDc2E7lndduDqwd6WEptemVIaK+SbfhUAPA 0QpU3jrdYDZMz3PUKXGj9LtBrpkamz3kTuIyPTMfiAoLZup7U+sRLMdH9YrFuDzYkQcWaxzZV+82 ZenmtoTBqViQHjAtTFI0SMSG4BeXlRHRLP+oZz/m1SWr/xr9/nw7Xdhd7lvAgIfGxEbunE1SfLgB jaZuwHtay8sduukvYTCA8ZzPLfpems5TSV/GZ33TEIzc26ze/TqPXSnboEhFdVwZn69MFDVg8Ri7 krol/+eOj50BdwAc92nAKffMoc4k3cONx8izXvnuuAED27KRcw7xM/aEdMTbep4S7zbvbakOhQXn NNGXoelVN4nnBxXObtw2rsTBy553Rca+pt4KuqdeykCKil0S5BbCVB6Km/M1w22YiJ2/O04XHS+F T5ddKRWGJLM0uYT1d9rgxsKC12PsvJpnVhY2nvOJt76+H9skuVCFa/fp5wzk4zzgBg3ZKJmiH9Od Px+7zjL3jkY66eQTleuDURbef8K8iwfw9jqsj2qPxvt2p0DFqbN5MZG5ISXTZhSMbbYOcIJuwLR8 ZT4srbh+Mswah1Xc/5iFs7o/EzT++INglothIHNE7Gb3szerekCD3eOWTrsVGn50dYm9q14pNksi Al3r3aOcxx5uCEc5oS3dNTOnvQq016rpA5pKv/2ACUmIp1KJ9Nye8ohpsm/RkQR74/e2msgIg9Mb q/k7eQh6poiFrCnkfXao/2GnR7xj++HQIjUI/8HMdFUSW8yk5zrCf33g5YslwAL4yolhuol5IgpB z4X0jadJzcQMnGWRx+KX/lxSMBVV0BXm5BCL3UGq4OJhYDYZhIjEbk2JSYyCOGi6TzM0DK6BX0H6 J7y3kKK6UI8mOONQaR8dgIlxQ58HS8JkDTJMBWB8my25V+HkQFO9h5K69yJfW6OEpslEHC2kWhiL lVRf82Ze6PkEr3j/6mLQ6+5lqJ3rKxulkTnbB4mQPE0djvnUHAQ9CG4Omm97O0vtOG6hNV+LhhMl Q+tZnMKbECi3BCHJeRKsMjBUo9U4h5zHkIy+3oJBQ0gIoWmuemlkFcVjz9/FzjSy8ESxG4qg2zFf +EAJjbRu/8SdlbDquAo3BKQ0rWx3VxjwPdLCDT4xF3JfGQ0HxivHMApgeAl2EJsYS1mwYwayK+IV veW/x57GCTVID4+TTMpmT/V+bDx3Ui+qw+GVki7dckpbKEcSYo1rTojsPes2I2bG/CZYNAdjmCWw GywweaGIMkESQYaHATvnZP5oAXObj4BsRgTivR+SHsDjArp1DODqYuvtH7mRkG9Mb+u0sVfCkdQk AjKbuoQm/MGozUSKL7PlqwuBLaZAYBiq9mXbaZeeWX1fEc32hxfhQrPlMfSmTNCSUIM1YkGJ7waP p+nyPO9LZJB0tjYILOVcdcPCdaE7lPDHo6bth7gE9yFqpWdHL1FgHCT9bbW3bJdSk5CjwyVAxdeJ NdBCol7/AUJBUaLScixhmuzDrusr0NmbXir6kJMMGtKxMUj1MQ15VQqPZXnN0D2ScutFFVRGnD7J PPE5/JI5nT8E9S4bVwHvn2kPntMrxekfYVbgpyjzBywNatpXF7tsPa492pvN2yy1Lc6em4Mw5F32 527YLQxxkArrQyBkn3LRHm5HTSIO09r43+oMqBefNsGb/pMsXH3JuKKQdv85/P7Uh/qMJK/OC1yh 6t/65EOl1DpHZm0KVHruGyCUl2N7UIa//i8wahcUaC6Cpku4QJSG9KWQ58U/6qJW3QDxUISR04kX Lr0JIsvmfr/QT8om7ZbEP+rEX3ju1r+IaWbsWPjpYIfqAh8qs4vDD7YBWn585WcMYZW6Ynw7OkdI UvR0gPSMU5d/MnC1LjOg4lQ4IJ2IuGPu68FGFhTL7Z1/m1A4YPHKS6LyPy2zgAflHGjn8jY+TgXJ DtxZ7FQP5aRU9ygL7b5Ht/RtyAq3s7eo+aUCS5pDBBsT0nEiOGYrolb3Qv4TsxelrM4Z00HYd3v6 XNAhkIB5LvC6y0XKQWtwifPuqhUN9uxhIoM4pW0AZOQ8B4ww8TYQMGBEgn1mPzIOA++O08TFC0dT WBOdNCbwKo+3Ryzl4L6P1f94ZI++BBU7Kd/7Ax1GNlmXHhLIbD6MoZf2+xacDHuDpzh/w0LzMiQn 5v/wpDv+F5MrI6wewM7CAa3HdWBuKd/r4DOrdzMElcWZ1nsO2DfzBueSzJPFbPdngBof1M+ekCP+ 6xIGeQ3sx8FSyFnizB9tbMZe0A7BLZFe5YK7jcAgo84YOwpCTTjjHkU8qV5E3WOK3+j2PNs7WN+y Nnd8gV8o/KEbR74Z+zs1fbQ9i6PwCkhiipTlCT1X79asAlGnkU/OncBKhR97mOVsSwzZvUyF+NBT bMhbO2UMi82Cy40wb4Ln1c8tS4x0PUjSfI1VHKPmcV+DTVkIukmvhBYWliYv5K0fEVfhppXy34f9 SAbnnyNcnfU28tbYSeINhM3s1C3kQout/Nev0WYojZX9JO/UbUvEZKa+WSEWl0qH9i3iP6KKM4Ik DYK1c0Gf34TDFR6pXYkNz9fqiKk7sag4v7ncXEW+ULrhpzCX1m9BwToHw6W1WjagE/C8XnIfK+dp jfn0jzCtCDoei2VPHi4sfSi+hr6X8Wf9/JmjwVDw9s1tqaAfkNn6cXrurslmtAHfXU5FLVdMYOr4 XGXBzUURzko14r3ny9kDzbcO7jfK4RAWaMwl53iId3ih5zY2zN38jalPlyHs89QNsm6YPPfY36v7 W+Q8Kkixz6uoRL9C1Wx12aJlaicBgRK84P5+K2B3Ir4HjBdDbCVjwgqm7GlZuB30i0DIEhT4ppc/ 0aD8sh6gp5GqqE4obEmxxmWOcnlb++35JscTBMIabdIOuOfIMg5+5MfZUIEueR//5ohKBVLmCIUl V71JoESFC9pvxLrhcNaydQnT7+we+g8twwuxnjXQ3OlDtJp6rM8GMImee6xQXtAl7sN682LkGAlh YHgXEuQC6kCG78/iIwKQ2nXgrpty040fWjo/kxvAuGw05D8sK1iNCpNrUWUSXyp9hluRkpoyjuBJ E3pLQOAGn8Xfyq+bQWgxPuojQybpwSlXCIDZpEFCnzLQohFhJtY4YaoxJvrHsbZO1WpxqjZEg7jv GuRQkzxKWiOzc1KqLt64zNRyIoTaRRiLTZQmzsfB6PEFC4eRVT2cuHVlsGqzHAuF2fymO0eZLR2F 8gSAERTUuvPsdgFsFttBQPf0oMW05nMjcJJk/ieDX3TFiWNCld+DDe0Khtnne3cfsOMM8PSWNOul mvtAfo3YMw2ufwYdkU+y4K/Xh1WhmTmLjqHnxq9VE4XLQUWbpbys511wxcNoxSiiSsvMk65sdb0w R0lHFbmH+7XHGj4qilkAfaOKwOcY9ZyacQXbJpKqJQh+/agp7VaVy8ik1o+8aZn12kSc3/1XTc/1 4PHfqIs4pa+jYr95g8lPbYVGU5xKABe/DlxUPdvzkXaAVy7Ys/J0/COkVQbcfhkp4FYTY5oLiNG0 Ys95bB3q4QfAZJjg3K58lt1PBZ76UgrZzv8g9r0U18iI9JhMkFJRCW0PUfmS3dY22l6Z+YuVIvMq al6KWymKN5pb69JQyW+gWvIQUCNkAwlyQ44NahfDWDvXFnZdJZcMtlBS1czNw5jvWE4pARpym9fd D2llqogXKJxuFdbGJx76OgqDYwAHhYeKH+rUfjhcjIISJ/XOPWXeQ62HAbg2V40hzHkb852bg1k2 fWsB4evP1cKHW+Lj3ZDGJkl8L5hsXqbfpRtLlkWBOVLSb3kdi7sBnIofiCMe+QruaRoeU2JGg1Qc tU56/gsK1fJ3S1/EPmrp9x717lpctAqIz9ToQvvMC3TuTdEE6DvPq5Y6FD//lGZmwvY5pThmcDiO h4zfl6IdRMubiE7Q3m4NAJNXKRtfDkDml4ROoSxNGZVxfjTXpQsKq85hhTJaExqDEAkqO0I/5KDH rfBz+g4zblBgpG9rlIoK+MuHV7sBGvuCN9hfk1tCEGAFm03hxth0vi+O76ZP/WfP3xCeKmeEBGjk 0dK3w9UTuGUH0/MfpqR4C6l5DIO+kKGJyshs6JaoaYZA8q71vZ+hgdkPzoMkDo4uPKd8SUVan5++ QpMqedDHsmx+S1vbozRMkbcXM4XuVPOnj+Xt13OgW5SjdLMEYPTOgyYSeLQm9twB1tOYIkMskDF8 4nbNhohz0fOnjvwrPGuMmpZMp6qlEbEG1q5Kc1gMv9/dYIgTx/3DPFbL+H+BIhXnZyZmLiDj+Qwd CKI8SjH36u8FgQEm3NKoRX4gJWNrNvr0kT3XYFPmd9fUEbLOx3Ksu7E4yehiwu4uhQxEi3GpNOsi bIF5Ns9ivGwnDSxIyBLcPY6fMLL5ZPFpSicjQBMPl7CCOlg/9q+pb33zamuxMsligCUsnq1FI2/w yVkMntQElWeFxRrIXMPVerUZZNCCnosvmlpB6Rxg4cUEPaRnIko47m7VWf1CcHaR/KyLOKCfQnFi UlahMNjbZo+12Xg+3HMG3GqtjlPNNlYdzCsSW+mTTa0uonLbEI2mCFSWn0NFfeKrVqrzx0qWLc9m CRbL25v4AZbWXdR/PfdNw3Dj9NLKV6CzA2xSiYjYzaB09MRXOnUrF/PN9bP/5/IWh4qkqAFA8+no VLglT0bZmFltd1W/cwvOHO7T2oxaWK35CXOPTn4RnIzV0vmsEkOLifksxKPFV2Dk+QCG73dKhgKF mlCVEUoRHGX9aMSZFjOIl/rldwj2j6A+lJV6ldXf/hXsNya2kRFFHmyYILg/jrmIzpfADddWI/V2 s4XA+ABFvJUIE5IiWh0e/Mpk6obEzilAB7LiX7B5X0uZuzV8aRJw+3jIl8p5UiVxPtsqLFlgkUmx 6GRNEOZ97GU/wKnlyGXiwomyGpVkoiUHwa7ey3oHrZEXcXx8Ks875xnWNSNr `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block VZz3gA1OEAo0GderLFN1vAy9VjW6GHfNIvzuIsiYL4pObhfFuD92v4JXddeUi8Mb9uiE9mWeniAP 6axurY4i4Q== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block V/FHqGcuHkuQA/mXo5Z6hby0fYqv5HdbLwzSf6RRkitLROmzZ7kuem6lbOkkdKPEq7el37wV7LLB lS7Z0SpN6+NFFxvpJwmAXsxAlmNILJUkYM2qy3RGTd18wZzOLOD3LW/CxHw6U/8KDCIE0QPR7gPZ MonMc1sdRumiZxCH6p8= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block MhNvJyoQvuBNKA9BY4qs8iuN6gsubuCwSLP9+9HALR7b3OaTr2NNpcJWL5Z2I5y4M70GpNsDLMPN 9x6xxl6dDjiJRZECV9SwEWPnMOiCoaB+AsXeT7vUUtbJNztLP+IJM8m4GxoaXa82G7GmovIGBV/w 4I8s3WETrKiD+AzsZGw7+K2Rv9qW0odg0raG3Nf3cg0Jj3QVcFHpKgJBjvYUZ5EulGHRyU9ez7w6 y6RJfN1K1RY6s4t66rCqdUJUgL6zuBnooS8J3bfZMDJBU02FgqcqfaGv3VkgxhqebXTwUHH6dt05 XvK5nC5Fa8ivOVaKTCai6uvlheV1U35wjc4UaA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block rQ7j2Mp14x4heUZNN1sxi590D3svKV0yVp+Er26zbuDVzZY2es4L4i8KGLZDZgWNlJ8KygSbSSmu AMrgF/9J6L45adeffFOhMlUSE3dKMc9uVglmHX8+NJzpjX5dYFIRPf6r2tIEsYL669q5VkCA/E1w OYrkIhxgyBWc5KA4bdA= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block XSzu0gCO60UCezzrasTnl1P56lBSmB5WoyDnA9GcGthkxKlRJzbd5G8JQBmzgeQ/X31AQOI/hSSR 0KBXcFTa4p7F8sfh56g8EO9ICdlNGlmK5lwucB30KgGIDn+D16gBT1WrdmuBInOK9hVfZpKxtH1C vNGY4AZdGokYQo2eE7iEU2Odq01QNto1qz8mklUCahoHRhjnyHMerh56TbVU4kjgnoVqlDhf67S9 1gulUL5I72iVvZt4D9d+OrnhSSYIHIsZQNCxGgLPpQh16jelRUvUb8ApjVQqxWWD45oYQxzToKeP cjW5Qj2B5xwGT/QE3ivcnwGi9iOvHlavt386EQ== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 99168) `protect data_block +SfTMUYhoEZEGaPCN6exMh8+5eINx+9h8XenvZKnYT7lUL+SKIud5B7JP92jml/r022nFvj8ljEq tNdfF9RCQGGTHNZFMjYkXDv3AR66TL+QZKuZLZWXeNcUYnnD9SKG2ktEPEeV+OTH+i17D0dQ4dc8 bTXU52lZhc8JkWmQWsu8ynkKhqD6BWq3HkGOlzar6pn+tCzd5YncrCeF+VThPMaAk5jH3m3g/w2q shWfF6W50/ZK6pWfVJaEKW7U7W0hPjwY7YHIv6wk/4Jcp8Pwd541tlwVviXKwQjgLbi/+ya/aw5Q vYOzlQORcZtlqJ/CeO1zAkrkbAcuC9SpMsBws6kEA4yPxooi1EGUbBxQmv2usaJqoZPrUC4AM3s7 XVmpRjfyfU+u6hiNSKCJAtNK8Pf/ZVdOKrTF0UJegfeiZipCFWYlhEa6Vw0cve18V7/celtgL6Qi 02dFMt1MnlCIdiKBeINSQhseONklNm1inHBg2lrRZ5XuOdwt6AR8DLy5LFbzz/XotZjUj9vBDLZ2 8k58WFKvW/AWi0Ljwb27U+NmazCXYCgQH8iPRWybUx6am7q/HFbgCTWVa86R3uAGU8Kz7w/SiIGA ClEqs+5bCxCY9YpO3yTjQn7JNsxMI9G7lnyf0fwoAYJYILxPy3de4EuZX4H4j/F1DKCkUZvShPC3 ng9lu/LnCGNXEdDmP+kqccxCtS/ya/YdcnB0JXG2jEDT/XhPYof02HdktePZVeGgc/+4TxPYBBOS YkYFkpf2HK4bAOWcjQ0/YMGV1WSGkuheG0ZOAxxJ6QnZ/2u0i7FYir6C8ndwT/WNLifbO8aZentK 2R/AcYVghfUw5wkqdhl1payu2drwWUDm0YaYwdQOT+E9YK0srOSEVIU2Wb3/33y+ERafmZ2VMT+9 Vp8XPrDUnJbmDnK3TfxLCXjyh5gUpgnPk0dSInFh0h9gT4dXxO1nRA5535HNxGNq+JOxZJlgrrFm Tac2CUXoyV7aP0Xe14PldjKnkWjCTIYLtrPgf/QCpSatYDczQQxPhoopicnFvRoIe+HazUC21kVL EsQ2TL6enQduIEXH+eKlEG2Yj/9m3X2d0GaRre5K/fYk26T18/HQ5cYn947zFNAZVa72+QEm9fwg qFuCAfWCy5UFtyUTLsRnSceaWF4fQa4hyE9sJNbj3RCLR1MO1OU+NyP8LCX2SVST+Hh9dIzXWfjR LtI09v52T55kfbdxy+5YPssCOJwB9+KoUhRmBbVb2oHvE7FnYkW15aa8Uw6F6uBpSBL07NwsYwZR bbuccv80jiutzHh0KsRxI+JHSj24nUfZ0ofM17xOeacEqnEsACqKoKty2SkeTb34Dng4ApYlG/rH upureRjAqyOtsFzYq1SxsowaOOcXj1+Iw66GWrs7Bb+dwR+uYhvStKhJjrqigEFeCDZcx687OEkw SLnCBUM1Jw2POetGI8/b3N1Gz0viUR1dgCOMo09NLXUm6aQkYgW9B3jjqS6HRjG4VV9DS3kohLuS HP3TlD4vytI3+uaMPHfLi14oWOeYfem79e2HuqoUbR6FoUWetnbGJGZe2iWCWg2SINTGFxm00Onc xLtWRCGasWYBw7j+ZkrHQWiX0k3XIneS+9jUPuq/TegyrbMwzCzwJmvEO/XdXsyuawoTLet7rqhv /S9YDuQgDFQ1A17sWax1RHLy5o1ssg9RBw58LVCBgqGDJLzq+jrakMiPc25EngaDubDWZhU+6Dks HRYt2tfBq+3rqdiyd6RSllBQWMo+ErHVfNpHe6/rf90TNWE8zSSGOvzHn3ssl5SEbdvknDMaWszR 9xJ/EhqWDbBRaW9OnSNBnUHHeXCWCr0Q7tbGAW3DFJO9/pM7rw46r+HuxDXQ83YPI/6jRkJWklvg cOt9/PfrplkSXryD4Mk0mz7XD6ZkRd3UR3gkGBV6TbMrQJjaokzGJidNzBtozgcKBUv2ZyO2UYvY r1Z3KwyfTVjRo8yC7EtVi5bR2pVuQnxpawgCUqsdXHJEXSNUTyIBJuidg8A9rmRZmbPFCbBvflrp vR06UPQuzhkPM0MP6OMUdb4bWtCl0CoF+7gCfST89acZ4J8l/jyxZgqmozk2/rY8eMyQZoxmuZI9 EKfARwISgTIblcj2A9a35MlCnCuE6EGHGYxj7g+2qiVm43pDl4LUhie/uY0haizTya7qhEWCMpbM DHKKeYgAzo5eHPSz/3E35PBqKqhsDxF+2jQvD8TOQudka5xIsbFyYiKIGuEVKPDfwvFr4Wb6geuZ vh6sK/gef736VMq+NMwhttBZWWblU++N59/kn9QhHjqtXemIPmNjFLTY1551+K2Vp/ReLf/ItugD 2yyELVibvL48exJDhzxlGQSEUL4OrEUzZhZNVijIh2POY841oo4oDbdTpTeO9rqnaPuBNwG0SGjY aG+x/OVSuvkoMOP7ltEJ8L6frcxhcIFBaCb1mstlTxHxJGV7EuUW6EHMgHs8WUdUCqTygpZ5HETx XmRM2w8Hp1RJoNUvVoCU8BlmCLxwxgPQyLusCTMf9QU2vQrehhr168+TWKAFvBbOfeWJsXTmKmPd KoTb3eMQdOAHIKtMXDB+lcQ5/gtr1KrVw2GEaSX1azNWcm15lKlGXwwGK0xpNXwuUwr3B+RXuHB9 khcHu6t89MuCv12y8ScdMdVjcGIP2wGYBpU7ZWZPZN4HL4vOA0Arly72YngI6ncA8pK2i9F6Hddi LQaYzWJXVq0pCd8Vxi2UtRE3/2cp9IftpROGb3tOkkBSyQlZhMEiHYro8Uhr9Xq3FiseLszdQQMx 2HttMaRSo6X2Xw5Byh/obUeCOHvOFjvd77tWi2ZjxWxO3lIfrd5uHJRkQeeayCbkDt3niDXDmfBn 9wZsGelQgnYjd8TgHTqeqt+18C//bJ+C2ZNzhSgmDKCHbQU5r7j8QkW4bJqTcLidcfmejGwYX6TG sHT1PdUQtV44faSaQYQBlYYpnWgB18nla+F7L5wt/HLXp7dfNXSTnKK70S7wYKbOuK71BJXnVV6R tBNKmwBh/wS5EMWfkK8kcfkK0twlzP9xmf0UHVAhJ//gTDmzuk71xXD/7xTl+ds8DHw+f7Y9+kiv OwoN1kfP1FF8kwNujzwRj7ZFYf7TUTTZLq43tPN49qCNr9OxzwqjmS/4mapkprrsJbq+eoYz2vuK NlWb/WJdKAsGcI/+BxCWDPxrCu6eiSWQ4eu6/k9rAGnOBWqVn8vBt/UyHtHh+dyKzAGsG3QvqMY4 2gd4ie9rgzN7oJRIs1XVMBJh95UPMozuPPPN0XS91t69HvIzFHpP5LPK+T+qS6awiHi7PZFmOPmT r1nrrX8rIeDquQEJg/K8iO4dpJCK7X1uGpKQAG+iSKuJH2hAEZr7aZlNvLEvqu7Pe9RCwJ5PGSs+ +Ldp1BHxGiyVGAaeMiiaTERsKaevqvg1XtjUQEJ07q86FoXcaMjRoSEUv1fUBd31llzZkaXN2/7A +p8s4dCyQ4mwmcW9I53d2fsouI8Q1RvkO9o/HShVUI5h4sulFO1TxLgXTqe66YnjBF0I8H2JmYif /N76hJvjvGMn+cDElOAFvcc1fLbEaggQiuZS6oyypmCvg2yhE7CpnBEJhtQTYnxnOCaQQSZGh71f CUTVQRtWcLgR3jlrIDzSn/CdMP16P2mezdKg+lp3/vMMKF9yUh2s4uoqA5IAREnqFWlwrI2JTvzS VHpXZThIuSAMzypQZbeG3p5aHq15TRkIggF+Nvy4YK5RLmDTbWobuN6WgwbW4ytxgah2+/MP7Jty pI25N0+99wprjGu7Nzh3uZJBhEYp9f7jipEtcKcxLFIPbHOYufDc0u6p1OBO8stdPDn7UUGwnJfc wHL/+gnyGp514f8SrKYJyouXmd2CtKYb56ZudV+J5JqHkDY/+CijaVbmv9gN/NjKJ4t3BxddUigf WqQ9bKf06UIRucEnFBlNEfFn3kRUapB3g86RcQlvdXCrN4tNDMejKm95iXokAoO4g271B9rFA3+q IYJ2cnizKxWi2G8uQ3TDGDVUDgM9MrVnF6cT/O/dpLWjFr0cUT7aKXAfI5uC+lgUowLo359tcU0V KM3Gh3dpRUQJB5b92zJtGDqClPKZcUu2uernXtRtUmNIOeX3+h/kvKjgpA6wbtwvOmoeUzcqCxs8 FVx7vgSYbvEY68IBQqau0V2eKhHscAuzqwUFc8iGVXA7vzOEcdBXCfPrw53XniGVkL1Lh6VKpRvR yzlYGlDA0ndpqw2McDDAuK1xdbowGLb5RQ4+XNhZ0SkgHzti+H6wMsE256lsCXoA8nBP0Bc22ZjS fNKrL5pe5pYJsKZdTk/3ujRwb10y2uEt3tv5batUtTXVfaG8fX0Sjc7eXzU57VrikRYFqX3CXP2p zBRqqskl/YqJYD27C8MSPyPSSiQYvRkDbD4tU38VQBBQTfx+nB1ouonn3DQg5jTh4RweKZ9OEX1u E5J4jh5+8cRbPJ8DwKyS2WkNrAqVcmdQ5QYqiEakTSvQxDzjoI3Y9a5C3bFzLDjjhH7ycWb2VySI 9MLZdMYWZSfPhiTXOoyrhv0eRXJC4NHAmr2mIKsoTPX8+f7GcvxjgPJUv3Y5QmgSpsXNKAd9zEGg ZCuVhVChqRvv18/jgKUHQs0hAJyE/Lg70Bh5O5A1UHYA+ITLPzFZKcu1wK+gR6GRDcFDjgaEClL2 lIAMUzPe2gbdSLhFkPMj7wYHDpso7dPLRUt64Qb3YT7VzRkWE4Y+Wv9i5JNtYACI32MaNa0yXTlv NlQuk4e9zz6sIjdZIY46jNQe3/R1FU1XmtcvaDdUt0tphLpV96QNS3htw57DFiiIgg735LnAtRod nNkRfjjSrcTTlRdnGE8xNv7qDnI6ZdA3CW5PMmsVko3EVqpfSbRHqCJsyXjXFQynMeItm73A2Y/Y fmBl1p7k5Pb1kaGTZkGyLDqQGW4kfsDpL2mXaEqnBdIQnxk6GvX5BUPe7CVRQGDzaFKnNc0iNEra 6by6yKqqlRBZqHJfcmZY41k8iRu7/9W1+5LdbopU6m7NaGRsnezmZL3YTfdGlmvGLNWC/0/XApnn OuUDFX4KhVIlun2a7R501pK9EE5mrpxe2Q7OHv+tOVEuQQ887/EL1r9wVOmbZEHR12zZ07gj4r/v eFmv215yxNd01mNILTPN6nBP/sNcAWqFRO8JTiwp+sj/j/tPY05YOgQbqMZdf1pPLLiLpXpfLUQ1 73HhbhYKzduzZQQne2AYwldUj5U+oHVUbXuzKDr29FP2X5htlcaRm3IEIXL9jIdi/MbeCajX/VPP +4K1FuKdaVQdj2Y6PcteSibd5+k6bCUKHVIm0ga+XhspuTi2f0/qCnLmDpzfwoaFYBPl2mUTniWK ILDX6xcgrNMqjbCWF2qS9aMwIxIRo1Pi8TPLBxQEgM4gvXxTuvHvwluRksS4ddrZWHvRYWT7o4wF TN3dAHhOBaG5rRdYKVQfGsGx3sr5ZG8S0+fzRv+lbf1i9L1yE6fs3vjkIllRwgicIMr/Re+7D+uD FA10ipj2SuLYGNI9QrOsoROZnYTXdUathKj0wpei7Gj6I5VO4Nzm3Gx0KVeXTIGM+Kb4o327txo4 g2wRANNCj94bBdBdS+JaPuAxieq7gXbMBcGO5FJ4/waUt3Ln4LwGH4M1sM8INl+fvXegoryAIGI1 fdtzL+PdaxNrKA16ERfQ/udFQu9gAnxA5eWNJQRgAnVPJqRetxex1SC68lsNkzw8o5ihh4+07BTi mad3siJogE9rZmlpUZ61cNHUBq9TJ3dX0IRcsHY1lCr6dEnWvIAYmBOH3PU4hPTZsA0rBJRDslc2 NS3LK5cTeQ5cY70mnZoqbiooWIQfkSxbkUVz8X5GSnhkbcggF8oeYZn5e8qhxDFsgubhg08hID4F XtwO7TgGFjUIByVg8WDhOY9tk82WHZTORexsnDru/hUnSFh9/KYLS/RjT5n4noJkOX+Q9wVORzYN /1rQ5lMHUCiUqNSS514hLGyaRMCuVEKqd7QfuGuiXXWrbgEdg09sI7WHKf5jQ1Ei1NFE2ALzdQjW 1+AGnHIkzviFMltb+IpCYTPNtxgO6RXwDht2UOVSFgoy4e64qRYvo4cBOtceHkLn0v2av8svQwVK Bb3FZbdWQeEvaOmoKMcIp+fDiHkTKyxMMI2CZRMLaaQytHiOGlY3hfQwXjaEk7x7gF2jKeYxiUrA Ul924JabF5zfdtH1qQSuyL4If1X2RJ1FHK1SkWMQWHfGJZfiOr1cAXZchBua1Kn4KjbmI7BlJ5UR cRyU4q7gikDw693gskJ93s5DDfo+Tq6zqXtFHZWaPTTsdKJW2APoajp34C+D1ZBlhI8XfIQhVyj7 NR9eowDvgpiPKPWNvPo4c3xCKLiXRIJQI2DN82whv/QzwVUD9woQdio43jMa+Sv1wA8Hz79iH7lv 29ccEzFuieyekQ/nMBSH2+bXhxTm4tbgculG1nNxz8rGg+Nd4fDQsRqt1+b/SQhPXnmQqwdYwO/Y AbK9zCUSJVnoKOIgxI2DJqvBmUQAHRTK8muMvvgIAfuWRmol9YGRuHZbyl/9Cy+CgF646eD6ln/f 43TLV/kunqWljkgfTvL3Ud0F4p2s0PNoX76EXfQ/dl9Uc3T4+jDyqSHNQofgKgvO60YTnlf5Ggf1 OKfuh7jOSz4Krr5nSYIZYvAmxzA20qCJ/9H2k/MJenIsoRC5STXb2sk1Hn6pN6Xjz5URPa5V911q lh9njqsDzMQh/anNR7tA2zG+AucPwAo5qd7DKM2CIwQGTB3f7T5ZbxkL6NQcLIl1H4NsEGZhjhUv jqzhNVDIDWDyNXr0ukyX0nNKDn9JutrdybRKqtEDvVbQDj20/Lbpuh0nF3y5KReCzOdG+HVB3msm /tgOB4Jk/DvrMCFkkYG+PEfhZgOrYkQvvcRl6uBuM+MVA8mDwd73cq85R4VepT4ds8R18EKdeXnX 8QcoKNnzJjiflWfUZqu+I8ykhrQ/DPq9Mc/WG+NDqx5Ui6z3MooW6+BOce/t4QEpM+fH6NPYRg6Z NIHeHSddoV6QlfoB8dA7m6QLzE1oI+/Rf/69mUNmUQIyA2sbGJJ1/foQGczdJt8V2bgkNjfcr6Cs F8rbMeJB+HzI3fTqpwqUhwTm/WDn5pFVaoa2IeX5/U/J9TIHVDVPngW30bO8387rwBkwxBN3D7sR wX+016uKcwRFwHpTpe//fG4RDrG44FgqH/QELydCv+EN2TNUHWOjk9tqZIIt8tB0w0t1Lw3ZePhI Ue9IqarulW0A6hKKSraVXOZ0iYlA/bhnbooE/kQaY4Dul67QWfbMhQlavsxOds7uRvVp8I7LUD4i ShAWIoFKQVBDYR/adyNIfrHvFv3zdJCWwaJNfpsdfwaOrnlekyf6JA9DyaOrsYCK4xIYLPmRdTP5 BcR6EX8fi99PHlOMtOgMJ76knKrzK7nEDkvMg/duI0UsoUXcTk9MvBWpo9jQUBoi0+uVuHauWWad Gpq1CvpMxYB2esJSxl6wJb41ewuYdtR4YvkC8FbJK6pTJYgG8+/SzjcpMFoJr1dewSQVuRA5EnO6 wwdlVTVLeJGSdARyGHsN0G7sikRzCqul6Fp2s044HRoyNKY4eL15r2AQTx+iyiiqBSvTwoDNVME5 iMNQJBqkxfcGZb5ecoKPVnm3rfe36t4DMX9oZLoJtdMbariM+cNyXlkw0EX/vy9xY4AB0tE5i7kC WK3crQwur4Cp8xIzJwzDJEKU6O3Lm5ROVYdSRDWy1ZByW/TB8GsXYIXcRcRPBsJxA9uncV0FwlNI VooB7rctavoEGqC6/EwVSyPB1jz3NBZIAp6eBtpPXRkML2nYVHA0/fUqgg8a4xmYD636ZI9rHtBI UOfqUztlPvGEsKbMXSyrYZFjlvgxbdfLL8kTEdATE3EsH27Uy5Ua3V54epUxSV3kFqCRjLIxY/7r Ds9MEs6tMyvnk9hrid7jFmsvOg5BpHKAYDNrb3gnC7SJLQINXaEBo88RTmULBBNwTV5rc1TQmIMi 5/7NadTE7Mv5U+pK8id+t2o/BDchQPTBtHp8XljVw5k6I3mfhUxrZGQEuYAMBEv0R6OSfUSVmLzo yLUsaIwxgBCKyqUhdH6s+gXrwMgPd6vE5gVMl71N//I7wuT+XSMSdwRtXED8+HxCTdGygAjXa7rQ tJOJvJNZ//oLAgRaZb1DUg2nqcmaigz7nA5hqplF8AlJeRaQkPdm1ZZrPUFyVzBvfMNs9qhIzAsj 7/7nLsbq6buFZmG4yye6i8L83V8i9jWtbczWFdnriz2yOpRlmbOzWc/+b5UKNWsWqWNUwhETz73G YVARytV4JIphmtE9D6Gn1lCW6jqKaZ31T00IOOhRtp1z6N+jZ6Gr3787WV3n/YL6ZzuPjfB+XXs3 0rEuXppcHUG1itQTqKBveI1r42udPmGLY4Gx2zz5uZhvT7EHpQDL8iEUKyWRvvzQmeU6WA/uoftA VsYTq2xeDNJjA9eqJQSU5oMiAfsRaotuEdQXgmAprTIQTtCkLvpTbkFnysQIisZ4+Curd/tkrqr0 eVtGMUA4DUNpixZVnfzrqdM+s1ANo+jAEbtkaaQkvl2O8xR8chwRrxx90DThXOEDZLz1pgH1RC4m ymyLawgqxyNgeLXy/wlSd6NcwmEJdcYH7T8MrsEvnmPLzYeT4/a+NQ2c9DodDC7VsbrNnYFsPKKA nEWt454H/VqxOwCb3yhXqL6vRJKkzj/SLVI9Dh+FSBTIcAd2WH1VWSksk/542EjMHcU1MpXqK0ex mGTsfi3PRizcvCNmQTmFyWrrfVtDr/JGRVupbZerrFiT4hXyzcsA+yyNf5xrhAcFMQtnQV19S/8H XoOstvDMoz+F+iD7bUwunXptsc7x0MZfiWtahNR88QsEW2MVU5RUiGa4/u9eRacPACSc+Pj+FvSO 9o4q57PhE0T5WtSP9F3M/LzHTN2QB3UsfumR1I/U00tkZTBqbXNrVxpWush0LJJ7MX6CBsafPxw3 0mj7+DL9IrS0OGDzUoQLM8N4OQWX/9guPrmRIBEoiiuxIoUr0B0sOWbeIM2GUK0uythRMbcC8Lqi JCqsA91Enoh83GLyviRVIDfehmx8ZMyfANnAvJPCBRE2O5H16pkHMA04JSXQsG5+6hrBNR3pW/zF ALrDM0PsSFeVekGE+FVyOfjtA+Pq5jThuOWBJOOSLWew07UTUIsFFbT6ZqAYogQumuHzryVCl7vZ /mjrCFM91Zd2/kp7IrwJMWnRUfyF7bXdzSIRIy4CMaR9IuR1dLnSfvlDuLoMoBkgyZXdJTrz2o2q dfTmSVBbYZZKhLG2u5J5kyCYckc2Q2FhO7zpvn8UjO9WcjQQ2X3Bnylp0yGmg67QfqvYifMsW3au q0NqrBkfwPCq1VtloU8lzTrkI6owbbwpNqpJjADfZ/NFvvtEDWSQUeF6tSe8r2/6kXpC6UX5Pxmd vyakYoc4uQXOXN9rJpLYijethYwN9q/x9D+IztrUrQtTsg7vYYK1TpEIKVQKsyPt5fCHliJI3lls aRLTHcYc2gkiIqIICxs7VUPgoogf+OegwJPYgiBUXWjo62+ub3d84rvEbrieUNjGK6TkmFSy5ctU IkDv7WozXPVmR70HIJ65kA2tdmuaaf8XIDH+PeT4C63aZcU3ksnQFDPclP64gBnEkI1NJRMjlbE2 FNZZq4tSQQMYEhIqmrac5R5f9W4pf/MQb2qd7s+YYSEGm7xNtCA8azTn218y9mWkvylnfH07VRlL 3DfrXpLhyA6oQt7UHqJRav+wAXi2tFpRTrUsjohgICxf7xVaIhSYsDz2lzEXgNDlaujFZFT8eA9J wwqWGin8TItJMXhzSQBl71et2GuoWiKcGieKBxKPGuAwZuJHAhsvw04nUWiNajUGoant9n/fTGMN BSj4YURU1FfG+FN/TFC+c2PIh9xNIbCmYS1wyE3w//1XePrCcOUH5YtLy03RtZwjde+bBcwc495D DXxgY9z9ydoS/0IFmWi576cpUgK5CyVCi5ala8crnn4thGB44xU9EfludbiCu4KU5pubYuakxggd TreK0+e1BrNeJBWrSMpJ4PBz7BTRxN/O4so98b5+Zm3gx4X6iEVV0EB0qOIyJOcpnL0rGKDRp2c7 oAZjXKrIoSv1csopCplY+jwgTgCQ9lGyRPmZMgFch4PhtAJdX4nfmUnsmE6xzmEmx283N5zJm6jc 4Ov96DWp+R8y06wiw54USBXRnGF8tZWJ9vHvU16vYs2ih7kdY3TFBSMFYKtUFqmb8v4u0NKklF6q 1zOw748DDISz3RTs2iTbjQbD75cC31WqelRDG7jpJygJvf+vd78tk7dPxfewYsjNYE4uSMM4g/JB iYHDTUwY3eMktBrHJsMdbs2/bgMslwhhWhGkk2Sok1NhxFLiRJuQB0QWTGM7IumSlmtV91ACVBPA 4b9DJSHSkGBj64l1JGbLmsTeXnvW84RTssRXilNuL4dCDw7A6K1+gQK4xiZ1u1lbOqrj90BF1tmI Nvf6ar4oTdDduyOoIXrslGvW0IAyZUgrC9EFAHOXXlW0ag2u1O62cIZIEqyvr3yKs48oLqB85UbO WxwKNKX2uLbwinaVnoDSh21nKzMhrODL6VcenNOQLUy5LxTsN2R/UVZU/sxWG+FGuvPdmKCAOU83 DG6GQ7q1omOmRgoKvLjXWkuZW6p+lvZiF6vGVYjZQS4TZDH2RsEU/SoaVeB8hsNMJ0L0IxDmOuzf o4JovKjpJ25o2G3cvFnuqzk9BRcE/UhYcGaBOrU2S3ew+mb2gL5m2jGq1oFhUwsJXMKZWLo1KcM4 CjGlseUQmcsP2oE1haL+41ig8gNsw0lrWm133OD8k/EzV4AcgfUbyAcX4S8qWYGeg6PobBEx0Nrk xxRm2fV6S8gb0UXYemB1nEzbTbMKYkstgu03kBDZxk2z4WUVYy4baMp8+09tzj6BlD+Ob0Twdeo8 5JYYRS7cxOrupdqeQCPpiYu3IMrVW1KsUX49tNvCOSjG6WmkSE3lzOLYA7qPi9cVgeEH3d7MiCiH 66VdtAViA3NLTVygmpMIZl+qtgCs6TTPhPVLRmLCED/N+nMOTDJXiM0oUxD9c4sKfmHK1peuIkur sqcxGlpYytRFugwDBsy5jvltvV7fWuA+J74yGJk4vjVfgdDb7ktwCwDY9A6jCiTC/FEjEccZ48T+ nvTSQ9x4YYzav7wcrsuIRk75bo0Sh0SiUNdvsev5uJn7X7vCmtPSpTe9i0GoK6BgZNx6XTAS+yQr ayccGX4K6+900Ux3ID+leXQ/VJsdF5E4DOYZDf4DSZrLKsDpqkvQCAd/Ks69tB3KJ8W2SdfapI3n LFfckG1DpqlVsR78cwMNdv9BDcFwkVC0mpMcavuExPVPB+NpPJgkFnNWemfxd29Ue16pef/TL06K zVH4k9F2ay0XNAmcCzIIrgiPW9qZSmFu6f8eZaDHIQlf/08MbIL9F5kT+juNgOCvRbk/7l886hLv CHogYxuEy94yzWAJs3Oz+qdqj2VWRtq1yqJu3+v88OqG1C/u3xYfhP/cH3aXA5E7Voy2QBxixe// p8KHq0PWXr8UNcize1yirxhr9Tr/5eL9dZYU9Kz1kv5c12ySsdsGx1Dz/PSqoNr1sN3MgcMdFQ/T M+fssi2+DDhaObEzsstZlNzCAUrTuztUdC0tjSu+14IewBjozbTqvETVQi8DMiaK65qxbXrPGrE7 OFPveT8DT+rmYoxzGX/IMrfP96YJutQyi3NCrxwKiXWgQ1dBU8gCJ/gF5+ldJalHzKx/gkK9MuXw 9hg4ObEOFynQ4k1G02W5zJR3HcAlij0nLzt+mieMU05RWfSn6gDagVD1VHd6YfmhSWX9brWlgL9S IHydcbuTGp1Mk1+TUl13GO/tozr4W7hfE+QRd5V2yZFKpZTxYbNWIrFmzIu76SYtg2vuHSYjl17B CwEARSDmmVkuiAA2h2zxQ/TWMj+cfqbCxoadN1XA9CwI2whI0MueW0jQDu+V/nz7bp+hhNmsNQyC 8jetgpMgDQDUqm/OwmUp/iqgKJWjNFo9Bte7ML4YQGbyl4E2uxQM7rEhuxH4ftr5oYko2afW436J 2foFRQZiJtRSfjT6ASfhK1+bnPXfGZJtRCOL2zy/y+lhjRM2m+N7WN08E2yCM+yNahdqvBUh5Fuj D1O8LwVdV6B1QNJhqrrpS+9LVgKtmifQAn9fSoWhoXXdSWOQSTmtQOg/b+5GubJLaHUsrME2Dh6R zI8V3GCAACho/MqGY//bilVG1a2aI1qIxr0WFg3XydOIQwg4WPfCaF5cWv6Y4lMH3TVYrORABO2k oJsg3W18MFrDS9yzt1o6RiddEBszhGLeliPko0mJPyijiCg9cU8yZU7/ddBtW8WzH0oYsMmSWpbM i/TkZfAAiDCYbVZ+hmRqV2R7SYJ2VFxLkFnQ38GM9KutbfrbbJf8dge25NAvw/sW6lGxjT5RT615 ftiyYzDG7p/XcYgHV7QQaqHVNYTdzgceExskkh3cKUUYjOmAqXIOj7H8DxxvqiGzd+lB0AXedIBN jxy4CHy1lR11gh4YK5Qnt2/GPaWcspK1JYYA7eP7vcX4VeOG0qLrn9MRENvoLClAcr+9KXGGAXc9 OEipNUmFajlRL9+WdDMm/L5IdJ2/ozTmUtjcaDs8CqYFw4RHVk+dUVq3RC/kCSad55qdvsQpv/QU VTyJgSfzFeDUAIoDSntt+X2ZzMJEoU2Lzqsk5+ey6h7C/pqyoLRrHwHirvPdIH9+HLUa3wgU/8Oo IbskvNIYVFTDF47MU0m8kh253QW4OvTYk5WSqZplaKORHHaikFRgHb7uEODxGNwfH+JH4cWI+rhJ WiiF0Y6idFKGG0+QusdlcQB30BU0xSZZISIaI7MDjv87nnDkuQCjMX/0+nteG9Yg8N0BojqSxnZc UQN8tMsQ7hNY5P9UNGCcgRnDt3tgaPaONQIira2/mTl7HFqD6grzOz1lKhr8qu+wFO+7QMkkiNiX EES/TANNhodvgMvt/hm9FWax1Mw14i10Sxh9x8fYKebHXVIeeVrtqYoOXaDnvrE1VFVZt8kyAeo0 DUoCK7kdxIo9UHkTAJWuhEFTN6XCmsOXiWerHDcaQFJI8sgv+i/m8aQDgX1xAAthuunapvM8JpGM jUDJy9Es/lLcna6BquQ2u6EOar61+fVKiEn2ixBIspSzCz5zIdNDBms7YkpLzw/QZ7H2Fx3Eg9Sl 6PWjmmzxyfA7hhcFe39wjOKqQxJdsL5kKGNeSUkmlmAZ3DOM03ZNF/OSytW8sBFYvrx7MlG61DMf DZNnWIQdtj7qK8hNkkDW2T++afFP6Jmikq+Y7CO3lx+CmwA6UXOGwvOHbFE8itWteXqO8cItFXQC h4GR6NlQNWsrnQH5Eb7Z8BRlqaeiBkotNzLW1AJspZdU7M7qH/FsmfiPncKuIxVOaMuOiKTdy0Jb 2H/2BvRJ82Yz2D3DfWy4FVr4NE5rNVR4lL+dp1SBlBW4JtZA4WOML8putvakPG0e3Jh5OCpUJPEZ itWRR8geAMrce5GVrtYBbTF9KtwOmkNeLmwyrqFAjl/OymQa3gT0Z5+a1q90YELKJ59SLrhJe6+L l9HYZx+g8Yy10fdwSHZkfLuGV1niqCUrv+z1gWr4lkdkru3gf9XZLhZioOCSb7ySrtoLz60HAdkv P6j6CLjgqWQmZer1Ov9U+iSBrOxZgb//rQkpZDDyTbnY9cv0qIBgtmKqE1a+q9x2pei5KKrKuIno y4ARYXw2jLD75DZ1qXieHT5Bx0HcXFhfnlQggDWDOGau5jzpt4qj4L9UB0VaZsWLk2iQkJ5huNKN czoaAHaN1QeOYaPVqlyHmnZ6tU948sCBYMOSMbQZt94yvtJXdYjGLZTkQnIGYDnRhwGXMhi1WIJr Otap66+BzQf9N6mw/UeRbZ8lGGOBiRKStUTPJ/jsYErawnF05XGLVx1BPMKw2qLCl3TFq7MgtMlI YqTT1u3iUCVd9vct12mTrDuA+yNCzTN+dkBSVeoceWaTKEa5kLAJHiLX4oKG7jgJ3DhAx2AYO9FR NS7odjQZ0zxQittc75XO5pflC/hgk7o1D0XhXpB3nFvhhgkxPR9zoj3dw1x/iih45rsNEGhl3Ejr WMJ/OXZ1hdQcwPsBKOlKiB9kE0iMiBiDk0WYay4UjpQH1ziXtlV8GelKKHD5B9i31hUkogsFczLn KInbpJZPVwiy/B8jO+9YKJDABaXN8KWiAQe2uSpzvEO+9WOx60mOj7ucVdRuaAdto9p9hqppjgkZ oOkFDr5g557KyB/86Zt/nsHsLuY6F67VoNL5Xzpyw4uT9NjfimXC7YlGilDIfqQkYrBgo0+EsVO3 DtwV5r0UpWStzS6cCMb8INGb+HWrQdHJRgfJ2EUd45Cg/KeZtaU1MFYFBd5U1PWlh/6KOGN5mPkP ou1VPx8fKLIvFr7dACTT+A5JYMO00PiespXqokx/JhDMbrSu0lHsnzwIL2cA6dAbgT2sJHNpCHOp oSfDDA/mB+A1pWI51kVw1gOfytdW4aRSs94/wlHIlIgKyFpm2G5O/P/FE1fOH7TGYwyX7Fhxr3/O hDLCMpn4PUuxv9zbD/r1SFb0eIel0RSJGjF8k7yL5I1+0MqCO4cTmhwJzA4n1nLTRiE3tzCUZSWB thM2agQSRJTUjVrGsmtePJ3k/QEE27b+QFAkz43YuXu+NsWecSNx8GKrMtyaF1iXLhoyZdGechrp Nsx8LOfprQhDK9buSl2oLs7P0c9J+d/hpxJrI8azi+TPzGZi1vbFAH8xYvKQT9gD5zLkD+svWeFW Xsljwwus+rloOjwJvcOMKTnlGnXm9rVJoH7962bAwPC3A5HsIr/KEYric6d6wjiDzZmMMTJmCUcM v1H7eRWKEGyT1fFtm1RrgL7MiX6EPGpMqXnqVIgL/TXUY/aVvkrmlYAgOUYVFzsvHlYEOODYHyTk k4OHkU9shJ9LXqNzgerkUN+CI+QoLv1lZg95rWSzfWoao8Wgal4uviuH51KqWAQaM5Of5NmXjETm dBN4ai7ZvAWmv8A0aofCtYgaKaM8YYc9cRpqp8BuJXi5uQDM1gSTakqBd1JpGBseKBLO/54nqDNG b7sOFkN/o+Js4FwArJ22IN+NPkXcN2qOigFapL2pU+UkuKewvtJJTpKdpbRiVRolmfG4EunMO/hW RZIlgEDCZMkk/jtZi5VoJN0kFaasL8YWAYhRUZVgz6KwA3Gg+5pAV/HeEmUWxIzEkQ45RjpdaHGb YttXVi7VKUyD9dfxsnxXILBRlq/lsh4yDQG32eAHy9I7p8vEhGZUxaNlxiHOHf2jY76kKKRHQ/0p aogJgVhXn0Z5+9DpazQlarrnMROV1K3fCM8dizr4wNMd7oImHk5aO8jbTeKXw3ufGHLQ23RbtnzU AbQdILdh3D+X7/hAAP9tDjyLl2I4FkqQVOt0c+zqlx3Yfq3T0ySYEP0jKP88SX1bY+euWrgzltiy zA913hWsMoA/F63k6BZ7esASEKJ3/8F938MIJgZrjKezhzTkfKzahbV80oxOXbqSw/TycEPmdLpD f9biSu4BqLXrerjIYjWyRMpr2LehhR5OZ4JGivhyBuk/IyawHCpnNbogv6hos0+oi987F614qeuw 3V9zmL89txwLs/ac3cpjWFLcVptAhbFq2VYaFrnh2lNkTUFP4IaL4pY713GtEzB0BJjRG3JUJ5A7 8Pp7Xw1MvQisH700mNN9hq/kZTCa68v2ENRqkbO8ruz3bR3P7xTahirP2PHdTYNLH5RyIsPSxxso ilZCZW0UJXRD2aNVsmuc52/myo0n93SM9kOr2Ehe7zWcb6gNmaU/manV69kzuaTbQDfk6mAq7Ad+ mWeWbZEt9AOdLCqcSuj3V7x8RlohPgIHOGNLhSSJYQPEUEyOTq6dfCZ1Vz4QVQ+Ugwvk+qYU59IN C8Z3bB6Ya1kwuPeimh89UEerLAzmwzmRkmoS+BO3DxVoN6XUyVWB/6kJ0M7WIEbw6V7xAU0fhz/m v0ALCwT9xnpoL+pbPy4GrnW+81Rmq10vsD035b93o8oSsEfpanmNuOrKU0RDoAAi493p2KpTy1Jg EIEc+YoeEH3HEY0CrLJ59YtBejDXg7mkaQqW1KDmUdQ7HICMnnuLmdwB6Xn2u59dEM++FIl8w2zL bzanOyOV9PsQqxrYLiyvcQg3WX0vxX3lSyAqFnNAStEUDP7Cx0+g1dRMJYDEx7VGDHmWUrgl3uDU duZyprbnlwn5+ENzd0TR2btOZlJ70JA+uMJh0eueU1kimAp2jMzTVu90RqM786HVyY4ZhNrWgYfA vxkjPsV7skVjOEE4c4/ZtCMQXb4TpRKDTEuIEfpAeUSzXEDO1Tq22/90BNQY5YpPrP5fFd8vgDIC LbQvXTUcW/w35CVqGSzhXIbxCIQAAub565CwqLQUZ/4/QSZ8g47ypH7sC8rVKP5aRoWV2I64flQC p6hGReVzj9x4oA5XEGC+Gv2/tW4+wfd87rmvf+DEwXjDFg4YXn2zBpjacHlcRQ75QlTsmAAN344A v0dWVEjJDs8FfsmDCVd8+lUTPbS5BKnPaHTCH0sUv5gUNiYys3jY8DPGPIpAEywM8AcL2ojtKrwg 1EGU2SvcRqJZi14l6xPwd5auJFrPHBRZhP13mJMEUj4TjIn6oVhpUIVepyyCXCsumi2sWr+tNYf9 a5umfJoTWpAxeGgv4e517jiZcUixh98qYK+xTM8XCB9vNcWKD5olhsMiY17EPtlgd/7VJYq4qFsl qsyKrl4ciGXgFj3PekDp3/RjpfGwA1rrmzNkVqp3FuLty1g4sXAsCkJRqRK+vS0VVpp4/TVR1dh7 3qIHkI9GQEAhe1ztGxerCXajygi09ktzjG/TIOfLlIgPHDZ3Zh0HGuaztfBMjlnf0FOdALVMg26+ Lg5OVcfczFQU1sCFKxGcpX+Y4XSERZuMTNVgtR3KPqfV445baKATG5fG9LnV/1mK+OZb2ldc2PbA vQ0MmNdPFS/bKhdB6yEcZsxMe0S9qkDGZ/4M0G8p5KX3vWnOum4NSVhuX7RwdlRbXnsxjvjgfsMw jzuZZ3t2NFacrxgkn8TS3xq6BDOVZj6ZpP7D6w488Qc0xCf3/43exr+vs5LAd7j6hzzgCA22NyY8 eENVIe4dzYWA8SBsNJx4Sc2Ae77O8QbzJMVBUfKRijyvFkJEeZlzGtWy+CWsgx9vs59TbXe7Omay dS/7IQ6w+BBBs3x/cp2ZAQMoPJkrryJn5JorUGZFA31fxOmXB5tDKe7y4ZZUsx4CkjF8MWaL0+K8 kDZT1GMBsTA2pIPh/ELaB/mEZxOk2TwGdLfR7ZAws4lE6dzNuTX6S2l8isFCGRFrR70JQwGfMLd8 b+rq3dG1yGqFnu1AufOZvHws/+XFJFyHc5Z9ZRF062ajpVVOgbb7Al4YidRc7805TqsmN2NI0YKb Piq7uXR/d94MNHpLQioTs3h/NOg8lfooMzuyuc5MVoMgvyaxETBRAyZ9QJJpJkZWUMqlBr+i5kBb JpTvxcKCDIeDo/nUTbmpFiOtCNE+DQOO71l4dc9A2UVLlgWSLm6qDlC5zecpLiqh2j8o8m4eeNpi uNa6Cjk0ISWrWVEQ5IZPZwBADLRGKmae3Fv8tjSGrb2lFFTEZXhyelhtxBonkdvU4vkpTu7iDll8 eLgsnm++FsM2Q+I1oBqyJ4uaoxvP/YFUQ3YbJjlxODKIGz/SwSm98JRJKS4857W9LdMP6/r3brpY rngV3eR0Yxx56SPoYFgLNH1CLeLyoSmFMPRl7xch2jvFbXX2LwkQTMLhKRB/7TA/Ef57N1ls2mZf l4SloNmtuX6RH9IItMvKEYtqB7E2MAEYhVc2XdC7iZjf6V+ydloTlDHyhcOXhY7WVFjzHCri23iB HJvuAwBAGqhG+/Dnq7n1kjEON7V38cAOJzTtQrlOCEHBIulKBsZJr8i9dOB8yZmZLWW0K8lCkfrl 5CGy67dQUAsrcvzrYuYX2To9cKhaynesumlERnoLPPQCUSQ6qzK2vUaeEDOSIMaztJB7OfRdgsYT P22OiLK5QZcgcWuzzwiLz7/8HI+Ywn9Q+tquiAQgDbZ2TbgrJ0kpusdq0N1mVgiyM/HSobBLUkuI VAZIe8vSGV1VUGWc3PykNXrtgl5p2xoeGWPa0s8CcHu7Swnj+Ai1b13mqKTIXEmT26xP39ifSydW wZennhy48GJoWWq/gXTCDkTL8GajUUp9KhL/byUldo/IDE9DoBdzswCxRaBf90X9hqLFSy3QD9AQ BMERKQIdN7JofkdKAOtkcAdExiWMG1LunHpO4IigGtKk9vbJ6rCWc9riXQ6pP8YSPo4cNynFYv9+ qaLiwiMKozhYyM1YbWXHsWbLYKwskW8OSiYO6nNbf+RccXFwGFPaBtvVCFM6S74fK5QNmjayQ10j D1PWOo4PDu6h35YkNpCeGiC23pXWgln3v1IMOej4ojKplQ1zQSv2Uzh9+RtTFPlW4g/piikyjzAW 6Lb8Ys4ntJA+H0tlibf0C5JzhJYv4d9M1Vr6bB+aiXYgaszhQPAp/ImC4tCIGVxWc/6SKscg6tY8 p9HMZe20jju4dplCrsO5Ul4xX455sx5six+sliF49J1kO+qfZyUgzgpWwuK3Is6vfQa7tSz8vasb mgj2qeMOABcP8QWniJgijs7w6nb2k/NI5XXHdYO1jsVQxBe0Esd1czIrUAcWhk0l2SeITRcJuNmA DdXSu7t/I01j+Yg6N2sSo5OAXxCVg727N0gxfP1dInivA9Gw32KASiph2XEJlA6q8w0ZT7a1fAd4 OJVgty9szrGY2xvhc7An60QoL9rQrNt1YEz/fbwgFZJR2oSSNAljv5fRVY9/JCoKb7NQKBnn7VFK VWVJ5Lqr8f2aufomhesTAbaVfg/G7PIW52LcCAtha73nUXq8gX0NN7pQgMxGg/HqZUtZRrToud40 cBzfpyt/IYzuCppvP/wNnRn07H79+jp6KOqczr6OLu5p+ikP3xoN9jF8hkCWNN9RH6bXytpARCqS UxlqpkvavNq5zjNxvqbXZjxjv43CYyINWvcyBIQTJZKB83TZP5keEn1tvRuTpwnqWXMcKRQ6eGmO pHwByxVSXThUISQqTnbHaD74C/5F7r1ldx7s5xLwR1JCueO7qQtEk8hT4x41BmFSdC6JFl3+ArFt yI5l+7yKMO7d7Blry07TdtMLWnhIV8CnT5vtWdJK1OEihKUMP78MUdBzhW9gWeD1yOGXhopv86a9 tRn51VIu7RjdfI0t0k53WT9le9cBiqRNDBuWy/+P2g5TF/CYRG1oywtfGKWA529lK3mbgGBmAUdo lYKwtVSGK/tz9Om4rwRtjae7X0spUqaKX/wAUht0oWhgOmLYHfALlwlctHVjbkPyVenESZiNjvW6 34h66pTMlcRGJsGDQu3m8yn5GgnvdjWo2qEAEATWvyzXgIqmR7hiMCs1qmdcSvF/M623LcezPcq1 cYZzxF+I3A8czuLirLrVBpNYR4lX0C/e2ECUc6WEoo2XaYsN1By0ph0ll6YEGWNaHm15CKWnUF2X xN06vbi8FsxnZDO0bQXB+qKD40o867+nRYEQ2tuCIZM6fZi7rLL06IDphjFtMeSugdZuI+w/eREL UbTbYngl2VUF1Lbxdn4CFFrhoUoO49u1d1Mwo7uo1UZCDfxlJCvZ7M3OwUd5HJeewPuPnXoIkDKB ENjBNzG6SkcymKtPQURqbmNcidOMK83lWr9zCBi3qW6cAnTpRBTlmPs69tJuwneb6KGdUBkeSSMh mBWoLpjSAfZDmVbEcaQxxjkkyEHJmb13wWSLoRmyM6vBkB4kE90vWGe1AKKDigI3310YO1E537ig w0DiFYXCxN/0cQc7qXYFf6Phel/dbSf3flTIgK61DPjirVUgDPIIyJB3SCgI2mAA6HsMnCyMLJTf PUDi9g4OAMd/3urvNoSaVLWnq2St4HWOTY8BGygavyvB7Y8BsyWpiOFnQjMQmkm9UwtnRTQ+cEQg 7j+iDyb9BndQzgexViyx37tiNBdMu/TLi0NMeQMomPTqc981zz7R/mlfsXEdMu0noLZoZtjvWz4i q3RZaX9ONzNUVkIFDWsl7M2gwJqvieBR/8j5gjdYGeLXKiWjDBN/ACUuRxy/4tDKsxQEsSlVNziM Awc6GKk8Cr+FMDmDDqZ/GN/YmHj6ckffpvoh6BMGfAqGwZKxS2/oGUeKqNMHaOeQARmHPcSGXS1p wvAZWWppNPid6xOLDrvDAPvDip4OsmY64+epyNH52O55lxfmGQRf7MC9fj2gDIpQtzvZaSvHBYh9 DjC1F6SUPYpgMYSaAZYTkRgAg5XzatohXYCsKCQPOgJ68ikOagHlo/wTM5qMOemEC2v4DpEpeunn HCGExC+STP4bGKkGf9zw5UujOj20w3hfpkBbETVMF1NQ9m1dEAlmX91CmTAbS8CH08rEM1teeZqE 24CRmIpVJPfe9r9rTVJaQKC29EEutYrsGSsIUEx9ms8VXP8+RAptUyCd5ZxIiCEfRvL9s47haq6F FYCQerx/uwzJi5+yKNADK8HLY/2AT5UqZ9qo5dkgF+55bZyk1XbiWYXFgpgwdmLqv/e7wWBzHcE1 k5gRBGX/RmFx8cuDrcXyIfLDYmn0axWURLa49BsRuZHjJ3ozmvTSQYwRCURJCHKVgjU7pzNmTtWk rPrm3Jq6BOrMA3L1/1Ji3X9/lHRiV8uTwS9xHvGXETlg24q95zs8oWbFQcKFNuVpZcw6sjsWxmWZ yu1OQmgxMAzQ0VAK3bQUIuhOfGrTkgUKZgT2vUkMs+1NdCiuBTfsXfAZwh6DTCF3jPcah3tchJt/ g5hfIcYXyb/gIk9rOt4PerKMsoEajXewrGlAktWsFrSu3p45lVhhrCYSqJpv/HBtcpOj6KekOBId Z7upubQGUG/3r3/q1S3ruWwrIk4APCofYA3siIwV8IlzqpCK602tF4VB9chIvtNLuNRuW/vSt5lJ uM433QvoB8PRhkjdOCQq5QJxH5CIajXLc9+BgHpwMUmvVAXFKbFNKPP6Wv9erKyGiqSH74kUAvHl /tfxgcyE4aTEB7bq9WjUJlptebUF55iqCB5wU8Yfwy73/FLWXTN1H4Z4wu2tZ2EzMohedFR4KgUA uFiB/ZhFaK1hxu48Fr/AzHe5temy1f8EKhRelN+N6C886kYyTygnRzBaqNyc1/TVTwXvECsYgCjj co3Qx3VFa+xR4XgLXIrth2BdqxQ4V0OxXY2UYXIBOELjFofStAV3X2MkF5mVwb3dCEUQhQ5OTvDu clUllaEMUex14gJzZjYIAratNmYvEeKwSYirmcYPLZ9sqco+2dbm9M8iKJaMYPjfhmbax03GnUeT yuV7jCQUaYJVyvGz6Hc87rphmFnwSO0xN3wiUALulIkSGxIQJm3OFwTHOQgFUqY8e1YXxL8AI804 sGhgUcLmfrFofmoSb0Hd0Dgq3u/lk6LUSMIDs0Gh3hVd0Y9xix+MgWFBQrsLqtBbkYGLRCFBLfw+ MFKloYd0Pm6YKIsv69bjjBN93zR5A5DEvYMxkKYlqNjEzcoBh9tHti3zYGuOEANPQBMbbJzN5cCv IzpII1TqGNMxOHhblaivw8uTrJXV8sQlVej9sK/X/onCvAEJp74edTxZN6C3muumEMfAG0/JASUh /dTq0QIUYao2EJ8w93NCpHacifUw1qnnXqQSI96EwwEw4oc01V9j2gfGyUYYuLvjQI+vatNW79cB MxdF/TFDCPb5xSskrX1Zl3SYHYy4xlfZYpH70gRwRje9BAJWZJyAo9vtY+r1fDXE2uByIW8m1icz XHILK+CU5omzplR3bcmUxOSyY4Q4pJ2rAZR0lt4bC/AD1lnFq5fnpMDs+kqtbZtXcIiusne1B1PU n3PPypQaVNS2vN7N9/qVaIjH4K90ZVzUWSlsJ1/rHcv2fiJgrwEmpaWBRxAqOm1AbjkNRlBdFtuG i4okcxU8BFBW9QNGVM+ijkXb/Lvy2QiTepdKuX/bheiOMwdF2A9tV7H7PWTOFRaxgfolELWzxr8Q RNHFWjN4HUCKBvaLFfR5na+LDtcZHCxsYBW0u83yRROm2nWfFAKbNbUFUhE8ZDHr+/HJECLurRmK 55wZwcVy86aFDcyJoM24J5DtDddMkhSnnriWUQWUDTjhap6Yio+wNjnU8/uhusborrkELYRsHREZ qGNL1TIGrhYWF1zZCWg8l7uOnypW5B+TQjVLtPnSmoXM1CKas42KtyZNKbPOSaU4+oLX+6UglRVX KP1VNRDYFjFZNSopXsZ6VDLn3/4DFGS9SvM+DAAs7MNq8xrGfc0mClvAEJUi+/qQ25Ndb52b2wLM 4R0WUKpHJ5TLutxMmTOPOWxgj9BN9QbGbnSqDKTFLQYxdNVg2e1iOewe/ErFaFobdVQl8ktGDOnq qwv2+KTjRBETgsnES7HWcliyLJd9XalZk+XpPvDWiczxRgEbXyxx1CVLrQp6kXl2QRXAdzV3NgW7 wJ1lP/eyymHDDtO44g0zYcFYtlKnWeRIh10wgXJ4C48STZydBijfbfAzTQ3yva5TOROSRYLKaCEC mCqAEOsQ0pt8757s28lc3LYZVIek2givKNuO/TuWlqjykJcAvgRcZaWFdiuUbkr0lHkOPqMr/5dV XAhjUdxH9l3IZ4LLgkiY0XH5rQ4mBXyjqIY97Ifbeo7Msbq47PahFl+xCSMGmYZUOXgZ8SLaaPgj T0SAxxyhj+4zh8/NH1te++/3txD6UpulwTyPLu9k8GbxonyqXHwFZm0tWtF5wqbgOPuztpw/fCZV HHVeOLROMiSfzjdmQA5A/8LfwnQo+c4s8mAbLvj8bnXayv9lgXaVFVs39AABZNWphAVVTi1Ssxtv tzyXRKY2a6wFqk5Gq8bqithhw6bh8RcSbUEiFIxIwjVAEEoWVO+7IN4qXtENvnREUyKp5hK2IgJT SbOe7kpErBHsmEzb0R2Jfpd2RfBDqg/YuTERHrLVClQhHMUhX/catIg9OrJVPXhN9S9TjrnUTcWL OK/9sNsByd+kBCyK3y3J0YC+8f5vaPmUK3uPXrsHJZA816NLQUgSjmEqfU0lmtcB1p+sZ2xzRLu5 0MzqULTe1HVtH77FyHhGIeQIQPOca+0bYftc1k+0dj4fMC4mLdTV0rBoY3is4dgVvo+N1YihNjgI UiRif7e5ASXsingMPGhPSJoaLvetZ2i0BPQ4d4pRA9ArL/XmTi9E0Zhll35YOAYKbc6JEpUDDvQJ G/EEg8IYZfNmMTPborojspILJQEajTMSIipK02y58TBPv0vU4ww9gZvcccZ7nbhprTrjJ39JrZ/K WOZNaA7qhpNsQtWgJOgQcS7xF7rhUcApBcm2bWStcOpkrrbTeU9y1QXp7JU//bGPV0OV2SQaPz4v 5NyIEMymFe4S9VM0yGLZXgmLz2/hIJu9gC1jjBsOP/EKuuXhjyEVXzqPKxG/2y6C64kC1ib3DUxq zFWR4U4Av5Qpn/uHoroyMHHQLYbNBQZ530aHvQnevHriSwPThIb+f3p0X4J6X4zR+1Ii3U//ag7x +L26RLg1CKYzm3V/Q1SMlar9ZCBOw6+9UDik3mL+jtLMLj6nxNWI5jBLrvALCVhkExdDYvuzvbyc MuMejZl1xU0cRw6uvqY/dUS75AW/pU1uaQX+K35z1qf9iq/SXLqMmy+ObOyTS+9zW3ry5u17ekRq bYpTWUZiVA2J0NPRJ9P27/qpSdUnZl4Ubn3fW2wg510x5QVJWjK+aNsl+uVg8id+4E1HtFzbumqV xGFqlVSoJ4WiYqPcpulp+aMGJkzcxNPXKbMiqw5+TKrgO9O3/FC1ToLN95ztwHHrOQ7xaQJOotdk Mg0eXdXPpymFBN5fSPrvAE+DmNbjnSDuttdOcxDcLTYUeX/ICqE8Ze+uRbrs7+ElHYdoAsgDgCXH nmrhoz74bAc29AMsuN1ExUFzuddc88ePGgyNe9N4bXT8Ao2/0lKP2hWjwnS22kcPS+WEJheFpbMG MnkMlwdozd47DSA7oEhWCcI/Ju/qBkfHOyYvzSiswl0ImWxwVs762UT7HSsjOvInsMGMfaKJ4x5d v12jVkdFPjdQ94D8JkY58cQOh0JbSlH1uxJ61oI3aEVbld1lCMnfSPsVnqUSBRja9GXezX3q7v33 MO79D5e3neeyoq3XhgpkDohUCem5/b3H7IHUWruhAHSbein98Lv2RZG7BmcEnjVyXaZ8Zz+a7fl8 3PwIDzD+26XYToBhEIwvcJPyq+WUafx1O6WE4AA9HeCa5TVaBt6ytt4ojcBTnZDmx5VpC9CNCQa3 0PJi27HQpJ8NnDMEV5LTy9RUYVUCMT1dvxGqFkHd2cXtJ27hPeKtlGiPA2fFbJXCES7oKPNIUOw8 kp/0xWOEw++MHpsBxQX/KtEPzHFKUyee4G9HoFQJZlc/WGv/6ZPntGba7qEADwggmpogk7+193bM nqg7rBdWOTEfC7TADPf65GJUfgVX/omVtiTZbFtWYv8AhgNjM/U0iLdE2786vrkU0vKHe9STjPun xOwsTHtbnBbPOMSp/anho1eUVz1aKRL81gLLyd7sFMirbDcS2G1hhmuIgVazueWkQHjy+/r0c4Op Qz2nP3aBXTMzZvklf3Oa7YUKvZeYzyInfucxWsUGNk/egnlJEIes3lze4fSGmCOPGcNFiJ8h/K8a 3dmmgzmjSvFB98zbrH+X5RsQ27HCVn1AvFxM39pOJu7bkeZcgvtKoj4iRs+qSGO+Fj+k5K++Kycn Wk69T1SWqT9O55SfQT9TIjZINa6HNW/+6WW2FALfYI849I5ywdP9WsFuwSmS6q17XKUgOTIhybAn Jjw3uOIkOrnjvbQoWMBAwIak64wUJk+SqiuZzOIjWSwU470uIG4wssnOuMUmM1WdqKNfxWVMgPjM ytznqX5xvXrM7aoaWnFuwpAMfmxY3KsJqX5k2UoUyF7yrTpUEsOOd83/cZvb0ErKzBD5d3UeqCdn evKLaiL3VME8V9RHONTELzwPm87oI64gFSggQPPjBwTlR+0sWaTK8DrUeJm21kAC4DMjw/hkeJmz vQw6j1eyFiBBMTigWY8V9zAkQp/nUzpToJGE456v2yBdT8t+Afpm6h3/sQjELf6o3Rwn5Sd2ms7w 0g21Lt6QTRWkebQuP9Y8kO4DH3yjY70sJefIIATKPabCkL1KSTMQdxvEax/J/Ft5aYyNWg34DuUK N9RMdfOI8e1iayTzpqasHQ3MYMouaRIsotWzL0oVb1bd7foxSAUu0QrdQ8lJJ9FJdoR+bNxvThvo foVoLmB1w5s5lEBGD2MihmHUKIpjEBN8qsxeAo6ZMEwTZeV+7+oiT3qyiMeTaWz0sL247V9KaPzu ld32gyCeGEhuY4ilL8o4ScTo39/kbc+SJJG63D1P+lUW//t6x8HurSKTIOzepk3pI86C8mPf8P0p /LQlWtlVbm0Kp1tLfNlYAfywIjOdVvakwaUX6y/rSwxgB8qnMp6bQMIzDkRvYLZy+cCFdMVap5B3 vJ82kmFe+3f/3x4a3BH+Qf9SlyfhNtS1xMM0DStzRgO2MmZ5l0XVYl/7VEPy7slGUFFeqSV90755 PjMroodFTnE2zgBZDeut2ODguQXs+vcyi2xVtA3m0uwqGuSNfg1oHgW1p61peOXsc3AF3YRkDhf6 WDtguJ66ykQ60SAm5LLDAM7bsauDiSaGsLHPhBcmLv21bcvymrekCSfY71NI6/OWQWaFgQ1S4H3h +3r7U6EyuGXC3OqYUmvTQjGSA/aNIk2uyksKmPlEWvpuf6ey8wbU7X4M37/mVvwwr1XyIeLNLX1o jy6uzZaR1kxZ2vcMAp6q01wtFHrk9QS9dsA1X9IUT9Po5f+L0a6OFSgODgXO15dkNkqdHDfmbuIn 26r38jJuzxzN4LWHqG1UEzNNDOAKmLXPJQcTlp0k9ol6XhGcdpCIIT199HPJ1Ydt+VP8oZdvM3iZ d5EpBqMLj4VGcu/wZQOM788/s7Ve5zxWQl3tCoiwsUfIupuvS7xHj7AZKQ2PZ0doZjl39sHQLAdw auFzMoG+cbkgYAr97RlOl3oWL9E7TndnJWnWp6SsM4gQvzCtg+sGDyfl3JUPdAFRVUgunu6A/1pA 19iwxpgS4UB9F8UWCLt99P7p11La6kkCuStymhN/kZLJLwhGrePWghaGhCqzQmjUtDyt4qTU/Lcj aakRx2Cwr4A3lVcqhXFwroquiWa1ifGlvkrRB2A2v+iDylxBMUE9OELUEBP1/Q0EsbW3Sl5nqgW5 HdNlUxNHSY/xYCfXrILv3NgMwphqPIHxLOqSylg8fzcHGP9j0Y0otnGyC0EPFhyjHztODa5iwupc 13aqfTttfxN7ogTLClmO32SkcdmdqFi5Xgf9kA8w6yZex+27Q4bmR1z/5ZCqvq4YcHwRqr3aSrJu n1JZmNv4zr6vSEk+vaI69fJfFfCweRdmaV7FLvNke29e4GNYmoem2Uefu1Fr8otwRELYFNm0+gRZ A758p5JlCQKnv9o8u1z/05WfiHw4G7IsTSqM7ojKuGRBt9/xS4eaeeSfOOQBGS2UK8eZD9L0sPHX JegLqLnTlL0NifQdiHf1gS1hWYIGAwPiduIST5TYaSRwGAkgrq7sDj0T5jhvejHh4NdSikLm0O6U X5MH4rQMQxBPVyQxLhreEFPq4zE3cvQ0zyYOLKzuPjJWPiSnt29YGRwM9yXtMu3A/Wgi/xgDRuNT wTTtloLcZVa54l4YfrYaY77YgcnZKaTrjffBt6G1S+q1RyB+/n6S5ef/ZYQ+ylH3HRPwt8XG65Ih Gv/vZvtJqzBZs4Sg/+Fh3yR33J+jyshZIsRvVjPPGa9+/1QTPX6+/5Ncxniod5B6yd+4hPw56L05 hrmCv6LiCcx8frOwdswcHvYOh/65OvDNhQl1aS1WO35yTDNg7ImnWLwQn9fJ7k7eSyvVgcnZme5f QppFyEHsyKj6lfzSgPsGthItAt2WEAZxjOoubDehAHhcaL+j90u4aVcxVdWt/V2ErQSZTeprxNF0 AWe/lgI6PqQ9SxGqhmerNSx7T1uWUfKVj2tbWkPgr0gRAwugGacR7WkHpSYZARmjhRc1JFA5v6Mf GHidl7tQzPjlkJHW79TaVD47bkAvVO9Sften7Bt01hpR0XyonDg1ZSgtTawOzwKUHqUFZDZsznsh cBcrTwWbyqGzYPQuvf24o2KuE+dQ+scmvlLieUXMyxskasINifTCm7SuCpIaGbb6IoZR0zxe2Ald 6ehn5Z9axToVUAXidOFBCQuAM6PIoR/Hr/8i0dIfJyUGBJRsyOelHUKj9zScYRDo298aTQQBcqmX dvYSvVG1R33CenZsRL5VNl5VgLny6EOscBVbs2bsMATioox7ab/+UsrrQzk6Bq302G/ug0guZB4Z KYVlhX0DjHuswbgC30lyT3NS9U38nPlJzFqXX5PsewN2GCn/G8ud5roMzBISnuiJ3+p8gtoIMFtn m2MJWZL94VbceQ5oXgIvMa6AyQArsq9WKCaA//3nqXyxSqWtFE/XHuZzsZaHAIS4zu9kvUl65Gri 1mHdGPyYS9tCHd1AbrIv3tTyg7S/gX6Wjl07bi4KP71YrR0czmyDolI0MUQgIb1zwgDIOFx/6VJr 6taMoY0J+LDFzLi3Al9ZlbGiNNSft3nUrVbOu6MOXtdD0/Ms+GN0Yh4peP6/E/nPnFgo2QPGLZTk qD7Stso7dUNWEJQJy5E3VLDTAYhpLrG+8vPm6d0pFi9zJ6IieNifsQ1ZmRlEy9Inil2oHv+XoEOZ MjZwjIQns4HDqxMynQx3Tlm/N9JKAIqMN0+K+oJqUtpRGYIgbAEcdLnYTl5d1RW2hSDVJ/9KXbHQ oC5IdYKZtuwrAKO+Fg4D+uTaGcxx2bk6i+do4GAAR7pV6+sQU0NDvwZkQApp256iNf0QDLOfGw53 g7LqEqBUcPjvC7h2GFZ9canZr46mdJa205VRuDaWsLxSmlSPGHYSJFpqwV4zm7YbVhe5qiSDSpnr uMliprNSmrJ8Zl/IxIp+FKABdNpMrUKnWOhXwOTFrujmPoPzgf+Xlo6Dsku8iRRRllOG1y/rGl8i cua38rSWDCPQ5PGBTOFjpXmq/HN+yGFGKjoMzElptpC2LOyuXO5rYNeI8i4e2Ev28RbJZYPH55Uh b/gOzDZgezGfcpuPgPVwevAIO+RacZ2wXmwI4AcRfedreA8ElzYFadvTIwGiafKH8pXkDyrAIMpC MW4x7gLnxD2WoOsDPv1ifEHLKErMMl0IqU3sZVhPHyoVsB8nOnL035W312SoyGvLwCAcG3ZtRs+b BlWnznQjKrTJNka61cWl2KITXZI1bXVGYnJ6Ehe0lkc6UqI9tULwr05mmsLo4snwnXaz4TJbltsE wo+sSfNcMRTU8CIrcpoYP/p2i9AikzOoUCWUgXBgOr8lSprybI6Br7/XaDmaf6wFfuYNaNiruTHn MO1Z7XrrsWGPMu22Ega4leMKdq+/15HbhPb170v0lXxdMO0bReq6ENabMkul+JAphpgsxizZCdX9 OYzHvgcyvCFMqMWWr8lxRIfBem9rNH/XRiIR5wBXePdXPyCxe7rTIyK7Esanb7kgbzy4tznsGpFW DPaWU8XCD/I5qyKFREEO/xgjyFYcciWuSa01MvuuNtAQmLnuJwHqJ4KSp2Tmkb1ip2WpDjc3RqFW mCxjaLGHrgf4BJ8jURsZT4cTlRgwbV9Og+URKnHlDUT6oYC+88PCSvx6DoL8qpEEXQ4uRCYFFC1R Hoo1kjQXfv/sF7olEDr27VxVVp0Xm6vau6awLdiNBlCeCBOb6RgTS/EHnUGm6Z0QPrBtgdpq6BMv iS1Ok2lFPO2cy21v504nXSruWMJWP9o6ee5cSeCr5UWgFAXcok5MDmomf5xGFNbySdAAMPW9Xi5d bI4i5a+ZNuYei8OA5VVKT7RN8n+yvQELCKfaKsdMoe/VetBw+Vc4tal6MIn52s/+cIY+BrFjpvg4 UgZe+6/m6JgvX+w0/zfbaHfrFIVfk5wE2RJngk2y+bPq9Qcx9m1K3GNi1q8YyTGx1b8deNti18ra WeThQJJslik88D5p7YTtprPvg+jZUnU50Q8nLcFj52GNhUzVNWjNZ4RAwaePiGgFmp+T7v2KW75y e8mhw9rB3qAAyEcL1rTEIgrj4t0bym9JQHOeZEdWl/yCMD00Xe2Dr3kOO56/8YNWf604JKqEhwug qIptUqKqjZC/jFImNouIpC1qV4N5yfSwgB3zs9QtTM1EfZrduA0WjED/n8r9/QQ8lOUADlk3d7lO lQsi9FTkQ8QjyOVacm3Yf+AgayndXjB5ntPevsbfpJYDnJZc49/Bc0zXgezimy/b4xezEHjf0iWn Vub5e9/u1lMjy+HB+Ex4Re9RPj2I+smkrv5L9dqlrOUJt1IXkocraEFMtXcdtRbEbkwKuywNHwEa bIpQ07Zb0Rb6t2Bu8cGd5jVwX+j+/noRlHbGVc8QHTv8fODQZB3eqOL7TqYs5vAQSseInO7yML3M XagRn1JHUUjfftUHSyE612MPtmWjF/g3m8L9QwPpKJw3wMLw3nPwjU8XkOw4bRv3/JCEBRszx7BK BfdslgqegdtRsAeMRzwxsoxg8VGdvUn5vQ+cLITkc3uKePC1NxtwArhzd4Hc8bvO9vFiWj3/gMcX lYeVnd107MqIdWkBoKW+3R4TPxjWJm1oXsxE7hhxV9utkW93MJbXwIzIJl1Db5sElaHG6uJRVhmV 2riXDvHxsI4drsvWelR4zTsmwlmp5rxwe4uDjuYXN8vb6BBX4shqzzdRtDVJg0gjjEl4ntGpFyvy TYWFk4RnYgaS5WPXxzQK7vN1aYKpwrGt8Mk8QH9FhkSBWJRkVkKlEeP8ylpNEi8QF5MwYrc9kpLh TLrdFTvmi850PBFizIjCzIe8JTiQSFev2g35zXIhaOCc6J3pDJpcqkVgHbqgwS9GLCCaR5r42kD0 F0eRcT/8hX5HDzWMfF0029sD07HpNXCiW6xf6q4ymOSpvzmaHeKgdWLILhwWw9qot/v/DLw5B5Fg pEcvlxFCVQU0BAWF77ngo7E6eqQJ/heIWwxFCtNv1XotMp0oEwRc8nWALsJT/NBtGuecBalDZqvd U5jvxJZoJ0PSXFNUR1smkRiP+LDCuvpMspJRrOIIMy+vWdggxjSKTpRxNy0pzklIginNr4XKfVeQ 04pOl6W93AO7688nO77Ohce3B9v4XH+VgBs6cW2ef0hB9M0qFnkBrfZO3hkn1qf+iX8xN3IwFbDx MP1OhK0as+cANbXV/W6gVgH5KpFZFtk0FH9Aknvtxe/HHFVi6a5jnr4kxCJRAsxecW5QyWRR1BjY LzFWYXa+h7ZznyxaMjsiYtpiJy0T/xwOqgjDmnAOsM+vV22YetC+gEfu2ywQ5ra/zYWCB2vF39Q2 sLKW7IPbgf1vXe+dMB0aLgFUcqFVef6B/KOmC9VxyElX8i9+Wgz06cN1CI8Omvy2tXokKl7A6Aub 9OJ/6ZIPUwj4mNWYwNTzZEvhjc2a1Yz988iZf5Ev9orRLd9IIc4hZOFikRowu32Z5U/i9b7KtlVj wyQ1UxZKVwSAPdu1DipdSzhmBNjXgu3s4MQ43jqXRuOSD31d069K4hcvFDAyQbP89asFG1WeM+cf ZDOGDkDfGwPgaQ1zJzpy+g+U8ESATs4df+aEY5OEpVkVu4OKnbeJ2BL3q/W+Pohs5TRZc91v7sTu fb6L+yXXvOOkxOeqSdPwAROZOVGc2c6A/sfDSfwxzky+vAJFtv7BtTLTULLOUz4sn8DKCl/i7wZZ JaUuJAbN3pLzQctPeeNibGeQNslSJbvwRx/uSOAqtrC3HUyDQHOywjyDbCoUlxJ69aEqvrX3DVuO w5dk8XtAEOkVAwZWv3njTWeFNS3+8ZTHacBD8I3p6CkFLT7E4/yhZGwdS3mtonXUqS0ItPh3BgdJ sNE7KTfKLVCAM0Tagda35FMyTy3eGdlSWl8Q7uH1/RG2RwVgicVA1lh5A2sdE5LH8w2QnAmt5NIz BvnJT8aW1ek7gXQlJxZJg6SYaTJBvMacVXsyLwKJP4frqhAdslxOt70I6qsjY0ngKWQrHbf3/Csm Js1W72EzCOrsA/jejAqIYnxXIwYXQZnMv9e1OF0HnOdzvKuwM6H8a2SimJsz5+sYmC10vRGAKxI+ /PSGrue+aB0NJqUrC9c4ZzMIjUoFeZhCi3kH0aU5sshgPsDW66UtCJIy/J7DnjL0CdFMaaBsKrqL 5qXmBXp3Do1qcsD3BFwsV/fWH21yVUsdIxAFfRWuov7DF69I0tC9prx3RmsC6mnSX4PDKC09uwjx zbQjE0gKbLClLVhOIAyhUOMveWFNPdoAglhYvsqNz5b7kVMYDzIHX/0D1yIEjwYiGdo9EZZWbBiv P9IgfcuvBRxOdq51f6Ig16L99BPzjobIdc38KLJ9PA8dFHoY1WSfqWy3usCdXpGJ2OIgA1YeboFs I/BuuesTuk9vs3VKzIO0kyrUQLKqpQ9cAj34zyeMrC0stnIjgfgSkizwbRLnPhj2rGJCcF9DQZd3 Dnbw7SGFDbotctj8nSVFnDOnMRHmy+R3m/txvGzJB//zokCIq4giUxIFWoiCDXE11Eg1q62T3KQz N7W1Cyd136c864oiKtrACpQB+n6M6YGFlCSshhy3GmubemR5I5sGyf5dSmFyGmEQinLmsZFGg1zE FNfDoyO+sK3tERV1XBeza6z/cqc+5VRqZ8BjfcMK+n9TXyi3ZMvESkmpyFo3+n6R6IM+iZKeAbNn ZhRhlZin994YVJQHp5G+sC9sCZUxhOmj6K6BM21JzUzArDxmdDHuiUBAkVN0fdU2Ir/Y1ODyAGAq rciJ3pb0DK1MW/xmuKRqpwCmjE486MSG05MWRmpDvDpa+yF9HZHQKOjk6xmAO3fhnBBDlgjlan+X n+G/xTbYCiBILyLYtngPkMrR2dH3CHgBWySgk/Ue1hR5OHDU5ALVxtKacy6TEklldj3KAdQrCQk0 JwFwlMeze3OdmlmVt087rs//JtVDL5RxP0jQdndJzUenHACa8znx9EVsiNb76TbMc3GS/am+tayy UiXpbTO56r1mz6tRJLjUSTuQHctlkksIJ8832ajvykiZ1Nxi+x7JFB/mDVJqlv3QpaYndAcpBGJ1 QrEXorjKlYnNYy2Eg95y+XhGcWn/r7aNEr7E5URStPvYoXeeHnLZszJ4wTb4+TGtMYg3nvXaDPPs yBML5gKgIZZNuzjCErjEqDPGBTOW/kwoYroDd0ep+iUxbKdlbK/nDNGtEoYX4IvkSR7DVes2dKq1 7/zhTGW0DA7f1mZEQx7C4DgQOwqliDtJsbc6AQV+AxYqAfO5YTsZ1+UaR/V45KwUZ1iju7YG82bU i4ex8rqFUrEVWoofVZm66LRkousde9wtTyqJEvKz69RYKdFyxn0aJ49H9aekfUQ6dtscZ5ZAJgJw F2EhfSnJUmHba4MND1A1S1mxzG1S+1XX9GGlfri4fmGp4PubwTWNe5bAqfz2hr8meKmVROBbn5Q8 KkIibBkIOhgQWsabHl9v9lm763pz+v1tnfvoC2pdkLD8AhqYx7Dd45ZrtpHRzQQLxVUQ6ytbzjSB 0OiiO0tCN7aXpZJngek0SGWqMsbqRz2c8ya2LGooPw+Rk8RqSSsHuEsA5gMZdFGtEYbYrx/8QwVW v51A9LPu9u3+JqfEFybZcKiKGf4FDo2R9MA+MCr1Kpnlo1nhCjh9A/LWDxeoLHHzA96fV+h7uFBe FGboBbSa8O0IriXmi8seAOW+UqlRusMmJLwCfyhWyXP63XbuFqXJTgpX5da1VjWO1960eFF9rypQ srIF/u/d/zNGXQWS9LrAcNlVROT8wwxLKXUTtR3oi5kXGasT576H8Kwi8+8wnkRm2CFjFdvd9p6R cXMUHZlC7UXUtk9RBnkFVJeluP9OzRmOl4MtpTvomQjuhc8FE9EtEcAVtF0HtDicQDrwuR21W5nu lDFLNKv5eH3MEllaVYGBM6udQ6zytMwGhhp5YRn24NHBBOAUaeKpCLvXOsyymQxUu0w8N7JXL8/6 fbJ4+fGy9Hse6tOA7PfuBizapn2MUcgmDaMKwnAuWP4mPpRpuaDxiJ19xhDghM5Xm26p0bXZFgg9 fKxK3mLg83RUE5PKYGgBPjELVsWSBAdMmNSOfycGROAnZO4cqGoo8Dhtiev5M1x+8vdd4xkpeLjF 4fp01gsTwlsJgLQLFdinH1R9FYSzsjVBJywNzqfY3ocodMexKvJFEUIRv5rW1RENvC/Bwt73l6Zd vTdedyscP8xoTV6GLWb15h6Vpu9wWSir1bsMQpJyLTRCSUgplmZeKANcR598WCPcxGBQnHmS2zwQ cg6A9DWUYnEF8m1NkPERbMUBNPAh88b+F1eA1ZSrWsEKVvVb6CPCbeGadCw4PI3fUbNmRolAjQl8 3Bmj9IuVBQKmty0Ylm/BeZK3v6O5A3MmTZPo7NbnsyPXxHUgRO7F2lxyWfkz8/WTQFHgDxq4nUKu XG2BjxyX+JY+MOP0I3PqVM5G6hxapIo2xWJ9+ql1VOHMQJLEpBdx934McdtUy/eTB/6DqZOgzN3h 9KXTVWKEpALDbykGki85FKfsGFng4AO8nlu1sEvMqBxnl/z/5zh5w5TZnN+/sdcIcYPFaG3MRH2x 3cYYqZNczDovPUB6aZNDnmA3yNG8jzkCDLqNqAVtd8fpFEa2WnuVpcCiGW3LULtOw4ogDryda5la YIwokkwLE/hGxe3uaJRPuDh6aT6pJbLiGiNkOf3Y9YnUY3zKfF9777y/9VSoNu5rurYf5oxVtEiF hx2CqaJJCoyyTdgX/j04t5Ij22XGUwSAP6VATUAEJ7ZuQKi7xpMh3oOigKm72QDTX5GvxIRRc6Ju P5LM4xmEmPTiPeC9w6wY12BhZwUAglWG5P/DxiL9ZyLVA/F2s/k7AuG0VDG1Zq+zQsUbGUSI3FmM Q7YF+rpelSQ0ors8PM4dsa0YCuC8jun5YGEGo0eAS/dZzC1CCvMLAtOmSKnh0I230cA/zj7v7W8p PE/HioXKb43sFq+3wXUDjtKdiNysHv5V7sI6b5uB0pIc0tr7B7ZPcLabsbRQTGzKdpSnfYaU9acW fzsbt37tycvQ/WvHjFHryoL8C8XcexPvE0Fv4JLEt6Nlw71bO6/f2Udwhl2KVhZ69e7+2uOuGVZg 7aX6+5+PNMO6G4ctO/SwndxSvzJfm5b9b++ofhYrokN+BXvPeSyV6AFylbivmaqzZ0L7SlTovnkP Qi0NTBtzV7OWSI4m23Lid9egHtqEp8Y7B7vGKG+xeIgVDOecPdqxH2AmgKNqhKi2buTOsdWNqqwR RClBAM134mzc/YO9s278csqp/hkFp7Jr37xt8SFn86NB9LH/pehoTqkIBgEW+DCd9gkOSiQrA+Nf i4DorQzpXGBMPJ7rJ8fjRC+47Ym4//kiH1a/i6TxEAO85I2VNCSbMr107x1W7GBvABt3hfpR4o4a /FkMtpggLRPz4jydmUoP6Kuvs/BdTUwgGbS4erPhJR1WKjKJzZa7PrBf2rcnQCunrrZostk3N0bj kOAXmmE4p37ZE2r2wpZsrl+kG0D/4/9aSbSwq2WbnKVbpnC5sS5pO0skeEhBzJ5hGMke6YkvWtRb 6OO0AioPZsv8t1gFWnyccFJpgyw+meUFoLz6IcY64h3wUVxX4LwQ10DSRbsoZN0OeZw+7o1Gi75I gfK0hFzgEfHxt+tNUSO+9Eh9vuRbtFmnhJs5dlcY9FY5FNY0SlrKq08VI77JfzqCbEK6kin2ui2W i8IuUBqPAY1ZwDYt/o39NIIP1sYjYk5LCpH5APPQzTobzoXBcvyRWDhanYXlJ6i5vRMXJUOqMDh/ DPgNpVJEtxYAvV+plfK7BWNMhY1M1zFsSoEBVkSpaVj6EpmWimaZqaIe7TxCDvB0Fdtsx635ZS/p rWflsVtuAgtouJYB43MhzzGEZW9ce/ZW0xD57zqtNKvhB2aIo1pDgQZGKwmqwn8YHDtOD7WeZXXW qC9YjVCPqumCFQxFN8NPVYzX5MvLnFuaY3rAD+vXz1VsjkwbWARJ6sQCZzIlQEYRtRbGAuCAMHFq Fs078pNzn7JwfgGGqGUECNp8HzxE5zDkLg6UK7hOCOYhMlZm6EPurLmNr8tOOMGiQgvQHU0oe6Hn end5w0IDB//GrGmz4yMECeoSRmwUUtCRXTe2fbnC5cqI4ZQBl7VXs/CiuKpaKf0Pc7Bf+BpJ8WVw 6CC2PTYylGSfVbjMzd45GO7TkTNJXZzXCh1LQEjcFZ/zAPDmxa4EsoK8lmh6URelseis13+5QWRy mgCcaebcXtbND/5K1kdI7IZwWmA/27pb2xNugPLZVSl1EE+G+aaTuhEyxv9+P6e4HWZcm1VhufBp ogLghFd5lFrBL0xNplwLVrus4uQiJe8AJBwNLpJLly3HN3yctZ8cPa5djwykNzR/B8B/2686eVbK dwd2WoTRprHz083oFV/AqZstLN+QoOSA8WRRNSEMfcXTjqHNbbsquUhMkveP1KDafSWAOeUPx2HA XHz/lntVjoHXw8ddXJMXXsKLaclH1rZkydqElwwrlKIwKAZSupJIBod2ngX6jGU583v6a8JPOCy6 8YXfaGosRbjCl+pfMfVjQJ3GJC6+L1dXVTkUFch7017GRC3V9UAmO1wyslowUaN82T4etJNjhFsb NwLN+1jmuJjZxx5zDaeRVhBSkkyxtHcQyy8JMKysig/Q75HkGs1AnI8ktRE1K/lNzTYpaH7HlNkD l94PlVWZCrUHOXO+rsu7vZgbmBsjmFeDaWBsrpLP6aPKQ3NK1z2fALBLbYx3vkQBjuFdyO7CbP0n 6zDi8zCBpJSyM3mD3Xg2kSSKHVuM4hqiSzRawl/vxR8kzOyvafizYjCM8r3iWCgFk7e17bjn38kw wSiZP1nyH6mz3NEOi5dt9RYzz1cngciNfb51gfD2YAnr62cbH2nZ5yOsYQl4wAx34sxw2wSVjxW2 I9SueaM8yMtZtnJJwGs0nks68p8jF7navfnBfp4iHeq3GQk/HH7TyX9PfIYjvcsEnQPnNdInd4uN zzV/XUY4QFNI5hyau/4xmHktOBZo4fd1B4f/7GgytQizdB0buE/NtACJU6bM31Yb7Wqip3E6WHOp GUI3MaDq9WDryLX1f1nzd5uubnEx1Auu5iPk9lLXOhGs9xyqyBfgQND9uy/SYJ/jzcR7nEFPyeYU BP/hiWiiJ/9nQBQOwR/lbaSKc8QhFCA5t/aowEqfZQW3DOSIPNJn7RxggXE9EXmeU+9F2HDD/1Sw bEtKT7McQLfnV7HtarhPFzYCbffNjFevfkQg12MZkb2d/7MxuAi2ZMSvzjr53WFDRfwxMknqCaKm 0HCYzlsWg4xY0hcVNBfu3N47rlzJnv6zbioBTAkJ9XuJ3MajaOaKN41hpSPSvesbq7lO4JdsOMhb xmSCAi4RIbHGoeqIhArbtGRjq5oZ0OTj0qqV3QdBIflnQyjWPFrAsX/fLR13RKGnHc2ocPsK92S7 FUoiK7wkx+8k+Y/epb2pqat3hHIu5jYBIXJNpg2NczxFFzSDLvf1FjVWg+MADYTK3Dv/2AAqvkjk bn/uwNSAVsNTUBSJ3PZOTZgytfdg3CMzXFT6wXBfF31U5+TpD7kRGZWMFDMCcPc8usGenyAEUW1u CWvpPOzQGU9KHXRDY8gX8+PCvoLVyq+LpPhfSiVsRQptvPG07v+mSbUTBgNUHihOzHjVatxF3USx BC2ezOzqfGX/FLXN+qeHwu/q9oZSaoxoLg/7LUdIJ53xXpgA/SM83aQ8csG3+lVaaELHJRty5gGj kBQenQKJfmOPT22YMj+PWshh8/Q6KpaQbOytFQnjK+/iAXzvW+NHD44u6Rzw4H4rhtoNuW++u5kH amNoesZb+L6GNaLNGIl+cD7bC4kLO4xzgjIOBDlwIHNjq3NLzV1mz5QfBpiJI+jC8Ac02PO89fTK RrwoJ/XhxNI8NM7YiN9PPTW7AjekKoTMm5B99EUdNEe1mBey+c25h0GnxPRdlXrlU/lJPQMra+pY EWT1E2J7vBg46vRHL6aJ+94c7C6U1/sONx0ya26Nu12TJmkhW98m7twplHnlfJIgytgr5mrK1L+m Ki9ffCNRyvR2MvBd6wnTtW7R/eJXgqjsXaESn+oSZn9sbsEBtuDPMNVgZzXYZWymD2/Sp/BQ2n51 VpDn0fW0/p4flOra+x3wX9QuXEsoJWQfh6hmcwK1pEkeqX1qAiwyDO8lbrvEJwLoazYyY+7TnMs0 gvtO+37vwjH1MBLUFHLNu/GzHS7Dom44rVhUm7NNmpi3g3AS5UVdmIc67X4bVn11xP1WxGXKhRR2 /D10LSUR6DnV6efOKofOXmwq0j9vDweoaM7C/xJCfDT8ZMlrAp1FGTSEezmfGPQuhMGcfSZp59ij gQN/dN+gDLAv+M3DVtcOY9bEbIsfIhwBJV6++hlqPzI4F9xJPWHVqmXzLvlqrrXQ2ggP6aMz44f7 HfzHFFmWTErilXUVRYiCcIUh99k2YfemsTNXJ/YtUOFfJffiXBjDcM/1SJm2GrsZVV0px371hh7W gwpqealq7Secqwce6rB5I+hQXY/Y8sVxG9OvhOk50/rjUR145VLNgVckBh702DW3rtbVDcVMrZNc t/WkLU80Is+df0FpXvlP+ZlDOqkF46+ZcZ0WbObje2no0eLhsdwR6CTGRyBjR/uR28zE5JOVTQFA Ghwa7/O8dy6ac4Q71vyjU0FgMLCqkYMJJeu9aEsjsgIoDqMi/7lM2aV1qO4Wm5NIEJjzq6iaLqRk jHlHmva0y8GjkWrwnwDEeGps/eREjI8Qklu364A/g6cne3zGeQr176x/hXXu5keqx4YJ/N8O5YgC o4zhZWfH2A0XHlmzgLL0LKGIKLV72sv/ptxnGIKs2GRLMPBF6imN8EPpv0NhaS7wXUoiYmL8iYXw ii0zTikLrhVKZTLx+hAA7IfbrHEo+YuRQNKjLEp685CIMMYuIHHtX2boiW56Bx1HAaTWS2+75Oem lO3tJYO1tDR8aVCXLS3lcWK2Zkn4EOa38BQpkiAGdGfcWZnFb1Ck7kz8QC3m6gRIQIw8aTDnmhay 7R5wgYFr+2wNhtFj/d7kUZ9d264TJ4SW8CJDRjv4PsviYFjZa63N8k0QBnIRvTjdy4IKtlshob5n KL4T0nbwq2qmjHauQ0QOY90W2y6RUdWYDsLqLFAEfZPcVzz23RQcOaLkQP5awb1asiUOWdDYOLm+ Ssw5ICaUoJlLi0KLkX4y+/h8z4dFu7PDtOVQunZjyQLPnR2wPlhOVLV++G8TfxPFeAnRlgXZnHBG haeXUuDy6yPzxiQq+Ufdds+RmaZvh6z7HvNS8S33qQQ6ageXS98UwRyswl8RbYZ+HZ6LkcCL0Omv QbggxLU8VkyDYzOPadGoTqelj9EvIz89DufzlMcMjp7nM8hJRb0CcsUpu+p3ZNP6ex7mS8FRdPmB 46gjDvZD6EBVmJcp9yl56GwrwwAsgoDwwgjdByoguIj3g8ovUbIkKnGzWMUNBssFJg/3oTbOvpxS mqS2QiKd3qsIKN6iJJxNTQcQp1QXrdSExQtrohplVBfPT3dKot8Pl276ypFT9lauAYm+O1Cdqvp0 +QdQCmmyVFZ2ni4/gG5NEqNKwdf7+TJi0ujDgSqusxFLlDXDoOEAdLOlzNIIU2Hmw44i62sNxpET eE17zVPGoy/pBgEZOK7/hNciWZ5oC1DQAph+yvdzED8r/qiBhsIbjXSZR/VFGyAE84nqeA+WfMzO W90ApPxNuWLZTGRdLNa/yfJi1VNh4r2w3oilw7kj2Q5Oa28L4Cdz4w/UxAdKqHI+HiYBLLi9inXO PBemM+XcxM61jpqIlZdn4WXchMjAKhik4oFEU1IAD6Ds4aB/WZTZEtA6nKmr61RJO87ou2HR010f 0+T753IKrfSzU4zz4mN1PGfl6pTAgxJhqvnARONLCTwwyagDQ/DQ3n3iGDqlZtp7eJirYKupK8II Mg6hVBMvA2OwK15wXd9llFfszX1zupBxxfPjUJXKFaPiip7rvineK1bgMZIt0OduXv19tmplnp3S 7fZe6axK/YP4SIimlic8+W4oGyW66h7E9HwAxApP+mSgbo5d6/Hhje/omi6h78pm7bbgpN11/Qao y/klEtWXEJUyKCB3E/OqcrNqGBKEU57pQdrTMJaTKx/eU0ayrnXDuhIpkcW4VfQzd4kDoYXs6ymh 1hn3A5nSUG2YklVX3p59UNiPT+Ligs16RDeZV1doQKeWkV+7rU29ycAaTEBAUl4Dl0U+MVQ5+e7n NvUiC4LKt5rry1DhLywAhRWRWxyy6h3AfK0ov0KMiq+PzIn1caWD1LwGJxTN/5T7aBDEftqfcQy2 KVhfXJDSGnTUwCl8XpYHpZOajruMSfoSOJjs0BDye/tZxzRmHz9h+c9/2U2YLcXZrTFNBzD03xRw HSnBrVtPzcK/aQJuZ7T8gcKHmo565sua7OvEmG6Am/a2RkhFBLuD0cEj2y05OBsKAVBxfa280eqO v8WNuGgRECUYprB7DfZwQzysSrpaVkzzPSqG4NjuFRBaQpwWkq7YhzkKp467Y1J11ExX/kefzk9C qcdv2v8yfMbVsnqgVT6DAuh/xch5MgQHVx86hGC465QS91+o22w5hLdC5By8gZizclaW3S1xKxRb eH8nwPpsaXo6l2Zl5owCQk81FKEm01KnJyIMHbfCxjHubX/8MOyEhqA7RB3+7ONCgET6P4UJsJqN QjSuFgOSqwPuJr85DBK21mE7IBFs8BiPqw7xGrpf2m7GCVvBvjr1WMLyaCCHfiR5qtz0xSPvwmJK FEXN5pDkXhIT504nN8c2bJpJ4wcUIBrFh0VNgeSp3MPYsSA1T6fPDscdDWIOJyGglJ7cE3GMis76 Pva7lvRQV38+cyUhDv4yGASTvywEF/1gm43o5aXSpyLtSa/j0CdJOzdUckfaPTv2zGQKrP6nQw4P CHb4uPy4SygML8CsYVEl3RaGiKNGxXixv5FIdf1w6tv6KYjNe3vZpqCXROQ63SqNCJw0FeCsx/vY n9fBH87BJJN/OdAxGIUOEMiu+s7PnGSCR14/kqN4uqXffoo6OBA1J6kDWs++gu6jnNca8geYmOiK HbEZq2Su3rfPa5s3EQpAFypVMnAmOlIAHFaqOTCo/zCjm7jHSX/A9YZ7JMha4sPGN/xVmv4WzyIc arX1ntDE1nYDbRqjeiwx4kXLHnPbzdKSINrRD5ilKk0FQnxiKBttCFvgq7DtCBCYm6QWQI4WW2oq OfTyKsTtgYrAGJd/wqcRQshNIRp1UVClcfOkiY91gEIM1eBKBLuxPSm5mCYXfKdAxPz6icTzW7Hs fqqhRBGksoJqHfUAuv73qIvtx+tqKByz6ZeKDJjlVQbnI18BLt5QQFz4Jw9bPRg6GlMO+m4hW15m uRFxdLeWyBvhGccC5lIu9/3PKxLvahI8bYrihwVxw64sQgSfQBd1E5SMlsZjkNa3RwuzUh34G8NV ZM35qjwz9siBNg9P2R7mLKj58VRIbBkOwIDDK7jClX9EqMT+OV86z3Nk5xB2dU5rmM/wgF+MmDnn uKWKPERSlEY0c0jasJDD7Qzn4hT7KsyhXs3P5AlQXIc2FtIT586rILiQV7wpllJUtOeHC7Rz0aGN VsjWpB5bgUZcC6S8TI0HwglhjBhb4p9aq1wngn12MhnTv/5iJnDNJluEdAWk7gv/gLDqe1oOSDHL he/GrXlFdCa1NamkdhNYkHrk+j89HgfGO1W0SFNjleksQEBZStYMpFDTzpJSGLg9xNaIPWZt9Pm5 TvoaU2BsGmxK6KEN0ci3wBVc8Z8xdLz04lTqzaHLBIkMUB3Yl7UMQUIfeiHVjTqhbFFZJ4YoMF55 jk2lT30WIQ0jMIPerLbJHkME9SmuepfSboqN/sxajUjw4P4633PCsnIGMgJg6QqwpoedZ+GPakv6 jASqZ7NCKjzCvpXmul+jAoWsgtg90/61KJMy8c+ZP0R3hRcYKbJ8Xp5SM78eDMRB81WFGFnFsuNQ mtr+cU3YUSrp5lyZvINP3ufYVtSmaNo74fS1RNT0GyM8rjmojt1MKUNKnH7yPynxrrX/gQK6O8Dl UurWZErXuNVupGtZSlm3ihdkaWR2atFHvHEKSvfLoWPtX72bcCybefD0ESeZ5N7SHCuHDSaETjJ6 VY47xjnuOYb5YPVVq21suzsV7JrV1UuBtcXdHuHAmYDO91PAGYKcDWNNI3RsCE5F7VSOZCjRbjRH 38DrD5Prn0dpID8mM73T8mRDvpkEv3fxi39Q46stUSNQYikxFcg5EeCWS/rL7ckgrD3UxjEFYcxn 8YLN13+prH1Hj8bXUw0wmCyYvpwfr2JGQaJtHP0EC8vNMuazICWKuCU+q6DrVsrUh6oOe3RRBgqw uZUgcxTfErFSdDzoT0yEQxGkNupGpKAda7nXozpBlc5Nm4ZI7wqpepaninP6b1V0scwwBbEZ5aQZ dHV6XYSnK+VOvnYw+ALaJPfIiQn3W2/bDYWpoTVWq8bVc2ctmE7yjPmVVcWhYYdmTC6YY4DIiBIF sT2BVlTEApVEA3BxHfhaTNzxccFPWEFEDxUrhbDomXrB68vzyDiWNIOos5dH1JWSyOi9cgYOBRIQ /K/LITVZjVErBp88adyYYt+wqsYXC9HA7voXUrsMmM3fLIEOLCcnF7RV5TWi79jcORy5OfV4nkEM IR5QcLiNGbY/YTUXV5JZ7IhHknsPB2eg0w3xXTgXXT5dnol0ZTQLoFXKrl0DjNd27f7qeq4afZuU R+5HLBdesUf4TlDc9ayaK3eapZiqkXCqe6Etd8zJM2zeTLBQCK8ED5Nj2Xjc6nVUgTqmgnDYVWoQ Uhh3M8217vWdjis2a7Oh+A1x+YwUcQHDM3tpcfaGEZTW9weigsld7DdKgVD8ZCTE/+5lqH9LDsUj BMwGka1Zt/sJtOdQiUr7F76ycY5eMQDG5l8PARZQkWWc09HTYAxU8wW458SivQImPRo2VbldDywM ufRcnLlsuX/kY+JmgRrEU/kRjMzHaT7R26kU28JcG0fA+J8s4sjnt0FEdfmP2rNoOILMFfcNXZ7q l+RxGrtdL/3hZs9Nv3HwxFaegQfVk8xT9CNx8KsCQQ016Y0Jv9NpK1PzN9J3T3kStXweHu/rv+tt jarHBQdY1Y3uSul+YY6VqxirHW93jCL2yDGafsgY51+KXJCkUYj2GHBxd3CaTYXTPZcW91lerQRw GcVtg0ZbpmlQ+6xUzjFikJYKVh0eeLSHP/T3RWiLeMK9ZSsbfal7AY1/UFi31ni6Hytf2Hr5ucdP iwMRlGZML9AE4VmrrbIkkkyF/fbyoqvpgJl8XJ6lOuq9ZQBqJvtK33/QBM6t+2JzAhXBp7hXPoK5 sieR/G4HkEzp3MmiBOYQyWd+mOO+Di4/Vtx1lEZzqdfD60utPNZ5ngmB+cdLt0CkELn16zcta3ds 791UuIlknQkI8Ia+7lgHE73xbP30ft+jcFTBwehNeYb5+JeEoet6+yrqxGQIcMxmrqXW3sMEVVxk xZu/oDlQzf9+wgQnJcf8RB9SzLxiPrmGEe/EdTNiYlW9Yn/v3I/fH7ABHltSrW5OBl+aI1jOFasu XXQdYfD1bck1sAnPsmN/UzbSDjtGjS0vdtQe5xSzq3FO5KuThtIrz7cfE6TzswwnDsK1+R+/yId7 0Wts+jRrKsvq6LTD6KxkWMTOOdz4U4gYQ7LZo8HF5UvZADkG5l2UJFsG9qeTHm1pfD67+gU+VIJ9 y1OKbNCbcnfSfJQZ6aW0e7RnwqCH1K8S5RVhq07rlNFpOcEsC7IFtjnchZvZqfOw99w9zxsJIcOY IVSRd+0Y+nfz8cnuprsDjwslkvzKMbrLV4/srx5taAP31RdpUno/kJuIwEqqeuhTpAQcp7G0q6TZ olalWpR156lfZ//GMpTLeMkut8bCD+CnDn5nCHenhXTjARlF5RXROoYrpY3lNSTGxo7OemTk99OR lbBGL+AZDTreHRuImpYoZvDoUVJ4HGWlnxUWtzIo2k27+mwaokPX+crBCiDILEo9eAd52AvfNpkh tSRChINfcpGW3mP2oYKUX1FTpcwWEdkYbbaV8TMEFahb9k02LAFAjM3J4FG4VsyzdWrOF7ZnSz7e DnXZx2vBM0Xhe9/6HVa8mp5dcQ/qik9dgtJD67i+bKOUk+q/mzA8Bk1NfAD1I67/Y/GgcfSoS3no /m8glCaM9WsolIoC5ib+clAXq3ookPDzZDprkRsrK0JhnjuIKvB4zJhlze0AdTF3On+Uq8OCEWCg yeEtqMxEd0ffMntMhxzBckGVR+nnENnQPHkzNTNG3SZCWtgqg6Q7V/gLCkefgO3VLu575tgLvyGw 6Q/4HYdxqGONtWUcaUxI1EDACQzlLXnQoxnheAOPL0uxQ0HSdGloYceaRvGjtNQ3ZMid8BWgG6BV jwbWcakULmoSMDiEL9LpPg6qhygFgPTAK18HpAud1kwgck4ROBNXWA66kE5GiKU5ZZejXG/LBoVD eItZJKb6CPYmCnHntFV8FXYH8vpKLjdaum3iwp+Ix6hTrpENcKvnhzS8xxEWtjygo36Iy1NN9Uiw XWJsugZy/JdVwQJwz8rrVuBe9k7jQtrYXwJzVx9v0a3PjmtoVARStLVHn6SGyPZ0teA5+mdKuRaO Oz4KboOKwuWocr5A/IlCMdQAW4/PGEhXoGErpD/jNZrmo9p7fbZLTJ3IAw4JE0b0yuAkoDBLTDbr AlEkWmau2bilfHbKZi1bKBujLGOa2KPeD8n0vEzyLfTfISYGZYIsnarzzy8WXFpxFY0y0nwKjZgm oX2E6MJ/dmFlhBmK9sUF1D4NgodtO7Juwj1q1IIZ5TrRUlZYjm44XKW+vCKwHS5cv9gZ3WdunxI0 k49wkU9vRbNBSjrM27Z3Ecu73NLmLU4xR0FMODbOoPsLPH+vj+q0OpaO43I+oSwCmfsbVWDODf5W GxL4d8UdCRhc7k4DveBAlEUKasRQc64hAfvZAB5IqqwixF6C0bq4sSje6O06lwnODDuwwlOfWY2T 9Tr+pS3TTRjNoO6FiRxmPWXGGFeyuiatiTgG4pvvuxL1N8ADw4UrS59I9/bOUrkPoJrkwtvnGb8P N4VrAayvmUberZulYYMVfPbQ8ZH/7sLRg9jhuEVdzm0suAEBHIiCTKZxiHI92hxBTCDIm77HsueM zhMnxJWhlSMx0WYa4yr2Q8e8n2rdKQucXDQb4Lp2jcpB2fQADvaSEYUsMnQIaWZ++lCfPCTjjhBz vkkPLGymsN8+n8yzrZNdv3KR0bfHGKzoF/OtemS2PMtkdJhqIE/6Np8j5ylq7diRKi1BlDQa5N0h cPWDxSZX4Dl+g2Ly07xEP7FEALgV/JZcXYQvkmobX6obNjCL1CdLioVpJTipTp/F4aZMTmBS6WXP PCp8SpoGIh58t1KR8IFAHD28QbUsJnw3LtYyqK/zI9C7Lni0cMk0fntislxD58cH4ZcFVh38MNVs 0UOWMSO9mhRssMevgHWWNdQsYlYfquvvB3nKpD0iitjM39r4eyqhKL1ySENrK2wgUADRY16DW8Cj Lv0B0vstAEb7GoDRcTL7/LoO4BDFBFVyzkM8ELezsphsXGyfGNh52C2f5O4Mgi5pjuu7XvL1fpGW a1S15PzXX07ZAH0OIY4eXMAo+h5zIqudIAmTMtKF92co9mwxmM3i3QdASU+SqaotGSbZSmv3iv8J YvcPU0lpKNYJsCFisrYQAkJojWYScpjx9JWKUSV9N5VaIsn26adqQ3dsDd4+2AB+RID7kANp28op OUv4AWuEAlZzvnZa/IpfXY4nFgh4UCgSD9U3FHOfG5cmBlM1buksadr77F/dxF0hGxZiD2AwOeih u2t4sm//x5qrHa6MQhGzizvXqVyG/hFyqfb4SE2Kv+lhDFTaEjxyeLBKCIiDpN/H/rOFqjpMqVN3 kGG0sTDpOEyN7zf876oB2JpCunJVfOw9A8VrVgwTd5G5YU46fN5AzzyFUmt7GaDlNOwUUSnx2ige Oq73QzvfMPJFbUVKG9rJybNNwm+1m6ZKsAj7nCu9VHozL7Ew13VaEeAZUo8dRhk9rpJAQbui0zMm npjbLQhmtDTqdKhxThWdNN9HmIy5En1VxSu3u5D/drMiFAHwBjoAF/l8sTCuV1C6HQ9Aipo13VKv ZaIQ1QSMM9JddIg9fcZK/y7iRRQ9WpZ1Me2M3rLjbODbWvcY2uWT8Hjf5lbJKEKBTX2awIvdmWvz 4Tkv8m+1KYz/0vmF+0XtdTKL7Hg21H2CaXOGK+OcdF7KPCRpYtSaJJHb6PhbBFspJTozcVF6sRJ2 JBl308DD483iC04+74U+nCGn+bdXziBhVv3dQO/ilh2SxDF3xWYGZs1hPsWqYE8yYWWTr/8XQbQa b1L8S8mCF6SgOW6mzKIH4FkrowoJ9vH2UaPZRGSZXXDjGftDz/7dtSn38DjEUl4t7/O2hMFYhCDf QHKwFQd71CmWbC2Yi5wAOouixxQ61znLDPpQv2tLE75wSJexozm6Zf7056tW372tEn4fNb/gaSsu h2xQUtVuI5rqUWV3NoFsj4SCEETBAunrKWz5urlPxhcVT5qD8CrxarUKAXQbeWm0pfpMtFEPp4Ft D6Dhc3ILlxyxZg47j1ZskVljHnczCXgSKbmPKFgNKLDrUDy5fHLF8lQ2axBI3U5XN1fWEQX5k35y ROkaVI4tOMByl+Y1XRpDN2KhqeIQe3KTlpM9pPlrUBQI3mnOz8KBGSwQ9vVnjs+d8a4IWqSDjZpQ Iq7I7diVAv8nzoHAJXp0Dwd5ozS/FK4ahr/E9LJUv5Q+6L+xeUUBSsJEeCD7PxaEckLj2xC6Lmp/ 2j3WYy8XoQFxNTw9kMotsT8fv2VIsZzTzyAqmDZPwxu23QaG26zs7qwUzHYcGrJIlUzrFKkR9hL3 0d3sHx67RbTWlQzAGd5uF7ynFKgbJKecv8ZKv0S/x2OWz+2TFzZww65VljbPtTVeREu5J5NQDVTO mNEgZc8XumfR45HfJmVGjmCaavUJoq1tO77sPAu5JhHrxDHZFntysPt6mDpHacwld9yzOVt1MRoW c7oWbwx8mxkEILOq7elneIixc2msXVfJeVAKMje6a7vm7skroaQBB3z0/Zyglc0ecw09eUNAAL1u Yp2h/Asd4WOwJ6i7TrKpBuBEorEAi91ngGvaF0nV1GWua3F0PQe5pjPEgyS58mPpTY799LgNj7bk UJG6a2de58NphE0AKRHzxWwHjC4rco0YxrwLuzDz/Xc7iVPJzf+ftp/ZeHMTj90oZJdYrymcSdH5 0wCHCsm6YP4k1s5xS2TZKsrwMD0Ibu0tD5g8mGYWGi5QtbfDkTJ1h2WsUYbJ1wkzKUs2tRBrrBu7 SdECPIW1ZABnFBDcMvFQwrgw5/4v3L/9Uwtd4zus8lfBHopfR0aItO1K94rFtCDJKS94AkXXzPVr JBQ+yrukT704kl/tBO/bAPatgIjAIbs5+/+tXXDoE0hRj0xFX9NvnGeNY99AB/6T8ZImMORyw4qm YtoIMwFNP1itJevqvAvh3Xn9R5h25SUZm0H9JHdQQH3TYvTlLbwLQ7mGGM/I1AD3WuW9jJOXEJD+ xaeaWZkpA7e32DtLAtjB0KKGxI1S6nhp5DbLHi07KDqjgPpa0vdOCehK10gEng4uA2k7FESWhlh4 tUp8Dv2QZvN6yMt2DdgctM2cFUgOUMr8tYPWPxCfYdXf8VExmQnS1T9RyLRJwEpX1Nus+epe2yqR +Jqwvlj4m2cDZJqpXa3vGyCcbvD6mqe9dx0Sk+ZxTDqIs9CsR8GPqi+JwuCGusiebuOnm0nI38v0 Q3y/EIwSibNrwYrC6Cc2EIJ87RzU0NERM+ah7hOhdix/i/uKrMIkxMzJgYDx6kcCZKg3i68cWL3+ 2o6okmdQQ5DFwhgKf4siRnhe5I/utflnrYat2rfIZXTq7+nSQgIfghc53h4s9MjvBa0TqKdE0Vbi tMw7n8FPT1V/y1k6zlIVCk1pay8fRrLegxbwXAvqlYMD/5DRj5vCeTNtQGCV4+Wz17GOOBUJTzbb qUJD5WCwvk/bs4IFX15/pWNfVnE671laTqgZRsnQYI99LyHNwM2o9DgFAdmRdCqctRjtk88NnnGm 7bzrNuMm1lRqNkYronU6hMx4NryKQ69roK6Vv28mnXPYDGbG2SgmN9Coog0k0vaekQ7DBnp5XxDS AQE21DScPWwW8optGvEjspPArubOup/6lP0lHoB40HegSkk0Ylg0YJVfYeyyuQC87mafdOCOgQgg VBfnkaExYo0zU03/r26vA78aZMFHkawoRmlywDrGVXFxBo8ETZ87rxMDCRwnEP4dUAxlvxRTS2W/ i7yVBicF/HDrsFoEhZnzuCdUWjC60lBNgato5Rpafc7WJdr6NJtX3KhMgA7saAEu70AHOxxCnYgE TT+Ohrm1Td21/L2focjBgqdyoZBECITxoT61c//oKubx/XhdLR/JNA5CpJSd0Gyew6K8Z1P8aa0p 1S+fnsIKwxJlVaovxz7vQP2u7GBUs9EL0WOMRgjTNU6dx4j7j467AJmBupeWdjVW/LxzMb8iN/sw c+4femc4gJMSoPwmlNHhFbCOosT0tlLBAhyZj8BsHmMmhqYPivU9bIh7/CjFuJrApteh9bFtX+U+ w4bC+VLnd93Tduizpy7iEMWnS2mGeZy9TM8cCM7sQHmU9yQdd+FhkR01Xe/GDdBfZLhcH21ifEQK RqVvmxhun7qLvRf2KpBN6dCL53kueno07auIAwdOExCsSGrpG4RylqYQ3/WTnIiI4lftcI9BYNW3 csaZIiIp/1fbjwDgtOM6vpsRJr1NVCdrfgB+x5dsI1n2NZmUSHo9HnsqmrNI2nm5QmDw/dPwGXge 3UAiqEzQCENv1H+4YDt+tD4snio3boPncnfP2/w/bwoRScRK5KvKPf9F0OvfDyFJiAjmb/swKG4z zg7bHxUXuy1vcFsvzGSTqxOZOzdeLUFBWr0SKHDlfA4YWbt05Th+ZInB966aVHHLd4Zax5ahwsyT L4WbLqzVs1OZOpbsZ6iyg8TaCCxOND8DGDYM8M22voxASRI0C8PuZVDq2gPsTt51nXBdcS9XGP9C LbCQiQjQT6o9krYXz9MGtFOS/HePtrGDL/IReMSxlKICSDi6JFZM5+Srwlnu0BbBmfirGun6Plp0 6KkqMDYta0DBX/m4QbEbyfseBvaKILrOd1ClqBBpB+HOESsNsFE/giroc16HmatvAHoe56QoBy7D n7yH9tOGeJ7oQMrIMCQ0wZrgJegijQ/J4t5wHXGl1RMmjXJ0vEigNgZaKm+zp+Qd5+XmFEkl1u/4 34+kuMKlxitUkpKcGmtF9fdp4pwOwNx+80qPyP2q7cXcFgCRneTDsInFUry5rSkky+9z7qYlszk1 CdULeXLelS2WiSS/Bh4sEFINkTArHN+aTD0BIq9fr+NwTZ4FlH5sZ3X6o67+NxkgZPo87PrInjxj tZO1pYs/dFtyZ168sflcuzmWFH5hyPMCuHk1PZqfwluvDe/fgU8ohvhQKU+GK7rLAMLxbSNdNcBj IvrZI2MS8Q0tDNo985Vp1P4RPnVlce5yBEEt1SXBZfDXPmWxTZilFl94jMVxsRNUbJjcbpGasEmX Hr7ZchZdq3bsbyG3NyWhyrMDQavlKN5fyhUW7NqXk3pZHq6G2YFxUajD8KEHrcgS+YxvxeqW6vgu Vpp7qa9ZM9DBgAJWPc5EzSZd9/kbZ/JRxaQWAoG5TPjPqxM5Z2MVlfVprbxjN3BsKYhEszayTm51 qKp6CSIu0QCvhMN384+zzJ6ZcDzXmPXch9Pi+zaomfNXDafmUdUxlkXCGxkYaR5BdVMcahRdAp7i MS04DYG3bgwn1iz4dJAFIuIicfuKI6jIHuh+o+jwyH03u8VDGMiICNpoD1hNAkZGf/n+L8DyDCqd y5Qw+hL+T1Il/0gWqOhjogEXflVAfLc/O2J4oW3KuCO+oBjjV4rV7V16ezAFgkMZQRGGXcPQJVUJ ub73T5kc9DTARpSH2PTIGJIhhZvQQhfpWJSVMf3U/bVpnamkx02bCKQ+e5acMjshEwVMQgY0DGqH iRnCs28GA3IpeXrobbzuUYyThsj3QPNq9YimeDEZallk7fSM8gsv1PvRZ4kSYZ8DcOWHzBM/rzaE fsjPMgBtlWzrs8JbGK+2QFEq24WhDUKLUXBtfekeeXPXbJsGlplKOZt3ZLXxJzflotSG+fx9yZ+q 6mXH3X71G5fhg9C3uWJvkaaJUiBAsdXZPh66Zfnr07mdpAkMAKeNc1KvK4kMwbPQwYwzPg1xvNUf Cd5vvFZwI5d/y5ED25ayMJ3CuBdQ87cykgkk0a6FAJj38ATvJh9snrMGC8eE0njiamd/elLYV+BR wT6webujvfKui7raoT0cj4JrOtLvyLDkaAuSwr+SgBxVSfJZHvBHI/yGxLg0lA/euCEmsibTwip0 Ty8HtrTpUKlRqCcKkocCn9/OfC+gNwXJ8DlLis2g3WvWu+fQYCLMqFDqhiY76DiOxtbb31u0QgET 2mUKQT58ToGsxW9FQB9MpM5p2uZeILKkNqZR/XtQkPUREM9Zsx7j26Hj1CIsvCK4Shu7aWL65kHR 12naGWaBTe0ZC1svSyJKcqsXB46zPU8RBueHtwHYkvWAlkB+hqCbQ7kdIOCitR2UQOvSv07oDoUn t3iiNq3JD9YhJgrJfqLVvAr1Ib3zC4K2qq5nUyfVqwYVTe0aMngMwj4yuyX88SfsIpF3EGoiXVR1 PBsezaL9yjlrJhHt7zxr+EyLBZ6bm8DAqDoCkovShB5ZeaZ4kfygL/dCGbVmhM5aixVitFAKGv/L 0lDXSSU1H1Iv/DGNys4dHXppic4KEH8biMhbt7AGK45f+CYPBfxhJ0Whxj4nXh3zcshBXIXyt4NG bp8qAMXBFHipcSpOHFf2wq5SSj3aOZQ0Ful7UUpUjf83B/Gt1elLqtdB9+tpAcS6CCOjklKxSni/ D2yw1SYOOa9xSFfma5QHq5e7G0DZ7vnLl0PWr9cE/SYSDhkDH4sT3QJ0dqj7DeRZgSE0t/c5kvE5 h5O6/S9Sd7Pfd0JiF7dFEy9fI60RYmVwQthWz9LO1t04cY2slb5fb0acSJYdIuXQ7NBz9XinGn3+ JQJokaO2EPtpodJiZiciho20RpSjEb1NfiOt2ZNHS5oBuN6T0CPNvr/uweZ9tjsQaUf51AvatUld 1Y+HFH3d8+IQ2Qun6h9bpvNWCre1svNiToMWjMSAqVPNU1x+CxFK0BFNBIwwzq1KnYzzA51YB7rP uNeYW4xo1ESWooxi03mwD03yYyEIvYNm5mOnI/8uBe7yiPzG6sXWiNApJLhCKANeb+I2RNejunyb iYw7wqHpON/RrqX2dUV0uQLfIaVUykqjA2x0CMR7Ty0qGbwilV774kkzjIRTq4nl2wq61r5QrNzX A7LvZDAwuahfiGggR+ziKm2yiY/NDAcSZYbGABf0YbbIj5FiiDneADawO0yrXEPtLA6v2IvluFUx sybHEGwp/eGItjVVemsNLKq9yG9ylsCanaVZWPjRvzd7pn+zVTBCSftcurtvhlcTDI8yOEqNc2i2 xNO3fyRI7D7J38ak7e+JpEZSOWckL3r2+01hjH02F7oD98Qr5DsfvN2H/r09epeLHVSdALyQo8dz Yi2mxjoZE8ZdIayTmyI975zWu6lNuIQM/bYSeFGJ34Bk3Hfy8sblWXQGNgt5IPQbjFrz5Orod0Rn cGEhlnFEnjbSgymQn7apO63t58u7FjjVH9lC/mJ62wIMeSJgevxCPNXZ5jfzVNQIJAONjSV/I05Z lPGMaFcIqx+26sFK5Zdek+8XLb+pdJ/Wbqq/qtHtn+qogJ0+RHzIbQUjHUOQO/Bu1pGyRt58qSq8 s0Ej9fsPYERoJ2B7fPyDRichT6vdcYpI6yyU4N/Bll6QDgmxyvxeujluH6pth8chO6r51oX4Tw/S m61cLGy+KBkTNu+x/UBin3yEuPp9kHALExmJj4Dc6rX6dii1QPk8OOW2LEFeEowGnG3rnsKkH0Jc dYaTo4AkCczkA9o+o+IyT8Tj9HZuletnKVdllQXctTeXfV8POVBqa6iQEq41atFULztAMlHq50ST u7dj9CwfYeHoPAEJJiAu9zoYSJ9ppCUzEE3X+OAUdh2vvt8EKoIzAQr1poi6ETn7K6pODEatWmcz LVI2tQt3Q1ktZXUFQTcMR1CX2xQTfejsAHup02zOXUAYPaFHGUJW/fhE0GL/hhkCrVqecQ2UztaA LEo6oGii6kPtSL2cq342MhV5SRVU1pBgT7jZcfSwJ4EM829nxiMA+mX4BnGwr4cAEk1wwykzdaS1 6LekpYROl3r84tlV1I4sQw3Gw+9vZMpfuh6008nglbAJkOtMCJvhbAmklQGW9k/5MfwYyZhGmYen WYastCZoIM04F9oTFxCt+y4JosjpOLl3RTWyu/AygTa126CID74Y5pWzFKqviN2S8OsYM6r/Znqx RuOk6nLIIkNY1QqdoA5cxaQzRoo28FlHKwT0i48K1JOm+SEkEFF7bsmB/qD+J/il6zp7Gc0fmltP AUcAMW9MqR1hFaaaEVTee/7ec9ZsHkv+5H4edq8sy+nNr2LSfxeQn3vIRtv1A+scbLV2z0+tIh4e oLFYG2+a7lwCHK1eiE9XRB3aaNgbOTiVQhIH3o/DZlASBR6EK4y5daeWyi8EH46e8dN908LJJWwZ YgH7d97/KKkpe4mUvnq7AggN2p02ZBBiOatDuUMGyHN/jWe9GnPkHSNLqy3HlQnQ5RdK6XrBFe10 LdRdsyTMxnZ7e9MzCp+jeT395eDTBeyNMwnfRHmai2A1tZm29KTgrtY8y/U4y+t3cNsV4px5PHLd uJsl/r/ylCRupYYP+zKYpaysImZdUS+92Fg2aNO55SYc5Ov1VzEcW9juW6SLaOctkCkFKcfwm8f2 uS8qvDWWfrnC2a8S7p4Ce3w8XcN6J2LfQOlecfQ6NQ3eo9vHic7AZ4KfzNYvriMBro+zGXvsRPYA 73HAtyr7x7uvavWT+XK/GTrtIXFxeEZT0HBpAzpA0d7dJyfBJ7WHavkythFVHBZcP9buHpYF6f01 80PDyAFoWzYO7YvKqibUOu7qVSyd9uOejd4E+pVIDjZrUrup2vFPGfDBjEarBilEz8yfKAx7R2+i psmThh8vbRbGQmPsYqnHcZR1LhVW54QAAkSR5iVMZsPU4E2gkCMmZEeWU3gMbByOtP2Mv+0qxRMc MoJwxSVL/Jqxha4YY/QrVMCXEMhh/9OjoYF1E1s4YVUAaafJWV1P0LVAXjz6NucVzR0k3SW+BgED 7fc18AMl+rBGD6pROVERABkEmaXO/umiQ0l0amNSYFww8yqT2k287kPBDzR0B7JL5TPaeOee/pf3 xYqjb2YUNGcZSU9pCX9r1VYEKR1qdU1iZCNd9Ct+7BkpxiRGo4bPSmfNDpFw6T/JQc8h9EOO+Dps BqG4izeY1/rAV4DBYMMGDTYQg0n9Pe3WY/JZaJYitP1XfWnCZjzFrXl7QJxbuBKd864bXrhOhCPo yyTKQtiox9KioPZ+GVQ9kQ4ajZbY/p4RJeB9SqIBOMEx2KP6cf0UEqdSM6jUyT/BvJObXaqpFpcH Pi2GLokEaSX7XkXv/KPVo6mWcSBHdZ+YU+ykRgKI6szeRL8gm86TG8V281t3iq55R+yCHR7qNOyw Z1iYJa49nThTs5/p/nFoSiqbmljKEiv1/sVu8GcEuhNaHxxJsNRYuS45mfnzdU6IHUARfwee67+e 4+gjK2zakd+L1GbjRtO7ttmGruQwfwcA5kh2sODugqIzWX/O1Nfp7Slp7ClMGOja21+mda6hkOC/ 80k5WCd8RFsiZG7JOk0RlXoZJk7dtdr7EuRJZ0wc3sfs8BFGQtrZ0oN1Z45kzZsK7Cu8n9uePjt2 /SwlVA6swz9M1oBMMgylTgsCMTF8ZKplJ5vNQxQyDR1LBILAkV5ge8lSQ6jLLZr6s3+BFfnKbiyw +c+llZkWjgXqTCRL8DTs/F34UP8fqAB4lzk9B5eUfmYjcUbPpQ7gt0QAqRr0Hcz6vHx/BZfr/66d Shy3QEvlueBrdof5hxsSl9mwLROQLxPX2dE87UkIVjuGOILDO09BuW6ZiEIb4oW9JKHuO7JpG0NQ Q3QDb4PpndInv2LlE6gNgnJM3BDfdFK9Ql6n9lHiM1uMHxXc1SQoI0H9v5uTUKzqBMrBuJKEXOMo zc8WqD1bG5G4DQ2hY2JstEy5Qo5/hhzhPqFTXlPzJBEF7GJBu7goIr/1vhSBXCdQTNutH3sIhmnQ mZ4z7iFhqSX7N/nltIH4vEKS7ElI5KHXUX7oZVYkrIcaiYesgPHkOfDGX8JsydlhD+lj81Txr9wy CLhBn44QGNOoqJFG3GtccQU1sqkEvZWzTzl1D+mCQNeAp8ILbfOzzUl27LFPVAdJRsf2AqYQNGf7 hJGwNRVTs0dsPaNW8dudy5x1dI5/0GLN0jNHpJfTYKh/Y0AyMkAdCMt/WHrjH9tJA3ISwwASwyti nOEE0FldlhZL7MuqqtpjpPiVZmWhJfKmtnLCqanYdmmQlOT5siu3wAxPIZbz5nYwknxUBOCrHjEd YaNyISPag6f9Bdmz/lespuHIQHdIsPq/EVZyzC8issL3KUYDq30omU/ZH1H1zZUcHQM/TlqVlvoc rI/9xhfxpR5JA5QlqMjVLuexhS+raLhjsPWeQvtbvIKbb3nzUsEezb/CssCcIXI8ALxvvF37AoYc rasdWMmhMMKNc7lLagt3CONprfV2fmgREsXWCkXikfONR3ZW9sl2kmgPD4+Q8QQ0D6j2n5rn1Y7m 6McKli13Ys1otVvzD/ZyqjwKez+7q7FrcB9mQKnaop4As8Oz0A8NsXI0WtTjPOKUBJFCw6H7Lebz GxDJYPYEFzHi+v5x8RRq1scdyAlS8pgB/6VAtTHwcYXulmV26WgbHO0+pOMyQZ1O81+0oxtMMe5w +3dUuWhVdO5E6waGbaSTS+/Dte8ejid7DcSwB+dllohhmDlg8eoHWQenWlUPCQNohsLg7yLaCzaz 4+r2SMoL0NH4nq8EvebNiLcj8HcLZQ+ta0/CL2sokpxQfQzLWQR+EBt2rZRnb2YDupCtCRUy6EuP KMIpuABGH5+Oigp3auQC5ImLkMAlXhyluDqVrRXc7jBHDXZCS25Ro3poTw9J4bzUirylmBg5ge/V Esqv1mk7ux1Oraecvd92my/yvAnNtynMCIpkzf//fxOfngW5LPShLEoUNuE9Db4quPSFBhl4uGax wVwuXnRcRvi9nyhtsgR5sFxmx1h34rkeZYFOCg1asioqyIbJdYfTtA7RFd4qVImdE2HG6sMvGhB/ U9QYXws41d36dN5FLOZfNbLsDJDameop9Jc3jJ8OpzXYlI0VlR6NeAYf0SO7Omy4Xk7jNG692xKu 9eTzGnozfq9SjzQlUgYH6jFzGv5cp8IOw8jJXG5k8oXejyi01+rKJ/fr5TBdIpoiOCIeMDLwzOFQ oyxWlnoAub9yy1aJI4Hd8HvZvMO7axTq03e3SfWk55PyjluUS/p6vufxdpEXih6ubH93YGUMs+dc GqkS7w7FAGjBZlIL2uyeurLq089JKGaRhHbmGmUwLc2pjFilkQrrnLP5ojnnI2U2e79QT6a77L1x Uuy58k0CkiVtrfLSxgSogKXHRVWkrOmtHe4W8v20WgVo8IICWPuNAV+i/uPa9wzXAVwfGSzxxV9T Upn9a/eZFrh967h8pkjkqVahd6imRBs8lmee2u4Tpslslut8rjC+XKKYMrLScUuUPcYREn5iaUPN aN5X2/vIFwaYQx5qoEI1wBnnQH2qolg62/8xZfzouzx5N9cjFrh4UVUFaXtALNaOhc7rT1Sd6uhI 7j7Wi1zb/RZzIjYocS9da90ZKFx5A5mr3/7N0999c6Ac9DWIJy+ws9otUx46BAq+O1O6c6mExMkL iDLgyCEtZN/Enlxdft+MjwCl4RGhnMgE5lOy0gBVuxVQapTrX4w+6Z6VSmQYVpXMy8+wSlzBAoPH 3AwuU2robSzQ/Fvw5QbNTuugFOCbXCQNtEPaxFSQYMJdgvyLX/ioE9DAmuX/75ioUOYniUoPTJUy 9f675OIYicuWrx/axTLysS8E6gpispWwbf3kacRtf3pk1E7PV8MvQq3OBFv6tkP/FK9DRbJc9glI ufx6R39r1S5x2hwv+JzdhnP2UKS7li5qSSFfpg4S0Ao6ggrVYpVY+CNCmTqLZ74c/kqFUN4ck8f5 GLbcQBNyuoslAAByvoesstP0orgRiYnXjMM3PGWsDaBKGMlLePK92G5l/T5KOdwJFCEsME+txOn2 8oLM/TdUI+cBTQIR65DSHphHUYYYP+2G+s4uuThbzoZo01ZsYG/+wSemU8ooRrPBLoR7KZvx5s0Q O9hf9NsDqzNuygL3mT2JSLiuOqUMKSXJBKRn2gcW1bkU1ENg7uFbDFPW92oayjzXXhNrfNWwbI5G YNtFaXf9y5YdmuyzW4zlfpYHXcIV8NTHEojjlZ/L42/vF1XtMdj4heOQHq06LIHBssu14wwRwHuK Pt8u1MOD0F5OHmhVqDBm6r1llBn63J88zI6O3dJiVa25aH8l+vINc/CURksT6K84fA7qdlupTsPc YPkcEsbuovYC7qwCHUKiU0egGcJ9IZru7Ic9bA2XtM2j0wvB3Z5jkCgI0RYpsUhKNzi93Sc3wZAZ St9IbGoV0pXR9CBLRlcYzTHd9dKoKo4wLs0FPyjR5trdo+lcCuAZdF0fFaHhsGApCkEfy787Wo7J un3fjJ/vqCj/yNIyfzxjZILC6ihVGmbMzzkOQnWtNAopAxKTlKIMrBAsMvGOJMH4lD/Qk1Wi8XPB 9v7dfSfrJlgRt7unZg98YsYXEjj1P4GsmMDgdGqXVmts/jDWKtg8fYswfacFIC1+ZCH9fia1iJc1 I9q26LUCG1l8aw369E6+bft1drRVozkU8FcIT4O30yfnUoY72b+IbilJLBpl0xtJBxAxUcyQHLAb WsIP+vsUq1Z7aenFEvYOLMk8DsCcIpD8EU5rYmzO80OnA3BRR3t9p1b34my5aYZYhYzNTlSgkhgr 6ekc4ic8IStB5MvNqkHgDY1qe9c/HskkVdltl+s7Xz3kak1YUmbyl8ukLLlVrPeQnNehy1Fhs8LM f7Jxj+9v0IkU5b+OJSAMGqRZoTUFAX/MIUwxuOeFgYdWp1NeSwua8w8qgH2laU9LoHV7UGcD83ZF X4+CQZhyQAhp6VgSLcHIYkfhh940I3pfSzgj7Obar8YGu8GFMMQucUm5zw0plJFdSHcs0RN1WCod 8DZu6oKA6MkW5E4ks03rjUSNFHKpBCutHW4wYMcIhLPt3BHKn0zn8E8+L94c8z/snA/xWKQZQjJ3 VTY7H07NUWMc38zqEv2HSfHo/GaHSUzPYBMGiKIBWsjmniTvak1nMLji908DghqD8x0ccIo6TA8R b79EbmvwE7rqlGcHHG38KCpsprbboOXbThSBnGjoxw9NdEJ0r/jzmIAPCjC+iH1zfbZa3zCgdXNi AKGiWrDXoNz27RWdwBr8HsSH1S5ZLGZBTS9UE6ZcrucelKibp6U/vKqADNHKUIAlTgzmXdJrkW9J O+Y8OkIKScI0LujiGrty23qqp9LqTKjQdFf7bodr7BETsr3J31DYVrj/cIXLyVhmdKqsXS5kow6G qa/b/XRJy26FhaJ4eR+W5tfCV58Oz3zsrgKkvwhB3AwGYk7xujCY2ceQlmwAT86+dT2+az8LmqxJ BrVa3Chl/i7BTh/GXFKMeK6CUXSbEbhKtdcYRrbuLlnbKFSfnqt/DfiBSxN6t0mpCzs/RS0+mjd5 GYh73mwdIIbn2AKSprJI6EN1WZiDBVxmcZBEHYJ/JAAZvwZg5hYQbDbfBJ+MeuYWj18v903Y9/zR pueRSanxHlQwVQzXNLfOfLMb7m/nYU8Eyke4ishr5MUyVXptYRdTwssiUrQImUiSAQiB3mcQDdX8 cTpo+FCSlAhKmjGKI3Km9XIX7XJFpNL/gtLwLx24QX14EnVVrX4PlR74kEJK4g01Wa7ECXLB6Bov dxSJy6nCl/Cq7qejdGLTcVEi/ZTRickfVx8ng5IQ/f31axzfwL/qetaBiFosqfCBc8kdNPrNXRT7 Y3GVfW8X7ArUx6VnBynH/BRxrDMpBDZljVwR9eMJAjpbtuaWD5X3FxPUBKudTo3H74na/nOaeUYd c6sWpxtbqrg93hQmPEiUI4g/caTnp+ssElldf+BNThlf+KJaNEw82W+dxD+aLW1bDiSRffhGFzWw n1JfDJuLlXg6XQu71fCgYgPfLcJ/n4hcWRDsaZcBKT3ccGjMhSeBhAI7B38pbmUBzIv2eQxoNeQX 1ntuthW3AFLFYUWvjSdet4zByWtdpH/NltyWqddWd91j8Cr3BIS3UiM9p9h57/aGOshTBkcW8tAY aKrSI4U8L9PQnVRTT/B23LVw5NO/nJ3l4x9LKFp1IUz004R9wS3G/PqbLJ+p5JKbzbZl5DBK0RmY o2+38WJC135tRAZvCxWS1DyrhUz9Do89EqzOC7TctnKa9tQ+bkDR9OONJuiYH//vQ2lij2a7T1xq qo18gj3adOpXL+cliamI5TjAHcrw7XzPfZSZlXi6WRNT5a7XREx7RjoTKD7W/gVJDqZRPA/wE9/6 nDHuLxcEqyFc96plM7Nx3j2jLDePWLxao5lUo8Fx3LfX3uKglKkx4lNfsamdChCQjfNY+zf5rt/Y WgToUc0ZClCz6HNXkT7QrZtdj1AMhAG6bXxQp8hWfFcazvPdJB6IXUzpjKdt/ByvsS43R+nmb46L Tci3sT3MOfkCBmbV+Tm3KeRnnfWWxaZ5WYd0W/vRivsIFTDwgsLIS5V+aFSwh2EZD6KzXtxW3EZK 3kYtCOF0k2m0fjNzPO+s0kEHm6ZslA//bwx2N4JvZvtAh0akrRqK2Sq/r/BGilqXr3pCJeECB/kQ TxnHX6V3tapPqMyaiS/FioQrZ9+tsgVvJLNSfQKBvQGnPrp7oS7c5L0cmeUYWQBeTlPvSzISkcBE SlTpuRDAwJR3JgAjHExFzYNuMsT6L4Ys7IuZgTCF50kK3LIkLTwfGybY7y+WU9pwhO/hg/vEA8hk xHas7Leu4fO7Rddohgt8UDF2qi2CXsCLCp/QiobKNVrWa/5DN1dGVYL3EEvEkfrZA4Ipv22duzHC zWH7w02m6lcnHRUtIC4CH4fhD8JH6CEjHIN42YxtPrvMkv5aurpT5U016vCOlt+k8PIIN52cNaCL D8o16JoBK8XmZzPXanOqEJEoGx4N22ybUf3PZy6bT+JfdR8yaNMD+5KLCb0diPqevgXfWpVvhylv Vomvvc0k8Y12btjZH83uxecsvloX0aGrHICEh/HfD6yHbbBaXoWmakz6I962HgtLAMlr3oIKOQeB Ust03vRfIPgH86uPewWKUD2s/+fQ0ZNbuuKtUzQEGQMe+ukmWy1H5PLcl3A2MBWyjDpQwJjIuo3j QaXscS0zAYtqn3gU9Jm+CALitKK5FZ3VOAy7xMKFeAjPLEgJrD/Yv4vSkJF1iz6nCXvSBYsi0R/F za+LAldj6mrEQU47br6hMcO06pruhhzsYXw/TmxUBkjZWIZ2qyoeZtgDVaiY+g4ultW70LwGx2i5 R0Ow6FFeno/aJlYyQ3Gh2l0ELwrxs2X748pPxM6xwsqHfaeOlewrA3Y52RcmGVohLHObTOlesZWv 7kHwjYLvKDYHwF7NEdIwRraxbKEQBqffGj3eeAYWzkoCJ1PWTlJ3OnGMDGZR92RrXDd8Rk5VJ5GF /qNfc3IslGZlfoVP7NXO5Z8p1EgcFhBuBG+0NSWuxsV4oORck75MRnvNWhH/S9C2n5cRh3TIulQi JtZDbd/1n56RkASwINKLUSOPt0xFUhgLImc0G0UCpHNhVr0khzjIY0TUcd9Mb2yblsMbIZrgzoo/ wt1RJzyDRvldNHUKYD8yklT62aV+w0prYfeyU0Xn6ZvHKbyxQGIZ4YoXNZzLS7bo5Um4PnJAth/+ 5GkdqznoED+PGuP26ffcjs69p8PzbcU7fEllAwRKfJfYi9o3GMBpGYhJ8SSTVmSjOtH/QdpPgIKA YgLAMggqEIZV376FLjSdytxuRyYRzHKKiFoBizKysiS946PzuLw1+G3t32J8643A10IbrNpR21yM //8HNbYMkU+tfggMHz2oGQALL1iywtKizjQxqsNMrSNjUeu3gtWGmPTY/sByGLt/+7TQu++/Sc6B Gk7B4/nOECeswC/YN8oaLLKIuasv4gBT2uBHXED/ocffW6n0bjBjBo5zy6eEiPIKMxpOA6S/m1zA UinI2zpE/EEluPPqf7qyzR9xFEcMRp+MN/C7T4GnV8+ii/MDz1BVutKzJS3PiNIrhzFJ3J4BczSe XGcT5ykecqnji7iDkMN0rqVuYlGP/vbMAddioe280b30i+/beXGazS/XGQtk6iVJx/3dmqV5d+Ns tjdbU5Sy3KpkBJ5laxyU+cY2zXu21ir86QKmNIzBOiF2IqSTQWmhGsI6j+TJ2gVCT+syjy23IN7a o79j6I/C2l2mRj/X1I91QdaYdhPvM+fdXN6zPprUm0Z8ISFKWl3pell20tKWqTabgGBge9nqeDga c16IzmclIWBY1SMKtzBB+l5XMprJ2KBX8lKd/aJzVZiLP01vUEvlpxNQyG6I9qAe/aYJ/DZyKKeV G89Hb8XVjdadGhPwmuD6bEXvp777NRJ3JHaX9o40/nLNY8pCFIhFg8CWgWQJRnpViqGUU8IE+dt9 0CzkV3zStnHMefbI1ZiMddUfjwRJI7tVruZ1uy+Vyt4rVb0XT+7iASr8eIdj4abqHTsDCc7bglNE rq44H2VKBXa1TQ0jFQlIH9dGloZSUDv2ABjWz3U+6m3mPg6wc3lR3RQC0TN/QjFvur/NXYwypg5y +2pmDAvBRDLvoeSro7SPK2kbq6mDtExgMSPCrp0II1Qr5Oqf0CormD74mQWHLWSaHQJd6PwAwk10 h5r5sTIN0FMerM9PB8/NN4bgh19hK+N/OZ6EdiBGIJW6XutpM9+Bv5md7o7zssnYssjH4Xavooke oA1+AZTnYNBALEbjx1JgZgfrJvFNM9noCMzdyGkfm9Oc5PSxwqx2ylwAluHKFn3zx/ERU7JaHpTG LRKAiT+jxPl28fzD//hYwgmIrpQDwcLTCGP2Vh4sX1bwaj4nCB7rar/pnVZv/TD7V7SxjwPfkyM9 E5tq6ZVbR+Oj8GiYjs0KiBn5gUw9jnNPA7b4lUiOc99xivj3NqWw/Iv3/rtIo11BWGlBRbMlbM5o /p402YatcVHwDVC/JBJnZcTzhkEeq20OdhG57T48rx7hhonCNsAlqG/zPSxtkrWqfUyJlPzlFHkS oqJirPd72Ho3AenN6hYxpIp401YKqFrfTQefMWtZEVa+eAtR2HM11gJKa2V7jihV9jE3BZzgIThR cP5jyGR+NLRBBJZnDSQ9vh9M81Q9xrDjea8y4Fb0vcovcE04oAlLCEu1mZYBzfENJEjtLT9Oyk3V Af5xAmst4tsvNAfHSoEGIRmuaCgL7UPqozNhng+B14A8L0XwYd0a2wn3fwjMFzfiw6b4Z2UAhklh IPBOtBoKnU9zheIbvYHXfyyqS9IWRPJ7tcYaM2yJCTdOCCARlSBZSDAMLOqOYrMOzJfrI6QfQM0d gXK1HUn5LSptq1o80KBgG6VLOqaNyIFWTZ6MCR00XYG4P4iIltDofzWF5i3nntJOUfsyYtsOQAmp cRbuwILRqTPLxtxXDnSyBwyMwv+YVGjMiEff2PcSg5zhAAAsCVmCNMSAdMHITNs/vtWYKVBBotDv WO8vwM7741RHqu5Yux9k1CURPIuJoPxv1wY+i7gVBIifGN+8LQNN0rZxBaiRuWhxTu5Xsi7yveWZ N/ngxFasIdiJgpQ22hINZqWD6PPpi7H8IhfGmEPclpfbBr+iex7a2+ATTeZi0anAgg3rHxATbQJF 1iq+oCPnIS4ZQXAOW6xPEc4ECxU9m2jQZ4fkRi18EV7JkchQ04YHmPL59mSEZF+rXT6eE/vkpSG/ hleIjtSzjM25hLVi7Meil9W7X8E+atN07c2DTc6079p/LP3tzTxkZZRiieQmXsk6KtnqEIS3VFCs buC+XExg+rjZjlOu9Cg2LmLy8p6LH8BkFBUnqx06alsuz8LZfsA21bhUOCIVqRgAW8hQB21DAHCO 0yg9kPwZlNcigwZfmYp0LVVfpjfqNLDNjYrjz16skHskC3gtmiG3rePY+zQ763q1SZF/ZC6uYHLR cYCirkNjXBXPv/5wO4p0vnFuIn55CsMYWMv9SGHNQ7IRu0GQnOEYII2xd9skBrNCmWExC5kC9K6w ymhWo5/0okmqqep8zi/+R2HivgjiuaLRhTsEzUE0q4nrthYivROXgC1Jd915DmkUQrC4+lsmbUU+ qEWUK0tVOBQVnpSTmL7KCPXqmOJZ9aw/AXBLVnLBYJkjNmuUMpadMW4FmvG9A6rBuIPlI0M9G6BI xE/eUqUG/kNM7p3eN7U6WocSY05pHdPk1cBX8PWzSX7F0Qzyr42hyQjqUtxtIsz8UWF6vdB50mJl Oksaqf+mbdHLUNCBpTDYa6aM1kVq+wyvNKO5TmeBd8kNJYrAToEI0S6Cp1VI4nkZFIxV17wqGcFo Zs+/dUk340QgpjFBGngmJls9pM0m8y4jgoTTcpyrFZTOjro5QnqrhUptLaK3VgRcSKxrMayGLWbz iDd8rTiJ0OCZBgwG+nw7cHEFNj/jo/oVTuJIyvmNgTQU2WMx8U4X//iWCv+GoP0mVAV/IDV0NCw9 gb54Iy5wWmdJFROEHMWGBClPbEdBnNZp9b5ll2Oys/66bakHk7lufs2I2JZymABP0tMq+YOhjfR/ O0lzZUBN2g6lIGgwvmZISxQchkvErzUUm1Al0+ir7pnxwmoZx7pKXYW7sx/uuNFZ2a+QaPR7clf+ XK8wY/0nfoO9iinNEw5LbJu/IjDMt7oMJ7gYM3QC0LVPqGzzQWO9rvCuTJmULaLwz05J9iGHYxeX 9V+asnmcoyXhtJnJBKg8A2d3opfr4fbF5m+yGMi6XCDhBIaUM2AAfeMgWIM+pAYUNFrTwtXeQJ7S dqhOdbxBVth/AloTWLMA5103UjIkichaVG8T/lAsrXYpn/vk1GDbTq2x8Q1KKiUtDedVEchqU2MX tI3qF/XzhPVT1VO9qR9DDHMTg+GQL1lswdGB5ay2X6o8UCQCq4ZG+CVy7DowkbdVoy/yOG3Kf1iY /U/ORyaxt4kWQB01XiEICJX8eUSba+8pHVoUOvS1BzuBHXARJImZ1CVnNAheNrESwOCh9XpT5QHw pqgjAkVsLYsDaPsED3gCp2OkQaG999nKXdcj1k+FqJJMMZhxtiDgXegC7iV13YFC82hV/ZqPYG+A Mrw9E76/3+GduzXMlQTDUfrlArzwl0O9xdseQFk5tVNQ2PY+EkjARp9stGC8p8+HIFJlG/8nNkLS lRAg8eRewnJX4kxU16x77nsHpbYAaSS3YuzaTVxl2XVTRX/ocxCiUf5v0b5aPkrcJfSSherhopGw /fQiCNai1L6iGCtQ5SG7Vbx4vny1dfQdGZSLoqXayPElelBmrRa5qJY7+OlSyqtxYNwBex2d1PXB 8fh6XU/IzE0w5/yahcLDPcrSDWrQnwcgaPA0DjRIgJI7rTehR/7QWNdDRDb01rnzgIO1mwoVVElQ rPMTs28Vs9lplIYeM+9E7WuRmi00x8Uc223HeobfdksrNPt8W+QZ8jy+D2oX1M3SUOpOg3tn2JEo 3Tp+jtcWY4PMN5V9mpLdM7YDQC/cRaIgVHuVgpXWUiGck03jRl5PpchmJXPtkrdRNUCWK2jmQ3LK BpxCJVx3mCCIddo/w/o1YPV/Nt15rpUu9R4HubLBsRN/SRUXsOQcvlNSgb6cjIJRwVXXQxta0ZHM sOw2q+xFddYEgYssX915/fV2ZHdh9or3shhKQMMgf8V699GPja335bA3CHaObRd47TrC4xG4zuVt mGhBTmtA9M+lsAXsAQGPnGNR/N8I2QjHVhouc0WT4T2koIbOtzDC7ARPEbkLZBIuPa9mBonKO8zq 5V30rTpIQtv0kAMrq4fGilx0BPS0KdZKxKGePlFsJK7N9L94q/4h1yaiJMhtkxsZWGXCoRPPi8d5 9U8zxCVZiOyMBAorPizAv/UFZU8+eHsRwBNL6jR9tLA2nUxfuephRJnIjtroei81CHongNXOk7CS 2VQiwHJ1S2RlgoDYETkXfe2B9soTem6ZmcIz9KBzcGr3KHU6eLCPPmx47kkBdhe7VJQ701Ppiii/ PB8prwPMaoAgpaZ7f3siIiCkdyHU7+oPpqP2BmZjakLwvSmoQP461sMW+wmLofCSVxOny4dcOZla MW41ksSueAi6cWQs31+OzFc77oBshVPaqbygJCYTevsN/1WAifYKxf1S7c6fOzuO/zYVvt1DXObq 8Th0E+7fI27Y0wJEbdkf7Y0K0oY2ikhuK2AaC2lLhLSr9tiz+uDhH8lKM4b7ZKGrAh3JVDJ/eAL4 WB18J84sLUK7UxTVipoyXiwhyhEMbkp92zMMc7vZecY2V4Qmsmy2WMZ6rNmCfGzeizha56F6GQUP 1GecG8gK0J4SjJGc5RMWdAhicWeNJGwAAcNbHoqhUrahjunFawL4MCb2B5WKjnvzXXEFAAdanZ71 C1Mg/w/nMMoJ5H90aSg0S3c3TDvVOhdg2cCq1/78oThxdl6wGIzu5fqInXH7sTvMiQhL3rdZnbmM 2s3VxZWpVh1LZ/y2rIFC2diCbykdTf3c5z7OigVne39qnMeVxQJIYQqEYJpn2Hg/BIIVJfEIPSPg zfSvVxwhsYS5DLZzgZCd4piMGUEhhTWLp2oqMbVGuX97Y0S4OarWmlyIzPpxUG3wsE3GcRXk5DAB OJf9Lvp3kOGvBZ5Tu/8/psBImFgocxgPaItGXUlmkJ1wiJdVBLzmm9MexkA4QeteUUdDxSsh752e eMUJXPtjI37bE+3MZ/qZm5jqlxJl9QWLWM4jicQj96MCZu6QygJXB2HHWiucIrUGfPqXVdsY8ZiN oA+n8yuTwZ78fMRTZ3cUjQhKHlNHa784SH6NnIBr87/YUk7Prllq7uCd8oQOXrAOrPHH18+9kjp7 dcSmGUTtPiuGWQhmkF20/qa4BmI2Gv1JO1d1+l+6pxaBvianz17ZR2owjK4jXSVM/D3cdJucTAFF 6f+XCPF8RniROPZbsrVkEs3BtYRP6bv0IOf4gzMae2zs4fKpQh1C2du4zQNb54JoW4SEsa56P/IL rYMoy46EkOhqQthhjQZpYVylSTDrU4mI1EFLz8KEsYqPoRqmXxqYjqYLkbVn67uJ/BqIbgG17i+j 9DxPABVyy0yPKklnH5K91jlmFIw0gyOXQHDMLHbAOf2Z6ggOzeRqSzJXxOsUlLRlOnRGW4FaESSL 8tZSTYx2eOaSMkjyKmOXCsou3D3dBUhul4SmO7YB5Dpp9tcBnFsQMcL3RhbnTUHnvudtoO1iKEW+ AvcD7h/TOidFRhget1RwQH60uOvAFxrBOf0Uk9+liOl2UltKqevxPsW9Tz6JmmtUMqxOajDNEoED MLgOzS5W8XIrBZXh4CtD2Kkm9LRAWiVHUSwbdTlWM2j/zaobE/nhUCh5a5nflHNKbAcxJV1RnsRw dRbYA2ICGiLisDnwgr8gA75JPodHO3s0fouKgpeaQolaW3fkz5yXzPaLUW+nuThJW9SxC+1Tnfz9 lWwGLN6U5AfpYtkLzQhhEehGmWtbaaTl/0B1WXGGTY9ALe3LJarZUsurRBnffetiagJaMR1t5m67 cbm9Adh+JfXEaLy2Kp8OeERW0tRy3whNbgH3SmlgYOJKKqQ+8zYoSxHdGLAvnmxhNlgPtXrBh4b8 TnvbZWVlEdiBNHahoIDXk1qztrdXb0t8TipMOCNQR7FOjyHq8ekgUyCFFoSi42dKFKp7CkN6c/cw cAoJu55Te/0j83c4vc1dimdQ7vIqWMDnmg8gg/+br2OY7+7z7eBZNtPqZ+j4kmGYewN0MaMacpiH ow3tbhzNvllnCwgdLAWr2VPHtBePrlXJlHSZqlsw4BVAZ2mZloTle+8rdwutrFDQUIOzZ0SI7xTD sgvg+BjIw9HqfPDvvxbD17kAMY3trv7f12w5NBzApzaWac9aGbm5aYVKeIsUSedEq5PA9sDqaNxF +qv6CGVOhNX3zHvDZsYCsvn9zoa1eZs7hykstckXJynwo7xoMpiUq31xCjNA+7YM6OJBebjSEr4H 1HG1yLPHi1vTNqpFNRqlywx7l69yZ5PLqgpSGNvmU9BVOVlORXcqpJYExuGY8znzPxBJtThd1G53 hucDbDRgxvEuaMEQ/GrtV+LXXeN6fdHY685kFYfoyrR3hXxr5pE3m7RRY3FigXOavC22Zxj81GSf tlCjZ02pBoxnXOhsjkuq5kCARLKDknkI7MRjv7Iwy9gbZrT4lp4X5hk0IBFGRihE+GDGJNLdH+XU F3Yr/hVdAC2vUDpteMEQk1z7ETVYQ77fjV8oZe7TNseqD/MESvGPCL6gyjis1byGhYVp8kCNJPxV 33Xv2p3ydCDBC70Cr0bIlT1lzvscGjfoEQZJ0PTA1ucgj05wyW7891Ve7ppnS5nPTPlQlusVY7Uq 6S0kr54hudmD007GSMki4xZH0zvshGFU3zqVZiKrNC9HYNkG4ZE+7QUcGfvDaVq4gbkjltFZwu5n 9d2uQRBlBPn6y9k8OXPcM2JCK/7ejT/61ZzAhc9806IE9U4y7AAE8hRHYmEbBCC/GWZWhZlFplsW OxZOl0fdJIN/zTtHx17l3QRi6K12zqfTyjfUZ8FHbdrhaPSg/PkTaqrszHkqTD237nBTo6xCIZV7 LeISJdwlOBPfhOZJ/QLZ5d5Zw6uPIQv0mjch4miQNIath0JuWHs6m50UQYys0Vxjx6Dsvcka9jL8 WvfQuGozlF4R8vkVn1jz6I8ph2Qin7srsUXOUCRNUlD0TbGNL4pdUv5n58Fs/459ktr6cpbREyE3 otdZ/LKfkbUlpuxN6d3pGnf1Ol6iIq292TrDkX/tUeZHd7GLN5afKcDBciYfryWD9FNXRtZQ+NjG s4qNMt1LoM/VvGI/yR4/gnanbqkAt2jkKxp6AJCe0g2LOTSJd7QT75kpPMJjaAdlYnYALKsqRktf Ae6xdBMJLJUME0s/psBTcNsrV0p6ozYHllS3gkw4LKGQiNolUrdzGwicoP91k099seevVcfpyIIC R2c8kkD8XpOKwCiwFdwVwBdvtv6sm7APYQBhZ6xzM7s1+IRZOgper2ho4KrJENf/trjOURCcjSBD HqsPYikGsndTd3GCxiblcjCabnVVatsxsSqEwk38bCe7i3lmGzZK+pdjNVsdn+Bc/RqZtNBCNxkI qjqd6gB1Mz0UHrVdoBA1hqBKOogtLmjSmG5s3ctgQAPPL1AhNKb0CIPgOLSllqTTOZ7LQv1u6DSW +nhSCPO6clgAdwrlpf3h1KAP7nG0OTKifb9yXDJ1YnGl0CCTC/kd+UQ4hA2LWTSwFAuKa5+EZjHV 21ERBv8Up1tq5ScElF3NQfqbMjV+LeSIkloTcrNvTpumZYp6q/fsu1KFmuwV3LXqf6UpQjpuCMur yKSpkYmSyBbCLS/0ouVhFSRdSsLyySsBEUx8y809l3OAIi7jocY/iuyKQme5rZsLhGT0903AfyKh mGwmK8R2324S7yEBDC4GrAHXMwquQP05LkyuQq3QtSI6VhiJd2WRb7EpIdvDoz6rYn5/A9jEQpP8 9fhWW57tFEd/nvnbdOxIoCLZMZyzPupI6BsTy8HQDLgC9azs3QhHRudhS+4IKAToLuhsdB2a297W f6uDa5EI6Ke7NUFcq5tMQ0Jl84KiiNQj2fI9tycvdxl/9mcgw+khoeCoML1+xdm32DKfQQeGLLF/ P32KWHvXvXPk2wA6ICvv3Yy/Oj6pNO+71VppiGr+hnzRoqY4XMhbb+vQdqosUkjRLPYQljqDEuis UJnM0H9IPCnO3nr7i45Ux7aZhyk3idGorUC2kwMmWTrUKvEFTT3amx8DzkKk/JzG/Lhwjb8cDjsa WrLsOLzrUyiRLd2Dny14ujsZUvS/OOnezbiYaJKd3Z7/WCr/hOThUl5D14lczvzWjlurP7HYNXOw gM5TzJ1ilwpXkSrSFMiWaXNXZ1v0xwJzGNlO9wM7lxakTH2pbSELHC4ICngsmUx8h44wv92dZhfs 9BjZf+G3InvvaCXkSa9mLxHEApOaSf68PlD5QEpxzMC2wcOF+hyOpgNtBGuZZ2r3C2GErbPBfuIZ 1YjfwNEnKM3yLq6At4MiORc8mZsq7hga+5Rqm8hck1RTJ/ilIRhwhR+EuEhlLNHqffo8GP82vnDJ GfgjI7eDlofere3tHoOHWnmoATcXhFGt3D+M6uB4iQ3R0Nne2Rf3+w2Cu8Em5SE7YkTd+ArlqDBv vu8iN6dRJpfAjsjASzhekRImps8G398k58MhSIcnTN+igxQ9VXxYLgep/6rn+xejimjUwLW+YUSg Ewfal+u4FSFmd8XD492lXbf3wN2c2TruTK32Xv1WiJAnWEf3PlZbx1Uf6wwd1YYjtnxexlWZjmWQ y0vWG4CRmGYrW3+wPzDCiyNOlCcKkXGQmoHaZUFMsMvky09NxKhU185JceJ2ABiIlK17fmZM6gfa jsUyOESNjMaqkUEfCkgHEe+Lti+2mJZhAebxR9CHDIRp0sQ1H3l5IXzBXkcYqPg6Gj6YSCn4r+bM a+/fvr1DgPX9kHf50RU0A5/TbApp2RkXFQU26L/uUhXTnfvxK3prokwDOegCDXtXc+UTBwcT8LeM ijRc6qeEOpdgnqxGG7HZM0Muih50XM6RZ4b7tRh7trRjozD0xsI+k9WgFddkEeRNIFtAYglP15DZ uxL0lmjDI9LqQm9TfqlGnbHxubkAHq6lzUkx9QuKZ+PLiaB1u4ek0PDg9Kv0nQUjmoa2k5T+oHnn 6o7rrZvCAXIPaEqA6AkuQL7aOw2mtxS+kAayD4DsSBbU4A3y3dvPDvUOQuwAdWqZTj+x4zl4L1Tg zyMavE1mV1p+eQ6/1OOKTKbHlypJ9nfrJrhHqlyyG+z/P6ZqkGkctRNJrgJcy9skhI2QZsH3P9RY D7Bvarz9ph59DDoJB8/7k2fXKVHpeTt8OXKCFPkFc+A9jsdVMJzcYzJkvmA59leLb/pkt1OkLmn7 0M+gkwHn4PmpSL8+gLxuQepk4SUe4v4gFJWQXST0aRRrdZm0COAyRwHVBpCAXqQZ7wk31mdaBli3 MERkf/vdjh4NQ7/3cN7u1m4yZn/vaEwIIpap8JSI9PmN4KKDT586qlAR2R5tLFiUaMRZGNMhrAo7 7ktOXFH/crlx4NPSrKI7w+3Q3W/t9DGawrpGdHaXgrE/IsGH76TgDPtmYOCSVireF63oCZfOfAYo XlssUDCaYXXmc7eQPYCBcAtZcPrie13vQe9ohDvmTyqVZwcHNmDqZcIbBxtQyEj9TKxKM6CGGgBt eSVsUtLDQxsZqddjqcjGARq8wdS2fhLoYpFkp2/Fv5X4AWVL+lkjn1W9qqRz0hiMCCYYJe6It+6W eRT4Rr/zfpfvyNqQa/3F1gjEPIgOcZOvMeW4dXhd6/IkNeFrVRlURCjJ32WhiAhtCVrC61ZJGBF6 aYmNqZ6hMc0x818mQV4BJLkPRFQOL8gzIUPpiD7QQaCnb1dPft1/h9OH9DGD+tQ2iNUIjzccZ87w KL1tamTUbuLAhHyLbjsS6ilV0xw8YpQVQf3b3v/HIhREhOw/6hYUa8AACRM4FyQ09JyftDVJ+rHW 48pATvl6GY3Xq2JhlR/pu+eSnIc1cqcLU6fMUgy37wUREwiNsANIHyCl/9c8juw+6khD15+m3FoQ JdErY8Av+RZ1jqGujdMGqOw5gyKdGlx+iXxbx9lpHHOMh9agqBAUzolMGARqIWmODmTcqcgztV1Q 5f4oGjN6a2a+C4WOZgDDiATe13JpmmTXHWLW9UsvICT0Ub6H03GoqBsx4DPMuHdg5yjPJCfRpwyY J2IEppeEvllZAg35RNF/ztNVylbl+bC114WDCtxPskr1/oCnYVZIvqzZ0lpk/3Il3eB47GAs3RJI 4uUGV5Ayo2DghY+1+Cay3HePGq1BXlmMv9Saz3z653eJdFo0/aehNEzlJl1QSqiiM3zoQKyMAB88 99iguh4/MRQvIXzcATb3lDmda8kpZ2L3/hXB/uKTfwGj7UKNAa+Tw8NZBwYFo5ceLXccl/3u5YOo qPdbx7Y2EXHCFQJRSBd+UgZ0xnzCYyd80dA6Z5cpf5fJpIJUAKHwxpN8I5/RvEdcOi8kSHV9RZwR wX5EvSSow8odOxB84kK7cXMOYwxc9tq/TJ2iQrWAQgx5uxc4pN5uhqf4aY3fyh4KMaFE/nnugROk AimWkr6ipZFDgZ1/pq6A8PFLOUnib2zoSkLTUu363IcEBXMV0MQhBNEGF90Ue89gc2kBc2rNj9P3 lDEvKqg+G4inph5M7T3H5xApfe4tVmmE/3D970XR+xfKtq3F09sA8wtuUajSHBSF6rM1c+Nl/zKE l9g82S9dEH+cyYXvY0jmzMogsXXZuwKzx2EM4Zc6RSWjiIQ3hiNXxAtwf/pv+SWcCwKui4dEGk9h ZxKtZ8HBL/PK2kzNLF6PLQNqev3Q5ZBPhdGapwsVmsIXBioAAEUROpYHrFogt7AlfpzZBFzXWccu eTAZVp1iO7XyMkaoTgBPjZlyGdGwnz6uA9PhHBirfAf/L6VMTaSBSAqQniR2JRg2KMHaJTqB4Imu J4DhqgssbVfhLmMaRthV9bgt+rdH4qG/ZxKJWkLsUbNP6Fo3gHlbOQ7hsFsARpCkQIzaouWKCJlN U/49lh0eC0BafCZMdcoXhj7ARAjmRRJHQCFfN23DeMN2QQkwA3fT3ZBLYVm/iO2IZus8UYPg8V6F /I3mvG61WtBvFGbuo4HKSV7ZPOlTd++FS7U6KWq8v/phLdLBAEpoUEoxCC2F8qJ3yzs/yfGt9d9t ZpEjCwPdrCbd/E2vNWCDUrOKB42zubTnXjGRf/ns+44lohpmSAOI94YD47ozmusrPT5b0QhzK1zO wFTBR65I7WKx+ddsMpgIrUH/KEVGwDNCFXz0cl3+mGyUjGUOx9F1WeqiDtT0MGVzk4BmRWf6WB14 w5HUqx7bURYQc1NUHzkrPOjLMXhQsAPiygdIJZVg2Us92U8g3hBpIR01kNkWc8CQgUaDnFjWlXqW Y5FjgQa0OfMAAT6T2jIFhBmsY2qDgvv7+U9QlmGkQhbaCWo6FDC3H/fcEA0Axjck6CYx1E+jrO0O KPe8Q8JhpDn7LFftpuB+Up531whbpWFmsk+vGEmg0wUFMY6z1GvH1YOLFVtqWDjzkYZTD6ciH+Kh JzI3tzZL66JiamXz9flaHevHrJtq4WCgwYNkOj57vrR6dH8AJh+p37CaJU2KWmA/0D6oCnhkKczO 87asLuVU6TIp0xJRZqmHlRPqJ7lCvkHI1mJuhaoYSZDq3185ZAJzPzjcA/3v/c6mXklK4eysjtF9 7zIqFcdn9x8byBYjMGoOf7bZ6Ia0QwnQpdmLwLcXbCy0XrDzyRyAQ9+gAuxUYntJyvlKLcxD/rqa +7PKkwQNjNlmQHrPEBy2ZLzklyYl0DA5C3c2izttTJazt6KrB4g9N3oxwC4q9GobgaCwdTUFLTM5 o83Oio4CHf9tl5CQj+SVyIL5Vh3TnVAMdjBnQ+1mJmbjFYpCm6esvwQQkXpH1JabmraC5D+aCYcP s2EYxCImnB/WVLQ64fDvF1VBrpICBz6cAxHmwjDngZs0/h+AfRmQeuW1o/JKYS7dVCW0estSr3GY m8IpGUNC8KnVIxZe07fK/TlEHJv8c1U2jGa0B4PsR3sf2lhpd9z4QB9r/GIvIARUU0syYFxtyX6q tQ7JcMwbvMEjIm8ySIw4LfIHsU7ey9+bCh+gKnvlfVUHGgvUh/E5oJ3k07qos/5u3AAoSXsg9s2e gRBy/j5/S5WpQW9FKcVMfUYj20ewcFndeFkDE0stcZvkJR1MgZJaFOz6u/HOk4tNEI5WlXCm8VyQ ZS8CnfIC2J4TK5RZtspYSTiNItvIOpyPPhTIgtW+4JXjEBRWXxsrPgOEXeEmhVdhZnhIqetZ44ut US1des+4lntkmp2Q7vLVI6mBL02D9V2k9XiA9/w5lJyanaNypf21Abu5JkV3BYeDyHNdLMI0HEx3 s4SEMvHgtHYBzfIeNqjO5Gl5rbv3SHofHd1vRTZlDA0mqHV/KDxSnzuVh+8xm534+CGtrLNz1luf HYShRplg3zVo5MHsitN25UDmHmDctZHTnq/8elq9FYfv0Cao0nejcFkVHW4qoVMAX2ZPV/3MlVyo ookBRMuKO5915qvLp2ycMgxzaGWok1eN+oduzRMXxEODLctFVrSTrwLXHZ2zP+g1QkGq2pGwE9Db 6WsvQFyzZUZgh1cVbThVRNyET96aGbgbGi7ybFsIY+7FqYDpHZbFbc5dE/o0y4fCtjxqgISqPtrw nuFD9Fbe//Q11w4d40LoxQsDelSlijzUGk+m6hh7DzK9+wZuM+yZUlUpWZnpzGMYqbWXjJX0wSRx AdYv6J/19s3bLgFXBaVMcV1DGA5hUmWulEuejRPgspXuGhOPOF/Y6pOQF10f1s+NLGhzJb6ENPv7 /aitP5Mo56wluQqW7FD4wcf3b4NzRkJ+DxEeLBrIPVEYuyTtK7lIMqd9Bna0sFdCvx3T66+H8bpT 3f83JkyqpU1DZ96cPx3PIZDMkNa2F6hC9c1S+mG07OURm2Vn+Wx1SxuTHLi4DnzVAhSfdis4CiBA zZi8WBfCGpYZt/MlRicKvn2r13EdctQi7OSXI4yypOrKYFUWlu4wFOYLEn9osjNkaZRYJxjOs1Jl tk8N2OA9VrQ9pXAFgfYBLVfTUNOF/hjDFE2LyzJAsdxDBSQGJIR0pdpPgGaTdmp/hnADdXjrlUgq 4Eeevp8hNZ8QHhzhg2j+pKK4WXxddIapqQalepwx7RjcfQNWlNT56wlQDgsnOgWDMGJVV1DsyOEM JiKLEArzI+oCvoKiDzVDDAgnVkLwuF+oEJNd1rhjdr2GzkoYb9S+pqqRI44nbV1l3OPa0jsEQYrv arl94evNmok8J7H5ZxOyi+PB9UAsqdmggD5mHEjCDh8MtSJYFx5iuuXLGixvXUk+a9ExI4UgmBdL CnMCVeTY62Wm5WNcLEEDwtT+BW3VCdVaOAKTBoZuu1/fD+U0zFRg6/7Nd8VQ2lZU7xITkQKmoMA6 xyeoQiJ8JNO/s4tyxu8dWKEBYdtRhwWp2kdnZlTIIARjnWkP/Cc0iptNY/cjhTg+aX06gDEooObA YdZ+1Nfoq3uOWvRFkaPQ6qbtNq/824zqkVwB+gQYvttm+X+TuWyaVtGCy3m3P+zUGplvQi8RiM7m Vs+MNZejeGO97/ft9WaiVgSJCOiw0gYXSerm76Q1uoxBM5LGYvCbO3bVbkhm5rRQB9HjMRkoulGO 1dGf0YvwUdZAl3ZbRz4LZ3eIqqRVe6R7nobRFQt7pUzXmwhUzLfjcMMHnqHURcd4upDAktscftW3 EFjzWltIfQMtr0JhDQcCs3rQcBA6H57mwnCgbW82jwC62gRm6IIA9FIFx80ggFPmirJ+fyE4uppp St7vNvdqcxqP5fFynFG1Cjk63roI1nJpeArJkPytpK02HtpPsJRIaPZIUwe5KO9RnIC6Kf3wG+U3 Tr7nq0i31ibv7jpvKiXy52MA+ZO15GCFxT3lcmpOtySGwRRQ/vDyqm8gXUhEZgdkvCTrtgl4QIjw jmfKNIMXYMhLpNrFSHmVEZPs10Zy+kdqvtgxyhx/eP6r00yMfsgVXDoaOdcC2aaIo0Ee/pLfsp9R qUSjRmPnsKM0ggFZ86FIkX0rCd0SfzA/JWqBSVem1VVW1hC62E3XIZB8kC5Ry1IWDgHh9j/gFmHd vzBQb85bRyoq5TVWv2rt1pz45rt0OK2+z2OIg2eZilKDfSu8//ons8RjFU1fztcEZ3A8P3SSAmnf WdxqYrbD1HoiIBYX3+izwCrMfRG1ipsPcjyIHTlkGboKibyHuaOSQVddak4Idsl3yjW4LHWJpm9y 0KPNJq5XrNVf9JY+Xzbb8nQtWMCgXaXE/eqfowzDWVE/Tqg7x/qRaPekPcV5jzz45y6kJE6De++w FIWitUB4hO9CWeOy61rFHymjRmeeFRWwNu0H9puAO+vnqi+wBkw9pInizn4X+kxvnTQlgp/mSbkR 6ZItVdxaFHjDeYWoTJDrlzq5zEfUEgZEw7T0BUgFs1d+US85XyWVk3XYDwbUDM6kRmFl9tCKGWhN cLTQKLQ6Bs2rxTxoRgyulzqLE5He2RDVLa+FmuVdrAxyCZRt3YZpjkmd/SHMSjjjj85yGIZjGv75 yyC8/0xwiKrcPvndunz65bdxJ4a+fE3TIeFcUDvJEGL6MKEL2RnyWuSr0INMvDULnLNb02+Mp3tg nC8ffkZslXoXE7Ab3JWlYSS+jCdV2K+ph4/M0iHH/wmpj2W0RzVuuJNXtRKe++IhSzs++21xa54W cLoLp9BM/WFlFPWwyEKNDMw7UMj1/jTyz38bGfbs5r1CXfvqjI6HZF91ahDINOFYWxNzDx+odoQ2 Jq9RmgCRlttr5F1pQozgNboRjpu3UX/navvgsdrsk59BfiRhiDwe1ahuA4xR4HVhT1hmfSILyYED eqBy7XwdtSbZuV3wyBMK/xXNQ9kHh+MMcfqiwUn19g69AesFJD1rM2jdrrREXdWse2/tWqIlcnm2 zYFpsNS5N7tBG0e5to31Ae8Tma9qZmkM+cVYpsDrb5WVPWHUZ0R4NABOSX5XuGBF0GO4Sndu3ffz jXYueMg+yijCthJKlofLaktPR9jhTsbC72F7B2YyqowDr6f5vSeyVR4b9RRkcUs4fMJzAPUbwTO5 viepHivvRh8cYVAWmJcRGVsLSMfvI716oRx2YD0J8Hm9HPZspOyKszYB7+3UDVAKD4OMvgHVQAj+ MRylyglqntxHvhsLVfyV7OIa8YLAcavbA8BZnWiCRn7rlxccE4hFWWtRQC9EV0wE5//bZfEnzQM9 hBeRy52kT0FklEUY1tYolBE4/TnR7hh078vm1Y3IdW5+HsBy4x3osfZAD4C4RWwyYc8V82qjKBBu OlVuRu6g0s35FNQFNiXjcMqlxqZVHgKJp7otGi9tEWn9la+1U/NiVcMzGSC1Oe2BbUS6BWUAa25k o+ubxgobx4WY7zAi53wyRGY6+yH5h0ClSrHcjrDjCbD24Nczt0oh/wun8zRqiMhrz9L5JmvtSKAr Bz/BwUslFqudPJ2LWP3qoBujv0TiLKTloQRZV97bT+bQxNmr4/DLs7gLx82WIkdzZlVakwjf6f35 vgzrR92hVrXcif602FYiC6cqldvBC5bTGo4d+pKcGZxJ/SRG1YVNele8zMVLj+H1Pjy9oJpVZ80i 0qnEPmzGF7i9vAvrcjrRK5Nvxpt9Vc2Mc2Mu6wPFHyWhZLch6RRbkWolpUXh9754QZMuKrGpYBfe e1huOzD62QokRI+lyqOV9zKZuQqblwlxB0/U1zaE42eUSfrDn+meRX0cHgHHw6zHo+y6/0Peyd5Q wTPgrwic6IgnyC3h42X0s0z6V2qSnvd3pWkdcEhBOQIBgpF9XcD7cXDfmm+F4UqcXVcd9Jw0dzMy iKBCjID0RU3YtiAmIM+dzN13vSjSUI5sNWKqtKOEHrnd3B7EPIFCbTyI7eWmIzR+d0OqJq3YmMJL tIP+ieRtAVQpyQCVgkcr4t/MypX1rtGVx9p7cICjTGWk5Ie+G1ihaeS7pr7kNAMdR+Hd0aMxpczT nt6vl3zJI+TcjDukl+E68O6O065rjfayr47VJYQ891AzWr15XaiJDgvIAmAwNiBwIzcYzgwVc8Nc ZhAtTo7ATuEkrsWAp1pSEe0IhcKz7ABwyLqRBzsfxRKumdnKgYeoVEIWwg2S0lWS9mDnCemisG4O EtdCmAt7ztK7nvK/sFvXbkeSi/RnSMCVdAaF+60ppISV1S91xIa7PGE3E8PmATqUt/5jXCvNoxcO 3yRkm58+jS5RMKYXuiyAdHqWVupEEVGybj3IPL8qriBIqbkxF002eFWQz8LHeSqIzwbhKvY0o7Ak TA/W12AfmFEHiAOnG/8AxJLed1oYMKoMIOVxIw2svp5pesQROLNFXG3oAiKF+iW8LHkUMVqagDcb 4TM2vsbvgT2fxnIAQS1c+q7hRW0wYoTDz4rTyqanxAxJw84jrSFwRZB2+sgIyF0arWDNix1Gnoho 91DTYbxu8BpywMATCpEGt+AEZ5CAEtxtHj2oHG8l0NbFlfHwAg6s2q3lmcQNs1UrihrWMaNxPedL 3A8qd7Fpxiyh18JZ3QI82kFZ0/V4W6GeGfsdmkZyWTkV5EW7+FPU/oAn4gDSj9jRFYJUMJaUAfWa jh/6upAGb4OM8jAfmH8eCfQndvZ5CjRchQG16DGd5XOnQR2M6pvkDXQSMFyW6iHzJ24LAYkvFOzR TgpXIcNWouVGIgJMMtaBwnT4KcSCl4NCdRuPiwjSygpBWIb1FmTlkLVZV2GCWaR3GLJ2JXZaKYoZ ow1gCLDLhWubAA3132e/Kg4eKVqf1uboDMhdsnOA1VlpfSPfbf4IHh7WP4OuX2xmkjqHNYvx08x1 eFYrlVfbFRnRPAFq7riqfKx3lD6BsARVlpUcxPYcksyZ+LyXTNtDQgYtwPdP9433L4YeyKFrNnwK JuzCy08HstbRTl1qYTr6VezT+iiFFaxPtDb2BzBMOifkdpmJVttbEad+DiSk65SB3TYK8z2+GeEI ZokKNHjbwMXA+/r0kITCdk4ZM6eDnQ+M0L1sKVIMXdq03DgCrthyXgMCnnq0Tr3D6tJmSfKCnIwR gH2OPhbyuK/AgAKoJ4uaymUchGU7Rk0Fc6lHGVbpcMHsra/guKmf/yWPIksl3H798WeTsQJjHuOX mwzWlLz1zuO5k3an3yE0dOyiXOZWZObdpnINWcPzaE5sX2iX8VpEcb4JLyMBwm07EMGHiXMmBsza WRw9HkPY6aG4hL6F98rmrKoDEZOfSrcnfPGTdUBFJHkJy9kNmcJuvCKHJ5+O+usoPvSwqAPyvM5v wJmduvhd4sA7WGLGIqAlkUmfvHDBzIMs45sOZovY7EoVci1DAX/qdqfzc8kJh7MZQVBSB5zSbekD vFI8d3DLWHW4vd0FWmVnkETSDFd2UKk7Xk2aeJA0FiQKPSpAY/6kQEjE38xkvL9ikVRKWYxh+N/B x/pRA38k2eFIkHW3+TRpnNVWBuHjEKqkT8x7jHYuVbSCrz3HeA/9uyL6riEX8iQfn8QbW49b/dn+ 6BM3GyVddt0Fypt9GltvHCxHblQ429d9/FiBhF+cRXF461UXeLfuBX5icgG25SFvC7jHMaq0xWxr PBF1RNEcHggS719dWQkrw7HZgMXsgSpQDBp0VMSPJON6eBtaIq9f7j4FKSxAP/N3uZ3XATDStrvK gL/pcD7iUDi50wWiILgZ1rIyNvSlManHh/mpCmMPAFaoUgBpCiZ7lNKy5jmA8I14R+ylr8CsiG1H a7qHfdDeNm58qPUHODe09U2FPVxmPNpBZQoKGpac5UuL7fjWYAnEt5c8dc6cXK2vOHTEyCQCs/Py 4G3oMghEvgb+fg+n4QMwrJ1el6NS/Lf4s+vyswaQQes8HZzYmNbRYyHtM3m2npwSoa+8zJWdB246 6jHx1Yq6rTm9bTtfyp/6iU8VI844rCCD5j89/iOIQen73QM5uawSiwZwF7974Ae/rJXjxiXQCeqw XCSxnb+8SGmmnNM5IY5aVVY4Zn8/8s7XpakJfaWZ5JIU/sqoV03mQbKryGn9ZNNCVGeyOKT2UO0j x6RDQAXvPRWUYhO7wFzzQekdRXJJAnvlvEeQTDUCYjatqx00hHreNVs4zPdGuChxxqa8s14M/gQz rrBmbr5AQLdAXyKXj98y5aHYS1wVTos+EQD2vARq0TwMAYh2mAG/ht0llAJv824KQFTHceTbaEVY MBvjEp+yM1cQ6HVBDa1H63VLAkRHHl27i7mn+uUqN3TGwed0K6YK0kRw+wJJfQ3FgIwU69QuE8Wg eK+7NwfwZ0oqtRbTqqaw8Eret2eYJWIDvI54iHIhkSTcXanqlLJopB/9Nztl7oXq5MvEiWBpDdA6 wIAXjrie9dWoQC0pFAaUb0UMb21G2YTuxnNH446DHIoYHC2X7KiJm8J3bRsuDjNrBEiEb1c3JL6G 3rwyUseK8Sb1GSMmc+88U+BZiBY/fP5bOMbfZFAnriUyi/nL7CQNN8iC7bMskXheGPrDVrLfxgRD 3BSub1UwUBe8QlXqYCNeaG6TS0T35EpWEHctluRF+hhS1P0UVmTMhBqbOWwO5a3k8bzW842LiTxF TBoKDJHIvkkAi2ULhjhqLiYJ1Pe9TAMkvGTpK4CEwCs4kL/hLvVMuAh5t1z5WkmxbSPvSOtZEMr8 k/qrysIfrRHLdCPt5Rt4rknS9+FteQec6JeOdhOgbbRWXgOZp9x+k3GZFudG568UIHtwRhf+aYxB NjWQyDI/T2+Z3X6TPvwCbgwdqFdbnd9bq4tMIWwYzpjYbdbJ7jEbsIGr23roLvHG0L5hLzDzFTp1 NN1ClV6PTFbq1hkhYqw7/KQia+R0P0ByAQRrAEMlTYsx0I4CGPRHIEJZW1frVbs5YkPScjgWSQJx mKDUDSU71CeIBa6m1e2VmjYOdoddGus9yxvt3Y/OE1VuLvtabin917BWp/4/cF47mCU0oBcr4RHC Siin6D1hYmyWoEi+iyFmJBX4XtcJgjovyq6eWOff1+3qvlV9HTSTee7zHE58TvoKx5YUfKrtzdMN sydWrgNaZrknV0T+ibj9LGK/HU8Wh2CfeDlR4dbw/bU9oy4FITUSA32ePUBUV0GZ+dSMQKr0rzy/ OryEkmVbzgV5qJhZFa/pkCWVs1qpLj/5fLjNk74kGMW8OClPgmaSgNie1DrSItLN2+pb6oeCiWir ebaa82XsgsiVaCtxyw+nXoTBScoaVwdJuvvMn3XP3AMrZWioToeBcqgA1gvgUsvac6UXy9P9yXz8 AJKbvDhn6eYI391vK16H06N5NBMC/lj00sjpfLEcP9tr12O4WswrLcWgAeuX22INwo+dUQL44fYR v2MPBgWzW7xOsGAtb6Emi58iprbJoVLPNIue3d0kdS4tHOjlTJ6Lk5VN2yTWhvEQI6hgR9vseVml Irb1elKzps5rJKrz7c47eLCTdns73AdrwqssRzkhwP4knr1ewPdp1RhLB1o6KpJEPyTQgvdPrhAD swRWZigqzz+QvmOFy1CfYEEif9B1f5UR4aui5b5uKMy4e1qREJrVlmtQKRCCgw3zQ3984eY1PtJL y7Bj6zB08zD/R0OeXBToGO2seHoH51Wwr8sWHhfM+g03VpPGqD4oLd5PBIuW2VNFEiNWaBPWXp9k G6Oa7MPJtsWbJ7jH8kplrrxPukkfy/Wl6OIvbhmtOpfLisU+m0Y7pxZg85VYHnVs2+7D2PFO1D7O 7OvmPKthJKfuAmaWhH/26PFykjVIGE3CRCyJ8QauM+Rtqg24y5vBHvKGOvKMdXtktyn8/UdWsPFn 4DrMVVhbLChaIjAToLJXKnquLBtIN4ISOUEPbwgcnqcLHymwO2aDDTkJeFkf+0YJGGYeEB6dwH2s Y+F61QJKKQx3hfNmXvC07JEX/Sph9mg7IC0lO/KFZEzmIdmyXjDJhTd7uCDHYEABuLbn/79hCCoX cQFQ4rCg/osqf4qPvUs4JSbqBULqkljadjl9/EpL/VWeH9k6O3STHu4FQWDvPx/w2mU09Q5NWZ28 nSuDIbB1gUJjbppDDXWa17sTZFBZIz4L71KNVw/Lseqx+BbaiC8rPpR+c+3wtByCRpxdBPTkYB2v WcueLySmytKPialzQ15qB+9nKxFhiAs+IQP0BkcE5yGm27X5WyGa76Ia5ZuYpRtTtJVApvOpQcmd RoiYjtlEnmZmlJpjhKdjZmBxhTQwI8k9diul7NqgUehE0IHzIMHEH1BfOdzmsxpMNczKpqLrX3w7 29m1TWUi17ligXVv8RcFM80h9nd3aNvJ1E/I/a81wnFRFcajg+DAOvOe3IFZN5I7bFZzNWk6YgdH 4AU325sM1bIj6Agnf0dhsg0DZmTeG+KcwD5iWsrZaUhUQB4C7V2MJFm8i7C5O02/U4dTCh/Obb+Q 4s/d2nummpNx3sMaBEhHNACjjgBzND9VLy9EKtfBSZxfgI1m+X6ZaDGzJIR8OMvnCK3AerNNPbOd d1X6LvsF5gmxD7l1A7lmcaMDYgOS8d19xzEptiVGycMBZI/InJTQ9RTkss5iJ2NrZLHSFdYeeAAd FDaIE4X11Ryaw8GNYAdKcaobQFEeYITtVaLJq0fDiUmf0h4eTnvKljPnWWpamVUG4msUHPthEAwO loiZ31/VTgubl/nKAmWC9ajY+yqaP5oHObvx0u3qiYYzriU1YsDPVJJs7VBVK52NpxblSJiSXr9U qLOW0tKgv5QvhiX9nhP7KIsBQKSL4xXcqSnuq/kx701jIVD1DUsc73AFf6W3V3hYHy8a0XK25i2w DY6lBPeUWbfNK6l+DJRkkHUv5piORnKcpv4itXvAGgvRWOumdzK3mVL9/dex0VO1vfEuogNt+vOO FwPNKOjuVmDJn6P9gqc7frtHPGcJl0TMi7QAZOfYm3VjshJMOAG8RrYfYS2eqq6GV3I15c/uqH0Y GlIK730+A88rreNWvOUTNlDn/0TVRkUn5Ip96Fx3oFcJiU2/eCK+m4LkNfb4aum6Wv7FdXSOCBO8 WfhgWwRXAqhAPV0IiRTgi2MwM+wYDohlr2odc63KWZU5id2VOGXcLapGMS0suw4tfVwlRdXpiGEN usTavrs3cNbIj/Xq9buua2OaWH4gdMGUiLK00k7wKO2qfQMPz2QNJLYHgfxwMcsFv2bwWc57fygG vxjeuRCfOmF+TER2hkgUCEH8C9m+ix+Tbg20m8E3B35PnioBc6hA1FZItOvcPTRaISQRKSfm71co BdzfjFVJxc6FomvtNLmVaiIgKiWNt+Eh4SIQtzGZf8h0KGqizzqJlzlfUtJ7DirBSyZ5NX0286Oe OmQaq/KCWk8Lr1UPlAhkT0p9KoFgG96rsGc5UAhn76H4SgNcnYoX2o7QWnnZn1us3F0HCQD1dOve 2WRqFGmya4KTqcfipcXrc2BBvtieYwKgZMOKaBXYhpZByVcQobhJa0Iv3OKbD2TBSyQ+o2EF645+ ubnVNuYxP3uD9FdX57xUGI4ShxW2PvttySyYtdCwOdQsGjUpcnq3nnGh6VuP3b2chOt5XaW8pNZU quxacPM6K4uj3PgEvUG6X+RIKrx6wEsJFQTmEgHDU/tZ+9/0kjnKAZx1O9T/6YinLGrb1Apo80Om vpUHvCgW39k/ThkH9UKrcBCG99OjF1GDqHKnsOyl4OHz6dEN6b/5dJa043hftXMJSe/1zufZNDyB qeohaTCoYBiCEHS7W86SxK1TfFlM719AKqLuStQqwEApgY6F6r0zJvntNX/KJ0WiD3OupIgFmUZX xPAF6Lnb66+GOPxxAToHXAX4kzEi/BceM/7WBKDGYgVgMLUTeGqrieZm34dwPyx8fJGQ+oE+8wkH 2Y1pgkOuZNl469voPtDjFGH4QPVuDCdKeicfsw2VcoRNgHAjvbViWiJqcL173JU8zjZ+drOywwrL VOAEqUIpHFM7KeETfVJA8QD0zqCCEj0P+XVByTdS0QQFKUyvePG1/MutFStJu6oh0Wo0rOIw8HQP nJogZA95xTqqAFE6J3OP05F8VVC6B47JH5o2g2fdKanRVF+IZXU6oytjdCPZEOS9wDQB2YjiBd6S RAwW42Ac7H8WmCTgywAEiQHGQMnZqjNFoYMLY9XYqj7HHuz2Vc80LgZADlyzBM0z5lrKUI/oL1bq 7llYHzUaEU5uj0g7luL4Zj//WA+T3/DiuSygIb28XHD1bL1UtiXctRqLGbaRqVO3d4yKFaZEAZhH GUEM+/jk2Cw8OcPZHttMhwskWptLaMQIFmXwdWsCUGE4w6UVSCFpP4bblWzK2eRO05eH4oxCtimQ l5clynU9LIfc65OKYJj2Y4fNS4PNwPpmQ5eNuR9NyuLTm5I67PobMvsjbOvOLCzZiXrEUTMinYKH QUjA7LJuq2stU77msP+TmDRcu8Wosm591Ysmr1DKqJQ9Hn/e3vptvtBvA5c3ES/eBYHoVKTFRX4a s71MakPQvEUjW4szoKFFMEvZ/csB7x5Ty5OhYFI07PkTLTU9GB4L/HCy0JACXUyj8p8ibwCUNEQq 9DBYoZFp/DD/UViITcfRoGn+xsXCGzn3vCHoSRJ7rTCRrRW/x8cC73BnOlwNmEf0P5EwwcbZ2k2w Ugkk/XdAzJyd1r13OeF8gCdV6ZO0x1KipgSja2Zbtt+7geaFEydRgJOcvrxdP2IhQMpbvlviGjl+ REvOV0MzoPEdrv44eBApAVVUWmMamAOyKd/HRChf1bMayFtgfcgzuss3kktFDbqR41VbK4C9TFFv xroeN9wWNWxl5vSgm4Yn0tXhCzE/zltHPqpECivHdHhU956RQfeaZG/tDOcQI4lPPAFlQhWZxnRu jHBvJLTt+Ygko/cFhu9cLpyuF48ie2k7ZtgTyaP0Nz0oi0wEAvUe1A1xeXazvDgarGPu2duPt5ud 79DswDcz8oE0EbIDNnaRa94KBNGCTrGV5PVc9Iq+Ub1A+RC2jEld2RzsWnQAhD0jkW4jfsICierh Eq851lO5EnMcTsQV4sDFqdYGooeMMsFZgqzSEoLSrd/2ZUvAmSkbZ200aTIVEg23ft7Zy43Yrcnc 1QxRFL5NdITBEJwxjW2+NFLGDkRvAHrNzKp2+QswBw4NTX5xp7guk+ZbFo164XMyo796+bRyaTyW LG00hLM7EJKRYk9h3WairfIQfIpEPo1Cx1hlDEyJBxiKY5lJOpHWWhODsY4UN4cXiUuqCqdbvnRf Aoq4RmU2KLKo+2+qokO6xij1rQ4xldUGPLY+C9O0KT0OYyHXkJmqV4vomq1ZUAZG025wiZ02irpQ WqiT3nagM6geoE2Cv44HgDk8fFYm6MSRP/4S3NY3V3rM1DJXG4/Vj/1Ixgv4jwXsSGO14YsKLm5u i7VZbmfN9/rwqO9QPuxgNlx4Rz7aiXo/h7UJ4IAKkYNQwYaBE3NFw6KRtr4nWd3es+GFZLGMq7Z1 dhNX7AAhSMlqg8swKNH3s5MOgh12muNqLUT5L792oy9mETTMCsNHavSZVVLcItx2yYqmlJfc7ABW WbdtQNKozVwhDThkQJYWPbajbEApLQvkOP2vjr5o7hFspfEtyaz8rk+VEsULDbiBUZ7wgqI2BjTE eesq2mgVa18CRvBick72UL5BhptnePndo2h0ManxRw6AUX4gAVx+505KNQ8R/D1LYQFNZr5hWdMU gEGTlieG4jjnyoBF3mWFjj66QdRzfwRn3zu/Ul7eVLQhX/xG+3Gr9mAardcE5G/kDPl9oOFNL7BN LdwKEk2sAfnNGJHqIA8yp96kz8XFc+UD5nKep4i3Z08oyqFo63QXC5wkEfTlgUJEERgiUeMVyH7P /yo/gFBPYg/HkowItJWPODGJUshbLwvkknt/nk6ziu5SaXTGetJrHOsxYtwyjd5biQoZdNuwAOKh Y6KXGRovKV+vZ1bZQh6Tq/8iWl3tUu+NkWmHbzT2q32aJOX98pgC3V5z9BxCmjREv1S25IE6E15U DdTtygLlM50yTeoJBrp/lrROVkh5O5sCb4eja+e/V5tXwcWiAwn5cHKdPaTn/dzPYS/df9i/ADXw LU12K4Bk5vC6hHDI35NI47Dte+DXxN8Wksw+aaPcaHOBJ5tKfKhv7xp7zmtzraUng52R879zUj/z DtyVgLI8EsTVYDAaALLTrIJBG5RVfC8ZXi7Sp/Xf32p5YlnZ3grnBomSwI3wSQ2gUtVM6Qhrl6yM aHghNoNjQFcz6G6o1I+RWCqjt6Xp1hDAj4Uvu1AHa/8tXDec2dZhpitpQsMYmArV4xWKkQ8INZuG XY9kqF5zl6nmqsZpeB8DLfoPLrqWZlpbcpmh+7kdobFjR1WRqreVOquPB5WOyMVzfa/zm1MXuj0e 9i8mXiltDHP3LEOjIvK72PUs2k4eAZS+TZDgFRxOaFXO9Vq+oxOT+qNr2Ke2uQQ9432NS9WF7Pn0 1LtmIqNVChoWMzPQtG8cFjGGDaJXgt1oxWeMqPKF89iWhO+Q1oaknZ5fVYRiZINZ2ksTbOBsfJmh ufNGxBYSiNmacduCnpzx6/2leubB/1Z5vONhG4GpllqEgx4PaZY3TPd5psQROfb0cyFxx8AUH1wr lN56I9j/qzYiHrvNoRMrkCtBKhB4pxGsdS4/Z4tPMg2+xFUIIxSXZodD+HxRGU5OWuxya8pNy/G0 geGsXxk7pnWVEnxnDxRt4WGrkI2bf1ccDDW0RQkAJW1Sp4U3yh6Ki1zUKqzlgQY2jobT4KJDvy48 32zcu6S/8NvLV5fXp+8UGWKE7gzpeWo6CyAH8hYXdy3PFtEwv2GOVQhYxwAiso+3kxwReiYpCgjI NLl0F2CFKmGvoaK2uGFod/HLm1Vv3cT7Pp57F39mRUOxzdKSwoF9COgFdpWzKi26dWQL4wf/hk9t eEaVrYWBbthO6AoRWqQIUmrBcNPxG8LDILkY6dQKu4p6kITDXV+Ug0QEzXY8totdh0WZnm4ocw1X YORDHMK8up0rStTgj5gaLAtzh2LZuYmhnwXhJau7TpbcHBQUMncxPgQoXiEtTLN6P2r8uET0kTHc fRII1XkfC1xzAgo5X8Z/CU41MGaXo567i1LzAxlE9v6OuhyN95+RPjHztUXPkDe5oFL3psLGiWfM 8zVpBDzak2B4uk7u2lGSBpbVXS+L4favlqCP+K+jDIbT+tXzMO4JKIFuH51aq8y9srwubZYAeOSa nASBrNQDyc5kXCcVwAwpkY3rOPIekIWwA0rPvIDhkCFb7CjbCTz9TO7iBwWRdYYatHWfY98EhPez RBiNL9u/lcJtW4s2RLwTAjywwF8k6JRL+qa8g8K8Din22twfVHitboGQRiSEff1oFzljg/rZUuT+ tpwbz8CHr3jnftAGy9Uabzi0YfBjsJo/fkf/k8N4DI0M4A6rhJodJTa1VrUcRp+fPaW+Sg1s32kl G9mG+KZ9EgXEz6H1vrB7Db4tLU0mCgM+8gV5XDSHKHFgAzUcAnbnPwWLEnfV0MCqZKTsaE+vWn/n WFTJvgGQ40BrwDK58s2QvHbyPj4txG/LrpU/ySnnTsobpQissGr7E3T5+8yyOgw6ylvRtL4ldpiw nBcRDtRzcSciY/aGbV2Tt0Hmf8OYKJRQhm8YH3N/mtLDSKkJPwsVHYhz10kSVl8kwKFpnKgaCw+2 Hffm5/xJV77XExP2zEkp0ChC3ILPNuLeD86XbrIf0YAMaL+SxflrOQTzGskZpA2B2Sm+QVnvA4Vq zQfYQdcKOJEsaqW0/wX1FX0uCSKzyPgHR40xXqu6twQCEUiSd99IymBCU+eB83H6Q3CBmswqFCvL A3JHAdD9qNpLzTVqIpG105gtz/Ch0dw6ixp1loq62oMC36oXOUcU2OOr12abdHq45+9B0DIf8BSH yjvQbbyLyrCKeUQKweD4lVjNtYj/DswuZkv+6J6/tcC+N+BWNWCQlxmZgp2J3uj0kPK2wwHQXHQs q/2dohbnK5Vdb4KUaCibiGFekBateJXGUbngqiPqTeqH+CWoq/+2MkzdvxqY2jf/nTB/059d/0hG JhoZwrlu/2at065LcrY6HPLemV9Gsfq+xlc1MujaxgFtdFV44GHkGvp+tHs2dBYiWIk9Le2NGIF/ Ut6UPsg3Ydz5TXtq7amEW8qcNTjVLy8CqFRzbGzdPU2JTDzFTbkdheQ37kil077CQIoI8Vk3pyRr 3V40NTDoFFwJif57m82WQS6rhpfrHvq1VJz343wMnnmJPKKbHxs+lWS0G+st0wTm+u/GC44GZfjz hdt7LF0zKLpnDgS6SdtRSRP6u7qid8QVnUM/7HNrKpwXATRQJKRMMRX2q8YY0cP5k7qe/aBMzWLL PVjgTCXuBRHOaRWK2Lpapdx1t1dWiQc3wLXYJ6YU1WUH0XqDgQXXldl5Si4wR84rfGe+s9HZhQ3c XEdfmDuz6rSgn/4xcQFoLHPB7xT5aOTVXboyHoiKqkr/VpD/ZrMXcFjB/Me/9eLtN+maVsy+XFTY pe6Wnqno9CmrdXpGFhK+5G7sBEoSBUA6b0DyTTiMDGWOhhV8rki9gByADFYyX1X4iz+XtXt3+Eb9 AGplTO3LFa58kbmUP5Owr6p8fXfKhBABxqouUgJ3Ub7J75tHC9XBflp1DkwbVg4omhFQwtEy7/+e uw3r6e3GvWqfHqfg5bYHtstMc8uAGVIairHYVvRw/Cf3KD20yB7b7LkJoI9JzquFKn14xAAqPjOq QzsVmMApetnpWcvCC2NqBnBvLgpa2RvG9RTo04eKP86sXmQPwqwa9rcmBFZLiUpPM8qo+gdvZZgt eWHNhr5U5mFmg8lD0iH2UwVM4KHHOGVkdmCX7a2u1rEUs74VFnKgbHieVH0zpbwb/ECbCw+uSupX YrLeiKJM0XGpxM5hv/tWj7rV5t/wWZfnAmPbtFry5vdPxWwK2Ij91kfBwWgw7wxDZaj0Iz+zN6oe bRs9qCQru2RLN2LEyb5+XdfmB6epwzW53EqqTe6b1RrvnUIDdE+c8NPpJq6suMLo5H6ogEV7oXkq p6uUO/jhSuqy5Rgz47xReu3U4+yDgSRhvF4tP6p3WjMpE9dysMMSEhiOYWlRbymxuCT1LnO5fr0Y 5KaWGAxZnBDUm861Asd7FvcGcnlZZNsmGkKMTZUBaWKjX3qSL+PhPhUAPodDBDlSCNinHBDGJSsq 0us73gW8MTcrQGaxkfTrFXr9YDmDWCaQ1MOENDvgbApla3gXGzeehpApE4i4SC/skMjFBJRj50p7 cDYvgeYfhXn0WgY1FeSQAWCSAiajyfPWngNKPiXdi/qEzeO4I/KhPWCvph3SyclCZXUZqGRzyArp WW3GomBwgU8oywj8UBZjENLolF1FKaPyBi6wVFuehQCn36QhpWVCBGMAj/9LoUY+rkOhB/tu739T Su05RqhzXtbUx11BhjFQ8BN7nO/0zoL6JwbNNe9uuIp4G5RJp3J9vFljr6YM9dyvKpEBJeNOp4jh cS39GpCnCfjt133jCMrqOqhBiBDuBuwYkjCSzPmjdiJPknV4fuqhSNBr5UwAYyEyk39QUjLnl/MC hnKRhZADx56PIFxhhnVDT2UjxrA02xYwDKf4sxcWXsa70BXhHlpu7JzgYvZmMxq1ckL1VmCAwSHe /f5xzuZdvrMWki/ZlTP9DURuGBHwZxMdhqGjCKI0q0VARqm7n7N47xfkkETW+97ZVZQYr9cYUlza QYhbbsHzQmPXUA5lGycM8l7SIuF1Q4zRggkT5of0ipwKjlqXDiJ839HRR6kUuptxiCuNaHHLU92s ijqgyH196qJJlU6Go3yhLk+tcr1HqUdUMqKazutWXodttv4GDOYFpjY9tqJw+TMv1v8puQ8pZNbq ssmSBt6FA9dTGB0aweI1I9gdp8qoCDQ8GmQTKANyVwtJkBHWeDD/3xYpgixtyd4Bq/3s9aMZZKlg TVlRYfKMnlDXX9U3EozjBrp/IxBZhDOnlXiRNDLzItgfbNbAxsu9ghbOSDiWfGSEN0aYfoZMzj5g yja6mxdWo4YnmdiFV9pPrDUDMnCTGbBFO2rNTnwG0K70BaIEsGDh/YkxQZlISPkVh0JLFYCFd0A9 UBheCE9xbgR4HYN4IFyjhvnh1JeNNyC3YM7MN4+OI19Cy6CeSetQxAAWL0cl17r6+lcWe4hPLrFz tizTSg0Vs8Y44HvZnTrCn8WM5JziEPjhz9JOBEi/bHoPpbB9mn3b0S9hs5+0BmhHk5Cos0U6FlrA GjrSnIVMVd7cFOeVgPZdjWxyK+c7vuLEoJWg3hm+MJY3+MFEdGEEnQggVxLh8GaeWb6E37uvqqoN 4KrrF+q3b2AX52fMzvqOoCfmofyUXpiRSoiE9+cNLfQfaXm+g2loC2LB0UfRbkq/dQVko5I6Rr/t gWIvXYtRQ1bERR9CMzG4Sw6ikOjeeNUESfucZJjEIj91amEH9CUSy1z/HuZpMtafvXuPtWhBkRMy 1+UD+nV5apZb+y3U21LZqLfi8Bh+B1EQM0yNyjlxAvVptItmsNcp01CoUuoVUNyrmciR4cjfAENq Qr8uUPCSDP++SQuL3Z0N6bbtnhRNvWQjDm11c+IvpTbdw73714WwNGSnQ5H1G+em3tg1OxZOR0eo RZW5aKarKFmD1hD/NhXnZop0Bpe2djyn3IW894J7MByIcWz+/+4WxFtoyT7SQD0JIEfV/k+tfSpE fQv8wnhsJB1tm2WljbszDALJm59mZq3vHu+/lqGTMv1VCl5AtEAeOuJa78drfI71tm+9azHGPefN mLicwj/GXD+x3hCN2DRXSn7o+/u+AvvKyJjttWm2gZvbkg2Gt/RsXjvXoWP64luP5HB7KmACP/li i5loJ20hUm9d/ppUkrS0DcJVw2PVVXZL/5XXssRinKPlmJMhDpqnK0WPVs8FvndLpnCIf4cv1rN4 zBi2rL2jZC3m4917GqsoIu/RPfe8b6hmUjTxjP4o4fyiXVxLo1NnaFpe9yhvb6dPRRctehK3uo/1 tKDsoQckAkFJQcxqLmAUm+aOfsXQc6sFrFLF0E7c+THtMNP9owjTB6TmpsJJYk7ytuaNLYl50P4p bRmFDmtGDCNkqRphrrExIGhA+1QuPHT3SETccsxb5h8UhQDZ9mW9VJlc+n9vKOoyxOwMwO+bTstV Kp6q1aPkd2xvqoGYWcY9O81ILDyB7ryLkCwQhkiUoQShb0CzVkMuVb42+M3qtgLfTU9wU3Y7bpBb Mfiss8A2gyRTHOd+Cdjg6mQwvs93kS+AhvNiSb7RmzmFSKakO5/GG+1URqxiJCs/U1F4wY2S/8gv yLEU3u/SzbYCn+AGa/t+CEijivHErr4STdzyU/onFZ/G+T7CxrO0eoOdvYYmk1jkegExk/DhA+Z1 rf+zvGkN/JT+9Z3jyQwS84WXaqGOpYfEs8gTJHu/31SNOyGIkg5JOuRP2CyGy1euzJyW+SvfM1YT JGJS3dK9f962DBSxhdl1roibG8ESF5upoRgT487MBo1Rn5ZPYjwD0irlZRzVMNawftFFn4HtYcME 6axeZFtJ5MmQb8WBMGFcfOI2znoWYydAcdahiUmgVlXhPalr/xx9p44yQd+KBqRKf74iPyDBom+P vUnI3/JNVTTnD8/CaNnC7T91Q3K/TiqaKGalUZ62rNUMD1qoxDgJOj74MoLQSCAax+z1tXMbWt2R PX8l9ZR8CYaYYPkukFJmGzp/C/YDQU/O+qIXVedgFy3pFKdf5kYpo166f1yMuiZNuR+ACWKr3/qX KvovPmLJP29mtqD94UFpfYy6w1i1GZ4VRiBTlqCwQrKHpbgMHXWRT0+q8XnI6eemCr3l0OalDu4r 8BWQOjvnoIV7Ab0gNNnjo1IFeAJQTOPTcfDWhM2p8oHpWIFUzQqzhkkNfteaWkPxL2FsbomcpwND /xphPEWcKroVw3oLxdQ3jr5luyGqBByPbB3XjalPz9yGh5ehIu59Do9Pw3MJa+tSXUHjK59lyuKP ZgaXA2J2+JZ4xxu90c2qIow9/jJidHc6gz2/ie7rY+TzSKQ8bvUZTsFZm9sNJFVQoB7pvkds4Z2Z lOqlN85MfDhJYo2Qh3QDRp0MgDstFtSWRD/zfEkbuCNLALeQXRVQ/4OwJjhIPw0qNXlsjlqj35Ao Wr7dwZlwoX315Hdzb5ZVPaeHw13GkiseB3J4WnfyFCWQVDVv//yZzwDJfMfOpt0/8m7AxwQnyJUk r2VD0pn3LvhG0PsXSHDhT2rdMxaBzfF7sAKImxpBDEhHSwyRx5j8n+fVM11Z3hwQLOzMFmcd+xDe 20Aa30D3se9JFSAivLn15731o5umkA39hM2Glhk+P23cb3AiY/ncbOJm+LfsrZis4818oysiHPTy Qo0T2r4Is4ux97URDnJ8rB2Tf4k9OKqG4bJOFrPv8KSpxwd1/r1PZSaWtn2gc8x1KLIbQh3ZJKRx pgTXXzeIcu73k5siaL4uySmTmn0qN9cQYpIEsI0x4iPSW8mP+erRooY800NOIKu8VgZiZXDS3xdR WZb9FeaTuSr4JiHThzI4YL1Y7OIDmjiqw2LbCnJw/9MhoxrDqYzyx+qqlEY1sKHm+aT/8r/JyvS8 JS2Tiq/F2xfNLEhdMXJjvrB6QQ5Bq+ew9ge/OO6WGdGtZNSJcvUCVF/NEJKfQtj3kfCg/ZXNykfy cnghyIgOspCNLJeaH6Wpt8ZsQeD9JQNS0faKT0JDlMEiRMkH5cGRkDNQdiiGviZRX/2tGoaB1wvT zh/8ih1aJlbevZwuxSxefvwpUWqS069jODfA/1sGepii8okd61wp5hzpZB35dK3kS2Wm0jLLzt5n 9K5Ty73ZWS2U4m6d7+c20U1j8BP7crV6RZOSvw6SGKSMvJmJ2w38lBVZ6PRFZzwVpP443vmyQ/qW kW/Id5MivdaoTNoDlNI793hkGJ34h03wjsSrR5Z726KZoF5wmW5kbXFeoc0qplp7DwLoEJTraMos Df8gF7udmBgyQ0D7GoygR5rJFELl3dLkMPPPD1936Ad5Eqpx8Hly5Xc/q2FSnkI4IUSjNKodjhgY TrF869W6njkbCcgRvxCcZSGMS5v93GgTQVGZT2sPXfhOFluXqg1NaIU4/Jr6aF77U800rjpCfDPe Fep3WyDtwFRw5ZymOqdDmRxaxPgSvulP9Os3CcIWCHJhTGdIeygTLWlYWVpsM4oc0jek5h+S0bUU EKtuKYbIavLCSPzGBuuWw7esbDAFLuuGa5neBAj4RlyUCB3hfO0+FY5ludYnKxVTadCmyNub0mL+ 06ctBXERjjug9gAtTIAN5izs5UHXYgZ4PPkzQsejabj+LmwwoOfpjA5gAGUNMX+PSxinOTdfNgTW G8MKRkQc/soW7ELA3DOLGidwDMsIikFgTY+1ttDttMUy3Qph/ZHMHe4VseHZSxcOAsWRPvPBlXbV +/cOFXZDeFPUFUYuOl40hmt8fDjGIw2PjuU0wLD6P4UnyJt7FkT3+VmXWxa6jGmOKIT7c8ARE7vE rAdDxcMS0pCNdEIxlEoul3hVpAFddzVwl+sYEz6sZweLhI8jIvCCjLbdnoBnZvTsZbyNGHKPEQwA KNPn6tu1/96RssIPM7XXl9TM8qH44LT7ErxUROtmk9hyhcGKUha4oVCZa6Eql24X3pZuhVgIQyuj aHGticmr3cW9H6SOvt1GYYbTqPis2WQhpVej4bWjRrINbKbrUMbECL419mRzSHQ7YKyl2MIEdt4M MgoJvxVBUcQUuM7zwbahoFPLsT+JxA/3N/mwPBYaWKXFhT8VysPdyw0YmoagXVPex6tdU2KoCv9c WWhT7Jqit2pVul3eLro3ESnQUUdxoso8nYwPz6v5WFnCcfWMZ3Bs6/3EWHFQr5u9/VhMtLGUuFQe vFMWEgFlfuVYd2cpKNo5HhzF7Apq11wwh4bXCHoOahMGZa3MIshM6gb6HaVLLAXNRsaFEE+upbEm d05+FUbE8+uZXNuQMrVBrNoIzyhtQOmQs26ht2lsc8PkA8sx/gTAxVeFvB6PkR6O2cgg6iA01/Sp RRwZimncMjI5/uJUg+HM3Wr9+3eTRsJT8G1Qs+LCUfeEtw4fINriwUYnNsvropwtnk2SSsdbHKY9 iJvHHvrLqDYa0l0uY4Hg/cNtOO3oQGzCBUh5+6PfidA/1FFqk/8g+3Agv2SJB3lvgcAJvYtJDnX5 x934PrhaAKxKWuU2p976/eA/jpIlwLZqFGG2SNko/m0mStcRXpljkASgDI0KD2hWR4k5CJkeija0 3ZnKtQY3ZTCehZZWePjpQJN4MHKrO8iKZuuOT7ZMkY6Sw3BI/2Gi1R3L5hsCjtwdOHDTDKvmfuOW v41ZDEIirtrAA9/Sm5e8o+6uoFjwMpUW2Ssl5tCbzx7iQcsUqQwOQpzP3FqmKfcxunY1sjkQXlx8 SRQlOQEnmcCT3wQ3NtfwZOS1W67ZFwuv71hIsyN+ubhYqT81f7bSxaSwRSeoZUEC3/m/ZFgdh8kG SknnQ+l4xYxGWRzp4hWKXBhb4em0gsP7mrHGz3sSKKscvaihjLRVmmv14WuJxoHwUZGQJoTD55zN 9G0nzmwz2mq5W4HGwWSBOSd2ZMxpu/9WLcrjuIB+WcotAZgU7gFcH+FyveRuVP2pHiPJIcg1l5JY cAxMycir3cIiBaueClNwEg336uvj2nMhTqBoEU68TERdhMOuVEYqteGKQPyBVkYgqBBBhFw37ttS IWl+oA5ntjoNjD/UcDmBkz5FzCL0vSbDKdVv4+Sn+I8FRtf7X59TFXa9E1Z5QBQKHXJTBUbhoeTK rgalQj7whj741WEstF6FlQX09TkhN46ObucGiFe1b9XKlcQqnewIvV9doepmX/9BO2LYrkHF73sG gYxyJr/KmVVLwWq9ITXHjvjp/e/8ZBS/SmOML2UnYPzOdnajtgCYqKV6Pp0ZV3T+yT3ejExJ9BiR MeEyCKXqIwPK6WGdiHz8JaNjxi42tYSAcm7tKk0mrEvbD3YOuyVDrBU1gdR/gGDzEM6l4+s8MdiN dgOTmTD02HCvcbiFENsNcSmvJmPFflh+XCH57vXM97Ta3okKCCzXZWwlzRPgbMBi01pUiFGd1UDf HmbiGWbhT5vzKI07BstMv1ip3U7q+ESArQlyN6DZTwCF3nPn7n+exukXUspx6S968TA0gxyFnJUc dP7ySsPz+0crsDZIDnve0t3xCYFJS7lN0AReaQPWSFP5LX0fjHL9dRx7MklF6ZRVfhX17EaX1JD8 nF88cWdZM1N5wU28puavXXI6qdOCb+p/wX7Iy4OVawcuHtR9c1GniWee8mwMPLHc9O6yPMm0yg// /pSszjs/BQ5Q4hdnqeBly+8TfWq51ski/DdjiRZ6qoCGPWuGfecie5YCOdWvzXDV/TWcJvmLf/tP UP+bX7vAz3x5IQZBfFxhhq/xlKfOo0ig8Xz7xvRrcA7gN5Qcn00l1LqrFSBe1lL8Uuqp+AvLfD/b +52/mSK3JJ33sQ/pEpilJy8c6d0OGUOmz0w347jrWLJ8eyL8qcFZCCpJZMSE93Ca7NPLHdwB5Prt d5CqghcoU66oyBncNEiNJQ4AYZNHrHrT8dRF2xNVOkzoj1Rb35EllL83r42Cy9G5eAql92Ic02zP GFIIsHSrFjIVfd6DVzoOvnw6AjS5L0uuDhOm5at+jNTd9C7BYxMOZB/fG4LtXFMHxpHGx/psyqd9 yvZSmMlQDXKtiqMiwwvqRu6UPp02OHETh6nED4vY7/vBpWr0kREva4MVXXbOtLkCkAbQZChLZaiG kZHRWtQYsXN9/iErx5olRHSBjgNbNhwUH9HmhSFOCfWpiGgLmlRLQiIkuo2AHOeSeZzhxh2Gfe3c agL+Tismj0hRMkWVcSz6iGPoujCmPHJjsJQcKbrPbTBHPSbWxiJ+H1JqMO8Vc/87ElT1DvM98aUG e0tkUx6lI1dHk7wNtWKcmqapXkl+RiGZMYnGqWTCaSPgOqLen1FNbGaJZXRHiJyrzk+iH4VTsUoQ Vc0ebCk9drLd7k0Lg8LKsIIx1Mh7+der19CE5Hhzbrq7m4/eaE0A4oE9KUUtwURk3lXPhJEVD9JD AIUUHPHqU4nhYQdiAi7HnT2qJf3MGl3dxPzROKjKPZmP66adyLCpGdtPBfK4xNH9u3iMvveJSzpy KtrswLhTaNtDuqPOv4mz0KclgheY3x7NkBLFopwFOPmB8xr24C41kpuCYeoXhp0ylysd94RcNz01 h2kwb1SKvr/Oum1xZUbKz6B2ju4/eE1dsixjvfKtj1O+KX4j662GMyDHIpV7bCGzXR5DkAHhS+kw OYW6AhtCdmnJdL0oQ6MP4LFUdH+JJ9IBeWsm5PUPdXzc7qDZ7Yek4+HmUqQ83nS+pftdhlWOdwzG ozkdyX2YknckQgyJZE3ysXebePB7seHxyhv96uAdGUSP9wx7dOYCWwQrib+xyqC8zxpZr7ms1GXQ C/NKONFYvLen5li1dj/WyuWptzEY+DQl2jJptsUimWOx365vcVg9dnvf1Qs+vhE/sk3WY7rpGRt7 a6X26O+14vPDwUQGkUPQVfyvIefcYWQnguQtEW/ksoqpf2jkpNiBeLxR687uNZJUBZKEDDgy4GH1 RQaMEwN1tWekk+u/PlUEmbSEJfZ0BrzaiuaYvJU5A92cnw5vL+8Sxmwk0Zea0Iu+7pH3iKcrdh+/ WQmS7KjlkRfXqH/wuFH6IOA6Ln6+TZeM8HHnAgZ5LW0PGmAni/jY/e+Y2TqAq1Jk6awUZmSvjyO3 ORpVfzLrer7MWk6l71LQbJvTlVNcFoN9AIp071jwlZGGwllJMEK1xjVMmdOQvgc7+IZvkzCT34At 3R4+GxMcy0NWRY+dPynOKL2ofGxhab2yhzZG/qq/mqjcKiJK8C5k0gxK8aJT9tzpyCnBLkrZVost hwKYn+vbM7R+J1in6MvOPrJqiUkbCqV19odl2DW3rXBTFGakxrshphPud63Uy0X9gq8ne7ccVo2e M8375sZrhrYf1mRUQFi+UAoCFHTx9N2uzK2RbIzH4HV4EJ2Mp8I9b5KB6XNTtDUPEqRJu2DAjOoP NtegLdBEEXOEi3klKWkD8xQW5s3yhtHLSSAEZsQBReDuJTxG4QHbvXEHRDO2z9hwZ3mFXqvLivyi unT/cH9UeazAoUs9ELfOC+H+xc91597vyo8bWegvrLaGvdeGA4XKm/cCJ3BCg9nZJnuUyy8D0UgX /Rl5wkKCBvNGWdYzaAL8n2kXo/BoPqqScLFUouxOM0QNUMaisbLQXAm3vT7zke0466k8sJF9/x7D LB48yY/HOSpFVQ3vdXXuUIzufBaciSNh0l7FF5xiRjRgNkMIBXd9XJgJlq5FhOz9WA3rVwoGHve7 zCgMuo02PCfYTY+7zXY4L9Rgs2QKM2hhw3ZfNtneYdQ/JnGvwc4LHLeoPMr7GdjskbEY8afA/4xC graB0gsxu2J/8wOU99vGAHMlMtfGz1pI3CA7UUGJ1mF+s/BcO4z8D7p8Ym7w3gUM9rUNtvnSjahL yAbgrsJBqeLLQZfFHU4wkD+PkWCc+CpkeWgbYXsug27F81P3Dt7EIahjSLODV60firxKmQbfXWy5 RUSdACUVtl9DZxkxw1udshksdH5S4P35xSIRKf/mhJwaIcea/ayLk4hh0iaUjkK9sMitiC+E5BBY rNF2x0oX9ltryixvqrcs7IqVFzhe6bjcd+Bu8RfObxqEXCUGYBZUjAFandK0uvqaQbHWV7rhQvba kfKzYmypS9oUpTGAvCMBcC990qEHe4nLYYJlRyke59NTre1kG2hmqodBbDC7eOnTTZpsVPxgGctv Tw88DoneGzwHirNVFyY2edeZAi+xnIA0MzfxlGHbXi8FwujSzslq9GZd725mUOIgChq/v6LfOtSe BY3eUftTOCgipGmRxruVN86BU1zwXmxAHmo7Jo3CdoaR9BwAYNm8o01xInIcvHSMNJWVNXLaQrxa bdFT1RrA4u1cEpFcm7Tjkmb/bbls4ge8M+Nks6SWxRdEMaVjMQdEmij+4FZkkzOsFeT3Qg0vT8Vh 7RfdWe6gzwVAnfHHlZKxWGiTO8C1kgMf6r6G9JtsTtU4cTxI+6r84xKDcNq5H6LGi3LbRAgwKuzl 5/tQCsdtCD0KzRlkduSKBU5yWr56QerpX08Dw/Zvwki+wD/ZNJa7rYr54KXFKt3P5tvzSpzfR5yT diCQeoO0YkCAneKfcqgVHflMvaRxDzoi0wVsnk1SUeRml12B2pnqY/p9AVEsRxH0KXbyXD15oxRy gC9NAAyFaahFFX2KMjX2rpr3qO5N44kTrSnJewAA1E9egQRac8KeN6csiYMXM2ZiBEl1LPmzH8XK 0FxHuijf9TVACcpxzKmL+pyXQtbnsUvz0W3OdXPT6992pGtamIBhszlkVSTnLwAHnUCPavevq8TZ H/ASc2odO+89Yk69fvPBYkunq2I/LgL4rP+ITpD2MT6puBHvy3p+79J6itx9YcTAy1c1tMIBh4VM fIvO9HgDUZPJQ0ilMyl4EhH/xqMKcz2Pqrp22Vy88qPqrPNfs3BPQHukWsAs/hi0IyBXKA/0uXkZ V0av6aOQwcKVzCnvXe4IjY1noVzduMbeLawR/AlbvsdJfb/zZfnEp4Kzenwl+dNBnKyJfeNKeLIH 5cakPlYOABb6TMfGzUpziZy96ry0VzRt+Lb+A45TDL8Wj/7nl1Bn9khV9itPEXdX70g9cTbbzedS rGxoBTqs5cAxWzMvmwSHqr+QgsCiLObMgytxygpxdf4zKJXu+gznaTI7pmbJ4hu3cpAgnvRMHNpT fSBTtXDjKET06/JF1INHBCX/Ml28vCCXoyqpMwWp1606E+gaFtkB/IV1kFsILj0HEYrtBHZr8h87 EfwhN9uTiSdW/tbJLJaE04Dc7igbtCv2/9kDPvRYfGIOKxjzAnDLJrg1JPFnz+rnY7yo4VTnumku kXdQVbmyWEB9vQv/7hq3TxfJ0BnYkmOvO3YWuZHZ68yVWJVXMeqI/06eFAEsVzxFCIIgyOh8qEfW zI/ySjPUMYeSMtL+MdtAbpHvJHI95KfX2eVqREZYYE4r0QcKIk0jbq+MsFAodUotgZPX7eHwsFT7 do1A8zLfdKy6jvf+r1K0BwjJL5nLPNAAThEj+M5qUUvH/PYL+hHJB9BBHxCXCmqmuT4RZtntKwaY hUIMQKY8Sj/WjrzqDm01D/muZWu6EfRv2BUEhcy9+s+1jxN5YfIZXk5lGp3OUKZ82R23LOUGOIwL +0mDa95ckF+7QTXhgwVrjuwiaWV8TyEtv86rt3jciSa35oLR8kI3DFnLVAfDz33rh6UGQYVqFlFo lOGEc410s1Zt/furtb6CF2COyd+roEXUhRK6cVEjW8zAhs1yjsJWYoofpwIdeMWCmkp2N1mSb802 K26JxaxK23oTSRR3RmtwQb7eiAOPwoF4sn2KFe8s9mqUHO0wXNkBd88z+wEY2G1pNIpp6v4EOUjG oET183Xc4JjSK3xZtK32BXlDnOcYqV5nO2dY1TXPmnyUz/fzjd9oRMmve8DLCj0tcOskzb5y1D53 ZiTcu81bCd6E3vfNxpVtVh2nmxlyCDK6V90BtxXa4vm/g1F/S544ALTgaqJwfrZ80CpAW+Dosu2z 7foY/DgZPcfbUadUbXnyHzddKPPsmL2/rPWqYKIEV5WXCKK9m8vMKBZPzHqDwF9L7pmCMf2aJkN3 GqRt5jDgSnQmgVfmZbwrYeccs0MgkdHiI+X/qSV0RBPS1IUO8mjWLWFck3FRbFSuy07I/midhjwT d+sljbmMaF+VY5T8iNgXTxAwoJxpfNxgqzZA6PVvCJNPtGLitY7GIosUnXoYeypGMcyqSoNHyQY+ EAoSnAHk9t7kFO9R+C0/KKeUhpUF5DSfYan4kLva32FlXtsw31+RmLZr9iL5Kbw51zhmn1b7LN6P tEp/oDBLg8BnPfnUxIIfDUOmdAHZLGPGP2NHowWv2Uqur4AZaS7SL28bRc1rGCFCEXlMYLuNZAEF RsoCvb6LP6ivZnjSqOVjuqLOn84gBWXJX8h1svUXowR3W1LCjSdch9BR18RcdqyT6s4TrROz5po5 jfDBIaSlpKMQIlo6ehAEsqDRe/q8vTvUC16eNCJgYzH8kFmKlj7d8P4Chnmu2O7IY5LIHvxRuL0b 3z+hxUCmNWVpfcdMIkzQOBPAvpG2mW0J/0DSZg6pOJ78KvlZ/Duf8X3Xkxsk8rvSGIdfa7wXHRCi cimcDflzIjLTJrcCLowLEGYELRiZ9gvPCkf3w5ETif2MGVlDN21wmPUdWigDI07Wbbrm4ZnA7axs Dtp8SSZZfXejdp/HvQL7QV4OaWhkttMoE/TdNy9Za0U7kmH/8lAyLOx43M/TXqQsPkaEvo9dYVv/ TJHKR8g3D72PfA+c4DOwGSqm6x9WAoNOCpCqDJAjXGaK5UnuS7Iks7hB1w1R/HrLDAuPu2wIAvuO Rzj6jqOAvD0TDlNWyXsZbHyL70/PgqAXpP5f/MwBYyr64QZ9U0Nh0h6g5+rMDXnS6IETDtBwFa9b I9rtMgbo5PYpADBn6lrBGHQiE4UqMhCH/oXfFHmyl3N3ooeBbFi3idDT9VbsnPmtN9I9VRbr78Oj t3wLXkap8znS4GkPRCuDjtBty360M2vuVLWIj8iq7cxvWhRqYsY2LThJoL22wg0pLSmFOpxSMoCi NR0V8rx1EHPVOJ3/CYEPcCzJfvoM3WKMeHPCobEMeMyO3gc5F+1SrOeeRhqzaxBcD+AVJnjQridO dfhJ0yVntSi1Ly0J6Ad8ayzQscpcZLQnepFMtPKanlyGtT5DP0vhfPqwPqdzJ2DjZSN5MUh1T6r4 cF2aQFB0fERVbeqkTf8Pz+SrtR/VbiIc9spaZ4XN1v7I8pyvG6jvSrau+Ko2yD06+3kwyo580HM9 P5n5zcdlxUd0fv6eYPUajBqhtIY81i5FL+M1CEDus3tZ43X2tiBABdLPzZJOci+ri9iqxFW7xKj1 5IoczDMIgE9qSDrdb+0o0e/XwURIic/OHPuIEk9EGcCO7CsCkoGNfDLTl/ojw5Ftxuo7HvM03WfG +A4HDVrVBxYnzXbg+84dw7vJuUm/QkBhLX+yg405pDPOndwHTiDMdct93oE5R8q9UpZS8CP+1Al4 zEfRNaWKZ924Fn55etru/wZlioGoClYD/bm3SbjTTEzxGqNqTuQ8TvpCjd/0ISfzIyWDWzJnt07i zD+5wN45ekmDqwif7e1vXyIWIrxR8md+8B3bBH2of3QB5ApwgU3xAgkgCoqaGYw3VeR5958ztqZG crGGDKrpdyBj2Yqy/XAHuMgI+NhMjOn6gSsZrr9EEAxWQhCRe3rRC4kuIisxA8J2BLJcSOIuoAGW Z53WsFh232pvecxe1XyKwmCrnL0DQY97GXIAoTPIa6tMBE2AGsaOoMieSBCz3syKIAUo2Bm6mPSS /UX8IMZ/BssTOLyDvAkMEyDE2tg59F5gchG9fTTXyK99Z7XqwAVoECylsjVXVGCugOEv/ckvvvQX uFQdDell6VMCB7BW5Pomy6C6BzzSCgcMFWPzOAbKufG3zRjGuz59iQTjbZQaTROTrccS9VHa5/jY gk5n+IEC77k6h7KxdTfDh7cXBiKKNUYRdM10PwL1M1ETtvBkGw96793ovwM2jK3yNYdpcvpcKMpS Gfgm8hsPhASeG2gLucxj169f5hA0wfo/+nqVEztd9SDpIwo+TzSFPrItgjoMIDv8th9okDI/597D zhvc8qbXaIZ1vpogowUeWPuNnmtyGETxP/Afbiu7c4mZGJiCDrOZEoOkfTdcHW3miO2Wp9XKNBs+ MmvMDHz9JgrdOdOLDgnvEY0DwOV9G1/Ma8TmcDCcpZXSeO/0tgQOvPfCaIAMiwoOTorotFLynxnq aHP1yt84Fp7uNoAFLHZNf9e5h5DXFL+acAVRY3wV3nZudLySJp9MFxyaO+VnPyZuqJIRTGG+UMAy SLlN10rQDWrrqyGlZzWmcVnCRv9/BwhX3V60cYgWfy4MeOeSYNLoJ+7HhIyIFAJuupSbxTNU29dG yPslAZzs9Ykfkg90CXM+/87tmdsE+66UJ4/JKQTjdYJZWF8FWV+hPm0Esj+kPAsXL6bYv//bun5v KbG76QtrBvVqO02HmAPzTIkC/UcMxYvnSjerosm51hxrzio1hQU8mOormyybRAjycj9NSSRgDC4g Xyi6j5UsaywUcvLvxSem3rXC+ImCb+UVqQebfItPRnbJ+O6uEqH1yC+8hCCGXuBL3CdU1Wotkste bJ3ZypCqhj5hZGpCnsHEeQusjivHMMs9XkZGxTA80QA+okvx0ki9II53z3H50/SaH53B6s4hsOtS AbVJF5uYvUIFNA5+tB46QlYkcWwahfbq3hQJPz3/w/roUwjBfI+xhg48ko8yti7y18vbFf6fv+gf o7NPJiLj+C/gWdZe6jPCmfkWdIE8Qckc6XgMSF4Co/mhkpG1h1FMYZfHCeI4Ac3Y5g+XP2CeyO4J ypDOyjhuDvWHnNEnUGF0XJ9VAXTLrb/ZvQKQ6do2dKIANmAk+sG8SOtn+9ani3omsGKsLLwBHrPC lQ+DuMxOhR3ecLi+9YSNdS+LrJX2VTFZvhqhk3KTGE0+R4xnYrGgBOM2kP7aC8ZAwBt0pMN4NLgM AiKLJndSnDywNtpyhBT5O68kw8ps0YcPzXqzirumhkvs7bUvuiiG9aIYotfFxTplePkm0ooPxJYv V9goU9PDFwSNxjQYh90Ml/FWxjS/j+EqnatA1nTnSPGx9OyevIGMOO+scFhTRzEqYl5FeO0hNFvG JiJVCWGJMKY+lOZf4fMInxodaToNsI2oFprXnrBK0eiufvzQjfYAUPfsHkODBZYrdWmRMQOW2CAL Xm+pICju2pSVm8z/A6vgKD3AOtYfN463MUjVaZuarXpK752i+QfyTIMDXUFVfOOc3Y9S181MqUXS +og5PN1PCKGhst5STXLYnnbpPuYDLt8I5bEwKqpDK8M30pCohiP++8qPMy5XsCp4Dp+bfPPrPssl rhVkbLAOQQ7pNmMQ+//L9ZLiIhjXCS0DxX/cx4VgtmF1Ge/cmTLiI3VbCXG9sb1EeYYRkbjgDi/N AcQooHD6Jkj+xUglUcAiAkW+fbNpbPp1xyv9U6jijvhroKkuI9YmugSvr1I+UD4AVrerFh9zL+KF t4WeDmjwWrpFtYrCZG1Eu9UOzqJW5opyeb3rV82yKrHLVvgdJ8/he8gsyyeR1Vv/yPKQNOTBLtrS 3Y0qdowfCLuTllz8Q45XUchSKU9SujPhKSRWEHrD/84q/3Nl/IbCnuT0NrqxCqbSL0YohS0X4EWy 4ohZUd1X3BfXjYesr/1h/hl7nmITMUqSu0eWi5bahlMdFbQfd5kT61ToGDOooT0Ie1y5TUhn6R8T zg8j1ZaAcwqlUxw1ppHJxdyYdc+LyCA6tiXeptklj2E+KAQM1L4eRZNI3DZZ8/7b6BPYKgBktAiq SGnIzOPBocUbifmVuAZr7lEwffN4d3on1hsyWMoQ8YwA+6YAUKzkVioXdPHTCb/s61uX44fgO2ez pIJq5bruRlMgRtZcVuB8FFDCQxpN41jODXZKBAnK06TEdOZgEf1b7CCE1Jjq7VizaBlMJqLyw0gb SmwmY3S/4eSqpCNka0UUb1VZEu25Q7V37dqcfcbUMEvp5mGyxODJR2o8Hyh7voEdqI+BnxDY/SA6 E0A8vuNUxobZxlX2zApdrphoguPHX+DLxKhGex3OblsDh3JnRsOS2L2MOfbnSHc5rSooTtYWg6bw 2JDPC/1Kng0lun50FAUTUXChMlSBqGgErhaXpgO6YGj38qNMm/1SnK3N+KfTpZ8Jqsr6AGq1r9kB iY93AXAoiq/hwidz1AgU9NMWn2XQMHknsDOXGvvNumydaOvzi0psoW8aLZXNVlqN26vau82U0xwV k9zUYetc9Jz78YD1KNHDeAzw9G0n8gCh9ON9c5sdA3fS4/NqJVY0hpBMt9Rz7xWXEA/Uia1xZJsT fp3zukBD6KzRKMkxBRQZN82sn7I07nlOHKe6UT3rD0bDX/desBFdfigXzFL0R1FritpWHkf19Q9w a1I+CIgZELyUyq1wfCsgRHi0d7BMMW19uZX/IvLKjRWQlNTan9RfzOZU8Qpl9PCix5W5mRHVQGrt RVZc3n0RfXE2bipN1tmis10KTnjlkRSCTpr2TqPCMb+7CnIPZOZ6akzgAMIe47aZfboS6UZWIR+c c8gU2dBxG5OwXH57YduKDro7iWV+/6VIrN2mtrEqOycfr2z7DrNH2Jpy5/EM7IY8MgWqAm0EkLgf 3r7abz7NXEgMSxyarY5qjNQ3WlKpoPkvgY1aC7oXRuV8KxH9X9cMvAr+okHm1fLLQVD+ckRAO/Ag jFcuD89pasaiip+pWIHAQtnMon0jX/FKfS3sFGr5wFEgq0OpAIcEKRcUdtdjkEXg1Vp19Wfsvpqj 1db1Ao9u97DjnUUmuFdDBe2/7iSvI42kqTiS7qZhS13POiL5FW+GcMmF2SZp1tf+TNgo030EPpBa vkx13Z+DOXv6uN6upGWJOq+ewPkShCeOZwOfpHC2p2kHJHEMIMdpuJ07lPrXsLOVgc35h/drWyoI 69Y4I3ZeyHPNxcnqez1Q/N6mS3B7aVPlBreEbgSdI1X3lR3zZmuYT/53NhNDLOA1s+AMk6GSkqEY 5BqT+GpPsVCPCswvn58g+eefsvviQGewf9okIlh3Pnpk6+DyJB8BefEKpPaLYnzQpQvAuCX5OaeP 60lclvh4R5hTiAt012JC8Y4Y40QgTk61siFFWQJyPda3FCO83+QMoD09yx027nKTl16Rh8SjPok4 rJDCTZwjZzbw8MpWPKqikbIiz8G/qlCn5T59GEeibMZHvpgVU783m+Tpy06OQaLXqMnXBLXslRm7 D1jBNDgz4Tl6mkF3sdsG3psQyDIXeVEM9I3GFDVjVZhs071c1IgNqzrs5i/7CjO547tkC458wjnt t0fZV/URgdohPwSZqZsYgNR3ieJ7n0XHNLbdy4zW+hhzFG0sXR5pmh7wmcA9nZ23tALCsDhAMeaS XHQ9h6IfihoUMIVblxqooG0VfLXh5/oNxj0R8Dk8dSWCgZE2vwmp+mq410RsDrRgwRNenKfZ82sh iZxzkf8bVWJCVjB0pDLRpOnSWSzGsffjbvwRoSSykSCMuLuqfLzM3PC64Oe6BrqMRPABTbiK0ey+ vd8t8l6KWwJr28D425383/kuCGFMKX40i/31yGqwnn1floL3pllFbaCCVVyzzkUuFjWZ7JEqPeT+ +v6vBnSS7TQO97RUqw7IRPkozRz9DlD7j8xEnrd73ZXcLI3pOW8aTqfW6RmW3WdXHbjmkdU+0ifs VbwqdNsh9A8eDpDI5S65ME4h+b7gqC2xZS/ti+rCo8jbJlIc8XO6zhTgI2Znud6v4/O0hB1xCFWD zKJHMxfmnsVDwp//sTK8FPiAcdkEnAikxec1Edojt/9XVDMni1aBM9W4LZO9CqX2iIbtHS8eZHYY 72iN1N11aS/7TDwx0aKXadZU+TdLvT1I7mir82csDccgmm634AKyiDxqCecsnVxQf1CtR9NlBSzs cxKFly+P0xtrfTmFoO3S0n8ZzPhh/G3+xieVmAOuQFaIbAwxbpCIEhUW4n7jP9Q0dkiie/0jQWLP h0H/Cabk7SjTXmNxfWtgDYOZP4Jp3Rbqpzm92S7uSEyiTvEnxIs4gNqQOUtFda9RJVHxlPPWOdTS iVaGOFWGtyvJMunIQ7AvY34Z24QrEYJWP+L6wz3jNOjDjmx1AQX0zv4DjdGPVh1VUp1pdBC86l1k ZxCrLrkYGLU75gwnYfJgX36M7bBVbaNsZlT75dxlLEs+RK8RLScbLQK2Sv7YUCdT4PioUOb7RGQE Lc9bsJBA9EkhTwdoRIh1JvKgAkDj9Fdq7ckvgGU0nU17wlIMy4OeOINri70/5tQfbrTeViXHtpu7 HTqn0zPbP0CUaZYVr4oyX95Tm2nY2m68ft/WR20BoS57y502iSQq/Y31fgFs889SZV54UcIRKRSp igAmpnDjzMk94IuvR4b//2eKVMMt37cBHZCi6ZRKr2QzzW4NIRrEZUGYwokXLIynsbVweakZSTnp hDYDQPaSOFVVGDcwX5cox6MV+Mi0s0RWXpnXR5kSSonFmbdTqZ4wNOCKwFcWbxmirVaSekDv9BXu RRv/GqaXHVQRLrjIYvbRL8qurkIxwPl4igZJxfUlVxcHhtC9dRUL2rPwysswClFne3aowYEWrs6o IBDREQJM1L782PP+yb9FD4kM2ChY6X39kDVguS5rxJqo5CYBNN6KyWEYBUcHnumDeVBPmKIF/MQh hU+WPBalhYML5QDMaJDBEa6ol0AfqD9NOe1tWJJkL9r2h/bab2Qizq6fqAIzGJVjDP8jbNqVhkja x+fJ6QuyESlyHKZGsW9UuNdcvIs6VGsQ2FBNpTmTyad257NbNpe/ed1NnCtitKsu5tzO0gSLv8l/ lMQ7KzmefpnOssBSm/1Z+/59EvdYhLWgaVMKkJ5P+10+0WaETEc2reSj14jI/EIoqSGU/yuRrxtK 1uhTcvZWPtao2w3W9Pk3vOv61kcwMgXOAGk/Vk1e8dL5baK9NeqHLo9s7byGyzY5VIjhPlRJPG4B zr4kwLynoCliNNriRSUXVZQJ3fUA5+J2XqnZA5qvP9XoLnRqjypIdltwPJkqMoAYdeTC75xpSKCd uOAlZd6G7LsLZxqeEWB1XsOqxYdsosz6sq0s5RkH9MkPQ9np2V1+ADnPUcV/HmSRK+oDYIa0UR2l usRY756gGMbBFgGG/4+ME0B+K7++nw+UunrNwSh3VyAxvuMKHVV6wViu2tef6iYcj/E3BTvdXaOh G4UpwiTXgMSPEur+RLOTDc3+mzJgGl5CZTghdHuweGjPflX7qI6Bj7A7Joe15LuF2I60fj4rpX3r vSMRhF/pxK4FXeUIitBQboKsYrBd550JsSFmU/JolTshSbpLEz6PacoYIiBHRBMEJluA++CCKt/c +m4C8WgThowEjeT4K5MLl5MJA7tnyIuVxema+OIY5Gd8bOl6A3NSTUcpgwMt2VHwFlErJObcXqsv vql133u6GWOQcXlfYElOLZBohc9w2w4WpgcchH9mbE+DH7Vp7q9yqoInyqyULmvMB8ISOnMTu4lf 39rMdps0Rma8t8/2S4kD7jcGYj7uBLNAKiNbxWqf3K87a+LWyhDNzGx91iWEfCdRjKbntOhcS30R mjaQFTYkI92M/vWcItvY3qvc+Efw2BK1CYlfGRbakXeRWa8uJ6GuySz5+SUFPbx+cRYZvXqugowt D98w2DlhTjEUXkD/GpqtgyqZ1zEgS24tAC0ls/95YQQ8iW1LW50TRi7exTX1iD4wysvqdkTH9Gs0 VyhXM08senbNgf5VQZHwhTjDqS0PXd/YezKiKLncSAQCjZhrl30sBFvT1vy1NODX+pKwbvuc0Sqw DZTcysdO5DhOUxC9injppXcYlQn0Iq45kC6HoETlVrcMNnqBGJ8je63kmhXc/iwF2qP9QCdS2qSt q8fw3iwq34uRB1PUcsKW5sAFBJ1tQZVSfmqwNnUjVRWU5ss4dA0u0l+2c+K+xS8zwNMl79VBWYzU HoKdX9cpeR3AcyAqKjmywoAWYBmKhY34H55wXE1E5ZQt6F4FW6xwmo3o1U5eH3jT7Rc5OESxP0NB YCq0epRmF1W7IClA9PgBewiPWCRIKQN1+AM/9ee4WhiL9NUdiM754mWoii5smW0L5rMYzZWWcX5E 9mlB6uAkicFtFUuiu9azxM0qqJc9k+MPNEt9tpliGZioUiWFEnfOP2fj4dNrBjfYXm/iS3F+4zHT ERSkMn9upU9039obzf1j2L93ADXjJVOVmNr1k9sMuuMs1MbVC3CmcSC551lrAlYnpvoW/KOxVHjk bah6b21PEkZnEaN4G1hJAk4Ej2HC5h6WTvngnZWJMNJi2KKKvP76lCsY/Pqcy/z3Qed6Tf/V7//w WhTc9IHqce19V8elK/sb8HpVRnuXKiXaM53B4fkTak76U0cevFwE9p05UBNjMremVON/3DYGF14m b6eT+YWSoIs3BAwMqb9fB9A6iTqSiECqkZ5Qe8/f7O51O2ZdtcX73oXJWCOxMEMst0+4D5tihkhs L4OZLCmmHTjD4Bbca9QK9yESM9nFGoOguc3zF+TkRrl7JuJqSC5OlALcGKTB+uoZwHlHoCa9T542 XCjSeYzmgb2nqqalePadc7BGpJhLKzDqihRg66QG+VtwKlcAGHMsh1OpxEvCtjHibo37mwc8tu2x w3cpMBaTcexqdU4ysuyEf69JjlX+WcNZ/qBseMd2x58cjM15MQSmzzNiWT5ZSCLx925hzptzvQ42 EaIWQCJ7vaZm/w/Z65CjJvtyMLa0hgM12EfnGzRqWHP0GY3uE9xhrxd+o1Td3qWvF2bo0VjuTP0O H2JNyzaYDDLnjLCXlcm/vKg436KYkVdpru/HSCS5xf+ldEjnfCut320oEt1X2qjmJ0fJKsc9uCo0 FsIKo7zrNRlUX2OjLN7T49R6GUugZ3cDwukxJ7EQFT9Pbbo1IWekamQkJUptGA29dud3S0GRgfZf I7J1WqK9bT91cEinS/W8Xz5ITG3LVbwKHHl0/bOv4s62XbZUXWBiDSumzrT2EhXL6uCQ7YsiSTxt jlWWSPcFKZymVDdIXwz7gNgTkGmcBPPBv/ZVAHnJp5fYG7tJ58y/djG18Q/mODX1yLPC+OOw9TLq evmo5bEGuP6/49dpfhtDI+qbCpGopn1D1uFaZsYqxjITU6Zf1W9uGngZTeKxnk9w7zb+0fzI2dLy 7phRji0IRHoacML3m2DZbYp4q3hJxvY432zZrJ65Fh5zCFTb7mZM5S1ItumalianAsvnXvofilHo Glo26FOhj6JwdfmyRAnXx3LvSgXFJB29jZLnMf/sFFZIDNeU7hynjgroicwEL1UQhsUtAru/g4Jb xZ+SE0UhmeRxtu5DiLAcmdHAlJZgFcOrDjuiM/gzKFkNc1w0ZzIiJq0KW5GKZOk/roDrWkoqnoVu vWbvFsPOdwESaZ0lf/qiUnufTgdfNRvqbiAJrvetOGZT3+bXbCSeN9BG0AgJ3gp1HjKtTdE5tM8M OV0tFzIU8nCwYJBJwSQRBbBfhMe2L2cSAtKh0NnDwYd6qbP4vKr/dyyfe8eRK3Y8RMKza7iHvBmb +7Ol/tmdRGZKFEHe/WXUM78IPjB1zrUjNPLSkXdufoWuzhHReiCmuXHK4K+3cLVhMKaFW4JHlKj9 L76JCKE1N/s4yyxa6gSzYmgPaQnZgGGmvQ6g14zZY86oQszg3Yyrf0byDv9qaMTpeONKcIKnF1Ie F0TeJzuGYqRcW7aXqmdWA2pbJyo3QqLYdKFNSoS+uzZDq7PLzAjVRAGLcj88UKJiNUVRe1S2XgHM 7a1r/ATOI2C98VSMmwkONQmIL5TKwMXb8uWMEutieRiJBh5oTwK/U9hrtrovOrYSGld8JKXndxfX ZRFD6mpoaB+qOXmTagpUGVAX9NaRUpSvoX8FY+9f0fAKDxwLUwIaetjxAyITn4tgWFmCKeIyFZVW q30PHILQnXQU2rLiWgzwzIjDbxavp+WZCPYalgYm98iWuo7Gyi9wanm9ezTI2vJ6rV9JGxAPFgFG ZljZ7YonDTVZ/vWVQP0dCCxmcQYlqMmu53Kr/Tw4SqPZeL8+1g8kGbXyplRrOPESL2oT6sdDoLH3 DGvAY0vmnAP7T4KagH7+ewc5DWuWoF4jMkD9zMELrCi+DZl0Se5y6v3UHgs78AwnyquO9n4A4Tgj M8XAv1SbcIh8LIKjEySTADi/OiGGkX1Cl/JcMs5pymvyr7d0mBNHjsZR+AqoNlvOJdW7AL2C7eUW rTMsE/WJHoH+MKcKn9CxvogBELA5ZCcuFdRhFp1iaAY2qJI7SSKfCj6b8RPMGRlAqEmRZQSRFKRE BxUf2OPtky75ReIpqbMAyD+Wmb8cgppxUN9ItEDS/yeHMnnBM+XMwPnT2MwgZEMRuDqW+hCxcnqU DRmwZq9aAMUbTHl++xBW6/CCCIj4EgRFo6BDyMxc3hdprx4S0/uRcnRKelVG9m6W2ZXx1kE/SEHS P2M3tOfM9IHd0C0IdDgvoeuY2pHQEJZtylmXRqxFxMXeolHPqcLawlgU7Bawy+BhIR8iqB+Vbu7E +J6jTR9ABOV1+eUEli3QHjn5psYvDu7vHtBeQ6hl6+M6j4UmvgnRFfXT2Z5P0qREI/jv4EKKjiLL tDLtC2sIHavYIgtW/nwdudNY2C5XYzBwx65DfKpkwa8rxbKkqX7g4An/7xQxk95gROoMWtzBXgrd me/hETBiO8cXzZ0htMSI+n8ZZHQbhsFsIaE/rCKvXxnyvqAUBiK2/jexSHrTwOl8w0CdD74as+bO qhTD3g5ytyDLRYF1LGjn4mES2gPDTYhvHW2xDcvQ8MwW+jbvQgbg5p+ebk4NO8LsiS8H1cfYyL3N QiIu/WS9ctJPWO8MFnWE8JOCh56CIBLSBg7vN4IjqFWulXWV3E0UEFh8WTU7DoaxboLPToky+GGB 1J5lhWNgu6Ciot3fsGQEA4kXXNmLbQ222ZvR0m+JoxcEQr4O9RdWaf4XdDBP0PMlF4l8cwYvnEjG zezsK+nV8CeRnlZiOCvEak6zuoDVmMOpNUOyiWyrsVGG/q2K7M/P11NEW1isTfi7naq00yZrq3cy pStfrKsgy0TIYljhX63n79wBfV+1w//bqOFGu6tAknbT+3SNsKD61hCgX8hsGk8HDsQzbeCtQYWF /XqqEjVVmrrRjB/PBRdJ0tmxEh8jnPsKJ1H7Wg7B4GIiqvegrRVuadACI07sAV6E6riIPvgCXBEt hxEPizfIMLLdWU9ZSn7J656op9yCCjgmrYqWHxr6ejluC+wMby5R5WiBEcgyTKSTxhg5l1vbqI9Q HBIW80nm/pxQXE2oLGosUFrHXAvf+MbgCxWN4WP2KOEmv7PATZvLgl9ouiNDzqkSnIu+jX/mSVy7 pppJV8D/kqKMwIpQ71+CoLaaWztLv1jO7zXo/P+ayvVSwmT62jw2/FDo7XK6ydnlFRb8g+hmIht0 oszbTb5KTbscQkyoFGhWFlH9FfBtJxbLhzVsY6jcndPSz7fOS82vE/pgKf6pn1lzvoJa85G4ubbE DAa6ubowyg3UDl85hDaWV6p4yOALARtxT9BTkDNnqVfTTssbcFcpWtD+rG9LEFbH3fea5JArOxsr ziW2UTMXhz48s6wih9TNvC6Qtimg2J93X/Vyi6UYg6JJoBDN4bQEa9VjJ/QrbcQG6yNSbQMFJPK9 qxG4peGrk6TRNkZ4VEidSUVIEd1fOkUhZ9Ah4v5lTeWXa8lELFqI6xD+u5qgyRa2w6HzdWag6EB9 iwJVyZfe+zwaVINfiYikkrMYaymTgnhodihzXfimlRhvqYvs2i1Mx9Yl7vegSRlPa32OeGwQz72N t92gFkVYAK1sc7GIkIVhJ76+WcHUCPLPwS3oWlGT0rLz0tBK/PV77m7VrAyOnMCYbDYfkEjyNr4j Kzv8uQ7R46t87Ch6uwB8uxTyNWtVscrfvS5vXh56oOYjboG3T5zh9KqEanfFn52nUIfXnJL0Q0X4 Txls8l5CDho0hMbCKMBntGZZj3KJOV30O5HithbZ3Ska8msugjX/3sqWvAOPWSBVa+kCH8Pd4Y/9 GU4RgDt5l6dWrp96DekCqbpqft7G4jA9X/AyDCV130O/ZMDRUEONUIoTjLok9JNYI12v0Lqof/Tt ItE22OX6wULYYJ+J07UJboGGZ5lGsP6BAa4r+l8a9K3ZlwOPZqbdDwt16SNQGphnf6wpYGOxpXa0 YLMFnzgvhYfeTOTcOAJX5vTM7pfiCSTVaQX03YDhprqsuqGrjoXjrNdDcKs6R9XAqR5y77aGeGoe kdYivjt7TAadgrhh/8XF12k42zBJAOp2wtU49xuOlN04mi94acuD+OOyU6hCmfwS5QXfZAH7JVOe K4jfNAkaC2wKadgR6N2yvYhPDhUAvTux7TlR/02UaENp9fH0jsw+RGoUXonWd4+V1Vasq5pTin2r xzEpHbH+kSPVEcFBqqI0UYJbJ+OjtXOhkTFsk0ScaQUgrvcRjKWq6PdrtZZADdsvaq7ioit/iE42 WrDx78O8cZWJPrEDOQMiVtTmNWXY6m579Hq+H662n07rgNT6yL6c/gtDV6o2EEYdlvuuMFclsz2E e11x0vVkJhHQrs/nCSM73vOtlv1uUWH+PleioxyOQVe9l/pNMFmCrDgl2DKb7aca2WXXKlMkC2DJ gxWLIFUloEsIobDq38/8mCqnuuIg+aVYhBwdmppPZT/BNh9b+bOYLE3HWdu0J8Sr3aSICkk86p1m ngOsFq8oix6tEL12jDxCbpERi9ckijIUBecFblFIT7BXGz1C/sfTH0K24VxCngIMR52RZaqWZCAv 4wfh9b1LsEAeEXobL0kX6ejPBLXRNYs5LALKY+OYU1fUoIEBAApugc8B+zD2RkS5HQnAj8dkyDT2 YDvxtmqKDeqtZN5f29yapNI6oGPLA60IZgR+HNg5tHY6wqaDtreK3ywmf4x1424BSDDtCeGt20F5 07OqdGmf3PwRw3ZeanoqzQENrCKv1Fez4hUjx0j2wVpE714RDhbqxfFzL6xn8rp4Zc4Hah7Qeszn mlEWRwK/rW80MIRC678Ewh4Yz/3VVbXaaaDP2rCkHnR75xlyJPpLA34LA5dkQEX+R2y7fgHf8k8b dlCHhbgbZIGUBRKib03K1mZeGtmyfPotSW5c+Pfqx19pp9Fx8dHtNpzdfJmlIQTzgZYUk+pKM449 6PDlcj38bcB1GVl+6Jk0eQ+gs9+bI/sXo2FmovO781ihjEGA/8B8vzVVY4IhzEGYJTcSlELwL7uu XZdgGWE1hhDAjb8JO1YgrRKbxf2EboMXEmnUv5TgEdNrSH8f44hx/JoKPxaxJ17l6tFiGAqLo5PN MxJboQTOUekIpxmiIJYot/m77aje/tjSB0L1Dq581+M+vDuAx2BQYI8JlWkUWWOsE52WM3LXRX94 VjjGn+j6FT7Df5WPdvDmiKWnjgoTSQR85mtE7gOcp90JPDoGBWO+VIcMahBw6DQd33C3wMxMqUzj mAGFF6j3UZ1TcvNLjyFghHgEyw8bJRyLI0ml++1o54zJoKHR9/WCoV+a4jJe6GyfsmCDVySSkqCH zPalOmGaT/x+06t/6pvllhUd64jo1FUwYrb+uKjH9vs2GszSbVeJKRpgR1z7XO2A9CCG2YNeD4AV FLPoJiRzmLby8KZsX9pakpvasxZny25dz0ajruHBKYnLg9Ss4PVdho6NXyFN7PIN2xePdRSUeaG/ 2PAvsBq+fGk5xWwxyUViWgEqcnI4Tz3hXEKKkghzqlBay2EdtXS5AcqOIJZ9zX4z2MEfKINvdsrX VQKBINlZ2OnU3zGsuEADZ+0P0avIpuVS2Z4XJDcB8egL0FSqcJx3fxsDwEXRPeLzT8LSFvtSvT4X 5c+yrw8LhwqRCKR3xsivyfcPyDEIpEvU60cx68xe476P+ue84J896PaxtYtaBs8fx7YTMy2zM3Mn yEkWf6fgXplv0hnT2zO0dlHeJJqg3JTPagdMQ1OHT0bzdtWSmQEsi46+n6PhwXBzbbQQ8BReQwX3 n28Axhw9QGzzRTGy/SznAI3RuWhi4sQVAvkwZWI+oV0o1JNgozpvHYxgv1o3KryHWtekxlbgkIRP fdkjGzIXDFpxHRcu0aYfYP48vW8+or5Gw2lFQiU1+x7BZmYQEk5S4ZjRWFbRphZTuOM6csB3RT72 HJAzS1vaDi5W/r/nRnLwNJA97USbWjEQOUl9RQRUk5L8yc708MRAEqvJ3Hmp9nQSfixB0YmxPcTR ztss42cafNbSc5bAoggLtFKhn0WIb0cYvOa9XyrElg7dTI6bzgcWwDcx0VWsWgeGpXEC9tjzZgoX qE0spoetbfwD5afeIIzsH+DmLWtyAdS3jKVkKiS5KttRX6DolzvVm0QYecNdwM9wBrF29d4rtHge i1sYnY2iZnWr6vjh1FDTmG4Wv5Mm0t6I+ej+GxDpW/F0rPVcGNLzYdg7zcMy5G2zD1In9u17/FqU cNSD7YQzhEL3pPpBUwOPuBWBYIJ65Tz3SqW+VzO7AZiTAFhi/ppdN3Qk57y7heZ/UjTzYoAQWDqY CQDqM8hXp9A2/kzBi/XUiWVBY9v3c8J6e5YmMmrSAN7Hf40w0dWgeKqvjefTQ94hKPJ6lTmvsO0z ATXk+DUVjk9/joW8YqAf9+sj1OR5YHB45gqMOP3RflXbONRKiu6eO4S/cRXOpo2P7AmBDn5kspib 7vJl8GKs336ahYlXV2+tHULqQ8D+GV1dTpr2xhvziJa26cif15h9IhQC4GeXnzfMP0h7x3gCgID/ CBBd9PucOHtV9l3b3QabaESgeKB6t8ZyytuePNU+0H4Rv5AMVltIP04dlYnL2jk/1Zg3UCwtsTfK iSEEbwhwFiHgn/QAvSmHf3kSNVUkH0F7FSiY6hu/3/2uv06nxIadR4mTF5gx0PiUh4Ni/I0N8Z9t XlyhBs0Cke09dl0yFXytyj5e3z/z2xJR1RPcvHv8JNV5jV1phPPoQOqQyKGBdP2iw4pdbmccRfVB wDJsqBZzT650QDopPBxUmG2zbSC/eMEK7F+nD9ZH2DYJIHbziR2/pxYmrypODg3mj/xPE5g8zfUL 21/Kju60fj3vrmX3s5R0oJIDyp7aA01z8XjJssl7wPLtmeK8tmO3hdk6kWIiYHTB8Sw/bVzEJbne Xp/71mrBbBfDCD5M4SfIoAcjbaoBPhHgo+5hrH/f0ROZ/ua/X3kqFjoOFdYPhfPmIn4oGTMF2wzT c3WieLVIpf2h65SSFCfHvGyCO5BPnDSasc1gdb4oz8M0GmmFjvB1hpZ/F6pe3Q9WBvqsp4mO5RYz GH/QPGRTE3Botd66A8WlRm6+HJIw1c7qulWj+smc6fFPuOqT/DfLM4sCTS07K/E/L9O2osN6YHL+ qdgk/nM/+ZqbruWxGnV/+jivvbeJpcb8Fn/QGzmkuE69wsQAGjXSRRAmZjC/nR3F0cun/pXTiCX5 Nqg5MykQ7Wf6KvJpZ4kZjsStKRjF+s9tcIxClzSO81Ff0XVHVyTMKhZAKRVE6xgu+Lb3TyxpPLYz OPqgjJ4/ujT7bUZI2OoEm0rMY3+3W/UXSkGm9wVFwAbB1HkkkRTND8QswiD2vgLcon4ned87FTyF bCV33dqYG8WndcvqJjGq0foliBUMTw4jIPRPRonEZehibb3/Zfq7iTv21Adn5y07g7Gvue29sfG5 5DmqI3vEyRhSPxqhwUBnCOcZPodZ2QLaEknrsz0GQaPsqjrR68zPNBfCNt+Y0sL48YAJE7hLgYXX ArnZV1K8Qz81rIO2SXa9Mr7oADduVCLsOUKCCKSpnqqKJAV6veg7nKKQaHcZBNLt9xSltFzF6wfm fsFJnq2DEB/aqv65ekBcO3n+32Ofy3lAxK1eQO4kr7GB5vB3jxr9/DpRZxuIQ9O4o1jbDnyITj2f Hmykiv3kX/EdMJDYGJ8reDcTAGE+/7/JD+wk3ss1zQcNAxeWLqIpeqO5LlaRMHUKRkVigujK9Uan fF9lfO2uJ6YYGgFRsiFNx11Oz+hD0rUdp0B5Ztucdi7xxLIVH6qOQ+LMwR0lSJt1Dzhv/Mnx+SbZ 89+Xj/lIGmw4YyF+UOO63dxI727fT6hOToWsG3+xpKJqELOywNsw5kN24+NQ9HtaIbTl3xjJWXqq Z/scwg/0ZMriApsmeENHuDWUIOfMsJGzXZH/gAYHqUNkc9OS/teJ8y5etDQtqaG+BQKw/RzP71CL RiKpMs1+udmQrwBiNKSQ9J3OjFcoe52j8y3dtQtTKg6hd21Shr0QSA3WrYlqTLZleIBp0KK+e9y5 G5tGDasHArPE6+2/CODF+Kh2SyJNbWiV70vO5kgbb7BN8i4yJ35eNXZu2NUww+rqTf+r0pHuRalY 9VPESJYcsG7OmtjQzIuq5VWGhhBCmtuS5A6k5GMGB06AbInKVho9Q/dSKapBZVfAfwPicc/L0RnC i2tylb1rbPj4IIWGmXRhgV19ojXZ3npg1hLqJ0WtQGG1L+QWeNprB17bssUT9rLFUkvLfB4arZ9K FcIow6DLujfNDkvuRjA40FliPCazQMdZ8edwoYbIg7svQSXj+WNSyBSUufESUtHq6i6Lji/thQ9b pLcJxzLjrto9G45D3/suUFdN3OQpWKce9nx8/vxNSRy6H3tNsZB5w1DPWpMEtRzcq3nKTYufmqU0 qYoJ4oJg7dpDNq7HzOFe2OWynMH9kz5htyzVVlqkV0BGfTs6RpF3/KzF74FV2tBseQmsmWYp+OpU abSDjYOlHFfxG40KI68Hjm1azjp1eZCbw4jv8A3Ff+YdzhDEcGoMCpo1wFwP5UmlfzlT24NlKtVv Db9saZOtkMf1C8ZYwyKnm78Q5oigldGoq6xr0mkeH6xuAKFGlUMnVObZYze5FQvhs0+9GQ7miSNs P6dYIYBlyVHb9I/xy9lBBV7smSBeCK/erOh6fbggAhuMEsJusx73SZCQ5sbMNZHfFuuxb3/P7l+Z Ce+0qBpMxMRLIBn0edNxyU7P9H13Be7rtVLx8PkIEYdWPeGq4yhnqpLncYjYwwcAzaiYW3qgraI6 rbK+N9/ZIIx4dYSEwv5TOt/21FefQr4N1o9cgiIOQBXzxJPgqz+KMAPERsb9Df6rHYuE3G/R8N8l AX4A18URtUOXg3ZGEoI05HCsWWvUN5Jp6FQSDWqb7bgxfEZY/DGSgiQN/Q/HpwtfEWIAWKqtqxzW gq3eWR2KgQnzFxrH05mrrrV+qcwTyxfGFAh3zoFvZxsFlMQNgP47hb4cx8mGkXeKz9t5VP3P3saF IRYQ7u8Vo2HtUxxvxHdWxlbYSrmAWEY8gBQeg/S18YoXWh/xhxxsWOzKBg9j9OJTzEZGHfIEIhxr JxjEe724mkQl046B1sJlUbfIAfdbLxv8RHVIIophRWvd0HxUL1v14J4yFKdP6KNWp5mUaKRQKkwu DepzkjekHS8Sv9pyucZVMxc3UkGEhvLU4e7MqEGwrX4LTdfGUR+oGJcAR3GwEhY+7c6efG2n+VIe lAHUKcsrNMZyPBbcfJHQSMeU7eaKmTbAmbmMJc3yWvQ0Wma3qt/RFwas2Gs6lnrEupRfERt3C8qD 3aX5Dzgb3SproyUi/uNXh8TO3wJJ+qMCFaikvo0P8mWY4NdJoQZ5KdZpsdn6mO09dQkVRUHl1xaJ cha++7WOiu5xX05jFu5HGyg3xo5Hv96lJxNttBv5z8/rM43KAiqKpchGQs1rZL7iufIdCazM36bJ 319XaGmnzJa1MvbaUVUjCfKM8vcFr10HamWmmKdnnmUOv2QM4MF14HeMeRldbYV/F/qdBbkw8Ufx CMtyuFHi0/piCWLb1DqJQE7G84dP1GU23G57b8laqr8QVZGPycg0lnpGV2PZzLxu7cG6DNnFEMOo Nr+74ItuAuSv64oXgRRWyCTbu0cJ+IH7CDC99KvxYFojA8XJdAGm6OVFuIfjibvg7YV3711UZWdL 418iFlIl8C3jCcw93YikAYK4R5CG8B9Nu8aK0bZ/oH3vcLYmicLYeZsok0ljJAkYoGQgnD0UELmm t9VINb7LVDU/3cqlYxIm5r6HuqfqvQI6O0fK4ChA0LFu+zUKuQIJQLwzMbi4ceXHOgsFoWz3Csg0 F/ynTC20qklZPK7eiyFBq6pFFO0LWURwtTs0KVxwtGkKlZjmhuMzgUg77eqKNB7seuqij8RJoGNf Xe+MsrFQjwxAel5DbikwsLLR8aWR/EKiqXFFPzu/RIYPTQ2cnJCmqyzL5909HguLcBvX/RJCTiTx UFVNYsHkAbHnWicJkR+z1uZmb1H31rStkj/QG7nFKf3/uK6QarhUZP135W3KgcP2tui4pUY+2XTZ dFEAd+3YXk3i7FVb3eSDWEHuohWX4233nJ2z5zxY2FLiwavMbbrutYxEArRDv8DxIJqR+61k9+wp AhjShNH2U3riwwyRocRKBunLUsw/eYK4ZOYeratwDmM+bvq1LMR2pHhcV4Cu5QR0rLgudzmhTJEC hpJZxBxWxvKpiM+4smK7Evk3YmdZqF6mxsiMXR4WWqsE7Q5+uLDWYQDy48+0gpnJZcyg9fh+qfCx Ox0q2iBHz7JhCgov0RM9vsY7z/Ou5D7PrPm1q2sctALYEJnqnMa4aAA2C1ZFjlLDVsNujfIecBMN JnMXmP47GFRopb3dD4gCcJkuVqZ61GKKTB+fG4At5ul0Sv9Y+CyEpa5+JqV7O5wOr1B3etSJrTfC 5s5rrcFbQ2xQKC/701MPj1fAQ0zVzd3YCPPo4He5penMrhcn88qBIVSMorVYdJkyC1aYchuV45QQ CoUi9F5AaFa5/MvxLnbyq/fs0QkWHtH17ePmYUiWLhbKHBdFKLBZfbUYQkNWNLSuqunVcLMR/snc P8yAMcpBzaveD20gfeqwVuu6XpRnZHemm8RJyTNoa9hkh2fDUtv1m09joOTuH9470IxeqaWa9GtP P0GupJORmudoSDojoh01j/LCGuSelmEgU6LuGO9TREKhrjaHFmujIuRT1vFi96h8ibF39Emnc5cc OpzhonL3bgd1j7xBxYgZQTb1Y+Pa1txoNfe66a70uzyGOHzdSeYBIQsXu651lMbq0RTvFH2Bg4Kl b5eQ6qogNe9aeBTnSPKlXnBHPw+xO5i4bGX7mn8iHm1IY1QAZfwMSv3zic3xFkcYh8HCGgOJhjHx OPo1/IqAUPoO6EbRCZdB7l8oz1OTih/FpNqQ4vl2dYD8sd6pRA5R1615qomOIlXV66VCGm5gEHGH Lwe9w1sgBqdPh8HFg9ZLwHRzXwFWrjiVwdB/FJDkXtEIvXkhggvzHWJ0zTehPaQv6NUB/Ix/WIgZ lSPPoSXxpPX//pI2Ajuv3Guwf6+xWRvRBgE4tKLm0cp6/I+Yk2uuZVfIuPirC0It+wovUS0KotgO +E0ZFoCgsjTxO7rTqFn69RZy5J19D+wfd/F0po5WhIL3i1Ith1Hr47I+tjCbv/ktaWk7h12BkXrm jP0VSGy3njKOnXiYA38yRWwYkzZ1kBZC8iCiKKVoQCqD3hCnHP72YmAQI0pH6bGlvvVi09GdCZ6w l99zfUICO8E1zzpSp/nAaUCWvlKmiU+gIKTLB89EsSKgBf/iA9EnaxRUSbZPWUSx+9veQBW8cE71 bqw5RDtzuzmkfEx3GqYvkNGiyOEYvhQZgoY9ujO2W0c3LyNJvanQAr1LSe/+z4Mf4tteDlVQ3FJl B8g8NNlukEQKv+s1v6HJzX4srmbQKN9WrEse724itiF4Esx8vtWtSYf5MGX/tjn3hUWpQZKku9V7 qWE3+CL/yk09tkhIkFFlUaFyHrcKFtiBZaEIS5GT7GY4eQbrZ08mY9t/l3B0923AU4vgxiV+bqKL 2eB5caKml9TF/5UluknwBrNvS4UKNoo2Q7DcQ40EVQr+sXsSr3MOEcpuZn3CW1Eq2sT/zztalvmw SY03SJuof21P52REV0y0CVPMpwWhMulH6D4VOqYV5mIf1j+PyMrMHuxhHhukxMK7VaaIRdwJDRoc 5yHlHZJQdxTAd1C9WqSSYMd/CvPGaZdwx5q9LrD4dq8huyKiK0J2yEa62tH4XRhNoLHiewXKT3Uf RBATT+fAjWZE2sy26xnaW7bKOp0QdE8/8nbZo67JM+QSGwFbYUNHqFavqdWsto0XCEEWxou3NDHf 14y6kPz+bW8Iko3FZNQs/5PtP5SiFfz7Xlbq1mkJSfVQfr5mQu0LmhRPueiXJWPzt6h8hQq0X4Mw dJipKbSleaJGkXyczZF8vd269DGQkcf8hzdKEnFv54ArbEnE61493Og2GWJZRbjK6zXU9fZKtCG6 77opF02zAlGyQyxe5dARTaPo9ROJxcs5g+dfZgHWqX4fkWwa/WNdrRslutvXxxB0xfxotsM0zr1V J6GpXLiQLRNXBUTaes4/Fm0kHPNpY4r8t/38rQ7tJ3F76ccGUAhE8/HypNRmPzYI/1oBMJiGwHQX NnWiOnzmtEo98hvWfVG4iXgpZssBuT5hPwcyXgpWAeFbMWtdzG9eMpA6z6tZs9GV4NYKLbnN2emw quWL8vrzLbTH9Glo3MYUBI7j/vOezPG4M/rSatEEUY4tW+7w1tPsT8Pz3eAAXmXDG2rmW9yQ6EQX Gi4AEhRY+RtdfuLKhUNUXSgGOXpGMZ8GYQsYmpfJWwzukbm/vrXLSNS24FT9m3ZndEJFuS8ynCfg WTJ4PDajDKZYKbfVzGNA2bun/fZ25MhT3VFl5gwV2ANAHs6UejIvLtuQhur1lRYBihEgARdAJPER 1aT4iuNM3I6PNEwysFwmu/Odb2GRxeAD1XnbosDSSCxLLhMdcWAE5q6AtyAo00fqAzpSTTUqZfGt cd/AQvWFpoUchf6CBQWkOXZJ+2BgnWZzu0xcrUjk7MHAMDu20QyVtK+VuceTWa9cdDWEraeiN7Ny 0vaPAMBiTHJ/xeBdWsIcmknkZqJfZWG3WDOgLc6+2fLWigolcnQurR16TquqbB46ZyNC892eh4zv 9t68VzkD0ANOG6yJdsxZk2zuv8hTdI4w9XAxWWiPRlQw6Y7SXjmsCbEn6tQHADM0N/wC2tQonpz5 PoxahDuO1dEmariDVdCFSeY10b2QAiqYgn+trFZ7Ai+DdXN/7AYFLEqpN5ob6o9oCz/v/PtGHMhv beyJXTJIBo8xmY5u5lU9oiWCeIwtHSL98RNzQ3D1eUz6lljYkgOFtdsAby/wu/PfKIhVITIES1fm 7iJwD6dmRFKbeEGUzJzXRZvlWnEQtC6dAN+azx1uyutcQYnqamcIRrKEfp8m5P+Ey/tazxPmnGch 8jYGuMdJrMzty502qccwKAY7Hq32rJFv8dEQ5YtO0d2jSf+eJJMY3kUD26duyxF7vNG5+tIeXaid +3GQlM8pAxVtJYBAP03lTC+8UVjdP/LfdKUFPp0qzXT3Xfcxf+zllalYNk8/lDnecXoU2oU28e8k q7tZOm+on+3Y5PiDKBd/iXt0M9hCRirUqpsmulw8Z8WC1gqH61pRAnOh+IsP1N8f698JD3BzG9Ht LHOzJsM5Y7pFczxnNfD1OKfOMbma3zoSEJ2Ho7IZxTKrnEdYo5VMOlnYzJ70CY9Lbr0/uM34gAqZ S+nVw9TTN0QUVT8uHd1bpYqp5SQ1JW/xQXSDyYoFE1fOzde5PPRI3/MiuNio6IslQuh3ircM5oQs ZjoWjDtmgy86t994gA6R7bR46miYzBVc5JSGzYQQNMrmOOTa+LTfeU7gnbwkI1ULnKXZEGRPtCZ/ 6Yob94jgEsX4T+L+yZn09Jm7euq9t1Nqegf3aTrUaaiNGIkIxcldsj50EKPr3ARnxiDAdRq5UXMO UvzUyz5wiFQRDSc9iMnoaSVYiMiT3ZabU5J87p9gQKGLQ1LGkxjzkhKNk3wKwELTVpBvftUT7byM 2CS2VPqvTOG7G3GMJji4xRwUBR8cum2ispRcbvkN1XrhJgPjGIvPdmYs0I9aoZh6/+eadw8Gs0p1 HC5AmtSf23XMWzo/D1xadJACVNqP35G+NEqVVFn+MgQDLHc62iBTPTBzWE4X0soYUmXP9/1lM0ul OyS7twAEjY6qtTeVDsCS4Onk+7fgVep+jSkpqmfk8yDTGmDMz4jFRe3Uh33Xlfzh1+odkvXZMRRC Ea2Lfa6lwyWVJjIgOVkRUgG9By3trNMpP/VW8K69UU3BfpPF8q9A2G+xFQTEFFAVAGMW8hV0bdge RbaRSLo/Pa9wOeTDeQo12eFwQ37YH2q1SlrccN8yUSIM9c68UM8wCezkLTOiGeElle/5TFOkl37K ZJeSwMAE72I02psSph0ZYBMXCmVOWoV3B5YU8QhkvmEwtPZy0cnjKUkfnw2TLXfO8JfvUftgxYrR X0I3gk0W2sWcoF8F8/NmDLLJG2+3rDgK0Ex89DCuhGLlk3qn5BdQNhHgJG1v161VP9wcuZ6/C/Hn +4UZdU2q5W/RMLQ7mK6UJPa2/CwWIMXgBiqhSq3qguM4mSf2V82hI4UUQiFsJ0ZUXc1fKylv2zZl 9QnTvR4IdvdNKeUhMPOa/NaayhdYirMy7jRrHfWZou2bLWlDDGM33jWmCFpfm+tBzzPZB/7cX8Py ldoY5yhAdwaxUz6S20biO9ZnPSp1pGrmSv7aSHDNrapG5vbi3ecfcxeQ+uQcnFm/I8Qhomg0zrrE 5WUBQ2no2/VozNOKxdnfOENEZrdxHb6sjzzmFXHFzzFNldfmZvDcwG4GcV61HhgIke+WKnADJAFn JVf++OOiNOFDzCqQNb3PySFIa8pp8g9YjHi8n2QXuCVbEEaeYhUgSog7T3XNXv1xIxE1TnM+7Oee UXJqBysjbqqABooSxhzrR0jF/wTDPIElTUSK8KqUSjNkGkA/pmA/KDq9x9XT2XsSxizmTIqblj5i ckH842d7GqFPRZd1RdMgrvvgaO/SInEexyxxXQiozYWVS8gETrsz3qqWemJ67KSn7XcGkDck6TTg dcyDmYUH7OQwHVHBquX5981u/Sp+fIuDYUDmzml4jD0OUVzEoS3sWOgYAdheRrKEK2VS6EJFC4Xj 30YHSCTuYsQ+07PXeX1TCI032ZoHBvJ7xgnA10347ZZKAFMyLqxN19uTzVKFy6W0uFOsmXLwmYVz 4l3KkDqUMWUGc6Qtxh3kAj7mgwC4D03bXXz/+y80jEaw9lDzNBtia+nKfQtPlIneKJ+spHdx/Cy2 HY/QZwfUh0IaRbyLGVaRJCjUXcMpv+IPYZL/Gf2xZhR8i+3vcD0KEjLCTY3u2f/On9PcRvo/qA13 qhAq2Kqbmsy771cE02P+wxVYxaxoREz25ejD0MYBPYk6VxABXwp7rFuSnb9KJoLBUC3MgZocpSHV tnhER7thWA5yLr9JvQAWCsOlbOmBWyY1ECRMZDqgGKkuG7I31wQjnWNLyCozSf0ILnNamms0FS9j O0BphwZxDdhVJg1Fcevi80xsxK/b68R/Ah8vax7HNX1d9z2VStwWCSy+1spl28u7DrCFPcyebiCv t4LCknE6VjQVQqwCCASlcFSBAdtx3l71oIYp30PHB27vaYw8FNPuqiTRLa+uAnZgc9U76b5V6old dIuctvmgnc448WBcNjACFlazM9qIWKlrzgS2EUk4h9+TQfKkdtecZqB6F/ZZSpqtqr/VQITWC/5M o67v4kJKviSnLwhTcqP6or8N4VKMzjeHc4CORChbha1mBE1XIllZzoRNb1rk2Ksm9kH4Jr1XkOAn S0Wk+XqmVux0CwUhvMu0HtizOjcB9kQ4qCheWVAdK8ttHSvM+pd5nty7wZoVozcx2jJ8gZ7Sj+K8 ivR3qFMQblRjj45JdZ7t7tct0iTRyVtVWNPUkbMq0zMW6e/HpPO4h40p4+YYf4UhQAuzrzG0j9ey WZcW51Cgfb/Pad1rOGmRDuNIMKeU5zglveipK+a3xYUWSwk8GMLlo/hjDyKkpeKJoqjoTzAwNdlm pO3/t/JB32rBvJDQlB5dU/EXgLpixMTo7Yx1Afi4pm4akU0a6bbyEcak4s/2D8gslDaIuQrLaM6g xzTJlaJFRbIMUFrz24GmLOKL6C8HRyhzjvSRhVNZC5rR8wBaY4j9GqMR7vsnDECCXUpnwafjs7EI /bWHbzUkkItoCFU8OecqtpPQyblGCp937tm2C4m80OfPEEstjbApP1Q/5zLVQmeb/Bw9DyNqK9cG xrBqa7B9V+u4tY4kzMxqA75Qu8mJlNSIqDOw0xQPtBq//HU1+71unwgRu6VlOxz2zFPlxAyTOfvk WsYyalRdhENoyklRO6qSzo5JNEMuil4fx2L/Z4+xUiBPlnbb9dO5mpDpD63v/LLYWyVBqm2EsVan HykCIUPFIMkU8xbKGQIVU947n0+5eAzks5JFr5b+4Kpl9v3LnxdxzD9sGH6MlJ3arSFspUNJ8un4 /4JPU4HomQZ1lWKdiTfID50AlVlrffF4ZENGID9DPU4mFb7BtPSGs+tkMlDSdbmLHjopwY3kvLev wCLjy2OWwpdJYPEwX0M8h6iOe0GBbXvXikkHRh4uAzYzbH4K8XYOZ1kxYuSXt8sE7NmOUD+LEAFD qu+4vByn6yzV6vvG6IPnltXqahlly8OD7XGiEy2AOXMfcaBEVMgjozWleBUC4fM650m9XAwV1+wK 64Qjx0uTRrNd4cY5PbAxJJ2jGl5o0vw9wd3qJNrg32oBq3urOVhyxZvxCxKHlLxbyUf5jIgg+MUI LuDd8xiiHfOSdytFCeBl2/pbBipewm/H1xJGjnrlrkjTyl9JedBAiMZ4hSejN8dibbrGAggqqw5/ t6k/xnfBBJTqcxRGS547j8h88s1ERWaWOKsd9vyUSCIfGABM/xEfwWUJdxQj8/BqCWueLHRoI3qA FwcnesIH+Appcsc6dD0h6Gyi6aRkXXiZo32BYnLXXfmPgccTSTL9PKj19oOmfPFxa2xO07C6g5Mo hfbsBsBVfd/xoMhRBUEQh6N2whSuFNGGSzULJOG8TgZ5EzKXfkOEoCO3fG/M6tm01Omh5p1mLExK ZwwMycmAGYfGrSL/8F6VHwh4j/xHh+lmnl3ceKibbGy/W8bwRb1kCJUyt1//iGI6yCqKlkobtmay 6dAYKp+dgdFUbddHvjo1KbbbQxCaV35tw9q3JgNj5JOMlAi6dRmPvWgcfxy3qVRGQn0U5HDkKprP v64GYMqmUig2KK/AwyEFUHFOwO3/LPg1ZyuLBB/sqqDgo+7/xLZnE8qCjiHxX4DJzfQuiIDkvhcM UzmMoZiLGjQuSiQb2guvjD+bWvehk7Mli2vQnX6zOi+GMZQ0AEkJVgfw3OBZb/Jbkpe6MXEPXHv4 A6/PPkRAmyqb2MvgAR1Eit2dbKWMEea/IwreSJR38I7q5FjXsN5kA1zB8e11l++36U+M1vAXaugL NXpFV5JSF6L1lEVP/ABXEBLVOy2R7Py77yq10NI535Jn34U7BGMseo9XZDkrChj9HucLrYdQxopG WbcYO7TMRromANvynYh2ccgmHRDpXqpBuJBK4figczuLdcy0r7uhHZrS43v3g/gYC4naGz1khLZn pNkTF/l1e1039MjfqjiN9VuGtu9LI54KrMkrotCNe7gF6qtM1e1U73U7v9hVHd/ytiDsizR2S5Zq sOrfKAjHxIeUyOyB9j7mzS3XYy2oGJSiyvxdEo4/fVpKtgxmYcSHuRL4VlRUpFkTJnAG43ScyfDH qRL7QJgfbZQyPsqMLUQm+2QKjZJP0I3Zj0IM1JrvqJt4asksnIHJXob+0WaIP7eLYCBXYd1prU6c b/r9MGuwmysLC+n3/ERGx2P78Na+RFkI0ZuU3FQ/yVMXq3XiJgBvueyRr6CRcQxBHgCCRsG9kEWO 6ZkX8bBLVu4xXlzOrGrHFnBxvxSsWkwD6aPHM4hR0/sY7bYXTdI1FpKw8RueVOlmx2iLQ1q3xbKI ZFPpqPT6g2vd+gjOTH+WjCrfHclooohHr4os9YQzOEgzfHXhfJo9TSsCrTf76paXSg9t/l5HJFOl YGrnauP+P+lvfgJV6gILiHJErrRiKDwMwzu3BJV5AQSyy2R6D2Zmt+qiPiRxooED4vYss8o6fWkS J81PNzFyNF5DlMXh+CvohtvCvkFviAUcJLdpyh3IpIOWhXk4k44dxytnS+ETYHrysIK4zIyEIq7c RiNzeYZpDtc+kZ5Lttuaz5pO6pa4emJ2nUma36yq3+EfmGyxs5EIK/flcPndltI+Oosoz8gcJVJr P4pYPlG91RAdYnjtRkjY8/lt9/AAE21JppnnHpX2R8xGe1o9bsHmwYFJDRF44Ijq2njAeoqJQa0Y 8s2x2fdzHLMQBf0umkStx1ty+mQaTQYF3E6KpH9bD/bU6KuHv9CyVBY5gl8LqDewjzR4EDCzUjwG X4U8NESMHIYeSNInLJmOCtbrxCjifpjpSw9IFtganAp6qUDOJc2DrABaXyuyjhVZCuN/N0TbE8Mv +cYhKDOHzBB0Ru2wmNRuQ6XWUEANmiXvgwet6IDkXKnJIbaksWVkqjCjYwYJLJ+nLKFaaCGutS3c SSNchObkfy6Yvs9wLNk9aFJ4MvcJEyc04KPooefkQQ+Dh49dMkmCVGhNh8jIwa2zgWSumGIaEqe5 h00y8Jb5lxbMWtoNDsSkU+n2kVesB6RZ7O+aku3h3QFIo4CBnbETjlvdcUuB7TAw0U0RrvKx825W x2tIuPLKNR/Lvihod8XAn3cIUism79S0Rxupv8xBCzgIbX2uCM9DxFb24eg3/OvLz8QusJYEx0qT vdfxIG+d7Dj91bBjR0wGdkqhw666C7pbUoOfBDAhMgM76IsyrV65/NUx1ICuL6YW3EreJfM4FqLY LscAB6nZIBu43+ItRkdeRFV1f+2D3+NcapNvSxbzGeWxL1bwRckBIyEwAuSBEyEeZmckUBsT0aTZ lKjdyFJl6vwVLKBs9HCZTRYv2GZzQ7+icV6PT+mD7jvXxt0cxzAaFJJv/g97gPycNNa9x/AHakxn OKrY0JbiWozE/stoBtWwLTSHzT2neBrKzns8KYNJMw0b3wyH5I8XdhZhuJPd4aUeg0Cj4k01j6d1 BZFuuSRzUrCnV/plx2RQwFCSHGh8cthaWkCU3auBqAmvUOUqBWiMQ1U6TVAeG8xmb6NoabhYpQP4 G02rzr50sbfhlhmijfBm50FU7alO5pFTFh4oV+BL0gDCDNinKuesR1eu7DZjJBN+P8pe/7+AoFh1 WFLJw4a0gOt0vK6x1zYg8Gn20O5AbSP+jGV4QxeoS4R3mZCPw9j+PhEtTIPorObhQN1DoTLXYOM7 Fx4mWizF/HkPYrP3IdefNhdk0NeipaVXupzAvCOtFwPUSpG4si3dZppXNSN5SJtW1IJCVLRDe4zT tJ3f/YgCSMW0PyTvLQp7rYKVwXw0hVbKwK/nam6044gpGOQZKzcy1ek0hKxcB105y5ZNXfz8C/nJ XtEgY3AxE8ZWF0HcSo+x9Xhjfkywk2eN3wFHFiur19F58dve0B+PajEtvi1Xw4pFzwAkbUbQJHC1 2lHT6+YZAEJaWY9wp7taoAB8So3JGqRwRYOP6lqNA5D55xJfByaObr7VuXRERtn9sLqDAcTsky68 AdlJ2YcMtV3d7eF5k7sTNnyv/GL2pBtwPFF5kFLcgvsGNkRr1w+rRpdDygnW8KvXeTo0/0uHiDdc V7o6kYjE6FY5Co98ummN/z0wMHbvR4ytVr/4/RkAGhDH677lzb47VZzNYR+NCf8CMh8Ljp2xlSRo 0i9pfz+K0eiCjSrEuyxMzX68UL/F0SO7iV0iejS0b0a0pIHiz3MvrG7lmQX9Bjm6nB5ZnuU0AgOn WvPNizHB2dvzKgbSNHNdhB128bMp7TX3J5ymJD6zdAunBkLIGt6FIUGWDyIavqJZM49mCdGLKMV7 1bVaOOAY5/rIO2mO+yK3UFjrpn5JiCPfMSI0ypVnGzxShfN1aI5jeGiDURifb513tSWB2YXFZEDV IDK93zIOf6u5h0wNVvxtl0Xf816+SWXmCC6BzUGZjIVUG9orFrMz23RUpcjCZnz+lGLTT65aGUPj 7j74zO/6sjvOuC9b+QNogI55Qk8ugKN8q7Yv7RXidBbGivq8OKa0bNEqqWMMUFS7WJAqlU95pjFq hYn44bg6htW6+DjqX0Baz5rqVEmrpIdoq+SmKwOOXFJRIz33qS+7LHLugZ8N7iincsPb0GN8rnQW 6chLbcbQlXzusR0FGaWN7qr5vpPZvABgLug4L13f9yUXcd7V564XA251NeZJQnPxtGg6FfFlnqVm Kkj2wsMuYAONf080Bpgla3WFMDWIEyl8SD8kAXQHEHX9WUEtiaoHCVzgRgMfbHr5EUH3gIUVMUWk jaM6k4GnYcSzE4daHV2uxBBD1zI4iuwC+IoVooBhj+MJhM+Tl+ZsfdxKHvGl3jJU3qHfGRToVT/Z boymolwZnGADYy53sqxvYDvB5H+V2AVOjRW1NiXj+MVQsw1FbAPT1mLhv9a1epeSvG13/79TYTFl pG9mZ9bulRgECvPI9FEbca+ZjaW0CllGymnYFw0H4gXlYvSJF1KboUFLUa/vABAiJeVs9GmiKTSH 3uSE0OmaEXNVl0tfn9Lp7mbUcp8hXxrEIan0dPGOzhwl8XSunkK2CkozcoGgxatvEDb09AE1FulS 674rQDK0vLH29rc4nI3xFWZSNAfZQ9ywQNJfr63r4L7xg/Xci2dN1IBAVzeW7DlwaeDtmwuqBPsd JZAnB+Zoi5wnY0qRxcqYKL0WfqbKVucy4Xs6wuDon56cuZDYVkvZakc45zaK5iFH8Y4q9IVj9KmL 0Ji7PcwblpQFyVD7Pe8t4FQhmiiklhEUMEGMsN7J+BWLmkMDVjAcfZGQYoDC8LKIzWalHt6dEAn9 IKSZ9AETdCJjxCg97979fmAp/NE0KYQQmOxKTGjObiUsdGUuE6KkNglwz3tKQq5C66DN091zYT7R JRB2dQiieIPyR30rfzfCSSdGYMQ1Z79/ujX8NZBbGRuvPBV/rhdlroIpH7OVVn5Z63t1CIi0ALkb nbL2B4kx4DyzAXbGjPN35HrN6d1pWaT8WsPUt/fnDniDWfkePOLAwXdYoeMGeEbvVvsrjdUfV9rh ET0mqJZ5OYmWoQwvd3VIoHe0+WcCnjIQYPT9k+JjrQRitytfABCWkzhGx1uGMqxlP5J9WP3xXSGP kGU9qOW0ONxqKfHX5o33/iZ2R2NYrG/SJ3TZm+onqSCQuBpYcobrNBBLc605OGePDvnlhEpRTp4S S/q4IY2LqPsqcJTcpV2rCxmzELoMIFoW0iugaGPhCpneYkXh7PRC5sCaAk5F28edm7y/lOb/0fqr EP86ISU9mZ+AHCEBo0ZVPRICSyVgqCIWjWFbMM0zlOO57uIC+9IBJzSCCHIGkQrfPoGS8fy1XgdS RRKVwv+r3lL8rP/zpiyNIk5nQ+ZQShwnLeN/x9RGDdWp51Vk8uBZrqzPLIO6Ak3regyCxEWartlz Q/f3ybZ6IFbIXhJ3XsFr7vrqxC2kzda9K4zTbT+TcU9O4UghnFRXqrRtiiU+6FbFdBo6XpClB3Yr UuVjGIJpoHyy4EUKd4L9uNVYJK4RJ9HdpayyydsQg6JX47XFVVuiHmxaEqu6VcbL0236uZB8zWV6 f2f9IWIjUBiXMjXVXWBA6WVOOc0NQh6tpQuIl5PvRDhQy3FDCSDdOdQANapAWQOX4rk+KYOqRpag 8shRZUMjFSiGDQa+CeCF+yw5rfM+R2HYtobJ+m0n8YTlSZNcL2NXY7rONTMTJ/kwPNqgP4vzSe2F S6eOyw2aQg6wZV27DWdmEb5fNlc4uGqh8xRImDGKr/yWmq9oD8R3yzwVWJVLKDuaqHC7eJ1WelBc CmBM7UvqGUy59TVAnO9QFNiZ+TY7T6bUkFWdkhTDkRMErtRb5VHarW/AqjNucQPYvpkTV0MmaOlY ObVyc2CcYW0SXqBQOB8gWgF76zmfANQhB1Z22A+VLqDc2E7lndduDqwd6WEptemVIaK+SbfhUAPA 0QpU3jrdYDZMz3PUKXGj9LtBrpkamz3kTuIyPTMfiAoLZup7U+sRLMdH9YrFuDzYkQcWaxzZV+82 ZenmtoTBqViQHjAtTFI0SMSG4BeXlRHRLP+oZz/m1SWr/xr9/nw7Xdhd7lvAgIfGxEbunE1SfLgB jaZuwHtay8sduukvYTCA8ZzPLfpems5TSV/GZ33TEIzc26ze/TqPXSnboEhFdVwZn69MFDVg8Ri7 krol/+eOj50BdwAc92nAKffMoc4k3cONx8izXvnuuAED27KRcw7xM/aEdMTbep4S7zbvbakOhQXn NNGXoelVN4nnBxXObtw2rsTBy553Rca+pt4KuqdeykCKil0S5BbCVB6Km/M1w22YiJ2/O04XHS+F T5ddKRWGJLM0uYT1d9rgxsKC12PsvJpnVhY2nvOJt76+H9skuVCFa/fp5wzk4zzgBg3ZKJmiH9Od Px+7zjL3jkY66eQTleuDURbef8K8iwfw9jqsj2qPxvt2p0DFqbN5MZG5ISXTZhSMbbYOcIJuwLR8 ZT4srbh+Mswah1Xc/5iFs7o/EzT++INglothIHNE7Gb3szerekCD3eOWTrsVGn50dYm9q14pNksi Al3r3aOcxx5uCEc5oS3dNTOnvQq016rpA5pKv/2ACUmIp1KJ9Nye8ohpsm/RkQR74/e2msgIg9Mb q/k7eQh6poiFrCnkfXao/2GnR7xj++HQIjUI/8HMdFUSW8yk5zrCf33g5YslwAL4yolhuol5IgpB z4X0jadJzcQMnGWRx+KX/lxSMBVV0BXm5BCL3UGq4OJhYDYZhIjEbk2JSYyCOGi6TzM0DK6BX0H6 J7y3kKK6UI8mOONQaR8dgIlxQ58HS8JkDTJMBWB8my25V+HkQFO9h5K69yJfW6OEpslEHC2kWhiL lVRf82Ze6PkEr3j/6mLQ6+5lqJ3rKxulkTnbB4mQPE0djvnUHAQ9CG4Omm97O0vtOG6hNV+LhhMl Q+tZnMKbECi3BCHJeRKsMjBUo9U4h5zHkIy+3oJBQ0gIoWmuemlkFcVjz9/FzjSy8ESxG4qg2zFf +EAJjbRu/8SdlbDquAo3BKQ0rWx3VxjwPdLCDT4xF3JfGQ0HxivHMApgeAl2EJsYS1mwYwayK+IV veW/x57GCTVID4+TTMpmT/V+bDx3Ui+qw+GVki7dckpbKEcSYo1rTojsPes2I2bG/CZYNAdjmCWw GywweaGIMkESQYaHATvnZP5oAXObj4BsRgTivR+SHsDjArp1DODqYuvtH7mRkG9Mb+u0sVfCkdQk AjKbuoQm/MGozUSKL7PlqwuBLaZAYBiq9mXbaZeeWX1fEc32hxfhQrPlMfSmTNCSUIM1YkGJ7waP p+nyPO9LZJB0tjYILOVcdcPCdaE7lPDHo6bth7gE9yFqpWdHL1FgHCT9bbW3bJdSk5CjwyVAxdeJ NdBCol7/AUJBUaLScixhmuzDrusr0NmbXir6kJMMGtKxMUj1MQ15VQqPZXnN0D2ScutFFVRGnD7J PPE5/JI5nT8E9S4bVwHvn2kPntMrxekfYVbgpyjzBywNatpXF7tsPa492pvN2yy1Lc6em4Mw5F32 527YLQxxkArrQyBkn3LRHm5HTSIO09r43+oMqBefNsGb/pMsXH3JuKKQdv85/P7Uh/qMJK/OC1yh 6t/65EOl1DpHZm0KVHruGyCUl2N7UIa//i8wahcUaC6Cpku4QJSG9KWQ58U/6qJW3QDxUISR04kX Lr0JIsvmfr/QT8om7ZbEP+rEX3ju1r+IaWbsWPjpYIfqAh8qs4vDD7YBWn585WcMYZW6Ynw7OkdI UvR0gPSMU5d/MnC1LjOg4lQ4IJ2IuGPu68FGFhTL7Z1/m1A4YPHKS6LyPy2zgAflHGjn8jY+TgXJ DtxZ7FQP5aRU9ygL7b5Ht/RtyAq3s7eo+aUCS5pDBBsT0nEiOGYrolb3Qv4TsxelrM4Z00HYd3v6 XNAhkIB5LvC6y0XKQWtwifPuqhUN9uxhIoM4pW0AZOQ8B4ww8TYQMGBEgn1mPzIOA++O08TFC0dT WBOdNCbwKo+3Ryzl4L6P1f94ZI++BBU7Kd/7Ax1GNlmXHhLIbD6MoZf2+xacDHuDpzh/w0LzMiQn 5v/wpDv+F5MrI6wewM7CAa3HdWBuKd/r4DOrdzMElcWZ1nsO2DfzBueSzJPFbPdngBof1M+ekCP+ 6xIGeQ3sx8FSyFnizB9tbMZe0A7BLZFe5YK7jcAgo84YOwpCTTjjHkU8qV5E3WOK3+j2PNs7WN+y Nnd8gV8o/KEbR74Z+zs1fbQ9i6PwCkhiipTlCT1X79asAlGnkU/OncBKhR97mOVsSwzZvUyF+NBT bMhbO2UMi82Cy40wb4Ln1c8tS4x0PUjSfI1VHKPmcV+DTVkIukmvhBYWliYv5K0fEVfhppXy34f9 SAbnnyNcnfU28tbYSeINhM3s1C3kQout/Nev0WYojZX9JO/UbUvEZKa+WSEWl0qH9i3iP6KKM4Ik DYK1c0Gf34TDFR6pXYkNz9fqiKk7sag4v7ncXEW+ULrhpzCX1m9BwToHw6W1WjagE/C8XnIfK+dp jfn0jzCtCDoei2VPHi4sfSi+hr6X8Wf9/JmjwVDw9s1tqaAfkNn6cXrurslmtAHfXU5FLVdMYOr4 XGXBzUURzko14r3ny9kDzbcO7jfK4RAWaMwl53iId3ih5zY2zN38jalPlyHs89QNsm6YPPfY36v7 W+Q8Kkixz6uoRL9C1Wx12aJlaicBgRK84P5+K2B3Ir4HjBdDbCVjwgqm7GlZuB30i0DIEhT4ppc/ 0aD8sh6gp5GqqE4obEmxxmWOcnlb++35JscTBMIabdIOuOfIMg5+5MfZUIEueR//5ohKBVLmCIUl V71JoESFC9pvxLrhcNaydQnT7+we+g8twwuxnjXQ3OlDtJp6rM8GMImee6xQXtAl7sN682LkGAlh YHgXEuQC6kCG78/iIwKQ2nXgrpty040fWjo/kxvAuGw05D8sK1iNCpNrUWUSXyp9hluRkpoyjuBJ E3pLQOAGn8Xfyq+bQWgxPuojQybpwSlXCIDZpEFCnzLQohFhJtY4YaoxJvrHsbZO1WpxqjZEg7jv GuRQkzxKWiOzc1KqLt64zNRyIoTaRRiLTZQmzsfB6PEFC4eRVT2cuHVlsGqzHAuF2fymO0eZLR2F 8gSAERTUuvPsdgFsFttBQPf0oMW05nMjcJJk/ieDX3TFiWNCld+DDe0Khtnne3cfsOMM8PSWNOul mvtAfo3YMw2ufwYdkU+y4K/Xh1WhmTmLjqHnxq9VE4XLQUWbpbys511wxcNoxSiiSsvMk65sdb0w R0lHFbmH+7XHGj4qilkAfaOKwOcY9ZyacQXbJpKqJQh+/agp7VaVy8ik1o+8aZn12kSc3/1XTc/1 4PHfqIs4pa+jYr95g8lPbYVGU5xKABe/DlxUPdvzkXaAVy7Ys/J0/COkVQbcfhkp4FYTY5oLiNG0 Ys95bB3q4QfAZJjg3K58lt1PBZ76UgrZzv8g9r0U18iI9JhMkFJRCW0PUfmS3dY22l6Z+YuVIvMq al6KWymKN5pb69JQyW+gWvIQUCNkAwlyQ44NahfDWDvXFnZdJZcMtlBS1czNw5jvWE4pARpym9fd D2llqogXKJxuFdbGJx76OgqDYwAHhYeKH+rUfjhcjIISJ/XOPWXeQ62HAbg2V40hzHkb852bg1k2 fWsB4evP1cKHW+Lj3ZDGJkl8L5hsXqbfpRtLlkWBOVLSb3kdi7sBnIofiCMe+QruaRoeU2JGg1Qc tU56/gsK1fJ3S1/EPmrp9x717lpctAqIz9ToQvvMC3TuTdEE6DvPq5Y6FD//lGZmwvY5pThmcDiO h4zfl6IdRMubiE7Q3m4NAJNXKRtfDkDml4ROoSxNGZVxfjTXpQsKq85hhTJaExqDEAkqO0I/5KDH rfBz+g4zblBgpG9rlIoK+MuHV7sBGvuCN9hfk1tCEGAFm03hxth0vi+O76ZP/WfP3xCeKmeEBGjk 0dK3w9UTuGUH0/MfpqR4C6l5DIO+kKGJyshs6JaoaYZA8q71vZ+hgdkPzoMkDo4uPKd8SUVan5++ QpMqedDHsmx+S1vbozRMkbcXM4XuVPOnj+Xt13OgW5SjdLMEYPTOgyYSeLQm9twB1tOYIkMskDF8 4nbNhohz0fOnjvwrPGuMmpZMp6qlEbEG1q5Kc1gMv9/dYIgTx/3DPFbL+H+BIhXnZyZmLiDj+Qwd CKI8SjH36u8FgQEm3NKoRX4gJWNrNvr0kT3XYFPmd9fUEbLOx3Ksu7E4yehiwu4uhQxEi3GpNOsi bIF5Ns9ivGwnDSxIyBLcPY6fMLL5ZPFpSicjQBMPl7CCOlg/9q+pb33zamuxMsligCUsnq1FI2/w yVkMntQElWeFxRrIXMPVerUZZNCCnosvmlpB6Rxg4cUEPaRnIko47m7VWf1CcHaR/KyLOKCfQnFi UlahMNjbZo+12Xg+3HMG3GqtjlPNNlYdzCsSW+mTTa0uonLbEI2mCFSWn0NFfeKrVqrzx0qWLc9m CRbL25v4AZbWXdR/PfdNw3Dj9NLKV6CzA2xSiYjYzaB09MRXOnUrF/PN9bP/5/IWh4qkqAFA8+no VLglT0bZmFltd1W/cwvOHO7T2oxaWK35CXOPTn4RnIzV0vmsEkOLifksxKPFV2Dk+QCG73dKhgKF mlCVEUoRHGX9aMSZFjOIl/rldwj2j6A+lJV6ldXf/hXsNya2kRFFHmyYILg/jrmIzpfADddWI/V2 s4XA+ABFvJUIE5IiWh0e/Mpk6obEzilAB7LiX7B5X0uZuzV8aRJw+3jIl8p5UiVxPtsqLFlgkUmx 6GRNEOZ97GU/wKnlyGXiwomyGpVkoiUHwa7ey3oHrZEXcXx8Ks875xnWNSNr `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block VZz3gA1OEAo0GderLFN1vAy9VjW6GHfNIvzuIsiYL4pObhfFuD92v4JXddeUi8Mb9uiE9mWeniAP 6axurY4i4Q== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block V/FHqGcuHkuQA/mXo5Z6hby0fYqv5HdbLwzSf6RRkitLROmzZ7kuem6lbOkkdKPEq7el37wV7LLB lS7Z0SpN6+NFFxvpJwmAXsxAlmNILJUkYM2qy3RGTd18wZzOLOD3LW/CxHw6U/8KDCIE0QPR7gPZ MonMc1sdRumiZxCH6p8= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block MhNvJyoQvuBNKA9BY4qs8iuN6gsubuCwSLP9+9HALR7b3OaTr2NNpcJWL5Z2I5y4M70GpNsDLMPN 9x6xxl6dDjiJRZECV9SwEWPnMOiCoaB+AsXeT7vUUtbJNztLP+IJM8m4GxoaXa82G7GmovIGBV/w 4I8s3WETrKiD+AzsZGw7+K2Rv9qW0odg0raG3Nf3cg0Jj3QVcFHpKgJBjvYUZ5EulGHRyU9ez7w6 y6RJfN1K1RY6s4t66rCqdUJUgL6zuBnooS8J3bfZMDJBU02FgqcqfaGv3VkgxhqebXTwUHH6dt05 XvK5nC5Fa8ivOVaKTCai6uvlheV1U35wjc4UaA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block rQ7j2Mp14x4heUZNN1sxi590D3svKV0yVp+Er26zbuDVzZY2es4L4i8KGLZDZgWNlJ8KygSbSSmu AMrgF/9J6L45adeffFOhMlUSE3dKMc9uVglmHX8+NJzpjX5dYFIRPf6r2tIEsYL669q5VkCA/E1w OYrkIhxgyBWc5KA4bdA= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block XSzu0gCO60UCezzrasTnl1P56lBSmB5WoyDnA9GcGthkxKlRJzbd5G8JQBmzgeQ/X31AQOI/hSSR 0KBXcFTa4p7F8sfh56g8EO9ICdlNGlmK5lwucB30KgGIDn+D16gBT1WrdmuBInOK9hVfZpKxtH1C vNGY4AZdGokYQo2eE7iEU2Odq01QNto1qz8mklUCahoHRhjnyHMerh56TbVU4kjgnoVqlDhf67S9 1gulUL5I72iVvZt4D9d+OrnhSSYIHIsZQNCxGgLPpQh16jelRUvUb8ApjVQqxWWD45oYQxzToKeP cjW5Qj2B5xwGT/QE3ivcnwGi9iOvHlavt386EQ== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 99168) `protect data_block +SfTMUYhoEZEGaPCN6exMh8+5eINx+9h8XenvZKnYT7lUL+SKIud5B7JP92jml/r022nFvj8ljEq tNdfF9RCQGGTHNZFMjYkXDv3AR66TL+QZKuZLZWXeNcUYnnD9SKG2ktEPEeV+OTH+i17D0dQ4dc8 bTXU52lZhc8JkWmQWsu8ynkKhqD6BWq3HkGOlzar6pn+tCzd5YncrCeF+VThPMaAk5jH3m3g/w2q shWfF6W50/ZK6pWfVJaEKW7U7W0hPjwY7YHIv6wk/4Jcp8Pwd541tlwVviXKwQjgLbi/+ya/aw5Q vYOzlQORcZtlqJ/CeO1zAkrkbAcuC9SpMsBws6kEA4yPxooi1EGUbBxQmv2usaJqoZPrUC4AM3s7 XVmpRjfyfU+u6hiNSKCJAtNK8Pf/ZVdOKrTF0UJegfeiZipCFWYlhEa6Vw0cve18V7/celtgL6Qi 02dFMt1MnlCIdiKBeINSQhseONklNm1inHBg2lrRZ5XuOdwt6AR8DLy5LFbzz/XotZjUj9vBDLZ2 8k58WFKvW/AWi0Ljwb27U+NmazCXYCgQH8iPRWybUx6am7q/HFbgCTWVa86R3uAGU8Kz7w/SiIGA ClEqs+5bCxCY9YpO3yTjQn7JNsxMI9G7lnyf0fwoAYJYILxPy3de4EuZX4H4j/F1DKCkUZvShPC3 ng9lu/LnCGNXEdDmP+kqccxCtS/ya/YdcnB0JXG2jEDT/XhPYof02HdktePZVeGgc/+4TxPYBBOS YkYFkpf2HK4bAOWcjQ0/YMGV1WSGkuheG0ZOAxxJ6QnZ/2u0i7FYir6C8ndwT/WNLifbO8aZentK 2R/AcYVghfUw5wkqdhl1payu2drwWUDm0YaYwdQOT+E9YK0srOSEVIU2Wb3/33y+ERafmZ2VMT+9 Vp8XPrDUnJbmDnK3TfxLCXjyh5gUpgnPk0dSInFh0h9gT4dXxO1nRA5535HNxGNq+JOxZJlgrrFm Tac2CUXoyV7aP0Xe14PldjKnkWjCTIYLtrPgf/QCpSatYDczQQxPhoopicnFvRoIe+HazUC21kVL EsQ2TL6enQduIEXH+eKlEG2Yj/9m3X2d0GaRre5K/fYk26T18/HQ5cYn947zFNAZVa72+QEm9fwg qFuCAfWCy5UFtyUTLsRnSceaWF4fQa4hyE9sJNbj3RCLR1MO1OU+NyP8LCX2SVST+Hh9dIzXWfjR LtI09v52T55kfbdxy+5YPssCOJwB9+KoUhRmBbVb2oHvE7FnYkW15aa8Uw6F6uBpSBL07NwsYwZR bbuccv80jiutzHh0KsRxI+JHSj24nUfZ0ofM17xOeacEqnEsACqKoKty2SkeTb34Dng4ApYlG/rH upureRjAqyOtsFzYq1SxsowaOOcXj1+Iw66GWrs7Bb+dwR+uYhvStKhJjrqigEFeCDZcx687OEkw SLnCBUM1Jw2POetGI8/b3N1Gz0viUR1dgCOMo09NLXUm6aQkYgW9B3jjqS6HRjG4VV9DS3kohLuS HP3TlD4vytI3+uaMPHfLi14oWOeYfem79e2HuqoUbR6FoUWetnbGJGZe2iWCWg2SINTGFxm00Onc xLtWRCGasWYBw7j+ZkrHQWiX0k3XIneS+9jUPuq/TegyrbMwzCzwJmvEO/XdXsyuawoTLet7rqhv /S9YDuQgDFQ1A17sWax1RHLy5o1ssg9RBw58LVCBgqGDJLzq+jrakMiPc25EngaDubDWZhU+6Dks HRYt2tfBq+3rqdiyd6RSllBQWMo+ErHVfNpHe6/rf90TNWE8zSSGOvzHn3ssl5SEbdvknDMaWszR 9xJ/EhqWDbBRaW9OnSNBnUHHeXCWCr0Q7tbGAW3DFJO9/pM7rw46r+HuxDXQ83YPI/6jRkJWklvg cOt9/PfrplkSXryD4Mk0mz7XD6ZkRd3UR3gkGBV6TbMrQJjaokzGJidNzBtozgcKBUv2ZyO2UYvY r1Z3KwyfTVjRo8yC7EtVi5bR2pVuQnxpawgCUqsdXHJEXSNUTyIBJuidg8A9rmRZmbPFCbBvflrp vR06UPQuzhkPM0MP6OMUdb4bWtCl0CoF+7gCfST89acZ4J8l/jyxZgqmozk2/rY8eMyQZoxmuZI9 EKfARwISgTIblcj2A9a35MlCnCuE6EGHGYxj7g+2qiVm43pDl4LUhie/uY0haizTya7qhEWCMpbM DHKKeYgAzo5eHPSz/3E35PBqKqhsDxF+2jQvD8TOQudka5xIsbFyYiKIGuEVKPDfwvFr4Wb6geuZ vh6sK/gef736VMq+NMwhttBZWWblU++N59/kn9QhHjqtXemIPmNjFLTY1551+K2Vp/ReLf/ItugD 2yyELVibvL48exJDhzxlGQSEUL4OrEUzZhZNVijIh2POY841oo4oDbdTpTeO9rqnaPuBNwG0SGjY aG+x/OVSuvkoMOP7ltEJ8L6frcxhcIFBaCb1mstlTxHxJGV7EuUW6EHMgHs8WUdUCqTygpZ5HETx XmRM2w8Hp1RJoNUvVoCU8BlmCLxwxgPQyLusCTMf9QU2vQrehhr168+TWKAFvBbOfeWJsXTmKmPd KoTb3eMQdOAHIKtMXDB+lcQ5/gtr1KrVw2GEaSX1azNWcm15lKlGXwwGK0xpNXwuUwr3B+RXuHB9 khcHu6t89MuCv12y8ScdMdVjcGIP2wGYBpU7ZWZPZN4HL4vOA0Arly72YngI6ncA8pK2i9F6Hddi LQaYzWJXVq0pCd8Vxi2UtRE3/2cp9IftpROGb3tOkkBSyQlZhMEiHYro8Uhr9Xq3FiseLszdQQMx 2HttMaRSo6X2Xw5Byh/obUeCOHvOFjvd77tWi2ZjxWxO3lIfrd5uHJRkQeeayCbkDt3niDXDmfBn 9wZsGelQgnYjd8TgHTqeqt+18C//bJ+C2ZNzhSgmDKCHbQU5r7j8QkW4bJqTcLidcfmejGwYX6TG sHT1PdUQtV44faSaQYQBlYYpnWgB18nla+F7L5wt/HLXp7dfNXSTnKK70S7wYKbOuK71BJXnVV6R tBNKmwBh/wS5EMWfkK8kcfkK0twlzP9xmf0UHVAhJ//gTDmzuk71xXD/7xTl+ds8DHw+f7Y9+kiv OwoN1kfP1FF8kwNujzwRj7ZFYf7TUTTZLq43tPN49qCNr9OxzwqjmS/4mapkprrsJbq+eoYz2vuK NlWb/WJdKAsGcI/+BxCWDPxrCu6eiSWQ4eu6/k9rAGnOBWqVn8vBt/UyHtHh+dyKzAGsG3QvqMY4 2gd4ie9rgzN7oJRIs1XVMBJh95UPMozuPPPN0XS91t69HvIzFHpP5LPK+T+qS6awiHi7PZFmOPmT r1nrrX8rIeDquQEJg/K8iO4dpJCK7X1uGpKQAG+iSKuJH2hAEZr7aZlNvLEvqu7Pe9RCwJ5PGSs+ +Ldp1BHxGiyVGAaeMiiaTERsKaevqvg1XtjUQEJ07q86FoXcaMjRoSEUv1fUBd31llzZkaXN2/7A +p8s4dCyQ4mwmcW9I53d2fsouI8Q1RvkO9o/HShVUI5h4sulFO1TxLgXTqe66YnjBF0I8H2JmYif /N76hJvjvGMn+cDElOAFvcc1fLbEaggQiuZS6oyypmCvg2yhE7CpnBEJhtQTYnxnOCaQQSZGh71f CUTVQRtWcLgR3jlrIDzSn/CdMP16P2mezdKg+lp3/vMMKF9yUh2s4uoqA5IAREnqFWlwrI2JTvzS VHpXZThIuSAMzypQZbeG3p5aHq15TRkIggF+Nvy4YK5RLmDTbWobuN6WgwbW4ytxgah2+/MP7Jty pI25N0+99wprjGu7Nzh3uZJBhEYp9f7jipEtcKcxLFIPbHOYufDc0u6p1OBO8stdPDn7UUGwnJfc wHL/+gnyGp514f8SrKYJyouXmd2CtKYb56ZudV+J5JqHkDY/+CijaVbmv9gN/NjKJ4t3BxddUigf WqQ9bKf06UIRucEnFBlNEfFn3kRUapB3g86RcQlvdXCrN4tNDMejKm95iXokAoO4g271B9rFA3+q IYJ2cnizKxWi2G8uQ3TDGDVUDgM9MrVnF6cT/O/dpLWjFr0cUT7aKXAfI5uC+lgUowLo359tcU0V KM3Gh3dpRUQJB5b92zJtGDqClPKZcUu2uernXtRtUmNIOeX3+h/kvKjgpA6wbtwvOmoeUzcqCxs8 FVx7vgSYbvEY68IBQqau0V2eKhHscAuzqwUFc8iGVXA7vzOEcdBXCfPrw53XniGVkL1Lh6VKpRvR yzlYGlDA0ndpqw2McDDAuK1xdbowGLb5RQ4+XNhZ0SkgHzti+H6wMsE256lsCXoA8nBP0Bc22ZjS fNKrL5pe5pYJsKZdTk/3ujRwb10y2uEt3tv5batUtTXVfaG8fX0Sjc7eXzU57VrikRYFqX3CXP2p zBRqqskl/YqJYD27C8MSPyPSSiQYvRkDbD4tU38VQBBQTfx+nB1ouonn3DQg5jTh4RweKZ9OEX1u E5J4jh5+8cRbPJ8DwKyS2WkNrAqVcmdQ5QYqiEakTSvQxDzjoI3Y9a5C3bFzLDjjhH7ycWb2VySI 9MLZdMYWZSfPhiTXOoyrhv0eRXJC4NHAmr2mIKsoTPX8+f7GcvxjgPJUv3Y5QmgSpsXNKAd9zEGg ZCuVhVChqRvv18/jgKUHQs0hAJyE/Lg70Bh5O5A1UHYA+ITLPzFZKcu1wK+gR6GRDcFDjgaEClL2 lIAMUzPe2gbdSLhFkPMj7wYHDpso7dPLRUt64Qb3YT7VzRkWE4Y+Wv9i5JNtYACI32MaNa0yXTlv NlQuk4e9zz6sIjdZIY46jNQe3/R1FU1XmtcvaDdUt0tphLpV96QNS3htw57DFiiIgg735LnAtRod nNkRfjjSrcTTlRdnGE8xNv7qDnI6ZdA3CW5PMmsVko3EVqpfSbRHqCJsyXjXFQynMeItm73A2Y/Y fmBl1p7k5Pb1kaGTZkGyLDqQGW4kfsDpL2mXaEqnBdIQnxk6GvX5BUPe7CVRQGDzaFKnNc0iNEra 6by6yKqqlRBZqHJfcmZY41k8iRu7/9W1+5LdbopU6m7NaGRsnezmZL3YTfdGlmvGLNWC/0/XApnn OuUDFX4KhVIlun2a7R501pK9EE5mrpxe2Q7OHv+tOVEuQQ887/EL1r9wVOmbZEHR12zZ07gj4r/v eFmv215yxNd01mNILTPN6nBP/sNcAWqFRO8JTiwp+sj/j/tPY05YOgQbqMZdf1pPLLiLpXpfLUQ1 73HhbhYKzduzZQQne2AYwldUj5U+oHVUbXuzKDr29FP2X5htlcaRm3IEIXL9jIdi/MbeCajX/VPP +4K1FuKdaVQdj2Y6PcteSibd5+k6bCUKHVIm0ga+XhspuTi2f0/qCnLmDpzfwoaFYBPl2mUTniWK ILDX6xcgrNMqjbCWF2qS9aMwIxIRo1Pi8TPLBxQEgM4gvXxTuvHvwluRksS4ddrZWHvRYWT7o4wF TN3dAHhOBaG5rRdYKVQfGsGx3sr5ZG8S0+fzRv+lbf1i9L1yE6fs3vjkIllRwgicIMr/Re+7D+uD FA10ipj2SuLYGNI9QrOsoROZnYTXdUathKj0wpei7Gj6I5VO4Nzm3Gx0KVeXTIGM+Kb4o327txo4 g2wRANNCj94bBdBdS+JaPuAxieq7gXbMBcGO5FJ4/waUt3Ln4LwGH4M1sM8INl+fvXegoryAIGI1 fdtzL+PdaxNrKA16ERfQ/udFQu9gAnxA5eWNJQRgAnVPJqRetxex1SC68lsNkzw8o5ihh4+07BTi mad3siJogE9rZmlpUZ61cNHUBq9TJ3dX0IRcsHY1lCr6dEnWvIAYmBOH3PU4hPTZsA0rBJRDslc2 NS3LK5cTeQ5cY70mnZoqbiooWIQfkSxbkUVz8X5GSnhkbcggF8oeYZn5e8qhxDFsgubhg08hID4F XtwO7TgGFjUIByVg8WDhOY9tk82WHZTORexsnDru/hUnSFh9/KYLS/RjT5n4noJkOX+Q9wVORzYN /1rQ5lMHUCiUqNSS514hLGyaRMCuVEKqd7QfuGuiXXWrbgEdg09sI7WHKf5jQ1Ei1NFE2ALzdQjW 1+AGnHIkzviFMltb+IpCYTPNtxgO6RXwDht2UOVSFgoy4e64qRYvo4cBOtceHkLn0v2av8svQwVK Bb3FZbdWQeEvaOmoKMcIp+fDiHkTKyxMMI2CZRMLaaQytHiOGlY3hfQwXjaEk7x7gF2jKeYxiUrA Ul924JabF5zfdtH1qQSuyL4If1X2RJ1FHK1SkWMQWHfGJZfiOr1cAXZchBua1Kn4KjbmI7BlJ5UR cRyU4q7gikDw693gskJ93s5DDfo+Tq6zqXtFHZWaPTTsdKJW2APoajp34C+D1ZBlhI8XfIQhVyj7 NR9eowDvgpiPKPWNvPo4c3xCKLiXRIJQI2DN82whv/QzwVUD9woQdio43jMa+Sv1wA8Hz79iH7lv 29ccEzFuieyekQ/nMBSH2+bXhxTm4tbgculG1nNxz8rGg+Nd4fDQsRqt1+b/SQhPXnmQqwdYwO/Y AbK9zCUSJVnoKOIgxI2DJqvBmUQAHRTK8muMvvgIAfuWRmol9YGRuHZbyl/9Cy+CgF646eD6ln/f 43TLV/kunqWljkgfTvL3Ud0F4p2s0PNoX76EXfQ/dl9Uc3T4+jDyqSHNQofgKgvO60YTnlf5Ggf1 OKfuh7jOSz4Krr5nSYIZYvAmxzA20qCJ/9H2k/MJenIsoRC5STXb2sk1Hn6pN6Xjz5URPa5V911q lh9njqsDzMQh/anNR7tA2zG+AucPwAo5qd7DKM2CIwQGTB3f7T5ZbxkL6NQcLIl1H4NsEGZhjhUv jqzhNVDIDWDyNXr0ukyX0nNKDn9JutrdybRKqtEDvVbQDj20/Lbpuh0nF3y5KReCzOdG+HVB3msm /tgOB4Jk/DvrMCFkkYG+PEfhZgOrYkQvvcRl6uBuM+MVA8mDwd73cq85R4VepT4ds8R18EKdeXnX 8QcoKNnzJjiflWfUZqu+I8ykhrQ/DPq9Mc/WG+NDqx5Ui6z3MooW6+BOce/t4QEpM+fH6NPYRg6Z NIHeHSddoV6QlfoB8dA7m6QLzE1oI+/Rf/69mUNmUQIyA2sbGJJ1/foQGczdJt8V2bgkNjfcr6Cs F8rbMeJB+HzI3fTqpwqUhwTm/WDn5pFVaoa2IeX5/U/J9TIHVDVPngW30bO8387rwBkwxBN3D7sR wX+016uKcwRFwHpTpe//fG4RDrG44FgqH/QELydCv+EN2TNUHWOjk9tqZIIt8tB0w0t1Lw3ZePhI Ue9IqarulW0A6hKKSraVXOZ0iYlA/bhnbooE/kQaY4Dul67QWfbMhQlavsxOds7uRvVp8I7LUD4i ShAWIoFKQVBDYR/adyNIfrHvFv3zdJCWwaJNfpsdfwaOrnlekyf6JA9DyaOrsYCK4xIYLPmRdTP5 BcR6EX8fi99PHlOMtOgMJ76knKrzK7nEDkvMg/duI0UsoUXcTk9MvBWpo9jQUBoi0+uVuHauWWad Gpq1CvpMxYB2esJSxl6wJb41ewuYdtR4YvkC8FbJK6pTJYgG8+/SzjcpMFoJr1dewSQVuRA5EnO6 wwdlVTVLeJGSdARyGHsN0G7sikRzCqul6Fp2s044HRoyNKY4eL15r2AQTx+iyiiqBSvTwoDNVME5 iMNQJBqkxfcGZb5ecoKPVnm3rfe36t4DMX9oZLoJtdMbariM+cNyXlkw0EX/vy9xY4AB0tE5i7kC WK3crQwur4Cp8xIzJwzDJEKU6O3Lm5ROVYdSRDWy1ZByW/TB8GsXYIXcRcRPBsJxA9uncV0FwlNI VooB7rctavoEGqC6/EwVSyPB1jz3NBZIAp6eBtpPXRkML2nYVHA0/fUqgg8a4xmYD636ZI9rHtBI UOfqUztlPvGEsKbMXSyrYZFjlvgxbdfLL8kTEdATE3EsH27Uy5Ua3V54epUxSV3kFqCRjLIxY/7r Ds9MEs6tMyvnk9hrid7jFmsvOg5BpHKAYDNrb3gnC7SJLQINXaEBo88RTmULBBNwTV5rc1TQmIMi 5/7NadTE7Mv5U+pK8id+t2o/BDchQPTBtHp8XljVw5k6I3mfhUxrZGQEuYAMBEv0R6OSfUSVmLzo yLUsaIwxgBCKyqUhdH6s+gXrwMgPd6vE5gVMl71N//I7wuT+XSMSdwRtXED8+HxCTdGygAjXa7rQ tJOJvJNZ//oLAgRaZb1DUg2nqcmaigz7nA5hqplF8AlJeRaQkPdm1ZZrPUFyVzBvfMNs9qhIzAsj 7/7nLsbq6buFZmG4yye6i8L83V8i9jWtbczWFdnriz2yOpRlmbOzWc/+b5UKNWsWqWNUwhETz73G YVARytV4JIphmtE9D6Gn1lCW6jqKaZ31T00IOOhRtp1z6N+jZ6Gr3787WV3n/YL6ZzuPjfB+XXs3 0rEuXppcHUG1itQTqKBveI1r42udPmGLY4Gx2zz5uZhvT7EHpQDL8iEUKyWRvvzQmeU6WA/uoftA VsYTq2xeDNJjA9eqJQSU5oMiAfsRaotuEdQXgmAprTIQTtCkLvpTbkFnysQIisZ4+Curd/tkrqr0 eVtGMUA4DUNpixZVnfzrqdM+s1ANo+jAEbtkaaQkvl2O8xR8chwRrxx90DThXOEDZLz1pgH1RC4m ymyLawgqxyNgeLXy/wlSd6NcwmEJdcYH7T8MrsEvnmPLzYeT4/a+NQ2c9DodDC7VsbrNnYFsPKKA nEWt454H/VqxOwCb3yhXqL6vRJKkzj/SLVI9Dh+FSBTIcAd2WH1VWSksk/542EjMHcU1MpXqK0ex mGTsfi3PRizcvCNmQTmFyWrrfVtDr/JGRVupbZerrFiT4hXyzcsA+yyNf5xrhAcFMQtnQV19S/8H XoOstvDMoz+F+iD7bUwunXptsc7x0MZfiWtahNR88QsEW2MVU5RUiGa4/u9eRacPACSc+Pj+FvSO 9o4q57PhE0T5WtSP9F3M/LzHTN2QB3UsfumR1I/U00tkZTBqbXNrVxpWush0LJJ7MX6CBsafPxw3 0mj7+DL9IrS0OGDzUoQLM8N4OQWX/9guPrmRIBEoiiuxIoUr0B0sOWbeIM2GUK0uythRMbcC8Lqi JCqsA91Enoh83GLyviRVIDfehmx8ZMyfANnAvJPCBRE2O5H16pkHMA04JSXQsG5+6hrBNR3pW/zF ALrDM0PsSFeVekGE+FVyOfjtA+Pq5jThuOWBJOOSLWew07UTUIsFFbT6ZqAYogQumuHzryVCl7vZ /mjrCFM91Zd2/kp7IrwJMWnRUfyF7bXdzSIRIy4CMaR9IuR1dLnSfvlDuLoMoBkgyZXdJTrz2o2q dfTmSVBbYZZKhLG2u5J5kyCYckc2Q2FhO7zpvn8UjO9WcjQQ2X3Bnylp0yGmg67QfqvYifMsW3au q0NqrBkfwPCq1VtloU8lzTrkI6owbbwpNqpJjADfZ/NFvvtEDWSQUeF6tSe8r2/6kXpC6UX5Pxmd vyakYoc4uQXOXN9rJpLYijethYwN9q/x9D+IztrUrQtTsg7vYYK1TpEIKVQKsyPt5fCHliJI3lls aRLTHcYc2gkiIqIICxs7VUPgoogf+OegwJPYgiBUXWjo62+ub3d84rvEbrieUNjGK6TkmFSy5ctU IkDv7WozXPVmR70HIJ65kA2tdmuaaf8XIDH+PeT4C63aZcU3ksnQFDPclP64gBnEkI1NJRMjlbE2 FNZZq4tSQQMYEhIqmrac5R5f9W4pf/MQb2qd7s+YYSEGm7xNtCA8azTn218y9mWkvylnfH07VRlL 3DfrXpLhyA6oQt7UHqJRav+wAXi2tFpRTrUsjohgICxf7xVaIhSYsDz2lzEXgNDlaujFZFT8eA9J wwqWGin8TItJMXhzSQBl71et2GuoWiKcGieKBxKPGuAwZuJHAhsvw04nUWiNajUGoant9n/fTGMN BSj4YURU1FfG+FN/TFC+c2PIh9xNIbCmYS1wyE3w//1XePrCcOUH5YtLy03RtZwjde+bBcwc495D DXxgY9z9ydoS/0IFmWi576cpUgK5CyVCi5ala8crnn4thGB44xU9EfludbiCu4KU5pubYuakxggd TreK0+e1BrNeJBWrSMpJ4PBz7BTRxN/O4so98b5+Zm3gx4X6iEVV0EB0qOIyJOcpnL0rGKDRp2c7 oAZjXKrIoSv1csopCplY+jwgTgCQ9lGyRPmZMgFch4PhtAJdX4nfmUnsmE6xzmEmx283N5zJm6jc 4Ov96DWp+R8y06wiw54USBXRnGF8tZWJ9vHvU16vYs2ih7kdY3TFBSMFYKtUFqmb8v4u0NKklF6q 1zOw748DDISz3RTs2iTbjQbD75cC31WqelRDG7jpJygJvf+vd78tk7dPxfewYsjNYE4uSMM4g/JB iYHDTUwY3eMktBrHJsMdbs2/bgMslwhhWhGkk2Sok1NhxFLiRJuQB0QWTGM7IumSlmtV91ACVBPA 4b9DJSHSkGBj64l1JGbLmsTeXnvW84RTssRXilNuL4dCDw7A6K1+gQK4xiZ1u1lbOqrj90BF1tmI Nvf6ar4oTdDduyOoIXrslGvW0IAyZUgrC9EFAHOXXlW0ag2u1O62cIZIEqyvr3yKs48oLqB85UbO WxwKNKX2uLbwinaVnoDSh21nKzMhrODL6VcenNOQLUy5LxTsN2R/UVZU/sxWG+FGuvPdmKCAOU83 DG6GQ7q1omOmRgoKvLjXWkuZW6p+lvZiF6vGVYjZQS4TZDH2RsEU/SoaVeB8hsNMJ0L0IxDmOuzf o4JovKjpJ25o2G3cvFnuqzk9BRcE/UhYcGaBOrU2S3ew+mb2gL5m2jGq1oFhUwsJXMKZWLo1KcM4 CjGlseUQmcsP2oE1haL+41ig8gNsw0lrWm133OD8k/EzV4AcgfUbyAcX4S8qWYGeg6PobBEx0Nrk xxRm2fV6S8gb0UXYemB1nEzbTbMKYkstgu03kBDZxk2z4WUVYy4baMp8+09tzj6BlD+Ob0Twdeo8 5JYYRS7cxOrupdqeQCPpiYu3IMrVW1KsUX49tNvCOSjG6WmkSE3lzOLYA7qPi9cVgeEH3d7MiCiH 66VdtAViA3NLTVygmpMIZl+qtgCs6TTPhPVLRmLCED/N+nMOTDJXiM0oUxD9c4sKfmHK1peuIkur sqcxGlpYytRFugwDBsy5jvltvV7fWuA+J74yGJk4vjVfgdDb7ktwCwDY9A6jCiTC/FEjEccZ48T+ nvTSQ9x4YYzav7wcrsuIRk75bo0Sh0SiUNdvsev5uJn7X7vCmtPSpTe9i0GoK6BgZNx6XTAS+yQr ayccGX4K6+900Ux3ID+leXQ/VJsdF5E4DOYZDf4DSZrLKsDpqkvQCAd/Ks69tB3KJ8W2SdfapI3n LFfckG1DpqlVsR78cwMNdv9BDcFwkVC0mpMcavuExPVPB+NpPJgkFnNWemfxd29Ue16pef/TL06K zVH4k9F2ay0XNAmcCzIIrgiPW9qZSmFu6f8eZaDHIQlf/08MbIL9F5kT+juNgOCvRbk/7l886hLv CHogYxuEy94yzWAJs3Oz+qdqj2VWRtq1yqJu3+v88OqG1C/u3xYfhP/cH3aXA5E7Voy2QBxixe// p8KHq0PWXr8UNcize1yirxhr9Tr/5eL9dZYU9Kz1kv5c12ySsdsGx1Dz/PSqoNr1sN3MgcMdFQ/T M+fssi2+DDhaObEzsstZlNzCAUrTuztUdC0tjSu+14IewBjozbTqvETVQi8DMiaK65qxbXrPGrE7 OFPveT8DT+rmYoxzGX/IMrfP96YJutQyi3NCrxwKiXWgQ1dBU8gCJ/gF5+ldJalHzKx/gkK9MuXw 9hg4ObEOFynQ4k1G02W5zJR3HcAlij0nLzt+mieMU05RWfSn6gDagVD1VHd6YfmhSWX9brWlgL9S IHydcbuTGp1Mk1+TUl13GO/tozr4W7hfE+QRd5V2yZFKpZTxYbNWIrFmzIu76SYtg2vuHSYjl17B CwEARSDmmVkuiAA2h2zxQ/TWMj+cfqbCxoadN1XA9CwI2whI0MueW0jQDu+V/nz7bp+hhNmsNQyC 8jetgpMgDQDUqm/OwmUp/iqgKJWjNFo9Bte7ML4YQGbyl4E2uxQM7rEhuxH4ftr5oYko2afW436J 2foFRQZiJtRSfjT6ASfhK1+bnPXfGZJtRCOL2zy/y+lhjRM2m+N7WN08E2yCM+yNahdqvBUh5Fuj D1O8LwVdV6B1QNJhqrrpS+9LVgKtmifQAn9fSoWhoXXdSWOQSTmtQOg/b+5GubJLaHUsrME2Dh6R zI8V3GCAACho/MqGY//bilVG1a2aI1qIxr0WFg3XydOIQwg4WPfCaF5cWv6Y4lMH3TVYrORABO2k oJsg3W18MFrDS9yzt1o6RiddEBszhGLeliPko0mJPyijiCg9cU8yZU7/ddBtW8WzH0oYsMmSWpbM i/TkZfAAiDCYbVZ+hmRqV2R7SYJ2VFxLkFnQ38GM9KutbfrbbJf8dge25NAvw/sW6lGxjT5RT615 ftiyYzDG7p/XcYgHV7QQaqHVNYTdzgceExskkh3cKUUYjOmAqXIOj7H8DxxvqiGzd+lB0AXedIBN jxy4CHy1lR11gh4YK5Qnt2/GPaWcspK1JYYA7eP7vcX4VeOG0qLrn9MRENvoLClAcr+9KXGGAXc9 OEipNUmFajlRL9+WdDMm/L5IdJ2/ozTmUtjcaDs8CqYFw4RHVk+dUVq3RC/kCSad55qdvsQpv/QU VTyJgSfzFeDUAIoDSntt+X2ZzMJEoU2Lzqsk5+ey6h7C/pqyoLRrHwHirvPdIH9+HLUa3wgU/8Oo IbskvNIYVFTDF47MU0m8kh253QW4OvTYk5WSqZplaKORHHaikFRgHb7uEODxGNwfH+JH4cWI+rhJ WiiF0Y6idFKGG0+QusdlcQB30BU0xSZZISIaI7MDjv87nnDkuQCjMX/0+nteG9Yg8N0BojqSxnZc UQN8tMsQ7hNY5P9UNGCcgRnDt3tgaPaONQIira2/mTl7HFqD6grzOz1lKhr8qu+wFO+7QMkkiNiX EES/TANNhodvgMvt/hm9FWax1Mw14i10Sxh9x8fYKebHXVIeeVrtqYoOXaDnvrE1VFVZt8kyAeo0 DUoCK7kdxIo9UHkTAJWuhEFTN6XCmsOXiWerHDcaQFJI8sgv+i/m8aQDgX1xAAthuunapvM8JpGM jUDJy9Es/lLcna6BquQ2u6EOar61+fVKiEn2ixBIspSzCz5zIdNDBms7YkpLzw/QZ7H2Fx3Eg9Sl 6PWjmmzxyfA7hhcFe39wjOKqQxJdsL5kKGNeSUkmlmAZ3DOM03ZNF/OSytW8sBFYvrx7MlG61DMf DZNnWIQdtj7qK8hNkkDW2T++afFP6Jmikq+Y7CO3lx+CmwA6UXOGwvOHbFE8itWteXqO8cItFXQC h4GR6NlQNWsrnQH5Eb7Z8BRlqaeiBkotNzLW1AJspZdU7M7qH/FsmfiPncKuIxVOaMuOiKTdy0Jb 2H/2BvRJ82Yz2D3DfWy4FVr4NE5rNVR4lL+dp1SBlBW4JtZA4WOML8putvakPG0e3Jh5OCpUJPEZ itWRR8geAMrce5GVrtYBbTF9KtwOmkNeLmwyrqFAjl/OymQa3gT0Z5+a1q90YELKJ59SLrhJe6+L l9HYZx+g8Yy10fdwSHZkfLuGV1niqCUrv+z1gWr4lkdkru3gf9XZLhZioOCSb7ySrtoLz60HAdkv P6j6CLjgqWQmZer1Ov9U+iSBrOxZgb//rQkpZDDyTbnY9cv0qIBgtmKqE1a+q9x2pei5KKrKuIno y4ARYXw2jLD75DZ1qXieHT5Bx0HcXFhfnlQggDWDOGau5jzpt4qj4L9UB0VaZsWLk2iQkJ5huNKN czoaAHaN1QeOYaPVqlyHmnZ6tU948sCBYMOSMbQZt94yvtJXdYjGLZTkQnIGYDnRhwGXMhi1WIJr Otap66+BzQf9N6mw/UeRbZ8lGGOBiRKStUTPJ/jsYErawnF05XGLVx1BPMKw2qLCl3TFq7MgtMlI YqTT1u3iUCVd9vct12mTrDuA+yNCzTN+dkBSVeoceWaTKEa5kLAJHiLX4oKG7jgJ3DhAx2AYO9FR NS7odjQZ0zxQittc75XO5pflC/hgk7o1D0XhXpB3nFvhhgkxPR9zoj3dw1x/iih45rsNEGhl3Ejr WMJ/OXZ1hdQcwPsBKOlKiB9kE0iMiBiDk0WYay4UjpQH1ziXtlV8GelKKHD5B9i31hUkogsFczLn KInbpJZPVwiy/B8jO+9YKJDABaXN8KWiAQe2uSpzvEO+9WOx60mOj7ucVdRuaAdto9p9hqppjgkZ oOkFDr5g557KyB/86Zt/nsHsLuY6F67VoNL5Xzpyw4uT9NjfimXC7YlGilDIfqQkYrBgo0+EsVO3 DtwV5r0UpWStzS6cCMb8INGb+HWrQdHJRgfJ2EUd45Cg/KeZtaU1MFYFBd5U1PWlh/6KOGN5mPkP ou1VPx8fKLIvFr7dACTT+A5JYMO00PiespXqokx/JhDMbrSu0lHsnzwIL2cA6dAbgT2sJHNpCHOp oSfDDA/mB+A1pWI51kVw1gOfytdW4aRSs94/wlHIlIgKyFpm2G5O/P/FE1fOH7TGYwyX7Fhxr3/O hDLCMpn4PUuxv9zbD/r1SFb0eIel0RSJGjF8k7yL5I1+0MqCO4cTmhwJzA4n1nLTRiE3tzCUZSWB thM2agQSRJTUjVrGsmtePJ3k/QEE27b+QFAkz43YuXu+NsWecSNx8GKrMtyaF1iXLhoyZdGechrp Nsx8LOfprQhDK9buSl2oLs7P0c9J+d/hpxJrI8azi+TPzGZi1vbFAH8xYvKQT9gD5zLkD+svWeFW Xsljwwus+rloOjwJvcOMKTnlGnXm9rVJoH7962bAwPC3A5HsIr/KEYric6d6wjiDzZmMMTJmCUcM v1H7eRWKEGyT1fFtm1RrgL7MiX6EPGpMqXnqVIgL/TXUY/aVvkrmlYAgOUYVFzsvHlYEOODYHyTk k4OHkU9shJ9LXqNzgerkUN+CI+QoLv1lZg95rWSzfWoao8Wgal4uviuH51KqWAQaM5Of5NmXjETm dBN4ai7ZvAWmv8A0aofCtYgaKaM8YYc9cRpqp8BuJXi5uQDM1gSTakqBd1JpGBseKBLO/54nqDNG b7sOFkN/o+Js4FwArJ22IN+NPkXcN2qOigFapL2pU+UkuKewvtJJTpKdpbRiVRolmfG4EunMO/hW RZIlgEDCZMkk/jtZi5VoJN0kFaasL8YWAYhRUZVgz6KwA3Gg+5pAV/HeEmUWxIzEkQ45RjpdaHGb YttXVi7VKUyD9dfxsnxXILBRlq/lsh4yDQG32eAHy9I7p8vEhGZUxaNlxiHOHf2jY76kKKRHQ/0p aogJgVhXn0Z5+9DpazQlarrnMROV1K3fCM8dizr4wNMd7oImHk5aO8jbTeKXw3ufGHLQ23RbtnzU AbQdILdh3D+X7/hAAP9tDjyLl2I4FkqQVOt0c+zqlx3Yfq3T0ySYEP0jKP88SX1bY+euWrgzltiy zA913hWsMoA/F63k6BZ7esASEKJ3/8F938MIJgZrjKezhzTkfKzahbV80oxOXbqSw/TycEPmdLpD f9biSu4BqLXrerjIYjWyRMpr2LehhR5OZ4JGivhyBuk/IyawHCpnNbogv6hos0+oi987F614qeuw 3V9zmL89txwLs/ac3cpjWFLcVptAhbFq2VYaFrnh2lNkTUFP4IaL4pY713GtEzB0BJjRG3JUJ5A7 8Pp7Xw1MvQisH700mNN9hq/kZTCa68v2ENRqkbO8ruz3bR3P7xTahirP2PHdTYNLH5RyIsPSxxso ilZCZW0UJXRD2aNVsmuc52/myo0n93SM9kOr2Ehe7zWcb6gNmaU/manV69kzuaTbQDfk6mAq7Ad+ mWeWbZEt9AOdLCqcSuj3V7x8RlohPgIHOGNLhSSJYQPEUEyOTq6dfCZ1Vz4QVQ+Ugwvk+qYU59IN C8Z3bB6Ya1kwuPeimh89UEerLAzmwzmRkmoS+BO3DxVoN6XUyVWB/6kJ0M7WIEbw6V7xAU0fhz/m v0ALCwT9xnpoL+pbPy4GrnW+81Rmq10vsD035b93o8oSsEfpanmNuOrKU0RDoAAi493p2KpTy1Jg EIEc+YoeEH3HEY0CrLJ59YtBejDXg7mkaQqW1KDmUdQ7HICMnnuLmdwB6Xn2u59dEM++FIl8w2zL bzanOyOV9PsQqxrYLiyvcQg3WX0vxX3lSyAqFnNAStEUDP7Cx0+g1dRMJYDEx7VGDHmWUrgl3uDU duZyprbnlwn5+ENzd0TR2btOZlJ70JA+uMJh0eueU1kimAp2jMzTVu90RqM786HVyY4ZhNrWgYfA vxkjPsV7skVjOEE4c4/ZtCMQXb4TpRKDTEuIEfpAeUSzXEDO1Tq22/90BNQY5YpPrP5fFd8vgDIC LbQvXTUcW/w35CVqGSzhXIbxCIQAAub565CwqLQUZ/4/QSZ8g47ypH7sC8rVKP5aRoWV2I64flQC p6hGReVzj9x4oA5XEGC+Gv2/tW4+wfd87rmvf+DEwXjDFg4YXn2zBpjacHlcRQ75QlTsmAAN344A v0dWVEjJDs8FfsmDCVd8+lUTPbS5BKnPaHTCH0sUv5gUNiYys3jY8DPGPIpAEywM8AcL2ojtKrwg 1EGU2SvcRqJZi14l6xPwd5auJFrPHBRZhP13mJMEUj4TjIn6oVhpUIVepyyCXCsumi2sWr+tNYf9 a5umfJoTWpAxeGgv4e517jiZcUixh98qYK+xTM8XCB9vNcWKD5olhsMiY17EPtlgd/7VJYq4qFsl qsyKrl4ciGXgFj3PekDp3/RjpfGwA1rrmzNkVqp3FuLty1g4sXAsCkJRqRK+vS0VVpp4/TVR1dh7 3qIHkI9GQEAhe1ztGxerCXajygi09ktzjG/TIOfLlIgPHDZ3Zh0HGuaztfBMjlnf0FOdALVMg26+ Lg5OVcfczFQU1sCFKxGcpX+Y4XSERZuMTNVgtR3KPqfV445baKATG5fG9LnV/1mK+OZb2ldc2PbA vQ0MmNdPFS/bKhdB6yEcZsxMe0S9qkDGZ/4M0G8p5KX3vWnOum4NSVhuX7RwdlRbXnsxjvjgfsMw jzuZZ3t2NFacrxgkn8TS3xq6BDOVZj6ZpP7D6w488Qc0xCf3/43exr+vs5LAd7j6hzzgCA22NyY8 eENVIe4dzYWA8SBsNJx4Sc2Ae77O8QbzJMVBUfKRijyvFkJEeZlzGtWy+CWsgx9vs59TbXe7Omay dS/7IQ6w+BBBs3x/cp2ZAQMoPJkrryJn5JorUGZFA31fxOmXB5tDKe7y4ZZUsx4CkjF8MWaL0+K8 kDZT1GMBsTA2pIPh/ELaB/mEZxOk2TwGdLfR7ZAws4lE6dzNuTX6S2l8isFCGRFrR70JQwGfMLd8 b+rq3dG1yGqFnu1AufOZvHws/+XFJFyHc5Z9ZRF062ajpVVOgbb7Al4YidRc7805TqsmN2NI0YKb Piq7uXR/d94MNHpLQioTs3h/NOg8lfooMzuyuc5MVoMgvyaxETBRAyZ9QJJpJkZWUMqlBr+i5kBb JpTvxcKCDIeDo/nUTbmpFiOtCNE+DQOO71l4dc9A2UVLlgWSLm6qDlC5zecpLiqh2j8o8m4eeNpi uNa6Cjk0ISWrWVEQ5IZPZwBADLRGKmae3Fv8tjSGrb2lFFTEZXhyelhtxBonkdvU4vkpTu7iDll8 eLgsnm++FsM2Q+I1oBqyJ4uaoxvP/YFUQ3YbJjlxODKIGz/SwSm98JRJKS4857W9LdMP6/r3brpY rngV3eR0Yxx56SPoYFgLNH1CLeLyoSmFMPRl7xch2jvFbXX2LwkQTMLhKRB/7TA/Ef57N1ls2mZf l4SloNmtuX6RH9IItMvKEYtqB7E2MAEYhVc2XdC7iZjf6V+ydloTlDHyhcOXhY7WVFjzHCri23iB HJvuAwBAGqhG+/Dnq7n1kjEON7V38cAOJzTtQrlOCEHBIulKBsZJr8i9dOB8yZmZLWW0K8lCkfrl 5CGy67dQUAsrcvzrYuYX2To9cKhaynesumlERnoLPPQCUSQ6qzK2vUaeEDOSIMaztJB7OfRdgsYT P22OiLK5QZcgcWuzzwiLz7/8HI+Ywn9Q+tquiAQgDbZ2TbgrJ0kpusdq0N1mVgiyM/HSobBLUkuI VAZIe8vSGV1VUGWc3PykNXrtgl5p2xoeGWPa0s8CcHu7Swnj+Ai1b13mqKTIXEmT26xP39ifSydW wZennhy48GJoWWq/gXTCDkTL8GajUUp9KhL/byUldo/IDE9DoBdzswCxRaBf90X9hqLFSy3QD9AQ BMERKQIdN7JofkdKAOtkcAdExiWMG1LunHpO4IigGtKk9vbJ6rCWc9riXQ6pP8YSPo4cNynFYv9+ qaLiwiMKozhYyM1YbWXHsWbLYKwskW8OSiYO6nNbf+RccXFwGFPaBtvVCFM6S74fK5QNmjayQ10j D1PWOo4PDu6h35YkNpCeGiC23pXWgln3v1IMOej4ojKplQ1zQSv2Uzh9+RtTFPlW4g/piikyjzAW 6Lb8Ys4ntJA+H0tlibf0C5JzhJYv4d9M1Vr6bB+aiXYgaszhQPAp/ImC4tCIGVxWc/6SKscg6tY8 p9HMZe20jju4dplCrsO5Ul4xX455sx5six+sliF49J1kO+qfZyUgzgpWwuK3Is6vfQa7tSz8vasb mgj2qeMOABcP8QWniJgijs7w6nb2k/NI5XXHdYO1jsVQxBe0Esd1czIrUAcWhk0l2SeITRcJuNmA DdXSu7t/I01j+Yg6N2sSo5OAXxCVg727N0gxfP1dInivA9Gw32KASiph2XEJlA6q8w0ZT7a1fAd4 OJVgty9szrGY2xvhc7An60QoL9rQrNt1YEz/fbwgFZJR2oSSNAljv5fRVY9/JCoKb7NQKBnn7VFK VWVJ5Lqr8f2aufomhesTAbaVfg/G7PIW52LcCAtha73nUXq8gX0NN7pQgMxGg/HqZUtZRrToud40 cBzfpyt/IYzuCppvP/wNnRn07H79+jp6KOqczr6OLu5p+ikP3xoN9jF8hkCWNN9RH6bXytpARCqS UxlqpkvavNq5zjNxvqbXZjxjv43CYyINWvcyBIQTJZKB83TZP5keEn1tvRuTpwnqWXMcKRQ6eGmO pHwByxVSXThUISQqTnbHaD74C/5F7r1ldx7s5xLwR1JCueO7qQtEk8hT4x41BmFSdC6JFl3+ArFt yI5l+7yKMO7d7Blry07TdtMLWnhIV8CnT5vtWdJK1OEihKUMP78MUdBzhW9gWeD1yOGXhopv86a9 tRn51VIu7RjdfI0t0k53WT9le9cBiqRNDBuWy/+P2g5TF/CYRG1oywtfGKWA529lK3mbgGBmAUdo lYKwtVSGK/tz9Om4rwRtjae7X0spUqaKX/wAUht0oWhgOmLYHfALlwlctHVjbkPyVenESZiNjvW6 34h66pTMlcRGJsGDQu3m8yn5GgnvdjWo2qEAEATWvyzXgIqmR7hiMCs1qmdcSvF/M623LcezPcq1 cYZzxF+I3A8czuLirLrVBpNYR4lX0C/e2ECUc6WEoo2XaYsN1By0ph0ll6YEGWNaHm15CKWnUF2X xN06vbi8FsxnZDO0bQXB+qKD40o867+nRYEQ2tuCIZM6fZi7rLL06IDphjFtMeSugdZuI+w/eREL UbTbYngl2VUF1Lbxdn4CFFrhoUoO49u1d1Mwo7uo1UZCDfxlJCvZ7M3OwUd5HJeewPuPnXoIkDKB ENjBNzG6SkcymKtPQURqbmNcidOMK83lWr9zCBi3qW6cAnTpRBTlmPs69tJuwneb6KGdUBkeSSMh mBWoLpjSAfZDmVbEcaQxxjkkyEHJmb13wWSLoRmyM6vBkB4kE90vWGe1AKKDigI3310YO1E537ig w0DiFYXCxN/0cQc7qXYFf6Phel/dbSf3flTIgK61DPjirVUgDPIIyJB3SCgI2mAA6HsMnCyMLJTf PUDi9g4OAMd/3urvNoSaVLWnq2St4HWOTY8BGygavyvB7Y8BsyWpiOFnQjMQmkm9UwtnRTQ+cEQg 7j+iDyb9BndQzgexViyx37tiNBdMu/TLi0NMeQMomPTqc981zz7R/mlfsXEdMu0noLZoZtjvWz4i q3RZaX9ONzNUVkIFDWsl7M2gwJqvieBR/8j5gjdYGeLXKiWjDBN/ACUuRxy/4tDKsxQEsSlVNziM Awc6GKk8Cr+FMDmDDqZ/GN/YmHj6ckffpvoh6BMGfAqGwZKxS2/oGUeKqNMHaOeQARmHPcSGXS1p wvAZWWppNPid6xOLDrvDAPvDip4OsmY64+epyNH52O55lxfmGQRf7MC9fj2gDIpQtzvZaSvHBYh9 DjC1F6SUPYpgMYSaAZYTkRgAg5XzatohXYCsKCQPOgJ68ikOagHlo/wTM5qMOemEC2v4DpEpeunn HCGExC+STP4bGKkGf9zw5UujOj20w3hfpkBbETVMF1NQ9m1dEAlmX91CmTAbS8CH08rEM1teeZqE 24CRmIpVJPfe9r9rTVJaQKC29EEutYrsGSsIUEx9ms8VXP8+RAptUyCd5ZxIiCEfRvL9s47haq6F FYCQerx/uwzJi5+yKNADK8HLY/2AT5UqZ9qo5dkgF+55bZyk1XbiWYXFgpgwdmLqv/e7wWBzHcE1 k5gRBGX/RmFx8cuDrcXyIfLDYmn0axWURLa49BsRuZHjJ3ozmvTSQYwRCURJCHKVgjU7pzNmTtWk rPrm3Jq6BOrMA3L1/1Ji3X9/lHRiV8uTwS9xHvGXETlg24q95zs8oWbFQcKFNuVpZcw6sjsWxmWZ yu1OQmgxMAzQ0VAK3bQUIuhOfGrTkgUKZgT2vUkMs+1NdCiuBTfsXfAZwh6DTCF3jPcah3tchJt/ g5hfIcYXyb/gIk9rOt4PerKMsoEajXewrGlAktWsFrSu3p45lVhhrCYSqJpv/HBtcpOj6KekOBId Z7upubQGUG/3r3/q1S3ruWwrIk4APCofYA3siIwV8IlzqpCK602tF4VB9chIvtNLuNRuW/vSt5lJ uM433QvoB8PRhkjdOCQq5QJxH5CIajXLc9+BgHpwMUmvVAXFKbFNKPP6Wv9erKyGiqSH74kUAvHl /tfxgcyE4aTEB7bq9WjUJlptebUF55iqCB5wU8Yfwy73/FLWXTN1H4Z4wu2tZ2EzMohedFR4KgUA uFiB/ZhFaK1hxu48Fr/AzHe5temy1f8EKhRelN+N6C886kYyTygnRzBaqNyc1/TVTwXvECsYgCjj co3Qx3VFa+xR4XgLXIrth2BdqxQ4V0OxXY2UYXIBOELjFofStAV3X2MkF5mVwb3dCEUQhQ5OTvDu clUllaEMUex14gJzZjYIAratNmYvEeKwSYirmcYPLZ9sqco+2dbm9M8iKJaMYPjfhmbax03GnUeT yuV7jCQUaYJVyvGz6Hc87rphmFnwSO0xN3wiUALulIkSGxIQJm3OFwTHOQgFUqY8e1YXxL8AI804 sGhgUcLmfrFofmoSb0Hd0Dgq3u/lk6LUSMIDs0Gh3hVd0Y9xix+MgWFBQrsLqtBbkYGLRCFBLfw+ MFKloYd0Pm6YKIsv69bjjBN93zR5A5DEvYMxkKYlqNjEzcoBh9tHti3zYGuOEANPQBMbbJzN5cCv IzpII1TqGNMxOHhblaivw8uTrJXV8sQlVej9sK/X/onCvAEJp74edTxZN6C3muumEMfAG0/JASUh /dTq0QIUYao2EJ8w93NCpHacifUw1qnnXqQSI96EwwEw4oc01V9j2gfGyUYYuLvjQI+vatNW79cB MxdF/TFDCPb5xSskrX1Zl3SYHYy4xlfZYpH70gRwRje9BAJWZJyAo9vtY+r1fDXE2uByIW8m1icz XHILK+CU5omzplR3bcmUxOSyY4Q4pJ2rAZR0lt4bC/AD1lnFq5fnpMDs+kqtbZtXcIiusne1B1PU n3PPypQaVNS2vN7N9/qVaIjH4K90ZVzUWSlsJ1/rHcv2fiJgrwEmpaWBRxAqOm1AbjkNRlBdFtuG i4okcxU8BFBW9QNGVM+ijkXb/Lvy2QiTepdKuX/bheiOMwdF2A9tV7H7PWTOFRaxgfolELWzxr8Q RNHFWjN4HUCKBvaLFfR5na+LDtcZHCxsYBW0u83yRROm2nWfFAKbNbUFUhE8ZDHr+/HJECLurRmK 55wZwcVy86aFDcyJoM24J5DtDddMkhSnnriWUQWUDTjhap6Yio+wNjnU8/uhusborrkELYRsHREZ qGNL1TIGrhYWF1zZCWg8l7uOnypW5B+TQjVLtPnSmoXM1CKas42KtyZNKbPOSaU4+oLX+6UglRVX KP1VNRDYFjFZNSopXsZ6VDLn3/4DFGS9SvM+DAAs7MNq8xrGfc0mClvAEJUi+/qQ25Ndb52b2wLM 4R0WUKpHJ5TLutxMmTOPOWxgj9BN9QbGbnSqDKTFLQYxdNVg2e1iOewe/ErFaFobdVQl8ktGDOnq qwv2+KTjRBETgsnES7HWcliyLJd9XalZk+XpPvDWiczxRgEbXyxx1CVLrQp6kXl2QRXAdzV3NgW7 wJ1lP/eyymHDDtO44g0zYcFYtlKnWeRIh10wgXJ4C48STZydBijfbfAzTQ3yva5TOROSRYLKaCEC mCqAEOsQ0pt8757s28lc3LYZVIek2givKNuO/TuWlqjykJcAvgRcZaWFdiuUbkr0lHkOPqMr/5dV XAhjUdxH9l3IZ4LLgkiY0XH5rQ4mBXyjqIY97Ifbeo7Msbq47PahFl+xCSMGmYZUOXgZ8SLaaPgj T0SAxxyhj+4zh8/NH1te++/3txD6UpulwTyPLu9k8GbxonyqXHwFZm0tWtF5wqbgOPuztpw/fCZV HHVeOLROMiSfzjdmQA5A/8LfwnQo+c4s8mAbLvj8bnXayv9lgXaVFVs39AABZNWphAVVTi1Ssxtv tzyXRKY2a6wFqk5Gq8bqithhw6bh8RcSbUEiFIxIwjVAEEoWVO+7IN4qXtENvnREUyKp5hK2IgJT SbOe7kpErBHsmEzb0R2Jfpd2RfBDqg/YuTERHrLVClQhHMUhX/catIg9OrJVPXhN9S9TjrnUTcWL OK/9sNsByd+kBCyK3y3J0YC+8f5vaPmUK3uPXrsHJZA816NLQUgSjmEqfU0lmtcB1p+sZ2xzRLu5 0MzqULTe1HVtH77FyHhGIeQIQPOca+0bYftc1k+0dj4fMC4mLdTV0rBoY3is4dgVvo+N1YihNjgI UiRif7e5ASXsingMPGhPSJoaLvetZ2i0BPQ4d4pRA9ArL/XmTi9E0Zhll35YOAYKbc6JEpUDDvQJ G/EEg8IYZfNmMTPborojspILJQEajTMSIipK02y58TBPv0vU4ww9gZvcccZ7nbhprTrjJ39JrZ/K WOZNaA7qhpNsQtWgJOgQcS7xF7rhUcApBcm2bWStcOpkrrbTeU9y1QXp7JU//bGPV0OV2SQaPz4v 5NyIEMymFe4S9VM0yGLZXgmLz2/hIJu9gC1jjBsOP/EKuuXhjyEVXzqPKxG/2y6C64kC1ib3DUxq zFWR4U4Av5Qpn/uHoroyMHHQLYbNBQZ530aHvQnevHriSwPThIb+f3p0X4J6X4zR+1Ii3U//ag7x +L26RLg1CKYzm3V/Q1SMlar9ZCBOw6+9UDik3mL+jtLMLj6nxNWI5jBLrvALCVhkExdDYvuzvbyc MuMejZl1xU0cRw6uvqY/dUS75AW/pU1uaQX+K35z1qf9iq/SXLqMmy+ObOyTS+9zW3ry5u17ekRq bYpTWUZiVA2J0NPRJ9P27/qpSdUnZl4Ubn3fW2wg510x5QVJWjK+aNsl+uVg8id+4E1HtFzbumqV xGFqlVSoJ4WiYqPcpulp+aMGJkzcxNPXKbMiqw5+TKrgO9O3/FC1ToLN95ztwHHrOQ7xaQJOotdk Mg0eXdXPpymFBN5fSPrvAE+DmNbjnSDuttdOcxDcLTYUeX/ICqE8Ze+uRbrs7+ElHYdoAsgDgCXH nmrhoz74bAc29AMsuN1ExUFzuddc88ePGgyNe9N4bXT8Ao2/0lKP2hWjwnS22kcPS+WEJheFpbMG MnkMlwdozd47DSA7oEhWCcI/Ju/qBkfHOyYvzSiswl0ImWxwVs762UT7HSsjOvInsMGMfaKJ4x5d v12jVkdFPjdQ94D8JkY58cQOh0JbSlH1uxJ61oI3aEVbld1lCMnfSPsVnqUSBRja9GXezX3q7v33 MO79D5e3neeyoq3XhgpkDohUCem5/b3H7IHUWruhAHSbein98Lv2RZG7BmcEnjVyXaZ8Zz+a7fl8 3PwIDzD+26XYToBhEIwvcJPyq+WUafx1O6WE4AA9HeCa5TVaBt6ytt4ojcBTnZDmx5VpC9CNCQa3 0PJi27HQpJ8NnDMEV5LTy9RUYVUCMT1dvxGqFkHd2cXtJ27hPeKtlGiPA2fFbJXCES7oKPNIUOw8 kp/0xWOEw++MHpsBxQX/KtEPzHFKUyee4G9HoFQJZlc/WGv/6ZPntGba7qEADwggmpogk7+193bM nqg7rBdWOTEfC7TADPf65GJUfgVX/omVtiTZbFtWYv8AhgNjM/U0iLdE2786vrkU0vKHe9STjPun xOwsTHtbnBbPOMSp/anho1eUVz1aKRL81gLLyd7sFMirbDcS2G1hhmuIgVazueWkQHjy+/r0c4Op Qz2nP3aBXTMzZvklf3Oa7YUKvZeYzyInfucxWsUGNk/egnlJEIes3lze4fSGmCOPGcNFiJ8h/K8a 3dmmgzmjSvFB98zbrH+X5RsQ27HCVn1AvFxM39pOJu7bkeZcgvtKoj4iRs+qSGO+Fj+k5K++Kycn Wk69T1SWqT9O55SfQT9TIjZINa6HNW/+6WW2FALfYI849I5ywdP9WsFuwSmS6q17XKUgOTIhybAn Jjw3uOIkOrnjvbQoWMBAwIak64wUJk+SqiuZzOIjWSwU470uIG4wssnOuMUmM1WdqKNfxWVMgPjM ytznqX5xvXrM7aoaWnFuwpAMfmxY3KsJqX5k2UoUyF7yrTpUEsOOd83/cZvb0ErKzBD5d3UeqCdn evKLaiL3VME8V9RHONTELzwPm87oI64gFSggQPPjBwTlR+0sWaTK8DrUeJm21kAC4DMjw/hkeJmz vQw6j1eyFiBBMTigWY8V9zAkQp/nUzpToJGE456v2yBdT8t+Afpm6h3/sQjELf6o3Rwn5Sd2ms7w 0g21Lt6QTRWkebQuP9Y8kO4DH3yjY70sJefIIATKPabCkL1KSTMQdxvEax/J/Ft5aYyNWg34DuUK N9RMdfOI8e1iayTzpqasHQ3MYMouaRIsotWzL0oVb1bd7foxSAUu0QrdQ8lJJ9FJdoR+bNxvThvo foVoLmB1w5s5lEBGD2MihmHUKIpjEBN8qsxeAo6ZMEwTZeV+7+oiT3qyiMeTaWz0sL247V9KaPzu ld32gyCeGEhuY4ilL8o4ScTo39/kbc+SJJG63D1P+lUW//t6x8HurSKTIOzepk3pI86C8mPf8P0p /LQlWtlVbm0Kp1tLfNlYAfywIjOdVvakwaUX6y/rSwxgB8qnMp6bQMIzDkRvYLZy+cCFdMVap5B3 vJ82kmFe+3f/3x4a3BH+Qf9SlyfhNtS1xMM0DStzRgO2MmZ5l0XVYl/7VEPy7slGUFFeqSV90755 PjMroodFTnE2zgBZDeut2ODguQXs+vcyi2xVtA3m0uwqGuSNfg1oHgW1p61peOXsc3AF3YRkDhf6 WDtguJ66ykQ60SAm5LLDAM7bsauDiSaGsLHPhBcmLv21bcvymrekCSfY71NI6/OWQWaFgQ1S4H3h +3r7U6EyuGXC3OqYUmvTQjGSA/aNIk2uyksKmPlEWvpuf6ey8wbU7X4M37/mVvwwr1XyIeLNLX1o jy6uzZaR1kxZ2vcMAp6q01wtFHrk9QS9dsA1X9IUT9Po5f+L0a6OFSgODgXO15dkNkqdHDfmbuIn 26r38jJuzxzN4LWHqG1UEzNNDOAKmLXPJQcTlp0k9ol6XhGcdpCIIT199HPJ1Ydt+VP8oZdvM3iZ d5EpBqMLj4VGcu/wZQOM788/s7Ve5zxWQl3tCoiwsUfIupuvS7xHj7AZKQ2PZ0doZjl39sHQLAdw auFzMoG+cbkgYAr97RlOl3oWL9E7TndnJWnWp6SsM4gQvzCtg+sGDyfl3JUPdAFRVUgunu6A/1pA 19iwxpgS4UB9F8UWCLt99P7p11La6kkCuStymhN/kZLJLwhGrePWghaGhCqzQmjUtDyt4qTU/Lcj aakRx2Cwr4A3lVcqhXFwroquiWa1ifGlvkrRB2A2v+iDylxBMUE9OELUEBP1/Q0EsbW3Sl5nqgW5 HdNlUxNHSY/xYCfXrILv3NgMwphqPIHxLOqSylg8fzcHGP9j0Y0otnGyC0EPFhyjHztODa5iwupc 13aqfTttfxN7ogTLClmO32SkcdmdqFi5Xgf9kA8w6yZex+27Q4bmR1z/5ZCqvq4YcHwRqr3aSrJu n1JZmNv4zr6vSEk+vaI69fJfFfCweRdmaV7FLvNke29e4GNYmoem2Uefu1Fr8otwRELYFNm0+gRZ A758p5JlCQKnv9o8u1z/05WfiHw4G7IsTSqM7ojKuGRBt9/xS4eaeeSfOOQBGS2UK8eZD9L0sPHX JegLqLnTlL0NifQdiHf1gS1hWYIGAwPiduIST5TYaSRwGAkgrq7sDj0T5jhvejHh4NdSikLm0O6U X5MH4rQMQxBPVyQxLhreEFPq4zE3cvQ0zyYOLKzuPjJWPiSnt29YGRwM9yXtMu3A/Wgi/xgDRuNT wTTtloLcZVa54l4YfrYaY77YgcnZKaTrjffBt6G1S+q1RyB+/n6S5ef/ZYQ+ylH3HRPwt8XG65Ih Gv/vZvtJqzBZs4Sg/+Fh3yR33J+jyshZIsRvVjPPGa9+/1QTPX6+/5Ncxniod5B6yd+4hPw56L05 hrmCv6LiCcx8frOwdswcHvYOh/65OvDNhQl1aS1WO35yTDNg7ImnWLwQn9fJ7k7eSyvVgcnZme5f QppFyEHsyKj6lfzSgPsGthItAt2WEAZxjOoubDehAHhcaL+j90u4aVcxVdWt/V2ErQSZTeprxNF0 AWe/lgI6PqQ9SxGqhmerNSx7T1uWUfKVj2tbWkPgr0gRAwugGacR7WkHpSYZARmjhRc1JFA5v6Mf GHidl7tQzPjlkJHW79TaVD47bkAvVO9Sften7Bt01hpR0XyonDg1ZSgtTawOzwKUHqUFZDZsznsh cBcrTwWbyqGzYPQuvf24o2KuE+dQ+scmvlLieUXMyxskasINifTCm7SuCpIaGbb6IoZR0zxe2Ald 6ehn5Z9axToVUAXidOFBCQuAM6PIoR/Hr/8i0dIfJyUGBJRsyOelHUKj9zScYRDo298aTQQBcqmX dvYSvVG1R33CenZsRL5VNl5VgLny6EOscBVbs2bsMATioox7ab/+UsrrQzk6Bq302G/ug0guZB4Z KYVlhX0DjHuswbgC30lyT3NS9U38nPlJzFqXX5PsewN2GCn/G8ud5roMzBISnuiJ3+p8gtoIMFtn m2MJWZL94VbceQ5oXgIvMa6AyQArsq9WKCaA//3nqXyxSqWtFE/XHuZzsZaHAIS4zu9kvUl65Gri 1mHdGPyYS9tCHd1AbrIv3tTyg7S/gX6Wjl07bi4KP71YrR0czmyDolI0MUQgIb1zwgDIOFx/6VJr 6taMoY0J+LDFzLi3Al9ZlbGiNNSft3nUrVbOu6MOXtdD0/Ms+GN0Yh4peP6/E/nPnFgo2QPGLZTk qD7Stso7dUNWEJQJy5E3VLDTAYhpLrG+8vPm6d0pFi9zJ6IieNifsQ1ZmRlEy9Inil2oHv+XoEOZ MjZwjIQns4HDqxMynQx3Tlm/N9JKAIqMN0+K+oJqUtpRGYIgbAEcdLnYTl5d1RW2hSDVJ/9KXbHQ oC5IdYKZtuwrAKO+Fg4D+uTaGcxx2bk6i+do4GAAR7pV6+sQU0NDvwZkQApp256iNf0QDLOfGw53 g7LqEqBUcPjvC7h2GFZ9canZr46mdJa205VRuDaWsLxSmlSPGHYSJFpqwV4zm7YbVhe5qiSDSpnr uMliprNSmrJ8Zl/IxIp+FKABdNpMrUKnWOhXwOTFrujmPoPzgf+Xlo6Dsku8iRRRllOG1y/rGl8i cua38rSWDCPQ5PGBTOFjpXmq/HN+yGFGKjoMzElptpC2LOyuXO5rYNeI8i4e2Ev28RbJZYPH55Uh b/gOzDZgezGfcpuPgPVwevAIO+RacZ2wXmwI4AcRfedreA8ElzYFadvTIwGiafKH8pXkDyrAIMpC MW4x7gLnxD2WoOsDPv1ifEHLKErMMl0IqU3sZVhPHyoVsB8nOnL035W312SoyGvLwCAcG3ZtRs+b BlWnznQjKrTJNka61cWl2KITXZI1bXVGYnJ6Ehe0lkc6UqI9tULwr05mmsLo4snwnXaz4TJbltsE wo+sSfNcMRTU8CIrcpoYP/p2i9AikzOoUCWUgXBgOr8lSprybI6Br7/XaDmaf6wFfuYNaNiruTHn MO1Z7XrrsWGPMu22Ega4leMKdq+/15HbhPb170v0lXxdMO0bReq6ENabMkul+JAphpgsxizZCdX9 OYzHvgcyvCFMqMWWr8lxRIfBem9rNH/XRiIR5wBXePdXPyCxe7rTIyK7Esanb7kgbzy4tznsGpFW DPaWU8XCD/I5qyKFREEO/xgjyFYcciWuSa01MvuuNtAQmLnuJwHqJ4KSp2Tmkb1ip2WpDjc3RqFW mCxjaLGHrgf4BJ8jURsZT4cTlRgwbV9Og+URKnHlDUT6oYC+88PCSvx6DoL8qpEEXQ4uRCYFFC1R Hoo1kjQXfv/sF7olEDr27VxVVp0Xm6vau6awLdiNBlCeCBOb6RgTS/EHnUGm6Z0QPrBtgdpq6BMv iS1Ok2lFPO2cy21v504nXSruWMJWP9o6ee5cSeCr5UWgFAXcok5MDmomf5xGFNbySdAAMPW9Xi5d bI4i5a+ZNuYei8OA5VVKT7RN8n+yvQELCKfaKsdMoe/VetBw+Vc4tal6MIn52s/+cIY+BrFjpvg4 UgZe+6/m6JgvX+w0/zfbaHfrFIVfk5wE2RJngk2y+bPq9Qcx9m1K3GNi1q8YyTGx1b8deNti18ra WeThQJJslik88D5p7YTtprPvg+jZUnU50Q8nLcFj52GNhUzVNWjNZ4RAwaePiGgFmp+T7v2KW75y e8mhw9rB3qAAyEcL1rTEIgrj4t0bym9JQHOeZEdWl/yCMD00Xe2Dr3kOO56/8YNWf604JKqEhwug qIptUqKqjZC/jFImNouIpC1qV4N5yfSwgB3zs9QtTM1EfZrduA0WjED/n8r9/QQ8lOUADlk3d7lO lQsi9FTkQ8QjyOVacm3Yf+AgayndXjB5ntPevsbfpJYDnJZc49/Bc0zXgezimy/b4xezEHjf0iWn Vub5e9/u1lMjy+HB+Ex4Re9RPj2I+smkrv5L9dqlrOUJt1IXkocraEFMtXcdtRbEbkwKuywNHwEa bIpQ07Zb0Rb6t2Bu8cGd5jVwX+j+/noRlHbGVc8QHTv8fODQZB3eqOL7TqYs5vAQSseInO7yML3M XagRn1JHUUjfftUHSyE612MPtmWjF/g3m8L9QwPpKJw3wMLw3nPwjU8XkOw4bRv3/JCEBRszx7BK BfdslgqegdtRsAeMRzwxsoxg8VGdvUn5vQ+cLITkc3uKePC1NxtwArhzd4Hc8bvO9vFiWj3/gMcX lYeVnd107MqIdWkBoKW+3R4TPxjWJm1oXsxE7hhxV9utkW93MJbXwIzIJl1Db5sElaHG6uJRVhmV 2riXDvHxsI4drsvWelR4zTsmwlmp5rxwe4uDjuYXN8vb6BBX4shqzzdRtDVJg0gjjEl4ntGpFyvy TYWFk4RnYgaS5WPXxzQK7vN1aYKpwrGt8Mk8QH9FhkSBWJRkVkKlEeP8ylpNEi8QF5MwYrc9kpLh TLrdFTvmi850PBFizIjCzIe8JTiQSFev2g35zXIhaOCc6J3pDJpcqkVgHbqgwS9GLCCaR5r42kD0 F0eRcT/8hX5HDzWMfF0029sD07HpNXCiW6xf6q4ymOSpvzmaHeKgdWLILhwWw9qot/v/DLw5B5Fg pEcvlxFCVQU0BAWF77ngo7E6eqQJ/heIWwxFCtNv1XotMp0oEwRc8nWALsJT/NBtGuecBalDZqvd U5jvxJZoJ0PSXFNUR1smkRiP+LDCuvpMspJRrOIIMy+vWdggxjSKTpRxNy0pzklIginNr4XKfVeQ 04pOl6W93AO7688nO77Ohce3B9v4XH+VgBs6cW2ef0hB9M0qFnkBrfZO3hkn1qf+iX8xN3IwFbDx MP1OhK0as+cANbXV/W6gVgH5KpFZFtk0FH9Aknvtxe/HHFVi6a5jnr4kxCJRAsxecW5QyWRR1BjY LzFWYXa+h7ZznyxaMjsiYtpiJy0T/xwOqgjDmnAOsM+vV22YetC+gEfu2ywQ5ra/zYWCB2vF39Q2 sLKW7IPbgf1vXe+dMB0aLgFUcqFVef6B/KOmC9VxyElX8i9+Wgz06cN1CI8Omvy2tXokKl7A6Aub 9OJ/6ZIPUwj4mNWYwNTzZEvhjc2a1Yz988iZf5Ev9orRLd9IIc4hZOFikRowu32Z5U/i9b7KtlVj wyQ1UxZKVwSAPdu1DipdSzhmBNjXgu3s4MQ43jqXRuOSD31d069K4hcvFDAyQbP89asFG1WeM+cf ZDOGDkDfGwPgaQ1zJzpy+g+U8ESATs4df+aEY5OEpVkVu4OKnbeJ2BL3q/W+Pohs5TRZc91v7sTu fb6L+yXXvOOkxOeqSdPwAROZOVGc2c6A/sfDSfwxzky+vAJFtv7BtTLTULLOUz4sn8DKCl/i7wZZ JaUuJAbN3pLzQctPeeNibGeQNslSJbvwRx/uSOAqtrC3HUyDQHOywjyDbCoUlxJ69aEqvrX3DVuO w5dk8XtAEOkVAwZWv3njTWeFNS3+8ZTHacBD8I3p6CkFLT7E4/yhZGwdS3mtonXUqS0ItPh3BgdJ sNE7KTfKLVCAM0Tagda35FMyTy3eGdlSWl8Q7uH1/RG2RwVgicVA1lh5A2sdE5LH8w2QnAmt5NIz BvnJT8aW1ek7gXQlJxZJg6SYaTJBvMacVXsyLwKJP4frqhAdslxOt70I6qsjY0ngKWQrHbf3/Csm Js1W72EzCOrsA/jejAqIYnxXIwYXQZnMv9e1OF0HnOdzvKuwM6H8a2SimJsz5+sYmC10vRGAKxI+ /PSGrue+aB0NJqUrC9c4ZzMIjUoFeZhCi3kH0aU5sshgPsDW66UtCJIy/J7DnjL0CdFMaaBsKrqL 5qXmBXp3Do1qcsD3BFwsV/fWH21yVUsdIxAFfRWuov7DF69I0tC9prx3RmsC6mnSX4PDKC09uwjx zbQjE0gKbLClLVhOIAyhUOMveWFNPdoAglhYvsqNz5b7kVMYDzIHX/0D1yIEjwYiGdo9EZZWbBiv P9IgfcuvBRxOdq51f6Ig16L99BPzjobIdc38KLJ9PA8dFHoY1WSfqWy3usCdXpGJ2OIgA1YeboFs I/BuuesTuk9vs3VKzIO0kyrUQLKqpQ9cAj34zyeMrC0stnIjgfgSkizwbRLnPhj2rGJCcF9DQZd3 Dnbw7SGFDbotctj8nSVFnDOnMRHmy+R3m/txvGzJB//zokCIq4giUxIFWoiCDXE11Eg1q62T3KQz N7W1Cyd136c864oiKtrACpQB+n6M6YGFlCSshhy3GmubemR5I5sGyf5dSmFyGmEQinLmsZFGg1zE FNfDoyO+sK3tERV1XBeza6z/cqc+5VRqZ8BjfcMK+n9TXyi3ZMvESkmpyFo3+n6R6IM+iZKeAbNn ZhRhlZin994YVJQHp5G+sC9sCZUxhOmj6K6BM21JzUzArDxmdDHuiUBAkVN0fdU2Ir/Y1ODyAGAq rciJ3pb0DK1MW/xmuKRqpwCmjE486MSG05MWRmpDvDpa+yF9HZHQKOjk6xmAO3fhnBBDlgjlan+X n+G/xTbYCiBILyLYtngPkMrR2dH3CHgBWySgk/Ue1hR5OHDU5ALVxtKacy6TEklldj3KAdQrCQk0 JwFwlMeze3OdmlmVt087rs//JtVDL5RxP0jQdndJzUenHACa8znx9EVsiNb76TbMc3GS/am+tayy UiXpbTO56r1mz6tRJLjUSTuQHctlkksIJ8832ajvykiZ1Nxi+x7JFB/mDVJqlv3QpaYndAcpBGJ1 QrEXorjKlYnNYy2Eg95y+XhGcWn/r7aNEr7E5URStPvYoXeeHnLZszJ4wTb4+TGtMYg3nvXaDPPs yBML5gKgIZZNuzjCErjEqDPGBTOW/kwoYroDd0ep+iUxbKdlbK/nDNGtEoYX4IvkSR7DVes2dKq1 7/zhTGW0DA7f1mZEQx7C4DgQOwqliDtJsbc6AQV+AxYqAfO5YTsZ1+UaR/V45KwUZ1iju7YG82bU i4ex8rqFUrEVWoofVZm66LRkousde9wtTyqJEvKz69RYKdFyxn0aJ49H9aekfUQ6dtscZ5ZAJgJw F2EhfSnJUmHba4MND1A1S1mxzG1S+1XX9GGlfri4fmGp4PubwTWNe5bAqfz2hr8meKmVROBbn5Q8 KkIibBkIOhgQWsabHl9v9lm763pz+v1tnfvoC2pdkLD8AhqYx7Dd45ZrtpHRzQQLxVUQ6ytbzjSB 0OiiO0tCN7aXpZJngek0SGWqMsbqRz2c8ya2LGooPw+Rk8RqSSsHuEsA5gMZdFGtEYbYrx/8QwVW v51A9LPu9u3+JqfEFybZcKiKGf4FDo2R9MA+MCr1Kpnlo1nhCjh9A/LWDxeoLHHzA96fV+h7uFBe FGboBbSa8O0IriXmi8seAOW+UqlRusMmJLwCfyhWyXP63XbuFqXJTgpX5da1VjWO1960eFF9rypQ srIF/u/d/zNGXQWS9LrAcNlVROT8wwxLKXUTtR3oi5kXGasT576H8Kwi8+8wnkRm2CFjFdvd9p6R cXMUHZlC7UXUtk9RBnkFVJeluP9OzRmOl4MtpTvomQjuhc8FE9EtEcAVtF0HtDicQDrwuR21W5nu lDFLNKv5eH3MEllaVYGBM6udQ6zytMwGhhp5YRn24NHBBOAUaeKpCLvXOsyymQxUu0w8N7JXL8/6 fbJ4+fGy9Hse6tOA7PfuBizapn2MUcgmDaMKwnAuWP4mPpRpuaDxiJ19xhDghM5Xm26p0bXZFgg9 fKxK3mLg83RUE5PKYGgBPjELVsWSBAdMmNSOfycGROAnZO4cqGoo8Dhtiev5M1x+8vdd4xkpeLjF 4fp01gsTwlsJgLQLFdinH1R9FYSzsjVBJywNzqfY3ocodMexKvJFEUIRv5rW1RENvC/Bwt73l6Zd vTdedyscP8xoTV6GLWb15h6Vpu9wWSir1bsMQpJyLTRCSUgplmZeKANcR598WCPcxGBQnHmS2zwQ cg6A9DWUYnEF8m1NkPERbMUBNPAh88b+F1eA1ZSrWsEKVvVb6CPCbeGadCw4PI3fUbNmRolAjQl8 3Bmj9IuVBQKmty0Ylm/BeZK3v6O5A3MmTZPo7NbnsyPXxHUgRO7F2lxyWfkz8/WTQFHgDxq4nUKu XG2BjxyX+JY+MOP0I3PqVM5G6hxapIo2xWJ9+ql1VOHMQJLEpBdx934McdtUy/eTB/6DqZOgzN3h 9KXTVWKEpALDbykGki85FKfsGFng4AO8nlu1sEvMqBxnl/z/5zh5w5TZnN+/sdcIcYPFaG3MRH2x 3cYYqZNczDovPUB6aZNDnmA3yNG8jzkCDLqNqAVtd8fpFEa2WnuVpcCiGW3LULtOw4ogDryda5la YIwokkwLE/hGxe3uaJRPuDh6aT6pJbLiGiNkOf3Y9YnUY3zKfF9777y/9VSoNu5rurYf5oxVtEiF hx2CqaJJCoyyTdgX/j04t5Ij22XGUwSAP6VATUAEJ7ZuQKi7xpMh3oOigKm72QDTX5GvxIRRc6Ju P5LM4xmEmPTiPeC9w6wY12BhZwUAglWG5P/DxiL9ZyLVA/F2s/k7AuG0VDG1Zq+zQsUbGUSI3FmM Q7YF+rpelSQ0ors8PM4dsa0YCuC8jun5YGEGo0eAS/dZzC1CCvMLAtOmSKnh0I230cA/zj7v7W8p PE/HioXKb43sFq+3wXUDjtKdiNysHv5V7sI6b5uB0pIc0tr7B7ZPcLabsbRQTGzKdpSnfYaU9acW fzsbt37tycvQ/WvHjFHryoL8C8XcexPvE0Fv4JLEt6Nlw71bO6/f2Udwhl2KVhZ69e7+2uOuGVZg 7aX6+5+PNMO6G4ctO/SwndxSvzJfm5b9b++ofhYrokN+BXvPeSyV6AFylbivmaqzZ0L7SlTovnkP Qi0NTBtzV7OWSI4m23Lid9egHtqEp8Y7B7vGKG+xeIgVDOecPdqxH2AmgKNqhKi2buTOsdWNqqwR RClBAM134mzc/YO9s278csqp/hkFp7Jr37xt8SFn86NB9LH/pehoTqkIBgEW+DCd9gkOSiQrA+Nf i4DorQzpXGBMPJ7rJ8fjRC+47Ym4//kiH1a/i6TxEAO85I2VNCSbMr107x1W7GBvABt3hfpR4o4a /FkMtpggLRPz4jydmUoP6Kuvs/BdTUwgGbS4erPhJR1WKjKJzZa7PrBf2rcnQCunrrZostk3N0bj kOAXmmE4p37ZE2r2wpZsrl+kG0D/4/9aSbSwq2WbnKVbpnC5sS5pO0skeEhBzJ5hGMke6YkvWtRb 6OO0AioPZsv8t1gFWnyccFJpgyw+meUFoLz6IcY64h3wUVxX4LwQ10DSRbsoZN0OeZw+7o1Gi75I gfK0hFzgEfHxt+tNUSO+9Eh9vuRbtFmnhJs5dlcY9FY5FNY0SlrKq08VI77JfzqCbEK6kin2ui2W i8IuUBqPAY1ZwDYt/o39NIIP1sYjYk5LCpH5APPQzTobzoXBcvyRWDhanYXlJ6i5vRMXJUOqMDh/ DPgNpVJEtxYAvV+plfK7BWNMhY1M1zFsSoEBVkSpaVj6EpmWimaZqaIe7TxCDvB0Fdtsx635ZS/p rWflsVtuAgtouJYB43MhzzGEZW9ce/ZW0xD57zqtNKvhB2aIo1pDgQZGKwmqwn8YHDtOD7WeZXXW qC9YjVCPqumCFQxFN8NPVYzX5MvLnFuaY3rAD+vXz1VsjkwbWARJ6sQCZzIlQEYRtRbGAuCAMHFq Fs078pNzn7JwfgGGqGUECNp8HzxE5zDkLg6UK7hOCOYhMlZm6EPurLmNr8tOOMGiQgvQHU0oe6Hn end5w0IDB//GrGmz4yMECeoSRmwUUtCRXTe2fbnC5cqI4ZQBl7VXs/CiuKpaKf0Pc7Bf+BpJ8WVw 6CC2PTYylGSfVbjMzd45GO7TkTNJXZzXCh1LQEjcFZ/zAPDmxa4EsoK8lmh6URelseis13+5QWRy mgCcaebcXtbND/5K1kdI7IZwWmA/27pb2xNugPLZVSl1EE+G+aaTuhEyxv9+P6e4HWZcm1VhufBp ogLghFd5lFrBL0xNplwLVrus4uQiJe8AJBwNLpJLly3HN3yctZ8cPa5djwykNzR/B8B/2686eVbK dwd2WoTRprHz083oFV/AqZstLN+QoOSA8WRRNSEMfcXTjqHNbbsquUhMkveP1KDafSWAOeUPx2HA XHz/lntVjoHXw8ddXJMXXsKLaclH1rZkydqElwwrlKIwKAZSupJIBod2ngX6jGU583v6a8JPOCy6 8YXfaGosRbjCl+pfMfVjQJ3GJC6+L1dXVTkUFch7017GRC3V9UAmO1wyslowUaN82T4etJNjhFsb NwLN+1jmuJjZxx5zDaeRVhBSkkyxtHcQyy8JMKysig/Q75HkGs1AnI8ktRE1K/lNzTYpaH7HlNkD l94PlVWZCrUHOXO+rsu7vZgbmBsjmFeDaWBsrpLP6aPKQ3NK1z2fALBLbYx3vkQBjuFdyO7CbP0n 6zDi8zCBpJSyM3mD3Xg2kSSKHVuM4hqiSzRawl/vxR8kzOyvafizYjCM8r3iWCgFk7e17bjn38kw wSiZP1nyH6mz3NEOi5dt9RYzz1cngciNfb51gfD2YAnr62cbH2nZ5yOsYQl4wAx34sxw2wSVjxW2 I9SueaM8yMtZtnJJwGs0nks68p8jF7navfnBfp4iHeq3GQk/HH7TyX9PfIYjvcsEnQPnNdInd4uN zzV/XUY4QFNI5hyau/4xmHktOBZo4fd1B4f/7GgytQizdB0buE/NtACJU6bM31Yb7Wqip3E6WHOp GUI3MaDq9WDryLX1f1nzd5uubnEx1Auu5iPk9lLXOhGs9xyqyBfgQND9uy/SYJ/jzcR7nEFPyeYU BP/hiWiiJ/9nQBQOwR/lbaSKc8QhFCA5t/aowEqfZQW3DOSIPNJn7RxggXE9EXmeU+9F2HDD/1Sw bEtKT7McQLfnV7HtarhPFzYCbffNjFevfkQg12MZkb2d/7MxuAi2ZMSvzjr53WFDRfwxMknqCaKm 0HCYzlsWg4xY0hcVNBfu3N47rlzJnv6zbioBTAkJ9XuJ3MajaOaKN41hpSPSvesbq7lO4JdsOMhb xmSCAi4RIbHGoeqIhArbtGRjq5oZ0OTj0qqV3QdBIflnQyjWPFrAsX/fLR13RKGnHc2ocPsK92S7 FUoiK7wkx+8k+Y/epb2pqat3hHIu5jYBIXJNpg2NczxFFzSDLvf1FjVWg+MADYTK3Dv/2AAqvkjk bn/uwNSAVsNTUBSJ3PZOTZgytfdg3CMzXFT6wXBfF31U5+TpD7kRGZWMFDMCcPc8usGenyAEUW1u CWvpPOzQGU9KHXRDY8gX8+PCvoLVyq+LpPhfSiVsRQptvPG07v+mSbUTBgNUHihOzHjVatxF3USx BC2ezOzqfGX/FLXN+qeHwu/q9oZSaoxoLg/7LUdIJ53xXpgA/SM83aQ8csG3+lVaaELHJRty5gGj kBQenQKJfmOPT22YMj+PWshh8/Q6KpaQbOytFQnjK+/iAXzvW+NHD44u6Rzw4H4rhtoNuW++u5kH amNoesZb+L6GNaLNGIl+cD7bC4kLO4xzgjIOBDlwIHNjq3NLzV1mz5QfBpiJI+jC8Ac02PO89fTK RrwoJ/XhxNI8NM7YiN9PPTW7AjekKoTMm5B99EUdNEe1mBey+c25h0GnxPRdlXrlU/lJPQMra+pY EWT1E2J7vBg46vRHL6aJ+94c7C6U1/sONx0ya26Nu12TJmkhW98m7twplHnlfJIgytgr5mrK1L+m Ki9ffCNRyvR2MvBd6wnTtW7R/eJXgqjsXaESn+oSZn9sbsEBtuDPMNVgZzXYZWymD2/Sp/BQ2n51 VpDn0fW0/p4flOra+x3wX9QuXEsoJWQfh6hmcwK1pEkeqX1qAiwyDO8lbrvEJwLoazYyY+7TnMs0 gvtO+37vwjH1MBLUFHLNu/GzHS7Dom44rVhUm7NNmpi3g3AS5UVdmIc67X4bVn11xP1WxGXKhRR2 /D10LSUR6DnV6efOKofOXmwq0j9vDweoaM7C/xJCfDT8ZMlrAp1FGTSEezmfGPQuhMGcfSZp59ij gQN/dN+gDLAv+M3DVtcOY9bEbIsfIhwBJV6++hlqPzI4F9xJPWHVqmXzLvlqrrXQ2ggP6aMz44f7 HfzHFFmWTErilXUVRYiCcIUh99k2YfemsTNXJ/YtUOFfJffiXBjDcM/1SJm2GrsZVV0px371hh7W gwpqealq7Secqwce6rB5I+hQXY/Y8sVxG9OvhOk50/rjUR145VLNgVckBh702DW3rtbVDcVMrZNc t/WkLU80Is+df0FpXvlP+ZlDOqkF46+ZcZ0WbObje2no0eLhsdwR6CTGRyBjR/uR28zE5JOVTQFA Ghwa7/O8dy6ac4Q71vyjU0FgMLCqkYMJJeu9aEsjsgIoDqMi/7lM2aV1qO4Wm5NIEJjzq6iaLqRk jHlHmva0y8GjkWrwnwDEeGps/eREjI8Qklu364A/g6cne3zGeQr176x/hXXu5keqx4YJ/N8O5YgC o4zhZWfH2A0XHlmzgLL0LKGIKLV72sv/ptxnGIKs2GRLMPBF6imN8EPpv0NhaS7wXUoiYmL8iYXw ii0zTikLrhVKZTLx+hAA7IfbrHEo+YuRQNKjLEp685CIMMYuIHHtX2boiW56Bx1HAaTWS2+75Oem lO3tJYO1tDR8aVCXLS3lcWK2Zkn4EOa38BQpkiAGdGfcWZnFb1Ck7kz8QC3m6gRIQIw8aTDnmhay 7R5wgYFr+2wNhtFj/d7kUZ9d264TJ4SW8CJDRjv4PsviYFjZa63N8k0QBnIRvTjdy4IKtlshob5n KL4T0nbwq2qmjHauQ0QOY90W2y6RUdWYDsLqLFAEfZPcVzz23RQcOaLkQP5awb1asiUOWdDYOLm+ Ssw5ICaUoJlLi0KLkX4y+/h8z4dFu7PDtOVQunZjyQLPnR2wPlhOVLV++G8TfxPFeAnRlgXZnHBG haeXUuDy6yPzxiQq+Ufdds+RmaZvh6z7HvNS8S33qQQ6ageXS98UwRyswl8RbYZ+HZ6LkcCL0Omv QbggxLU8VkyDYzOPadGoTqelj9EvIz89DufzlMcMjp7nM8hJRb0CcsUpu+p3ZNP6ex7mS8FRdPmB 46gjDvZD6EBVmJcp9yl56GwrwwAsgoDwwgjdByoguIj3g8ovUbIkKnGzWMUNBssFJg/3oTbOvpxS mqS2QiKd3qsIKN6iJJxNTQcQp1QXrdSExQtrohplVBfPT3dKot8Pl276ypFT9lauAYm+O1Cdqvp0 +QdQCmmyVFZ2ni4/gG5NEqNKwdf7+TJi0ujDgSqusxFLlDXDoOEAdLOlzNIIU2Hmw44i62sNxpET eE17zVPGoy/pBgEZOK7/hNciWZ5oC1DQAph+yvdzED8r/qiBhsIbjXSZR/VFGyAE84nqeA+WfMzO W90ApPxNuWLZTGRdLNa/yfJi1VNh4r2w3oilw7kj2Q5Oa28L4Cdz4w/UxAdKqHI+HiYBLLi9inXO PBemM+XcxM61jpqIlZdn4WXchMjAKhik4oFEU1IAD6Ds4aB/WZTZEtA6nKmr61RJO87ou2HR010f 0+T753IKrfSzU4zz4mN1PGfl6pTAgxJhqvnARONLCTwwyagDQ/DQ3n3iGDqlZtp7eJirYKupK8II Mg6hVBMvA2OwK15wXd9llFfszX1zupBxxfPjUJXKFaPiip7rvineK1bgMZIt0OduXv19tmplnp3S 7fZe6axK/YP4SIimlic8+W4oGyW66h7E9HwAxApP+mSgbo5d6/Hhje/omi6h78pm7bbgpN11/Qao y/klEtWXEJUyKCB3E/OqcrNqGBKEU57pQdrTMJaTKx/eU0ayrnXDuhIpkcW4VfQzd4kDoYXs6ymh 1hn3A5nSUG2YklVX3p59UNiPT+Ligs16RDeZV1doQKeWkV+7rU29ycAaTEBAUl4Dl0U+MVQ5+e7n NvUiC4LKt5rry1DhLywAhRWRWxyy6h3AfK0ov0KMiq+PzIn1caWD1LwGJxTN/5T7aBDEftqfcQy2 KVhfXJDSGnTUwCl8XpYHpZOajruMSfoSOJjs0BDye/tZxzRmHz9h+c9/2U2YLcXZrTFNBzD03xRw HSnBrVtPzcK/aQJuZ7T8gcKHmo565sua7OvEmG6Am/a2RkhFBLuD0cEj2y05OBsKAVBxfa280eqO v8WNuGgRECUYprB7DfZwQzysSrpaVkzzPSqG4NjuFRBaQpwWkq7YhzkKp467Y1J11ExX/kefzk9C qcdv2v8yfMbVsnqgVT6DAuh/xch5MgQHVx86hGC465QS91+o22w5hLdC5By8gZizclaW3S1xKxRb eH8nwPpsaXo6l2Zl5owCQk81FKEm01KnJyIMHbfCxjHubX/8MOyEhqA7RB3+7ONCgET6P4UJsJqN QjSuFgOSqwPuJr85DBK21mE7IBFs8BiPqw7xGrpf2m7GCVvBvjr1WMLyaCCHfiR5qtz0xSPvwmJK FEXN5pDkXhIT504nN8c2bJpJ4wcUIBrFh0VNgeSp3MPYsSA1T6fPDscdDWIOJyGglJ7cE3GMis76 Pva7lvRQV38+cyUhDv4yGASTvywEF/1gm43o5aXSpyLtSa/j0CdJOzdUckfaPTv2zGQKrP6nQw4P CHb4uPy4SygML8CsYVEl3RaGiKNGxXixv5FIdf1w6tv6KYjNe3vZpqCXROQ63SqNCJw0FeCsx/vY n9fBH87BJJN/OdAxGIUOEMiu+s7PnGSCR14/kqN4uqXffoo6OBA1J6kDWs++gu6jnNca8geYmOiK HbEZq2Su3rfPa5s3EQpAFypVMnAmOlIAHFaqOTCo/zCjm7jHSX/A9YZ7JMha4sPGN/xVmv4WzyIc arX1ntDE1nYDbRqjeiwx4kXLHnPbzdKSINrRD5ilKk0FQnxiKBttCFvgq7DtCBCYm6QWQI4WW2oq OfTyKsTtgYrAGJd/wqcRQshNIRp1UVClcfOkiY91gEIM1eBKBLuxPSm5mCYXfKdAxPz6icTzW7Hs fqqhRBGksoJqHfUAuv73qIvtx+tqKByz6ZeKDJjlVQbnI18BLt5QQFz4Jw9bPRg6GlMO+m4hW15m uRFxdLeWyBvhGccC5lIu9/3PKxLvahI8bYrihwVxw64sQgSfQBd1E5SMlsZjkNa3RwuzUh34G8NV ZM35qjwz9siBNg9P2R7mLKj58VRIbBkOwIDDK7jClX9EqMT+OV86z3Nk5xB2dU5rmM/wgF+MmDnn uKWKPERSlEY0c0jasJDD7Qzn4hT7KsyhXs3P5AlQXIc2FtIT586rILiQV7wpllJUtOeHC7Rz0aGN VsjWpB5bgUZcC6S8TI0HwglhjBhb4p9aq1wngn12MhnTv/5iJnDNJluEdAWk7gv/gLDqe1oOSDHL he/GrXlFdCa1NamkdhNYkHrk+j89HgfGO1W0SFNjleksQEBZStYMpFDTzpJSGLg9xNaIPWZt9Pm5 TvoaU2BsGmxK6KEN0ci3wBVc8Z8xdLz04lTqzaHLBIkMUB3Yl7UMQUIfeiHVjTqhbFFZJ4YoMF55 jk2lT30WIQ0jMIPerLbJHkME9SmuepfSboqN/sxajUjw4P4633PCsnIGMgJg6QqwpoedZ+GPakv6 jASqZ7NCKjzCvpXmul+jAoWsgtg90/61KJMy8c+ZP0R3hRcYKbJ8Xp5SM78eDMRB81WFGFnFsuNQ mtr+cU3YUSrp5lyZvINP3ufYVtSmaNo74fS1RNT0GyM8rjmojt1MKUNKnH7yPynxrrX/gQK6O8Dl UurWZErXuNVupGtZSlm3ihdkaWR2atFHvHEKSvfLoWPtX72bcCybefD0ESeZ5N7SHCuHDSaETjJ6 VY47xjnuOYb5YPVVq21suzsV7JrV1UuBtcXdHuHAmYDO91PAGYKcDWNNI3RsCE5F7VSOZCjRbjRH 38DrD5Prn0dpID8mM73T8mRDvpkEv3fxi39Q46stUSNQYikxFcg5EeCWS/rL7ckgrD3UxjEFYcxn 8YLN13+prH1Hj8bXUw0wmCyYvpwfr2JGQaJtHP0EC8vNMuazICWKuCU+q6DrVsrUh6oOe3RRBgqw uZUgcxTfErFSdDzoT0yEQxGkNupGpKAda7nXozpBlc5Nm4ZI7wqpepaninP6b1V0scwwBbEZ5aQZ dHV6XYSnK+VOvnYw+ALaJPfIiQn3W2/bDYWpoTVWq8bVc2ctmE7yjPmVVcWhYYdmTC6YY4DIiBIF sT2BVlTEApVEA3BxHfhaTNzxccFPWEFEDxUrhbDomXrB68vzyDiWNIOos5dH1JWSyOi9cgYOBRIQ /K/LITVZjVErBp88adyYYt+wqsYXC9HA7voXUrsMmM3fLIEOLCcnF7RV5TWi79jcORy5OfV4nkEM IR5QcLiNGbY/YTUXV5JZ7IhHknsPB2eg0w3xXTgXXT5dnol0ZTQLoFXKrl0DjNd27f7qeq4afZuU R+5HLBdesUf4TlDc9ayaK3eapZiqkXCqe6Etd8zJM2zeTLBQCK8ED5Nj2Xjc6nVUgTqmgnDYVWoQ Uhh3M8217vWdjis2a7Oh+A1x+YwUcQHDM3tpcfaGEZTW9weigsld7DdKgVD8ZCTE/+5lqH9LDsUj BMwGka1Zt/sJtOdQiUr7F76ycY5eMQDG5l8PARZQkWWc09HTYAxU8wW458SivQImPRo2VbldDywM ufRcnLlsuX/kY+JmgRrEU/kRjMzHaT7R26kU28JcG0fA+J8s4sjnt0FEdfmP2rNoOILMFfcNXZ7q l+RxGrtdL/3hZs9Nv3HwxFaegQfVk8xT9CNx8KsCQQ016Y0Jv9NpK1PzN9J3T3kStXweHu/rv+tt jarHBQdY1Y3uSul+YY6VqxirHW93jCL2yDGafsgY51+KXJCkUYj2GHBxd3CaTYXTPZcW91lerQRw GcVtg0ZbpmlQ+6xUzjFikJYKVh0eeLSHP/T3RWiLeMK9ZSsbfal7AY1/UFi31ni6Hytf2Hr5ucdP iwMRlGZML9AE4VmrrbIkkkyF/fbyoqvpgJl8XJ6lOuq9ZQBqJvtK33/QBM6t+2JzAhXBp7hXPoK5 sieR/G4HkEzp3MmiBOYQyWd+mOO+Di4/Vtx1lEZzqdfD60utPNZ5ngmB+cdLt0CkELn16zcta3ds 791UuIlknQkI8Ia+7lgHE73xbP30ft+jcFTBwehNeYb5+JeEoet6+yrqxGQIcMxmrqXW3sMEVVxk xZu/oDlQzf9+wgQnJcf8RB9SzLxiPrmGEe/EdTNiYlW9Yn/v3I/fH7ABHltSrW5OBl+aI1jOFasu XXQdYfD1bck1sAnPsmN/UzbSDjtGjS0vdtQe5xSzq3FO5KuThtIrz7cfE6TzswwnDsK1+R+/yId7 0Wts+jRrKsvq6LTD6KxkWMTOOdz4U4gYQ7LZo8HF5UvZADkG5l2UJFsG9qeTHm1pfD67+gU+VIJ9 y1OKbNCbcnfSfJQZ6aW0e7RnwqCH1K8S5RVhq07rlNFpOcEsC7IFtjnchZvZqfOw99w9zxsJIcOY IVSRd+0Y+nfz8cnuprsDjwslkvzKMbrLV4/srx5taAP31RdpUno/kJuIwEqqeuhTpAQcp7G0q6TZ olalWpR156lfZ//GMpTLeMkut8bCD+CnDn5nCHenhXTjARlF5RXROoYrpY3lNSTGxo7OemTk99OR lbBGL+AZDTreHRuImpYoZvDoUVJ4HGWlnxUWtzIo2k27+mwaokPX+crBCiDILEo9eAd52AvfNpkh tSRChINfcpGW3mP2oYKUX1FTpcwWEdkYbbaV8TMEFahb9k02LAFAjM3J4FG4VsyzdWrOF7ZnSz7e DnXZx2vBM0Xhe9/6HVa8mp5dcQ/qik9dgtJD67i+bKOUk+q/mzA8Bk1NfAD1I67/Y/GgcfSoS3no /m8glCaM9WsolIoC5ib+clAXq3ookPDzZDprkRsrK0JhnjuIKvB4zJhlze0AdTF3On+Uq8OCEWCg yeEtqMxEd0ffMntMhxzBckGVR+nnENnQPHkzNTNG3SZCWtgqg6Q7V/gLCkefgO3VLu575tgLvyGw 6Q/4HYdxqGONtWUcaUxI1EDACQzlLXnQoxnheAOPL0uxQ0HSdGloYceaRvGjtNQ3ZMid8BWgG6BV jwbWcakULmoSMDiEL9LpPg6qhygFgPTAK18HpAud1kwgck4ROBNXWA66kE5GiKU5ZZejXG/LBoVD eItZJKb6CPYmCnHntFV8FXYH8vpKLjdaum3iwp+Ix6hTrpENcKvnhzS8xxEWtjygo36Iy1NN9Uiw XWJsugZy/JdVwQJwz8rrVuBe9k7jQtrYXwJzVx9v0a3PjmtoVARStLVHn6SGyPZ0teA5+mdKuRaO Oz4KboOKwuWocr5A/IlCMdQAW4/PGEhXoGErpD/jNZrmo9p7fbZLTJ3IAw4JE0b0yuAkoDBLTDbr AlEkWmau2bilfHbKZi1bKBujLGOa2KPeD8n0vEzyLfTfISYGZYIsnarzzy8WXFpxFY0y0nwKjZgm oX2E6MJ/dmFlhBmK9sUF1D4NgodtO7Juwj1q1IIZ5TrRUlZYjm44XKW+vCKwHS5cv9gZ3WdunxI0 k49wkU9vRbNBSjrM27Z3Ecu73NLmLU4xR0FMODbOoPsLPH+vj+q0OpaO43I+oSwCmfsbVWDODf5W GxL4d8UdCRhc7k4DveBAlEUKasRQc64hAfvZAB5IqqwixF6C0bq4sSje6O06lwnODDuwwlOfWY2T 9Tr+pS3TTRjNoO6FiRxmPWXGGFeyuiatiTgG4pvvuxL1N8ADw4UrS59I9/bOUrkPoJrkwtvnGb8P N4VrAayvmUberZulYYMVfPbQ8ZH/7sLRg9jhuEVdzm0suAEBHIiCTKZxiHI92hxBTCDIm77HsueM zhMnxJWhlSMx0WYa4yr2Q8e8n2rdKQucXDQb4Lp2jcpB2fQADvaSEYUsMnQIaWZ++lCfPCTjjhBz vkkPLGymsN8+n8yzrZNdv3KR0bfHGKzoF/OtemS2PMtkdJhqIE/6Np8j5ylq7diRKi1BlDQa5N0h cPWDxSZX4Dl+g2Ly07xEP7FEALgV/JZcXYQvkmobX6obNjCL1CdLioVpJTipTp/F4aZMTmBS6WXP PCp8SpoGIh58t1KR8IFAHD28QbUsJnw3LtYyqK/zI9C7Lni0cMk0fntislxD58cH4ZcFVh38MNVs 0UOWMSO9mhRssMevgHWWNdQsYlYfquvvB3nKpD0iitjM39r4eyqhKL1ySENrK2wgUADRY16DW8Cj Lv0B0vstAEb7GoDRcTL7/LoO4BDFBFVyzkM8ELezsphsXGyfGNh52C2f5O4Mgi5pjuu7XvL1fpGW a1S15PzXX07ZAH0OIY4eXMAo+h5zIqudIAmTMtKF92co9mwxmM3i3QdASU+SqaotGSbZSmv3iv8J YvcPU0lpKNYJsCFisrYQAkJojWYScpjx9JWKUSV9N5VaIsn26adqQ3dsDd4+2AB+RID7kANp28op OUv4AWuEAlZzvnZa/IpfXY4nFgh4UCgSD9U3FHOfG5cmBlM1buksadr77F/dxF0hGxZiD2AwOeih u2t4sm//x5qrHa6MQhGzizvXqVyG/hFyqfb4SE2Kv+lhDFTaEjxyeLBKCIiDpN/H/rOFqjpMqVN3 kGG0sTDpOEyN7zf876oB2JpCunJVfOw9A8VrVgwTd5G5YU46fN5AzzyFUmt7GaDlNOwUUSnx2ige Oq73QzvfMPJFbUVKG9rJybNNwm+1m6ZKsAj7nCu9VHozL7Ew13VaEeAZUo8dRhk9rpJAQbui0zMm npjbLQhmtDTqdKhxThWdNN9HmIy5En1VxSu3u5D/drMiFAHwBjoAF/l8sTCuV1C6HQ9Aipo13VKv ZaIQ1QSMM9JddIg9fcZK/y7iRRQ9WpZ1Me2M3rLjbODbWvcY2uWT8Hjf5lbJKEKBTX2awIvdmWvz 4Tkv8m+1KYz/0vmF+0XtdTKL7Hg21H2CaXOGK+OcdF7KPCRpYtSaJJHb6PhbBFspJTozcVF6sRJ2 JBl308DD483iC04+74U+nCGn+bdXziBhVv3dQO/ilh2SxDF3xWYGZs1hPsWqYE8yYWWTr/8XQbQa b1L8S8mCF6SgOW6mzKIH4FkrowoJ9vH2UaPZRGSZXXDjGftDz/7dtSn38DjEUl4t7/O2hMFYhCDf QHKwFQd71CmWbC2Yi5wAOouixxQ61znLDPpQv2tLE75wSJexozm6Zf7056tW372tEn4fNb/gaSsu h2xQUtVuI5rqUWV3NoFsj4SCEETBAunrKWz5urlPxhcVT5qD8CrxarUKAXQbeWm0pfpMtFEPp4Ft D6Dhc3ILlxyxZg47j1ZskVljHnczCXgSKbmPKFgNKLDrUDy5fHLF8lQ2axBI3U5XN1fWEQX5k35y ROkaVI4tOMByl+Y1XRpDN2KhqeIQe3KTlpM9pPlrUBQI3mnOz8KBGSwQ9vVnjs+d8a4IWqSDjZpQ Iq7I7diVAv8nzoHAJXp0Dwd5ozS/FK4ahr/E9LJUv5Q+6L+xeUUBSsJEeCD7PxaEckLj2xC6Lmp/ 2j3WYy8XoQFxNTw9kMotsT8fv2VIsZzTzyAqmDZPwxu23QaG26zs7qwUzHYcGrJIlUzrFKkR9hL3 0d3sHx67RbTWlQzAGd5uF7ynFKgbJKecv8ZKv0S/x2OWz+2TFzZww65VljbPtTVeREu5J5NQDVTO mNEgZc8XumfR45HfJmVGjmCaavUJoq1tO77sPAu5JhHrxDHZFntysPt6mDpHacwld9yzOVt1MRoW c7oWbwx8mxkEILOq7elneIixc2msXVfJeVAKMje6a7vm7skroaQBB3z0/Zyglc0ecw09eUNAAL1u Yp2h/Asd4WOwJ6i7TrKpBuBEorEAi91ngGvaF0nV1GWua3F0PQe5pjPEgyS58mPpTY799LgNj7bk UJG6a2de58NphE0AKRHzxWwHjC4rco0YxrwLuzDz/Xc7iVPJzf+ftp/ZeHMTj90oZJdYrymcSdH5 0wCHCsm6YP4k1s5xS2TZKsrwMD0Ibu0tD5g8mGYWGi5QtbfDkTJ1h2WsUYbJ1wkzKUs2tRBrrBu7 SdECPIW1ZABnFBDcMvFQwrgw5/4v3L/9Uwtd4zus8lfBHopfR0aItO1K94rFtCDJKS94AkXXzPVr JBQ+yrukT704kl/tBO/bAPatgIjAIbs5+/+tXXDoE0hRj0xFX9NvnGeNY99AB/6T8ZImMORyw4qm YtoIMwFNP1itJevqvAvh3Xn9R5h25SUZm0H9JHdQQH3TYvTlLbwLQ7mGGM/I1AD3WuW9jJOXEJD+ xaeaWZkpA7e32DtLAtjB0KKGxI1S6nhp5DbLHi07KDqjgPpa0vdOCehK10gEng4uA2k7FESWhlh4 tUp8Dv2QZvN6yMt2DdgctM2cFUgOUMr8tYPWPxCfYdXf8VExmQnS1T9RyLRJwEpX1Nus+epe2yqR +Jqwvlj4m2cDZJqpXa3vGyCcbvD6mqe9dx0Sk+ZxTDqIs9CsR8GPqi+JwuCGusiebuOnm0nI38v0 Q3y/EIwSibNrwYrC6Cc2EIJ87RzU0NERM+ah7hOhdix/i/uKrMIkxMzJgYDx6kcCZKg3i68cWL3+ 2o6okmdQQ5DFwhgKf4siRnhe5I/utflnrYat2rfIZXTq7+nSQgIfghc53h4s9MjvBa0TqKdE0Vbi tMw7n8FPT1V/y1k6zlIVCk1pay8fRrLegxbwXAvqlYMD/5DRj5vCeTNtQGCV4+Wz17GOOBUJTzbb qUJD5WCwvk/bs4IFX15/pWNfVnE671laTqgZRsnQYI99LyHNwM2o9DgFAdmRdCqctRjtk88NnnGm 7bzrNuMm1lRqNkYronU6hMx4NryKQ69roK6Vv28mnXPYDGbG2SgmN9Coog0k0vaekQ7DBnp5XxDS AQE21DScPWwW8optGvEjspPArubOup/6lP0lHoB40HegSkk0Ylg0YJVfYeyyuQC87mafdOCOgQgg VBfnkaExYo0zU03/r26vA78aZMFHkawoRmlywDrGVXFxBo8ETZ87rxMDCRwnEP4dUAxlvxRTS2W/ i7yVBicF/HDrsFoEhZnzuCdUWjC60lBNgato5Rpafc7WJdr6NJtX3KhMgA7saAEu70AHOxxCnYgE TT+Ohrm1Td21/L2focjBgqdyoZBECITxoT61c//oKubx/XhdLR/JNA5CpJSd0Gyew6K8Z1P8aa0p 1S+fnsIKwxJlVaovxz7vQP2u7GBUs9EL0WOMRgjTNU6dx4j7j467AJmBupeWdjVW/LxzMb8iN/sw c+4femc4gJMSoPwmlNHhFbCOosT0tlLBAhyZj8BsHmMmhqYPivU9bIh7/CjFuJrApteh9bFtX+U+ w4bC+VLnd93Tduizpy7iEMWnS2mGeZy9TM8cCM7sQHmU9yQdd+FhkR01Xe/GDdBfZLhcH21ifEQK RqVvmxhun7qLvRf2KpBN6dCL53kueno07auIAwdOExCsSGrpG4RylqYQ3/WTnIiI4lftcI9BYNW3 csaZIiIp/1fbjwDgtOM6vpsRJr1NVCdrfgB+x5dsI1n2NZmUSHo9HnsqmrNI2nm5QmDw/dPwGXge 3UAiqEzQCENv1H+4YDt+tD4snio3boPncnfP2/w/bwoRScRK5KvKPf9F0OvfDyFJiAjmb/swKG4z zg7bHxUXuy1vcFsvzGSTqxOZOzdeLUFBWr0SKHDlfA4YWbt05Th+ZInB966aVHHLd4Zax5ahwsyT L4WbLqzVs1OZOpbsZ6iyg8TaCCxOND8DGDYM8M22voxASRI0C8PuZVDq2gPsTt51nXBdcS9XGP9C LbCQiQjQT6o9krYXz9MGtFOS/HePtrGDL/IReMSxlKICSDi6JFZM5+Srwlnu0BbBmfirGun6Plp0 6KkqMDYta0DBX/m4QbEbyfseBvaKILrOd1ClqBBpB+HOESsNsFE/giroc16HmatvAHoe56QoBy7D n7yH9tOGeJ7oQMrIMCQ0wZrgJegijQ/J4t5wHXGl1RMmjXJ0vEigNgZaKm+zp+Qd5+XmFEkl1u/4 34+kuMKlxitUkpKcGmtF9fdp4pwOwNx+80qPyP2q7cXcFgCRneTDsInFUry5rSkky+9z7qYlszk1 CdULeXLelS2WiSS/Bh4sEFINkTArHN+aTD0BIq9fr+NwTZ4FlH5sZ3X6o67+NxkgZPo87PrInjxj tZO1pYs/dFtyZ168sflcuzmWFH5hyPMCuHk1PZqfwluvDe/fgU8ohvhQKU+GK7rLAMLxbSNdNcBj IvrZI2MS8Q0tDNo985Vp1P4RPnVlce5yBEEt1SXBZfDXPmWxTZilFl94jMVxsRNUbJjcbpGasEmX Hr7ZchZdq3bsbyG3NyWhyrMDQavlKN5fyhUW7NqXk3pZHq6G2YFxUajD8KEHrcgS+YxvxeqW6vgu Vpp7qa9ZM9DBgAJWPc5EzSZd9/kbZ/JRxaQWAoG5TPjPqxM5Z2MVlfVprbxjN3BsKYhEszayTm51 qKp6CSIu0QCvhMN384+zzJ6ZcDzXmPXch9Pi+zaomfNXDafmUdUxlkXCGxkYaR5BdVMcahRdAp7i MS04DYG3bgwn1iz4dJAFIuIicfuKI6jIHuh+o+jwyH03u8VDGMiICNpoD1hNAkZGf/n+L8DyDCqd y5Qw+hL+T1Il/0gWqOhjogEXflVAfLc/O2J4oW3KuCO+oBjjV4rV7V16ezAFgkMZQRGGXcPQJVUJ ub73T5kc9DTARpSH2PTIGJIhhZvQQhfpWJSVMf3U/bVpnamkx02bCKQ+e5acMjshEwVMQgY0DGqH iRnCs28GA3IpeXrobbzuUYyThsj3QPNq9YimeDEZallk7fSM8gsv1PvRZ4kSYZ8DcOWHzBM/rzaE fsjPMgBtlWzrs8JbGK+2QFEq24WhDUKLUXBtfekeeXPXbJsGlplKOZt3ZLXxJzflotSG+fx9yZ+q 6mXH3X71G5fhg9C3uWJvkaaJUiBAsdXZPh66Zfnr07mdpAkMAKeNc1KvK4kMwbPQwYwzPg1xvNUf Cd5vvFZwI5d/y5ED25ayMJ3CuBdQ87cykgkk0a6FAJj38ATvJh9snrMGC8eE0njiamd/elLYV+BR wT6webujvfKui7raoT0cj4JrOtLvyLDkaAuSwr+SgBxVSfJZHvBHI/yGxLg0lA/euCEmsibTwip0 Ty8HtrTpUKlRqCcKkocCn9/OfC+gNwXJ8DlLis2g3WvWu+fQYCLMqFDqhiY76DiOxtbb31u0QgET 2mUKQT58ToGsxW9FQB9MpM5p2uZeILKkNqZR/XtQkPUREM9Zsx7j26Hj1CIsvCK4Shu7aWL65kHR 12naGWaBTe0ZC1svSyJKcqsXB46zPU8RBueHtwHYkvWAlkB+hqCbQ7kdIOCitR2UQOvSv07oDoUn t3iiNq3JD9YhJgrJfqLVvAr1Ib3zC4K2qq5nUyfVqwYVTe0aMngMwj4yuyX88SfsIpF3EGoiXVR1 PBsezaL9yjlrJhHt7zxr+EyLBZ6bm8DAqDoCkovShB5ZeaZ4kfygL/dCGbVmhM5aixVitFAKGv/L 0lDXSSU1H1Iv/DGNys4dHXppic4KEH8biMhbt7AGK45f+CYPBfxhJ0Whxj4nXh3zcshBXIXyt4NG bp8qAMXBFHipcSpOHFf2wq5SSj3aOZQ0Ful7UUpUjf83B/Gt1elLqtdB9+tpAcS6CCOjklKxSni/ D2yw1SYOOa9xSFfma5QHq5e7G0DZ7vnLl0PWr9cE/SYSDhkDH4sT3QJ0dqj7DeRZgSE0t/c5kvE5 h5O6/S9Sd7Pfd0JiF7dFEy9fI60RYmVwQthWz9LO1t04cY2slb5fb0acSJYdIuXQ7NBz9XinGn3+ JQJokaO2EPtpodJiZiciho20RpSjEb1NfiOt2ZNHS5oBuN6T0CPNvr/uweZ9tjsQaUf51AvatUld 1Y+HFH3d8+IQ2Qun6h9bpvNWCre1svNiToMWjMSAqVPNU1x+CxFK0BFNBIwwzq1KnYzzA51YB7rP uNeYW4xo1ESWooxi03mwD03yYyEIvYNm5mOnI/8uBe7yiPzG6sXWiNApJLhCKANeb+I2RNejunyb iYw7wqHpON/RrqX2dUV0uQLfIaVUykqjA2x0CMR7Ty0qGbwilV774kkzjIRTq4nl2wq61r5QrNzX A7LvZDAwuahfiGggR+ziKm2yiY/NDAcSZYbGABf0YbbIj5FiiDneADawO0yrXEPtLA6v2IvluFUx sybHEGwp/eGItjVVemsNLKq9yG9ylsCanaVZWPjRvzd7pn+zVTBCSftcurtvhlcTDI8yOEqNc2i2 xNO3fyRI7D7J38ak7e+JpEZSOWckL3r2+01hjH02F7oD98Qr5DsfvN2H/r09epeLHVSdALyQo8dz Yi2mxjoZE8ZdIayTmyI975zWu6lNuIQM/bYSeFGJ34Bk3Hfy8sblWXQGNgt5IPQbjFrz5Orod0Rn cGEhlnFEnjbSgymQn7apO63t58u7FjjVH9lC/mJ62wIMeSJgevxCPNXZ5jfzVNQIJAONjSV/I05Z lPGMaFcIqx+26sFK5Zdek+8XLb+pdJ/Wbqq/qtHtn+qogJ0+RHzIbQUjHUOQO/Bu1pGyRt58qSq8 s0Ej9fsPYERoJ2B7fPyDRichT6vdcYpI6yyU4N/Bll6QDgmxyvxeujluH6pth8chO6r51oX4Tw/S m61cLGy+KBkTNu+x/UBin3yEuPp9kHALExmJj4Dc6rX6dii1QPk8OOW2LEFeEowGnG3rnsKkH0Jc dYaTo4AkCczkA9o+o+IyT8Tj9HZuletnKVdllQXctTeXfV8POVBqa6iQEq41atFULztAMlHq50ST u7dj9CwfYeHoPAEJJiAu9zoYSJ9ppCUzEE3X+OAUdh2vvt8EKoIzAQr1poi6ETn7K6pODEatWmcz LVI2tQt3Q1ktZXUFQTcMR1CX2xQTfejsAHup02zOXUAYPaFHGUJW/fhE0GL/hhkCrVqecQ2UztaA LEo6oGii6kPtSL2cq342MhV5SRVU1pBgT7jZcfSwJ4EM829nxiMA+mX4BnGwr4cAEk1wwykzdaS1 6LekpYROl3r84tlV1I4sQw3Gw+9vZMpfuh6008nglbAJkOtMCJvhbAmklQGW9k/5MfwYyZhGmYen WYastCZoIM04F9oTFxCt+y4JosjpOLl3RTWyu/AygTa126CID74Y5pWzFKqviN2S8OsYM6r/Znqx RuOk6nLIIkNY1QqdoA5cxaQzRoo28FlHKwT0i48K1JOm+SEkEFF7bsmB/qD+J/il6zp7Gc0fmltP AUcAMW9MqR1hFaaaEVTee/7ec9ZsHkv+5H4edq8sy+nNr2LSfxeQn3vIRtv1A+scbLV2z0+tIh4e oLFYG2+a7lwCHK1eiE9XRB3aaNgbOTiVQhIH3o/DZlASBR6EK4y5daeWyi8EH46e8dN908LJJWwZ YgH7d97/KKkpe4mUvnq7AggN2p02ZBBiOatDuUMGyHN/jWe9GnPkHSNLqy3HlQnQ5RdK6XrBFe10 LdRdsyTMxnZ7e9MzCp+jeT395eDTBeyNMwnfRHmai2A1tZm29KTgrtY8y/U4y+t3cNsV4px5PHLd uJsl/r/ylCRupYYP+zKYpaysImZdUS+92Fg2aNO55SYc5Ov1VzEcW9juW6SLaOctkCkFKcfwm8f2 uS8qvDWWfrnC2a8S7p4Ce3w8XcN6J2LfQOlecfQ6NQ3eo9vHic7AZ4KfzNYvriMBro+zGXvsRPYA 73HAtyr7x7uvavWT+XK/GTrtIXFxeEZT0HBpAzpA0d7dJyfBJ7WHavkythFVHBZcP9buHpYF6f01 80PDyAFoWzYO7YvKqibUOu7qVSyd9uOejd4E+pVIDjZrUrup2vFPGfDBjEarBilEz8yfKAx7R2+i psmThh8vbRbGQmPsYqnHcZR1LhVW54QAAkSR5iVMZsPU4E2gkCMmZEeWU3gMbByOtP2Mv+0qxRMc MoJwxSVL/Jqxha4YY/QrVMCXEMhh/9OjoYF1E1s4YVUAaafJWV1P0LVAXjz6NucVzR0k3SW+BgED 7fc18AMl+rBGD6pROVERABkEmaXO/umiQ0l0amNSYFww8yqT2k287kPBDzR0B7JL5TPaeOee/pf3 xYqjb2YUNGcZSU9pCX9r1VYEKR1qdU1iZCNd9Ct+7BkpxiRGo4bPSmfNDpFw6T/JQc8h9EOO+Dps BqG4izeY1/rAV4DBYMMGDTYQg0n9Pe3WY/JZaJYitP1XfWnCZjzFrXl7QJxbuBKd864bXrhOhCPo yyTKQtiox9KioPZ+GVQ9kQ4ajZbY/p4RJeB9SqIBOMEx2KP6cf0UEqdSM6jUyT/BvJObXaqpFpcH Pi2GLokEaSX7XkXv/KPVo6mWcSBHdZ+YU+ykRgKI6szeRL8gm86TG8V281t3iq55R+yCHR7qNOyw Z1iYJa49nThTs5/p/nFoSiqbmljKEiv1/sVu8GcEuhNaHxxJsNRYuS45mfnzdU6IHUARfwee67+e 4+gjK2zakd+L1GbjRtO7ttmGruQwfwcA5kh2sODugqIzWX/O1Nfp7Slp7ClMGOja21+mda6hkOC/ 80k5WCd8RFsiZG7JOk0RlXoZJk7dtdr7EuRJZ0wc3sfs8BFGQtrZ0oN1Z45kzZsK7Cu8n9uePjt2 /SwlVA6swz9M1oBMMgylTgsCMTF8ZKplJ5vNQxQyDR1LBILAkV5ge8lSQ6jLLZr6s3+BFfnKbiyw +c+llZkWjgXqTCRL8DTs/F34UP8fqAB4lzk9B5eUfmYjcUbPpQ7gt0QAqRr0Hcz6vHx/BZfr/66d Shy3QEvlueBrdof5hxsSl9mwLROQLxPX2dE87UkIVjuGOILDO09BuW6ZiEIb4oW9JKHuO7JpG0NQ Q3QDb4PpndInv2LlE6gNgnJM3BDfdFK9Ql6n9lHiM1uMHxXc1SQoI0H9v5uTUKzqBMrBuJKEXOMo zc8WqD1bG5G4DQ2hY2JstEy5Qo5/hhzhPqFTXlPzJBEF7GJBu7goIr/1vhSBXCdQTNutH3sIhmnQ mZ4z7iFhqSX7N/nltIH4vEKS7ElI5KHXUX7oZVYkrIcaiYesgPHkOfDGX8JsydlhD+lj81Txr9wy CLhBn44QGNOoqJFG3GtccQU1sqkEvZWzTzl1D+mCQNeAp8ILbfOzzUl27LFPVAdJRsf2AqYQNGf7 hJGwNRVTs0dsPaNW8dudy5x1dI5/0GLN0jNHpJfTYKh/Y0AyMkAdCMt/WHrjH9tJA3ISwwASwyti nOEE0FldlhZL7MuqqtpjpPiVZmWhJfKmtnLCqanYdmmQlOT5siu3wAxPIZbz5nYwknxUBOCrHjEd YaNyISPag6f9Bdmz/lespuHIQHdIsPq/EVZyzC8issL3KUYDq30omU/ZH1H1zZUcHQM/TlqVlvoc rI/9xhfxpR5JA5QlqMjVLuexhS+raLhjsPWeQvtbvIKbb3nzUsEezb/CssCcIXI8ALxvvF37AoYc rasdWMmhMMKNc7lLagt3CONprfV2fmgREsXWCkXikfONR3ZW9sl2kmgPD4+Q8QQ0D6j2n5rn1Y7m 6McKli13Ys1otVvzD/ZyqjwKez+7q7FrcB9mQKnaop4As8Oz0A8NsXI0WtTjPOKUBJFCw6H7Lebz GxDJYPYEFzHi+v5x8RRq1scdyAlS8pgB/6VAtTHwcYXulmV26WgbHO0+pOMyQZ1O81+0oxtMMe5w +3dUuWhVdO5E6waGbaSTS+/Dte8ejid7DcSwB+dllohhmDlg8eoHWQenWlUPCQNohsLg7yLaCzaz 4+r2SMoL0NH4nq8EvebNiLcj8HcLZQ+ta0/CL2sokpxQfQzLWQR+EBt2rZRnb2YDupCtCRUy6EuP KMIpuABGH5+Oigp3auQC5ImLkMAlXhyluDqVrRXc7jBHDXZCS25Ro3poTw9J4bzUirylmBg5ge/V Esqv1mk7ux1Oraecvd92my/yvAnNtynMCIpkzf//fxOfngW5LPShLEoUNuE9Db4quPSFBhl4uGax wVwuXnRcRvi9nyhtsgR5sFxmx1h34rkeZYFOCg1asioqyIbJdYfTtA7RFd4qVImdE2HG6sMvGhB/ U9QYXws41d36dN5FLOZfNbLsDJDameop9Jc3jJ8OpzXYlI0VlR6NeAYf0SO7Omy4Xk7jNG692xKu 9eTzGnozfq9SjzQlUgYH6jFzGv5cp8IOw8jJXG5k8oXejyi01+rKJ/fr5TBdIpoiOCIeMDLwzOFQ oyxWlnoAub9yy1aJI4Hd8HvZvMO7axTq03e3SfWk55PyjluUS/p6vufxdpEXih6ubH93YGUMs+dc GqkS7w7FAGjBZlIL2uyeurLq089JKGaRhHbmGmUwLc2pjFilkQrrnLP5ojnnI2U2e79QT6a77L1x Uuy58k0CkiVtrfLSxgSogKXHRVWkrOmtHe4W8v20WgVo8IICWPuNAV+i/uPa9wzXAVwfGSzxxV9T Upn9a/eZFrh967h8pkjkqVahd6imRBs8lmee2u4Tpslslut8rjC+XKKYMrLScUuUPcYREn5iaUPN aN5X2/vIFwaYQx5qoEI1wBnnQH2qolg62/8xZfzouzx5N9cjFrh4UVUFaXtALNaOhc7rT1Sd6uhI 7j7Wi1zb/RZzIjYocS9da90ZKFx5A5mr3/7N0999c6Ac9DWIJy+ws9otUx46BAq+O1O6c6mExMkL iDLgyCEtZN/Enlxdft+MjwCl4RGhnMgE5lOy0gBVuxVQapTrX4w+6Z6VSmQYVpXMy8+wSlzBAoPH 3AwuU2robSzQ/Fvw5QbNTuugFOCbXCQNtEPaxFSQYMJdgvyLX/ioE9DAmuX/75ioUOYniUoPTJUy 9f675OIYicuWrx/axTLysS8E6gpispWwbf3kacRtf3pk1E7PV8MvQq3OBFv6tkP/FK9DRbJc9glI ufx6R39r1S5x2hwv+JzdhnP2UKS7li5qSSFfpg4S0Ao6ggrVYpVY+CNCmTqLZ74c/kqFUN4ck8f5 GLbcQBNyuoslAAByvoesstP0orgRiYnXjMM3PGWsDaBKGMlLePK92G5l/T5KOdwJFCEsME+txOn2 8oLM/TdUI+cBTQIR65DSHphHUYYYP+2G+s4uuThbzoZo01ZsYG/+wSemU8ooRrPBLoR7KZvx5s0Q O9hf9NsDqzNuygL3mT2JSLiuOqUMKSXJBKRn2gcW1bkU1ENg7uFbDFPW92oayjzXXhNrfNWwbI5G YNtFaXf9y5YdmuyzW4zlfpYHXcIV8NTHEojjlZ/L42/vF1XtMdj4heOQHq06LIHBssu14wwRwHuK Pt8u1MOD0F5OHmhVqDBm6r1llBn63J88zI6O3dJiVa25aH8l+vINc/CURksT6K84fA7qdlupTsPc YPkcEsbuovYC7qwCHUKiU0egGcJ9IZru7Ic9bA2XtM2j0wvB3Z5jkCgI0RYpsUhKNzi93Sc3wZAZ St9IbGoV0pXR9CBLRlcYzTHd9dKoKo4wLs0FPyjR5trdo+lcCuAZdF0fFaHhsGApCkEfy787Wo7J un3fjJ/vqCj/yNIyfzxjZILC6ihVGmbMzzkOQnWtNAopAxKTlKIMrBAsMvGOJMH4lD/Qk1Wi8XPB 9v7dfSfrJlgRt7unZg98YsYXEjj1P4GsmMDgdGqXVmts/jDWKtg8fYswfacFIC1+ZCH9fia1iJc1 I9q26LUCG1l8aw369E6+bft1drRVozkU8FcIT4O30yfnUoY72b+IbilJLBpl0xtJBxAxUcyQHLAb WsIP+vsUq1Z7aenFEvYOLMk8DsCcIpD8EU5rYmzO80OnA3BRR3t9p1b34my5aYZYhYzNTlSgkhgr 6ekc4ic8IStB5MvNqkHgDY1qe9c/HskkVdltl+s7Xz3kak1YUmbyl8ukLLlVrPeQnNehy1Fhs8LM f7Jxj+9v0IkU5b+OJSAMGqRZoTUFAX/MIUwxuOeFgYdWp1NeSwua8w8qgH2laU9LoHV7UGcD83ZF X4+CQZhyQAhp6VgSLcHIYkfhh940I3pfSzgj7Obar8YGu8GFMMQucUm5zw0plJFdSHcs0RN1WCod 8DZu6oKA6MkW5E4ks03rjUSNFHKpBCutHW4wYMcIhLPt3BHKn0zn8E8+L94c8z/snA/xWKQZQjJ3 VTY7H07NUWMc38zqEv2HSfHo/GaHSUzPYBMGiKIBWsjmniTvak1nMLji908DghqD8x0ccIo6TA8R b79EbmvwE7rqlGcHHG38KCpsprbboOXbThSBnGjoxw9NdEJ0r/jzmIAPCjC+iH1zfbZa3zCgdXNi AKGiWrDXoNz27RWdwBr8HsSH1S5ZLGZBTS9UE6ZcrucelKibp6U/vKqADNHKUIAlTgzmXdJrkW9J O+Y8OkIKScI0LujiGrty23qqp9LqTKjQdFf7bodr7BETsr3J31DYVrj/cIXLyVhmdKqsXS5kow6G qa/b/XRJy26FhaJ4eR+W5tfCV58Oz3zsrgKkvwhB3AwGYk7xujCY2ceQlmwAT86+dT2+az8LmqxJ BrVa3Chl/i7BTh/GXFKMeK6CUXSbEbhKtdcYRrbuLlnbKFSfnqt/DfiBSxN6t0mpCzs/RS0+mjd5 GYh73mwdIIbn2AKSprJI6EN1WZiDBVxmcZBEHYJ/JAAZvwZg5hYQbDbfBJ+MeuYWj18v903Y9/zR pueRSanxHlQwVQzXNLfOfLMb7m/nYU8Eyke4ishr5MUyVXptYRdTwssiUrQImUiSAQiB3mcQDdX8 cTpo+FCSlAhKmjGKI3Km9XIX7XJFpNL/gtLwLx24QX14EnVVrX4PlR74kEJK4g01Wa7ECXLB6Bov dxSJy6nCl/Cq7qejdGLTcVEi/ZTRickfVx8ng5IQ/f31axzfwL/qetaBiFosqfCBc8kdNPrNXRT7 Y3GVfW8X7ArUx6VnBynH/BRxrDMpBDZljVwR9eMJAjpbtuaWD5X3FxPUBKudTo3H74na/nOaeUYd c6sWpxtbqrg93hQmPEiUI4g/caTnp+ssElldf+BNThlf+KJaNEw82W+dxD+aLW1bDiSRffhGFzWw n1JfDJuLlXg6XQu71fCgYgPfLcJ/n4hcWRDsaZcBKT3ccGjMhSeBhAI7B38pbmUBzIv2eQxoNeQX 1ntuthW3AFLFYUWvjSdet4zByWtdpH/NltyWqddWd91j8Cr3BIS3UiM9p9h57/aGOshTBkcW8tAY aKrSI4U8L9PQnVRTT/B23LVw5NO/nJ3l4x9LKFp1IUz004R9wS3G/PqbLJ+p5JKbzbZl5DBK0RmY o2+38WJC135tRAZvCxWS1DyrhUz9Do89EqzOC7TctnKa9tQ+bkDR9OONJuiYH//vQ2lij2a7T1xq qo18gj3adOpXL+cliamI5TjAHcrw7XzPfZSZlXi6WRNT5a7XREx7RjoTKD7W/gVJDqZRPA/wE9/6 nDHuLxcEqyFc96plM7Nx3j2jLDePWLxao5lUo8Fx3LfX3uKglKkx4lNfsamdChCQjfNY+zf5rt/Y WgToUc0ZClCz6HNXkT7QrZtdj1AMhAG6bXxQp8hWfFcazvPdJB6IXUzpjKdt/ByvsS43R+nmb46L Tci3sT3MOfkCBmbV+Tm3KeRnnfWWxaZ5WYd0W/vRivsIFTDwgsLIS5V+aFSwh2EZD6KzXtxW3EZK 3kYtCOF0k2m0fjNzPO+s0kEHm6ZslA//bwx2N4JvZvtAh0akrRqK2Sq/r/BGilqXr3pCJeECB/kQ TxnHX6V3tapPqMyaiS/FioQrZ9+tsgVvJLNSfQKBvQGnPrp7oS7c5L0cmeUYWQBeTlPvSzISkcBE SlTpuRDAwJR3JgAjHExFzYNuMsT6L4Ys7IuZgTCF50kK3LIkLTwfGybY7y+WU9pwhO/hg/vEA8hk xHas7Leu4fO7Rddohgt8UDF2qi2CXsCLCp/QiobKNVrWa/5DN1dGVYL3EEvEkfrZA4Ipv22duzHC zWH7w02m6lcnHRUtIC4CH4fhD8JH6CEjHIN42YxtPrvMkv5aurpT5U016vCOlt+k8PIIN52cNaCL D8o16JoBK8XmZzPXanOqEJEoGx4N22ybUf3PZy6bT+JfdR8yaNMD+5KLCb0diPqevgXfWpVvhylv Vomvvc0k8Y12btjZH83uxecsvloX0aGrHICEh/HfD6yHbbBaXoWmakz6I962HgtLAMlr3oIKOQeB Ust03vRfIPgH86uPewWKUD2s/+fQ0ZNbuuKtUzQEGQMe+ukmWy1H5PLcl3A2MBWyjDpQwJjIuo3j QaXscS0zAYtqn3gU9Jm+CALitKK5FZ3VOAy7xMKFeAjPLEgJrD/Yv4vSkJF1iz6nCXvSBYsi0R/F za+LAldj6mrEQU47br6hMcO06pruhhzsYXw/TmxUBkjZWIZ2qyoeZtgDVaiY+g4ultW70LwGx2i5 R0Ow6FFeno/aJlYyQ3Gh2l0ELwrxs2X748pPxM6xwsqHfaeOlewrA3Y52RcmGVohLHObTOlesZWv 7kHwjYLvKDYHwF7NEdIwRraxbKEQBqffGj3eeAYWzkoCJ1PWTlJ3OnGMDGZR92RrXDd8Rk5VJ5GF /qNfc3IslGZlfoVP7NXO5Z8p1EgcFhBuBG+0NSWuxsV4oORck75MRnvNWhH/S9C2n5cRh3TIulQi JtZDbd/1n56RkASwINKLUSOPt0xFUhgLImc0G0UCpHNhVr0khzjIY0TUcd9Mb2yblsMbIZrgzoo/ wt1RJzyDRvldNHUKYD8yklT62aV+w0prYfeyU0Xn6ZvHKbyxQGIZ4YoXNZzLS7bo5Um4PnJAth/+ 5GkdqznoED+PGuP26ffcjs69p8PzbcU7fEllAwRKfJfYi9o3GMBpGYhJ8SSTVmSjOtH/QdpPgIKA YgLAMggqEIZV376FLjSdytxuRyYRzHKKiFoBizKysiS946PzuLw1+G3t32J8643A10IbrNpR21yM //8HNbYMkU+tfggMHz2oGQALL1iywtKizjQxqsNMrSNjUeu3gtWGmPTY/sByGLt/+7TQu++/Sc6B Gk7B4/nOECeswC/YN8oaLLKIuasv4gBT2uBHXED/ocffW6n0bjBjBo5zy6eEiPIKMxpOA6S/m1zA UinI2zpE/EEluPPqf7qyzR9xFEcMRp+MN/C7T4GnV8+ii/MDz1BVutKzJS3PiNIrhzFJ3J4BczSe XGcT5ykecqnji7iDkMN0rqVuYlGP/vbMAddioe280b30i+/beXGazS/XGQtk6iVJx/3dmqV5d+Ns tjdbU5Sy3KpkBJ5laxyU+cY2zXu21ir86QKmNIzBOiF2IqSTQWmhGsI6j+TJ2gVCT+syjy23IN7a o79j6I/C2l2mRj/X1I91QdaYdhPvM+fdXN6zPprUm0Z8ISFKWl3pell20tKWqTabgGBge9nqeDga c16IzmclIWBY1SMKtzBB+l5XMprJ2KBX8lKd/aJzVZiLP01vUEvlpxNQyG6I9qAe/aYJ/DZyKKeV G89Hb8XVjdadGhPwmuD6bEXvp777NRJ3JHaX9o40/nLNY8pCFIhFg8CWgWQJRnpViqGUU8IE+dt9 0CzkV3zStnHMefbI1ZiMddUfjwRJI7tVruZ1uy+Vyt4rVb0XT+7iASr8eIdj4abqHTsDCc7bglNE rq44H2VKBXa1TQ0jFQlIH9dGloZSUDv2ABjWz3U+6m3mPg6wc3lR3RQC0TN/QjFvur/NXYwypg5y +2pmDAvBRDLvoeSro7SPK2kbq6mDtExgMSPCrp0II1Qr5Oqf0CormD74mQWHLWSaHQJd6PwAwk10 h5r5sTIN0FMerM9PB8/NN4bgh19hK+N/OZ6EdiBGIJW6XutpM9+Bv5md7o7zssnYssjH4Xavooke oA1+AZTnYNBALEbjx1JgZgfrJvFNM9noCMzdyGkfm9Oc5PSxwqx2ylwAluHKFn3zx/ERU7JaHpTG LRKAiT+jxPl28fzD//hYwgmIrpQDwcLTCGP2Vh4sX1bwaj4nCB7rar/pnVZv/TD7V7SxjwPfkyM9 E5tq6ZVbR+Oj8GiYjs0KiBn5gUw9jnNPA7b4lUiOc99xivj3NqWw/Iv3/rtIo11BWGlBRbMlbM5o /p402YatcVHwDVC/JBJnZcTzhkEeq20OdhG57T48rx7hhonCNsAlqG/zPSxtkrWqfUyJlPzlFHkS oqJirPd72Ho3AenN6hYxpIp401YKqFrfTQefMWtZEVa+eAtR2HM11gJKa2V7jihV9jE3BZzgIThR cP5jyGR+NLRBBJZnDSQ9vh9M81Q9xrDjea8y4Fb0vcovcE04oAlLCEu1mZYBzfENJEjtLT9Oyk3V Af5xAmst4tsvNAfHSoEGIRmuaCgL7UPqozNhng+B14A8L0XwYd0a2wn3fwjMFzfiw6b4Z2UAhklh IPBOtBoKnU9zheIbvYHXfyyqS9IWRPJ7tcYaM2yJCTdOCCARlSBZSDAMLOqOYrMOzJfrI6QfQM0d gXK1HUn5LSptq1o80KBgG6VLOqaNyIFWTZ6MCR00XYG4P4iIltDofzWF5i3nntJOUfsyYtsOQAmp cRbuwILRqTPLxtxXDnSyBwyMwv+YVGjMiEff2PcSg5zhAAAsCVmCNMSAdMHITNs/vtWYKVBBotDv WO8vwM7741RHqu5Yux9k1CURPIuJoPxv1wY+i7gVBIifGN+8LQNN0rZxBaiRuWhxTu5Xsi7yveWZ N/ngxFasIdiJgpQ22hINZqWD6PPpi7H8IhfGmEPclpfbBr+iex7a2+ATTeZi0anAgg3rHxATbQJF 1iq+oCPnIS4ZQXAOW6xPEc4ECxU9m2jQZ4fkRi18EV7JkchQ04YHmPL59mSEZF+rXT6eE/vkpSG/ hleIjtSzjM25hLVi7Meil9W7X8E+atN07c2DTc6079p/LP3tzTxkZZRiieQmXsk6KtnqEIS3VFCs buC+XExg+rjZjlOu9Cg2LmLy8p6LH8BkFBUnqx06alsuz8LZfsA21bhUOCIVqRgAW8hQB21DAHCO 0yg9kPwZlNcigwZfmYp0LVVfpjfqNLDNjYrjz16skHskC3gtmiG3rePY+zQ763q1SZF/ZC6uYHLR cYCirkNjXBXPv/5wO4p0vnFuIn55CsMYWMv9SGHNQ7IRu0GQnOEYII2xd9skBrNCmWExC5kC9K6w ymhWo5/0okmqqep8zi/+R2HivgjiuaLRhTsEzUE0q4nrthYivROXgC1Jd915DmkUQrC4+lsmbUU+ qEWUK0tVOBQVnpSTmL7KCPXqmOJZ9aw/AXBLVnLBYJkjNmuUMpadMW4FmvG9A6rBuIPlI0M9G6BI xE/eUqUG/kNM7p3eN7U6WocSY05pHdPk1cBX8PWzSX7F0Qzyr42hyQjqUtxtIsz8UWF6vdB50mJl Oksaqf+mbdHLUNCBpTDYa6aM1kVq+wyvNKO5TmeBd8kNJYrAToEI0S6Cp1VI4nkZFIxV17wqGcFo Zs+/dUk340QgpjFBGngmJls9pM0m8y4jgoTTcpyrFZTOjro5QnqrhUptLaK3VgRcSKxrMayGLWbz iDd8rTiJ0OCZBgwG+nw7cHEFNj/jo/oVTuJIyvmNgTQU2WMx8U4X//iWCv+GoP0mVAV/IDV0NCw9 gb54Iy5wWmdJFROEHMWGBClPbEdBnNZp9b5ll2Oys/66bakHk7lufs2I2JZymABP0tMq+YOhjfR/ O0lzZUBN2g6lIGgwvmZISxQchkvErzUUm1Al0+ir7pnxwmoZx7pKXYW7sx/uuNFZ2a+QaPR7clf+ XK8wY/0nfoO9iinNEw5LbJu/IjDMt7oMJ7gYM3QC0LVPqGzzQWO9rvCuTJmULaLwz05J9iGHYxeX 9V+asnmcoyXhtJnJBKg8A2d3opfr4fbF5m+yGMi6XCDhBIaUM2AAfeMgWIM+pAYUNFrTwtXeQJ7S dqhOdbxBVth/AloTWLMA5103UjIkichaVG8T/lAsrXYpn/vk1GDbTq2x8Q1KKiUtDedVEchqU2MX tI3qF/XzhPVT1VO9qR9DDHMTg+GQL1lswdGB5ay2X6o8UCQCq4ZG+CVy7DowkbdVoy/yOG3Kf1iY /U/ORyaxt4kWQB01XiEICJX8eUSba+8pHVoUOvS1BzuBHXARJImZ1CVnNAheNrESwOCh9XpT5QHw pqgjAkVsLYsDaPsED3gCp2OkQaG999nKXdcj1k+FqJJMMZhxtiDgXegC7iV13YFC82hV/ZqPYG+A Mrw9E76/3+GduzXMlQTDUfrlArzwl0O9xdseQFk5tVNQ2PY+EkjARp9stGC8p8+HIFJlG/8nNkLS lRAg8eRewnJX4kxU16x77nsHpbYAaSS3YuzaTVxl2XVTRX/ocxCiUf5v0b5aPkrcJfSSherhopGw /fQiCNai1L6iGCtQ5SG7Vbx4vny1dfQdGZSLoqXayPElelBmrRa5qJY7+OlSyqtxYNwBex2d1PXB 8fh6XU/IzE0w5/yahcLDPcrSDWrQnwcgaPA0DjRIgJI7rTehR/7QWNdDRDb01rnzgIO1mwoVVElQ rPMTs28Vs9lplIYeM+9E7WuRmi00x8Uc223HeobfdksrNPt8W+QZ8jy+D2oX1M3SUOpOg3tn2JEo 3Tp+jtcWY4PMN5V9mpLdM7YDQC/cRaIgVHuVgpXWUiGck03jRl5PpchmJXPtkrdRNUCWK2jmQ3LK BpxCJVx3mCCIddo/w/o1YPV/Nt15rpUu9R4HubLBsRN/SRUXsOQcvlNSgb6cjIJRwVXXQxta0ZHM sOw2q+xFddYEgYssX915/fV2ZHdh9or3shhKQMMgf8V699GPja335bA3CHaObRd47TrC4xG4zuVt mGhBTmtA9M+lsAXsAQGPnGNR/N8I2QjHVhouc0WT4T2koIbOtzDC7ARPEbkLZBIuPa9mBonKO8zq 5V30rTpIQtv0kAMrq4fGilx0BPS0KdZKxKGePlFsJK7N9L94q/4h1yaiJMhtkxsZWGXCoRPPi8d5 9U8zxCVZiOyMBAorPizAv/UFZU8+eHsRwBNL6jR9tLA2nUxfuephRJnIjtroei81CHongNXOk7CS 2VQiwHJ1S2RlgoDYETkXfe2B9soTem6ZmcIz9KBzcGr3KHU6eLCPPmx47kkBdhe7VJQ701Ppiii/ PB8prwPMaoAgpaZ7f3siIiCkdyHU7+oPpqP2BmZjakLwvSmoQP461sMW+wmLofCSVxOny4dcOZla MW41ksSueAi6cWQs31+OzFc77oBshVPaqbygJCYTevsN/1WAifYKxf1S7c6fOzuO/zYVvt1DXObq 8Th0E+7fI27Y0wJEbdkf7Y0K0oY2ikhuK2AaC2lLhLSr9tiz+uDhH8lKM4b7ZKGrAh3JVDJ/eAL4 WB18J84sLUK7UxTVipoyXiwhyhEMbkp92zMMc7vZecY2V4Qmsmy2WMZ6rNmCfGzeizha56F6GQUP 1GecG8gK0J4SjJGc5RMWdAhicWeNJGwAAcNbHoqhUrahjunFawL4MCb2B5WKjnvzXXEFAAdanZ71 C1Mg/w/nMMoJ5H90aSg0S3c3TDvVOhdg2cCq1/78oThxdl6wGIzu5fqInXH7sTvMiQhL3rdZnbmM 2s3VxZWpVh1LZ/y2rIFC2diCbykdTf3c5z7OigVne39qnMeVxQJIYQqEYJpn2Hg/BIIVJfEIPSPg zfSvVxwhsYS5DLZzgZCd4piMGUEhhTWLp2oqMbVGuX97Y0S4OarWmlyIzPpxUG3wsE3GcRXk5DAB OJf9Lvp3kOGvBZ5Tu/8/psBImFgocxgPaItGXUlmkJ1wiJdVBLzmm9MexkA4QeteUUdDxSsh752e eMUJXPtjI37bE+3MZ/qZm5jqlxJl9QWLWM4jicQj96MCZu6QygJXB2HHWiucIrUGfPqXVdsY8ZiN oA+n8yuTwZ78fMRTZ3cUjQhKHlNHa784SH6NnIBr87/YUk7Prllq7uCd8oQOXrAOrPHH18+9kjp7 dcSmGUTtPiuGWQhmkF20/qa4BmI2Gv1JO1d1+l+6pxaBvianz17ZR2owjK4jXSVM/D3cdJucTAFF 6f+XCPF8RniROPZbsrVkEs3BtYRP6bv0IOf4gzMae2zs4fKpQh1C2du4zQNb54JoW4SEsa56P/IL rYMoy46EkOhqQthhjQZpYVylSTDrU4mI1EFLz8KEsYqPoRqmXxqYjqYLkbVn67uJ/BqIbgG17i+j 9DxPABVyy0yPKklnH5K91jlmFIw0gyOXQHDMLHbAOf2Z6ggOzeRqSzJXxOsUlLRlOnRGW4FaESSL 8tZSTYx2eOaSMkjyKmOXCsou3D3dBUhul4SmO7YB5Dpp9tcBnFsQMcL3RhbnTUHnvudtoO1iKEW+ AvcD7h/TOidFRhget1RwQH60uOvAFxrBOf0Uk9+liOl2UltKqevxPsW9Tz6JmmtUMqxOajDNEoED MLgOzS5W8XIrBZXh4CtD2Kkm9LRAWiVHUSwbdTlWM2j/zaobE/nhUCh5a5nflHNKbAcxJV1RnsRw dRbYA2ICGiLisDnwgr8gA75JPodHO3s0fouKgpeaQolaW3fkz5yXzPaLUW+nuThJW9SxC+1Tnfz9 lWwGLN6U5AfpYtkLzQhhEehGmWtbaaTl/0B1WXGGTY9ALe3LJarZUsurRBnffetiagJaMR1t5m67 cbm9Adh+JfXEaLy2Kp8OeERW0tRy3whNbgH3SmlgYOJKKqQ+8zYoSxHdGLAvnmxhNlgPtXrBh4b8 TnvbZWVlEdiBNHahoIDXk1qztrdXb0t8TipMOCNQR7FOjyHq8ekgUyCFFoSi42dKFKp7CkN6c/cw cAoJu55Te/0j83c4vc1dimdQ7vIqWMDnmg8gg/+br2OY7+7z7eBZNtPqZ+j4kmGYewN0MaMacpiH ow3tbhzNvllnCwgdLAWr2VPHtBePrlXJlHSZqlsw4BVAZ2mZloTle+8rdwutrFDQUIOzZ0SI7xTD sgvg+BjIw9HqfPDvvxbD17kAMY3trv7f12w5NBzApzaWac9aGbm5aYVKeIsUSedEq5PA9sDqaNxF +qv6CGVOhNX3zHvDZsYCsvn9zoa1eZs7hykstckXJynwo7xoMpiUq31xCjNA+7YM6OJBebjSEr4H 1HG1yLPHi1vTNqpFNRqlywx7l69yZ5PLqgpSGNvmU9BVOVlORXcqpJYExuGY8znzPxBJtThd1G53 hucDbDRgxvEuaMEQ/GrtV+LXXeN6fdHY685kFYfoyrR3hXxr5pE3m7RRY3FigXOavC22Zxj81GSf tlCjZ02pBoxnXOhsjkuq5kCARLKDknkI7MRjv7Iwy9gbZrT4lp4X5hk0IBFGRihE+GDGJNLdH+XU F3Yr/hVdAC2vUDpteMEQk1z7ETVYQ77fjV8oZe7TNseqD/MESvGPCL6gyjis1byGhYVp8kCNJPxV 33Xv2p3ydCDBC70Cr0bIlT1lzvscGjfoEQZJ0PTA1ucgj05wyW7891Ve7ppnS5nPTPlQlusVY7Uq 6S0kr54hudmD007GSMki4xZH0zvshGFU3zqVZiKrNC9HYNkG4ZE+7QUcGfvDaVq4gbkjltFZwu5n 9d2uQRBlBPn6y9k8OXPcM2JCK/7ejT/61ZzAhc9806IE9U4y7AAE8hRHYmEbBCC/GWZWhZlFplsW OxZOl0fdJIN/zTtHx17l3QRi6K12zqfTyjfUZ8FHbdrhaPSg/PkTaqrszHkqTD237nBTo6xCIZV7 LeISJdwlOBPfhOZJ/QLZ5d5Zw6uPIQv0mjch4miQNIath0JuWHs6m50UQYys0Vxjx6Dsvcka9jL8 WvfQuGozlF4R8vkVn1jz6I8ph2Qin7srsUXOUCRNUlD0TbGNL4pdUv5n58Fs/459ktr6cpbREyE3 otdZ/LKfkbUlpuxN6d3pGnf1Ol6iIq292TrDkX/tUeZHd7GLN5afKcDBciYfryWD9FNXRtZQ+NjG s4qNMt1LoM/VvGI/yR4/gnanbqkAt2jkKxp6AJCe0g2LOTSJd7QT75kpPMJjaAdlYnYALKsqRktf Ae6xdBMJLJUME0s/psBTcNsrV0p6ozYHllS3gkw4LKGQiNolUrdzGwicoP91k099seevVcfpyIIC R2c8kkD8XpOKwCiwFdwVwBdvtv6sm7APYQBhZ6xzM7s1+IRZOgper2ho4KrJENf/trjOURCcjSBD HqsPYikGsndTd3GCxiblcjCabnVVatsxsSqEwk38bCe7i3lmGzZK+pdjNVsdn+Bc/RqZtNBCNxkI qjqd6gB1Mz0UHrVdoBA1hqBKOogtLmjSmG5s3ctgQAPPL1AhNKb0CIPgOLSllqTTOZ7LQv1u6DSW +nhSCPO6clgAdwrlpf3h1KAP7nG0OTKifb9yXDJ1YnGl0CCTC/kd+UQ4hA2LWTSwFAuKa5+EZjHV 21ERBv8Up1tq5ScElF3NQfqbMjV+LeSIkloTcrNvTpumZYp6q/fsu1KFmuwV3LXqf6UpQjpuCMur yKSpkYmSyBbCLS/0ouVhFSRdSsLyySsBEUx8y809l3OAIi7jocY/iuyKQme5rZsLhGT0903AfyKh mGwmK8R2324S7yEBDC4GrAHXMwquQP05LkyuQq3QtSI6VhiJd2WRb7EpIdvDoz6rYn5/A9jEQpP8 9fhWW57tFEd/nvnbdOxIoCLZMZyzPupI6BsTy8HQDLgC9azs3QhHRudhS+4IKAToLuhsdB2a297W f6uDa5EI6Ke7NUFcq5tMQ0Jl84KiiNQj2fI9tycvdxl/9mcgw+khoeCoML1+xdm32DKfQQeGLLF/ P32KWHvXvXPk2wA6ICvv3Yy/Oj6pNO+71VppiGr+hnzRoqY4XMhbb+vQdqosUkjRLPYQljqDEuis UJnM0H9IPCnO3nr7i45Ux7aZhyk3idGorUC2kwMmWTrUKvEFTT3amx8DzkKk/JzG/Lhwjb8cDjsa WrLsOLzrUyiRLd2Dny14ujsZUvS/OOnezbiYaJKd3Z7/WCr/hOThUl5D14lczvzWjlurP7HYNXOw gM5TzJ1ilwpXkSrSFMiWaXNXZ1v0xwJzGNlO9wM7lxakTH2pbSELHC4ICngsmUx8h44wv92dZhfs 9BjZf+G3InvvaCXkSa9mLxHEApOaSf68PlD5QEpxzMC2wcOF+hyOpgNtBGuZZ2r3C2GErbPBfuIZ 1YjfwNEnKM3yLq6At4MiORc8mZsq7hga+5Rqm8hck1RTJ/ilIRhwhR+EuEhlLNHqffo8GP82vnDJ GfgjI7eDlofere3tHoOHWnmoATcXhFGt3D+M6uB4iQ3R0Nne2Rf3+w2Cu8Em5SE7YkTd+ArlqDBv vu8iN6dRJpfAjsjASzhekRImps8G398k58MhSIcnTN+igxQ9VXxYLgep/6rn+xejimjUwLW+YUSg Ewfal+u4FSFmd8XD492lXbf3wN2c2TruTK32Xv1WiJAnWEf3PlZbx1Uf6wwd1YYjtnxexlWZjmWQ y0vWG4CRmGYrW3+wPzDCiyNOlCcKkXGQmoHaZUFMsMvky09NxKhU185JceJ2ABiIlK17fmZM6gfa jsUyOESNjMaqkUEfCkgHEe+Lti+2mJZhAebxR9CHDIRp0sQ1H3l5IXzBXkcYqPg6Gj6YSCn4r+bM a+/fvr1DgPX9kHf50RU0A5/TbApp2RkXFQU26L/uUhXTnfvxK3prokwDOegCDXtXc+UTBwcT8LeM ijRc6qeEOpdgnqxGG7HZM0Muih50XM6RZ4b7tRh7trRjozD0xsI+k9WgFddkEeRNIFtAYglP15DZ uxL0lmjDI9LqQm9TfqlGnbHxubkAHq6lzUkx9QuKZ+PLiaB1u4ek0PDg9Kv0nQUjmoa2k5T+oHnn 6o7rrZvCAXIPaEqA6AkuQL7aOw2mtxS+kAayD4DsSBbU4A3y3dvPDvUOQuwAdWqZTj+x4zl4L1Tg zyMavE1mV1p+eQ6/1OOKTKbHlypJ9nfrJrhHqlyyG+z/P6ZqkGkctRNJrgJcy9skhI2QZsH3P9RY D7Bvarz9ph59DDoJB8/7k2fXKVHpeTt8OXKCFPkFc+A9jsdVMJzcYzJkvmA59leLb/pkt1OkLmn7 0M+gkwHn4PmpSL8+gLxuQepk4SUe4v4gFJWQXST0aRRrdZm0COAyRwHVBpCAXqQZ7wk31mdaBli3 MERkf/vdjh4NQ7/3cN7u1m4yZn/vaEwIIpap8JSI9PmN4KKDT586qlAR2R5tLFiUaMRZGNMhrAo7 7ktOXFH/crlx4NPSrKI7w+3Q3W/t9DGawrpGdHaXgrE/IsGH76TgDPtmYOCSVireF63oCZfOfAYo XlssUDCaYXXmc7eQPYCBcAtZcPrie13vQe9ohDvmTyqVZwcHNmDqZcIbBxtQyEj9TKxKM6CGGgBt eSVsUtLDQxsZqddjqcjGARq8wdS2fhLoYpFkp2/Fv5X4AWVL+lkjn1W9qqRz0hiMCCYYJe6It+6W eRT4Rr/zfpfvyNqQa/3F1gjEPIgOcZOvMeW4dXhd6/IkNeFrVRlURCjJ32WhiAhtCVrC61ZJGBF6 aYmNqZ6hMc0x818mQV4BJLkPRFQOL8gzIUPpiD7QQaCnb1dPft1/h9OH9DGD+tQ2iNUIjzccZ87w KL1tamTUbuLAhHyLbjsS6ilV0xw8YpQVQf3b3v/HIhREhOw/6hYUa8AACRM4FyQ09JyftDVJ+rHW 48pATvl6GY3Xq2JhlR/pu+eSnIc1cqcLU6fMUgy37wUREwiNsANIHyCl/9c8juw+6khD15+m3FoQ JdErY8Av+RZ1jqGujdMGqOw5gyKdGlx+iXxbx9lpHHOMh9agqBAUzolMGARqIWmODmTcqcgztV1Q 5f4oGjN6a2a+C4WOZgDDiATe13JpmmTXHWLW9UsvICT0Ub6H03GoqBsx4DPMuHdg5yjPJCfRpwyY J2IEppeEvllZAg35RNF/ztNVylbl+bC114WDCtxPskr1/oCnYVZIvqzZ0lpk/3Il3eB47GAs3RJI 4uUGV5Ayo2DghY+1+Cay3HePGq1BXlmMv9Saz3z653eJdFo0/aehNEzlJl1QSqiiM3zoQKyMAB88 99iguh4/MRQvIXzcATb3lDmda8kpZ2L3/hXB/uKTfwGj7UKNAa+Tw8NZBwYFo5ceLXccl/3u5YOo qPdbx7Y2EXHCFQJRSBd+UgZ0xnzCYyd80dA6Z5cpf5fJpIJUAKHwxpN8I5/RvEdcOi8kSHV9RZwR wX5EvSSow8odOxB84kK7cXMOYwxc9tq/TJ2iQrWAQgx5uxc4pN5uhqf4aY3fyh4KMaFE/nnugROk AimWkr6ipZFDgZ1/pq6A8PFLOUnib2zoSkLTUu363IcEBXMV0MQhBNEGF90Ue89gc2kBc2rNj9P3 lDEvKqg+G4inph5M7T3H5xApfe4tVmmE/3D970XR+xfKtq3F09sA8wtuUajSHBSF6rM1c+Nl/zKE l9g82S9dEH+cyYXvY0jmzMogsXXZuwKzx2EM4Zc6RSWjiIQ3hiNXxAtwf/pv+SWcCwKui4dEGk9h ZxKtZ8HBL/PK2kzNLF6PLQNqev3Q5ZBPhdGapwsVmsIXBioAAEUROpYHrFogt7AlfpzZBFzXWccu eTAZVp1iO7XyMkaoTgBPjZlyGdGwnz6uA9PhHBirfAf/L6VMTaSBSAqQniR2JRg2KMHaJTqB4Imu J4DhqgssbVfhLmMaRthV9bgt+rdH4qG/ZxKJWkLsUbNP6Fo3gHlbOQ7hsFsARpCkQIzaouWKCJlN U/49lh0eC0BafCZMdcoXhj7ARAjmRRJHQCFfN23DeMN2QQkwA3fT3ZBLYVm/iO2IZus8UYPg8V6F /I3mvG61WtBvFGbuo4HKSV7ZPOlTd++FS7U6KWq8v/phLdLBAEpoUEoxCC2F8qJ3yzs/yfGt9d9t ZpEjCwPdrCbd/E2vNWCDUrOKB42zubTnXjGRf/ns+44lohpmSAOI94YD47ozmusrPT5b0QhzK1zO wFTBR65I7WKx+ddsMpgIrUH/KEVGwDNCFXz0cl3+mGyUjGUOx9F1WeqiDtT0MGVzk4BmRWf6WB14 w5HUqx7bURYQc1NUHzkrPOjLMXhQsAPiygdIJZVg2Us92U8g3hBpIR01kNkWc8CQgUaDnFjWlXqW Y5FjgQa0OfMAAT6T2jIFhBmsY2qDgvv7+U9QlmGkQhbaCWo6FDC3H/fcEA0Axjck6CYx1E+jrO0O KPe8Q8JhpDn7LFftpuB+Up531whbpWFmsk+vGEmg0wUFMY6z1GvH1YOLFVtqWDjzkYZTD6ciH+Kh JzI3tzZL66JiamXz9flaHevHrJtq4WCgwYNkOj57vrR6dH8AJh+p37CaJU2KWmA/0D6oCnhkKczO 87asLuVU6TIp0xJRZqmHlRPqJ7lCvkHI1mJuhaoYSZDq3185ZAJzPzjcA/3v/c6mXklK4eysjtF9 7zIqFcdn9x8byBYjMGoOf7bZ6Ia0QwnQpdmLwLcXbCy0XrDzyRyAQ9+gAuxUYntJyvlKLcxD/rqa +7PKkwQNjNlmQHrPEBy2ZLzklyYl0DA5C3c2izttTJazt6KrB4g9N3oxwC4q9GobgaCwdTUFLTM5 o83Oio4CHf9tl5CQj+SVyIL5Vh3TnVAMdjBnQ+1mJmbjFYpCm6esvwQQkXpH1JabmraC5D+aCYcP s2EYxCImnB/WVLQ64fDvF1VBrpICBz6cAxHmwjDngZs0/h+AfRmQeuW1o/JKYS7dVCW0estSr3GY m8IpGUNC8KnVIxZe07fK/TlEHJv8c1U2jGa0B4PsR3sf2lhpd9z4QB9r/GIvIARUU0syYFxtyX6q tQ7JcMwbvMEjIm8ySIw4LfIHsU7ey9+bCh+gKnvlfVUHGgvUh/E5oJ3k07qos/5u3AAoSXsg9s2e gRBy/j5/S5WpQW9FKcVMfUYj20ewcFndeFkDE0stcZvkJR1MgZJaFOz6u/HOk4tNEI5WlXCm8VyQ ZS8CnfIC2J4TK5RZtspYSTiNItvIOpyPPhTIgtW+4JXjEBRWXxsrPgOEXeEmhVdhZnhIqetZ44ut US1des+4lntkmp2Q7vLVI6mBL02D9V2k9XiA9/w5lJyanaNypf21Abu5JkV3BYeDyHNdLMI0HEx3 s4SEMvHgtHYBzfIeNqjO5Gl5rbv3SHofHd1vRTZlDA0mqHV/KDxSnzuVh+8xm534+CGtrLNz1luf HYShRplg3zVo5MHsitN25UDmHmDctZHTnq/8elq9FYfv0Cao0nejcFkVHW4qoVMAX2ZPV/3MlVyo ookBRMuKO5915qvLp2ycMgxzaGWok1eN+oduzRMXxEODLctFVrSTrwLXHZ2zP+g1QkGq2pGwE9Db 6WsvQFyzZUZgh1cVbThVRNyET96aGbgbGi7ybFsIY+7FqYDpHZbFbc5dE/o0y4fCtjxqgISqPtrw nuFD9Fbe//Q11w4d40LoxQsDelSlijzUGk+m6hh7DzK9+wZuM+yZUlUpWZnpzGMYqbWXjJX0wSRx AdYv6J/19s3bLgFXBaVMcV1DGA5hUmWulEuejRPgspXuGhOPOF/Y6pOQF10f1s+NLGhzJb6ENPv7 /aitP5Mo56wluQqW7FD4wcf3b4NzRkJ+DxEeLBrIPVEYuyTtK7lIMqd9Bna0sFdCvx3T66+H8bpT 3f83JkyqpU1DZ96cPx3PIZDMkNa2F6hC9c1S+mG07OURm2Vn+Wx1SxuTHLi4DnzVAhSfdis4CiBA zZi8WBfCGpYZt/MlRicKvn2r13EdctQi7OSXI4yypOrKYFUWlu4wFOYLEn9osjNkaZRYJxjOs1Jl tk8N2OA9VrQ9pXAFgfYBLVfTUNOF/hjDFE2LyzJAsdxDBSQGJIR0pdpPgGaTdmp/hnADdXjrlUgq 4Eeevp8hNZ8QHhzhg2j+pKK4WXxddIapqQalepwx7RjcfQNWlNT56wlQDgsnOgWDMGJVV1DsyOEM JiKLEArzI+oCvoKiDzVDDAgnVkLwuF+oEJNd1rhjdr2GzkoYb9S+pqqRI44nbV1l3OPa0jsEQYrv arl94evNmok8J7H5ZxOyi+PB9UAsqdmggD5mHEjCDh8MtSJYFx5iuuXLGixvXUk+a9ExI4UgmBdL CnMCVeTY62Wm5WNcLEEDwtT+BW3VCdVaOAKTBoZuu1/fD+U0zFRg6/7Nd8VQ2lZU7xITkQKmoMA6 xyeoQiJ8JNO/s4tyxu8dWKEBYdtRhwWp2kdnZlTIIARjnWkP/Cc0iptNY/cjhTg+aX06gDEooObA YdZ+1Nfoq3uOWvRFkaPQ6qbtNq/824zqkVwB+gQYvttm+X+TuWyaVtGCy3m3P+zUGplvQi8RiM7m Vs+MNZejeGO97/ft9WaiVgSJCOiw0gYXSerm76Q1uoxBM5LGYvCbO3bVbkhm5rRQB9HjMRkoulGO 1dGf0YvwUdZAl3ZbRz4LZ3eIqqRVe6R7nobRFQt7pUzXmwhUzLfjcMMHnqHURcd4upDAktscftW3 EFjzWltIfQMtr0JhDQcCs3rQcBA6H57mwnCgbW82jwC62gRm6IIA9FIFx80ggFPmirJ+fyE4uppp St7vNvdqcxqP5fFynFG1Cjk63roI1nJpeArJkPytpK02HtpPsJRIaPZIUwe5KO9RnIC6Kf3wG+U3 Tr7nq0i31ibv7jpvKiXy52MA+ZO15GCFxT3lcmpOtySGwRRQ/vDyqm8gXUhEZgdkvCTrtgl4QIjw jmfKNIMXYMhLpNrFSHmVEZPs10Zy+kdqvtgxyhx/eP6r00yMfsgVXDoaOdcC2aaIo0Ee/pLfsp9R qUSjRmPnsKM0ggFZ86FIkX0rCd0SfzA/JWqBSVem1VVW1hC62E3XIZB8kC5Ry1IWDgHh9j/gFmHd vzBQb85bRyoq5TVWv2rt1pz45rt0OK2+z2OIg2eZilKDfSu8//ons8RjFU1fztcEZ3A8P3SSAmnf WdxqYrbD1HoiIBYX3+izwCrMfRG1ipsPcjyIHTlkGboKibyHuaOSQVddak4Idsl3yjW4LHWJpm9y 0KPNJq5XrNVf9JY+Xzbb8nQtWMCgXaXE/eqfowzDWVE/Tqg7x/qRaPekPcV5jzz45y6kJE6De++w FIWitUB4hO9CWeOy61rFHymjRmeeFRWwNu0H9puAO+vnqi+wBkw9pInizn4X+kxvnTQlgp/mSbkR 6ZItVdxaFHjDeYWoTJDrlzq5zEfUEgZEw7T0BUgFs1d+US85XyWVk3XYDwbUDM6kRmFl9tCKGWhN cLTQKLQ6Bs2rxTxoRgyulzqLE5He2RDVLa+FmuVdrAxyCZRt3YZpjkmd/SHMSjjjj85yGIZjGv75 yyC8/0xwiKrcPvndunz65bdxJ4a+fE3TIeFcUDvJEGL6MKEL2RnyWuSr0INMvDULnLNb02+Mp3tg nC8ffkZslXoXE7Ab3JWlYSS+jCdV2K+ph4/M0iHH/wmpj2W0RzVuuJNXtRKe++IhSzs++21xa54W cLoLp9BM/WFlFPWwyEKNDMw7UMj1/jTyz38bGfbs5r1CXfvqjI6HZF91ahDINOFYWxNzDx+odoQ2 Jq9RmgCRlttr5F1pQozgNboRjpu3UX/navvgsdrsk59BfiRhiDwe1ahuA4xR4HVhT1hmfSILyYED eqBy7XwdtSbZuV3wyBMK/xXNQ9kHh+MMcfqiwUn19g69AesFJD1rM2jdrrREXdWse2/tWqIlcnm2 zYFpsNS5N7tBG0e5to31Ae8Tma9qZmkM+cVYpsDrb5WVPWHUZ0R4NABOSX5XuGBF0GO4Sndu3ffz jXYueMg+yijCthJKlofLaktPR9jhTsbC72F7B2YyqowDr6f5vSeyVR4b9RRkcUs4fMJzAPUbwTO5 viepHivvRh8cYVAWmJcRGVsLSMfvI716oRx2YD0J8Hm9HPZspOyKszYB7+3UDVAKD4OMvgHVQAj+ MRylyglqntxHvhsLVfyV7OIa8YLAcavbA8BZnWiCRn7rlxccE4hFWWtRQC9EV0wE5//bZfEnzQM9 hBeRy52kT0FklEUY1tYolBE4/TnR7hh078vm1Y3IdW5+HsBy4x3osfZAD4C4RWwyYc8V82qjKBBu OlVuRu6g0s35FNQFNiXjcMqlxqZVHgKJp7otGi9tEWn9la+1U/NiVcMzGSC1Oe2BbUS6BWUAa25k o+ubxgobx4WY7zAi53wyRGY6+yH5h0ClSrHcjrDjCbD24Nczt0oh/wun8zRqiMhrz9L5JmvtSKAr Bz/BwUslFqudPJ2LWP3qoBujv0TiLKTloQRZV97bT+bQxNmr4/DLs7gLx82WIkdzZlVakwjf6f35 vgzrR92hVrXcif602FYiC6cqldvBC5bTGo4d+pKcGZxJ/SRG1YVNele8zMVLj+H1Pjy9oJpVZ80i 0qnEPmzGF7i9vAvrcjrRK5Nvxpt9Vc2Mc2Mu6wPFHyWhZLch6RRbkWolpUXh9754QZMuKrGpYBfe e1huOzD62QokRI+lyqOV9zKZuQqblwlxB0/U1zaE42eUSfrDn+meRX0cHgHHw6zHo+y6/0Peyd5Q wTPgrwic6IgnyC3h42X0s0z6V2qSnvd3pWkdcEhBOQIBgpF9XcD7cXDfmm+F4UqcXVcd9Jw0dzMy iKBCjID0RU3YtiAmIM+dzN13vSjSUI5sNWKqtKOEHrnd3B7EPIFCbTyI7eWmIzR+d0OqJq3YmMJL tIP+ieRtAVQpyQCVgkcr4t/MypX1rtGVx9p7cICjTGWk5Ie+G1ihaeS7pr7kNAMdR+Hd0aMxpczT nt6vl3zJI+TcjDukl+E68O6O065rjfayr47VJYQ891AzWr15XaiJDgvIAmAwNiBwIzcYzgwVc8Nc ZhAtTo7ATuEkrsWAp1pSEe0IhcKz7ABwyLqRBzsfxRKumdnKgYeoVEIWwg2S0lWS9mDnCemisG4O EtdCmAt7ztK7nvK/sFvXbkeSi/RnSMCVdAaF+60ppISV1S91xIa7PGE3E8PmATqUt/5jXCvNoxcO 3yRkm58+jS5RMKYXuiyAdHqWVupEEVGybj3IPL8qriBIqbkxF002eFWQz8LHeSqIzwbhKvY0o7Ak TA/W12AfmFEHiAOnG/8AxJLed1oYMKoMIOVxIw2svp5pesQROLNFXG3oAiKF+iW8LHkUMVqagDcb 4TM2vsbvgT2fxnIAQS1c+q7hRW0wYoTDz4rTyqanxAxJw84jrSFwRZB2+sgIyF0arWDNix1Gnoho 91DTYbxu8BpywMATCpEGt+AEZ5CAEtxtHj2oHG8l0NbFlfHwAg6s2q3lmcQNs1UrihrWMaNxPedL 3A8qd7Fpxiyh18JZ3QI82kFZ0/V4W6GeGfsdmkZyWTkV5EW7+FPU/oAn4gDSj9jRFYJUMJaUAfWa jh/6upAGb4OM8jAfmH8eCfQndvZ5CjRchQG16DGd5XOnQR2M6pvkDXQSMFyW6iHzJ24LAYkvFOzR TgpXIcNWouVGIgJMMtaBwnT4KcSCl4NCdRuPiwjSygpBWIb1FmTlkLVZV2GCWaR3GLJ2JXZaKYoZ ow1gCLDLhWubAA3132e/Kg4eKVqf1uboDMhdsnOA1VlpfSPfbf4IHh7WP4OuX2xmkjqHNYvx08x1 eFYrlVfbFRnRPAFq7riqfKx3lD6BsARVlpUcxPYcksyZ+LyXTNtDQgYtwPdP9433L4YeyKFrNnwK JuzCy08HstbRTl1qYTr6VezT+iiFFaxPtDb2BzBMOifkdpmJVttbEad+DiSk65SB3TYK8z2+GeEI ZokKNHjbwMXA+/r0kITCdk4ZM6eDnQ+M0L1sKVIMXdq03DgCrthyXgMCnnq0Tr3D6tJmSfKCnIwR gH2OPhbyuK/AgAKoJ4uaymUchGU7Rk0Fc6lHGVbpcMHsra/guKmf/yWPIksl3H798WeTsQJjHuOX mwzWlLz1zuO5k3an3yE0dOyiXOZWZObdpnINWcPzaE5sX2iX8VpEcb4JLyMBwm07EMGHiXMmBsza WRw9HkPY6aG4hL6F98rmrKoDEZOfSrcnfPGTdUBFJHkJy9kNmcJuvCKHJ5+O+usoPvSwqAPyvM5v wJmduvhd4sA7WGLGIqAlkUmfvHDBzIMs45sOZovY7EoVci1DAX/qdqfzc8kJh7MZQVBSB5zSbekD vFI8d3DLWHW4vd0FWmVnkETSDFd2UKk7Xk2aeJA0FiQKPSpAY/6kQEjE38xkvL9ikVRKWYxh+N/B x/pRA38k2eFIkHW3+TRpnNVWBuHjEKqkT8x7jHYuVbSCrz3HeA/9uyL6riEX8iQfn8QbW49b/dn+ 6BM3GyVddt0Fypt9GltvHCxHblQ429d9/FiBhF+cRXF461UXeLfuBX5icgG25SFvC7jHMaq0xWxr PBF1RNEcHggS719dWQkrw7HZgMXsgSpQDBp0VMSPJON6eBtaIq9f7j4FKSxAP/N3uZ3XATDStrvK gL/pcD7iUDi50wWiILgZ1rIyNvSlManHh/mpCmMPAFaoUgBpCiZ7lNKy5jmA8I14R+ylr8CsiG1H a7qHfdDeNm58qPUHODe09U2FPVxmPNpBZQoKGpac5UuL7fjWYAnEt5c8dc6cXK2vOHTEyCQCs/Py 4G3oMghEvgb+fg+n4QMwrJ1el6NS/Lf4s+vyswaQQes8HZzYmNbRYyHtM3m2npwSoa+8zJWdB246 6jHx1Yq6rTm9bTtfyp/6iU8VI844rCCD5j89/iOIQen73QM5uawSiwZwF7974Ae/rJXjxiXQCeqw XCSxnb+8SGmmnNM5IY5aVVY4Zn8/8s7XpakJfaWZ5JIU/sqoV03mQbKryGn9ZNNCVGeyOKT2UO0j x6RDQAXvPRWUYhO7wFzzQekdRXJJAnvlvEeQTDUCYjatqx00hHreNVs4zPdGuChxxqa8s14M/gQz rrBmbr5AQLdAXyKXj98y5aHYS1wVTos+EQD2vARq0TwMAYh2mAG/ht0llAJv824KQFTHceTbaEVY MBvjEp+yM1cQ6HVBDa1H63VLAkRHHl27i7mn+uUqN3TGwed0K6YK0kRw+wJJfQ3FgIwU69QuE8Wg eK+7NwfwZ0oqtRbTqqaw8Eret2eYJWIDvI54iHIhkSTcXanqlLJopB/9Nztl7oXq5MvEiWBpDdA6 wIAXjrie9dWoQC0pFAaUb0UMb21G2YTuxnNH446DHIoYHC2X7KiJm8J3bRsuDjNrBEiEb1c3JL6G 3rwyUseK8Sb1GSMmc+88U+BZiBY/fP5bOMbfZFAnriUyi/nL7CQNN8iC7bMskXheGPrDVrLfxgRD 3BSub1UwUBe8QlXqYCNeaG6TS0T35EpWEHctluRF+hhS1P0UVmTMhBqbOWwO5a3k8bzW842LiTxF TBoKDJHIvkkAi2ULhjhqLiYJ1Pe9TAMkvGTpK4CEwCs4kL/hLvVMuAh5t1z5WkmxbSPvSOtZEMr8 k/qrysIfrRHLdCPt5Rt4rknS9+FteQec6JeOdhOgbbRWXgOZp9x+k3GZFudG568UIHtwRhf+aYxB NjWQyDI/T2+Z3X6TPvwCbgwdqFdbnd9bq4tMIWwYzpjYbdbJ7jEbsIGr23roLvHG0L5hLzDzFTp1 NN1ClV6PTFbq1hkhYqw7/KQia+R0P0ByAQRrAEMlTYsx0I4CGPRHIEJZW1frVbs5YkPScjgWSQJx mKDUDSU71CeIBa6m1e2VmjYOdoddGus9yxvt3Y/OE1VuLvtabin917BWp/4/cF47mCU0oBcr4RHC Siin6D1hYmyWoEi+iyFmJBX4XtcJgjovyq6eWOff1+3qvlV9HTSTee7zHE58TvoKx5YUfKrtzdMN sydWrgNaZrknV0T+ibj9LGK/HU8Wh2CfeDlR4dbw/bU9oy4FITUSA32ePUBUV0GZ+dSMQKr0rzy/ OryEkmVbzgV5qJhZFa/pkCWVs1qpLj/5fLjNk74kGMW8OClPgmaSgNie1DrSItLN2+pb6oeCiWir ebaa82XsgsiVaCtxyw+nXoTBScoaVwdJuvvMn3XP3AMrZWioToeBcqgA1gvgUsvac6UXy9P9yXz8 AJKbvDhn6eYI391vK16H06N5NBMC/lj00sjpfLEcP9tr12O4WswrLcWgAeuX22INwo+dUQL44fYR v2MPBgWzW7xOsGAtb6Emi58iprbJoVLPNIue3d0kdS4tHOjlTJ6Lk5VN2yTWhvEQI6hgR9vseVml Irb1elKzps5rJKrz7c47eLCTdns73AdrwqssRzkhwP4knr1ewPdp1RhLB1o6KpJEPyTQgvdPrhAD swRWZigqzz+QvmOFy1CfYEEif9B1f5UR4aui5b5uKMy4e1qREJrVlmtQKRCCgw3zQ3984eY1PtJL y7Bj6zB08zD/R0OeXBToGO2seHoH51Wwr8sWHhfM+g03VpPGqD4oLd5PBIuW2VNFEiNWaBPWXp9k G6Oa7MPJtsWbJ7jH8kplrrxPukkfy/Wl6OIvbhmtOpfLisU+m0Y7pxZg85VYHnVs2+7D2PFO1D7O 7OvmPKthJKfuAmaWhH/26PFykjVIGE3CRCyJ8QauM+Rtqg24y5vBHvKGOvKMdXtktyn8/UdWsPFn 4DrMVVhbLChaIjAToLJXKnquLBtIN4ISOUEPbwgcnqcLHymwO2aDDTkJeFkf+0YJGGYeEB6dwH2s Y+F61QJKKQx3hfNmXvC07JEX/Sph9mg7IC0lO/KFZEzmIdmyXjDJhTd7uCDHYEABuLbn/79hCCoX cQFQ4rCg/osqf4qPvUs4JSbqBULqkljadjl9/EpL/VWeH9k6O3STHu4FQWDvPx/w2mU09Q5NWZ28 nSuDIbB1gUJjbppDDXWa17sTZFBZIz4L71KNVw/Lseqx+BbaiC8rPpR+c+3wtByCRpxdBPTkYB2v WcueLySmytKPialzQ15qB+9nKxFhiAs+IQP0BkcE5yGm27X5WyGa76Ia5ZuYpRtTtJVApvOpQcmd RoiYjtlEnmZmlJpjhKdjZmBxhTQwI8k9diul7NqgUehE0IHzIMHEH1BfOdzmsxpMNczKpqLrX3w7 29m1TWUi17ligXVv8RcFM80h9nd3aNvJ1E/I/a81wnFRFcajg+DAOvOe3IFZN5I7bFZzNWk6YgdH 4AU325sM1bIj6Agnf0dhsg0DZmTeG+KcwD5iWsrZaUhUQB4C7V2MJFm8i7C5O02/U4dTCh/Obb+Q 4s/d2nummpNx3sMaBEhHNACjjgBzND9VLy9EKtfBSZxfgI1m+X6ZaDGzJIR8OMvnCK3AerNNPbOd d1X6LvsF5gmxD7l1A7lmcaMDYgOS8d19xzEptiVGycMBZI/InJTQ9RTkss5iJ2NrZLHSFdYeeAAd FDaIE4X11Ryaw8GNYAdKcaobQFEeYITtVaLJq0fDiUmf0h4eTnvKljPnWWpamVUG4msUHPthEAwO loiZ31/VTgubl/nKAmWC9ajY+yqaP5oHObvx0u3qiYYzriU1YsDPVJJs7VBVK52NpxblSJiSXr9U qLOW0tKgv5QvhiX9nhP7KIsBQKSL4xXcqSnuq/kx701jIVD1DUsc73AFf6W3V3hYHy8a0XK25i2w DY6lBPeUWbfNK6l+DJRkkHUv5piORnKcpv4itXvAGgvRWOumdzK3mVL9/dex0VO1vfEuogNt+vOO FwPNKOjuVmDJn6P9gqc7frtHPGcJl0TMi7QAZOfYm3VjshJMOAG8RrYfYS2eqq6GV3I15c/uqH0Y GlIK730+A88rreNWvOUTNlDn/0TVRkUn5Ip96Fx3oFcJiU2/eCK+m4LkNfb4aum6Wv7FdXSOCBO8 WfhgWwRXAqhAPV0IiRTgi2MwM+wYDohlr2odc63KWZU5id2VOGXcLapGMS0suw4tfVwlRdXpiGEN usTavrs3cNbIj/Xq9buua2OaWH4gdMGUiLK00k7wKO2qfQMPz2QNJLYHgfxwMcsFv2bwWc57fygG vxjeuRCfOmF+TER2hkgUCEH8C9m+ix+Tbg20m8E3B35PnioBc6hA1FZItOvcPTRaISQRKSfm71co BdzfjFVJxc6FomvtNLmVaiIgKiWNt+Eh4SIQtzGZf8h0KGqizzqJlzlfUtJ7DirBSyZ5NX0286Oe OmQaq/KCWk8Lr1UPlAhkT0p9KoFgG96rsGc5UAhn76H4SgNcnYoX2o7QWnnZn1us3F0HCQD1dOve 2WRqFGmya4KTqcfipcXrc2BBvtieYwKgZMOKaBXYhpZByVcQobhJa0Iv3OKbD2TBSyQ+o2EF645+ ubnVNuYxP3uD9FdX57xUGI4ShxW2PvttySyYtdCwOdQsGjUpcnq3nnGh6VuP3b2chOt5XaW8pNZU quxacPM6K4uj3PgEvUG6X+RIKrx6wEsJFQTmEgHDU/tZ+9/0kjnKAZx1O9T/6YinLGrb1Apo80Om vpUHvCgW39k/ThkH9UKrcBCG99OjF1GDqHKnsOyl4OHz6dEN6b/5dJa043hftXMJSe/1zufZNDyB qeohaTCoYBiCEHS7W86SxK1TfFlM719AKqLuStQqwEApgY6F6r0zJvntNX/KJ0WiD3OupIgFmUZX xPAF6Lnb66+GOPxxAToHXAX4kzEi/BceM/7WBKDGYgVgMLUTeGqrieZm34dwPyx8fJGQ+oE+8wkH 2Y1pgkOuZNl469voPtDjFGH4QPVuDCdKeicfsw2VcoRNgHAjvbViWiJqcL173JU8zjZ+drOywwrL VOAEqUIpHFM7KeETfVJA8QD0zqCCEj0P+XVByTdS0QQFKUyvePG1/MutFStJu6oh0Wo0rOIw8HQP nJogZA95xTqqAFE6J3OP05F8VVC6B47JH5o2g2fdKanRVF+IZXU6oytjdCPZEOS9wDQB2YjiBd6S RAwW42Ac7H8WmCTgywAEiQHGQMnZqjNFoYMLY9XYqj7HHuz2Vc80LgZADlyzBM0z5lrKUI/oL1bq 7llYHzUaEU5uj0g7luL4Zj//WA+T3/DiuSygIb28XHD1bL1UtiXctRqLGbaRqVO3d4yKFaZEAZhH GUEM+/jk2Cw8OcPZHttMhwskWptLaMQIFmXwdWsCUGE4w6UVSCFpP4bblWzK2eRO05eH4oxCtimQ l5clynU9LIfc65OKYJj2Y4fNS4PNwPpmQ5eNuR9NyuLTm5I67PobMvsjbOvOLCzZiXrEUTMinYKH QUjA7LJuq2stU77msP+TmDRcu8Wosm591Ysmr1DKqJQ9Hn/e3vptvtBvA5c3ES/eBYHoVKTFRX4a s71MakPQvEUjW4szoKFFMEvZ/csB7x5Ty5OhYFI07PkTLTU9GB4L/HCy0JACXUyj8p8ibwCUNEQq 9DBYoZFp/DD/UViITcfRoGn+xsXCGzn3vCHoSRJ7rTCRrRW/x8cC73BnOlwNmEf0P5EwwcbZ2k2w Ugkk/XdAzJyd1r13OeF8gCdV6ZO0x1KipgSja2Zbtt+7geaFEydRgJOcvrxdP2IhQMpbvlviGjl+ REvOV0MzoPEdrv44eBApAVVUWmMamAOyKd/HRChf1bMayFtgfcgzuss3kktFDbqR41VbK4C9TFFv xroeN9wWNWxl5vSgm4Yn0tXhCzE/zltHPqpECivHdHhU956RQfeaZG/tDOcQI4lPPAFlQhWZxnRu jHBvJLTt+Ygko/cFhu9cLpyuF48ie2k7ZtgTyaP0Nz0oi0wEAvUe1A1xeXazvDgarGPu2duPt5ud 79DswDcz8oE0EbIDNnaRa94KBNGCTrGV5PVc9Iq+Ub1A+RC2jEld2RzsWnQAhD0jkW4jfsICierh Eq851lO5EnMcTsQV4sDFqdYGooeMMsFZgqzSEoLSrd/2ZUvAmSkbZ200aTIVEg23ft7Zy43Yrcnc 1QxRFL5NdITBEJwxjW2+NFLGDkRvAHrNzKp2+QswBw4NTX5xp7guk+ZbFo164XMyo796+bRyaTyW LG00hLM7EJKRYk9h3WairfIQfIpEPo1Cx1hlDEyJBxiKY5lJOpHWWhODsY4UN4cXiUuqCqdbvnRf Aoq4RmU2KLKo+2+qokO6xij1rQ4xldUGPLY+C9O0KT0OYyHXkJmqV4vomq1ZUAZG025wiZ02irpQ WqiT3nagM6geoE2Cv44HgDk8fFYm6MSRP/4S3NY3V3rM1DJXG4/Vj/1Ixgv4jwXsSGO14YsKLm5u i7VZbmfN9/rwqO9QPuxgNlx4Rz7aiXo/h7UJ4IAKkYNQwYaBE3NFw6KRtr4nWd3es+GFZLGMq7Z1 dhNX7AAhSMlqg8swKNH3s5MOgh12muNqLUT5L792oy9mETTMCsNHavSZVVLcItx2yYqmlJfc7ABW WbdtQNKozVwhDThkQJYWPbajbEApLQvkOP2vjr5o7hFspfEtyaz8rk+VEsULDbiBUZ7wgqI2BjTE eesq2mgVa18CRvBick72UL5BhptnePndo2h0ManxRw6AUX4gAVx+505KNQ8R/D1LYQFNZr5hWdMU gEGTlieG4jjnyoBF3mWFjj66QdRzfwRn3zu/Ul7eVLQhX/xG+3Gr9mAardcE5G/kDPl9oOFNL7BN LdwKEk2sAfnNGJHqIA8yp96kz8XFc+UD5nKep4i3Z08oyqFo63QXC5wkEfTlgUJEERgiUeMVyH7P /yo/gFBPYg/HkowItJWPODGJUshbLwvkknt/nk6ziu5SaXTGetJrHOsxYtwyjd5biQoZdNuwAOKh Y6KXGRovKV+vZ1bZQh6Tq/8iWl3tUu+NkWmHbzT2q32aJOX98pgC3V5z9BxCmjREv1S25IE6E15U DdTtygLlM50yTeoJBrp/lrROVkh5O5sCb4eja+e/V5tXwcWiAwn5cHKdPaTn/dzPYS/df9i/ADXw LU12K4Bk5vC6hHDI35NI47Dte+DXxN8Wksw+aaPcaHOBJ5tKfKhv7xp7zmtzraUng52R879zUj/z DtyVgLI8EsTVYDAaALLTrIJBG5RVfC8ZXi7Sp/Xf32p5YlnZ3grnBomSwI3wSQ2gUtVM6Qhrl6yM aHghNoNjQFcz6G6o1I+RWCqjt6Xp1hDAj4Uvu1AHa/8tXDec2dZhpitpQsMYmArV4xWKkQ8INZuG XY9kqF5zl6nmqsZpeB8DLfoPLrqWZlpbcpmh+7kdobFjR1WRqreVOquPB5WOyMVzfa/zm1MXuj0e 9i8mXiltDHP3LEOjIvK72PUs2k4eAZS+TZDgFRxOaFXO9Vq+oxOT+qNr2Ke2uQQ9432NS9WF7Pn0 1LtmIqNVChoWMzPQtG8cFjGGDaJXgt1oxWeMqPKF89iWhO+Q1oaknZ5fVYRiZINZ2ksTbOBsfJmh ufNGxBYSiNmacduCnpzx6/2leubB/1Z5vONhG4GpllqEgx4PaZY3TPd5psQROfb0cyFxx8AUH1wr lN56I9j/qzYiHrvNoRMrkCtBKhB4pxGsdS4/Z4tPMg2+xFUIIxSXZodD+HxRGU5OWuxya8pNy/G0 geGsXxk7pnWVEnxnDxRt4WGrkI2bf1ccDDW0RQkAJW1Sp4U3yh6Ki1zUKqzlgQY2jobT4KJDvy48 32zcu6S/8NvLV5fXp+8UGWKE7gzpeWo6CyAH8hYXdy3PFtEwv2GOVQhYxwAiso+3kxwReiYpCgjI NLl0F2CFKmGvoaK2uGFod/HLm1Vv3cT7Pp57F39mRUOxzdKSwoF9COgFdpWzKi26dWQL4wf/hk9t eEaVrYWBbthO6AoRWqQIUmrBcNPxG8LDILkY6dQKu4p6kITDXV+Ug0QEzXY8totdh0WZnm4ocw1X YORDHMK8up0rStTgj5gaLAtzh2LZuYmhnwXhJau7TpbcHBQUMncxPgQoXiEtTLN6P2r8uET0kTHc fRII1XkfC1xzAgo5X8Z/CU41MGaXo567i1LzAxlE9v6OuhyN95+RPjHztUXPkDe5oFL3psLGiWfM 8zVpBDzak2B4uk7u2lGSBpbVXS+L4favlqCP+K+jDIbT+tXzMO4JKIFuH51aq8y9srwubZYAeOSa nASBrNQDyc5kXCcVwAwpkY3rOPIekIWwA0rPvIDhkCFb7CjbCTz9TO7iBwWRdYYatHWfY98EhPez RBiNL9u/lcJtW4s2RLwTAjywwF8k6JRL+qa8g8K8Din22twfVHitboGQRiSEff1oFzljg/rZUuT+ tpwbz8CHr3jnftAGy9Uabzi0YfBjsJo/fkf/k8N4DI0M4A6rhJodJTa1VrUcRp+fPaW+Sg1s32kl G9mG+KZ9EgXEz6H1vrB7Db4tLU0mCgM+8gV5XDSHKHFgAzUcAnbnPwWLEnfV0MCqZKTsaE+vWn/n WFTJvgGQ40BrwDK58s2QvHbyPj4txG/LrpU/ySnnTsobpQissGr7E3T5+8yyOgw6ylvRtL4ldpiw nBcRDtRzcSciY/aGbV2Tt0Hmf8OYKJRQhm8YH3N/mtLDSKkJPwsVHYhz10kSVl8kwKFpnKgaCw+2 Hffm5/xJV77XExP2zEkp0ChC3ILPNuLeD86XbrIf0YAMaL+SxflrOQTzGskZpA2B2Sm+QVnvA4Vq zQfYQdcKOJEsaqW0/wX1FX0uCSKzyPgHR40xXqu6twQCEUiSd99IymBCU+eB83H6Q3CBmswqFCvL A3JHAdD9qNpLzTVqIpG105gtz/Ch0dw6ixp1loq62oMC36oXOUcU2OOr12abdHq45+9B0DIf8BSH yjvQbbyLyrCKeUQKweD4lVjNtYj/DswuZkv+6J6/tcC+N+BWNWCQlxmZgp2J3uj0kPK2wwHQXHQs q/2dohbnK5Vdb4KUaCibiGFekBateJXGUbngqiPqTeqH+CWoq/+2MkzdvxqY2jf/nTB/059d/0hG JhoZwrlu/2at065LcrY6HPLemV9Gsfq+xlc1MujaxgFtdFV44GHkGvp+tHs2dBYiWIk9Le2NGIF/ Ut6UPsg3Ydz5TXtq7amEW8qcNTjVLy8CqFRzbGzdPU2JTDzFTbkdheQ37kil077CQIoI8Vk3pyRr 3V40NTDoFFwJif57m82WQS6rhpfrHvq1VJz343wMnnmJPKKbHxs+lWS0G+st0wTm+u/GC44GZfjz hdt7LF0zKLpnDgS6SdtRSRP6u7qid8QVnUM/7HNrKpwXATRQJKRMMRX2q8YY0cP5k7qe/aBMzWLL PVjgTCXuBRHOaRWK2Lpapdx1t1dWiQc3wLXYJ6YU1WUH0XqDgQXXldl5Si4wR84rfGe+s9HZhQ3c XEdfmDuz6rSgn/4xcQFoLHPB7xT5aOTVXboyHoiKqkr/VpD/ZrMXcFjB/Me/9eLtN+maVsy+XFTY pe6Wnqno9CmrdXpGFhK+5G7sBEoSBUA6b0DyTTiMDGWOhhV8rki9gByADFYyX1X4iz+XtXt3+Eb9 AGplTO3LFa58kbmUP5Owr6p8fXfKhBABxqouUgJ3Ub7J75tHC9XBflp1DkwbVg4omhFQwtEy7/+e uw3r6e3GvWqfHqfg5bYHtstMc8uAGVIairHYVvRw/Cf3KD20yB7b7LkJoI9JzquFKn14xAAqPjOq QzsVmMApetnpWcvCC2NqBnBvLgpa2RvG9RTo04eKP86sXmQPwqwa9rcmBFZLiUpPM8qo+gdvZZgt eWHNhr5U5mFmg8lD0iH2UwVM4KHHOGVkdmCX7a2u1rEUs74VFnKgbHieVH0zpbwb/ECbCw+uSupX YrLeiKJM0XGpxM5hv/tWj7rV5t/wWZfnAmPbtFry5vdPxWwK2Ij91kfBwWgw7wxDZaj0Iz+zN6oe bRs9qCQru2RLN2LEyb5+XdfmB6epwzW53EqqTe6b1RrvnUIDdE+c8NPpJq6suMLo5H6ogEV7oXkq p6uUO/jhSuqy5Rgz47xReu3U4+yDgSRhvF4tP6p3WjMpE9dysMMSEhiOYWlRbymxuCT1LnO5fr0Y 5KaWGAxZnBDUm861Asd7FvcGcnlZZNsmGkKMTZUBaWKjX3qSL+PhPhUAPodDBDlSCNinHBDGJSsq 0us73gW8MTcrQGaxkfTrFXr9YDmDWCaQ1MOENDvgbApla3gXGzeehpApE4i4SC/skMjFBJRj50p7 cDYvgeYfhXn0WgY1FeSQAWCSAiajyfPWngNKPiXdi/qEzeO4I/KhPWCvph3SyclCZXUZqGRzyArp WW3GomBwgU8oywj8UBZjENLolF1FKaPyBi6wVFuehQCn36QhpWVCBGMAj/9LoUY+rkOhB/tu739T Su05RqhzXtbUx11BhjFQ8BN7nO/0zoL6JwbNNe9uuIp4G5RJp3J9vFljr6YM9dyvKpEBJeNOp4jh cS39GpCnCfjt133jCMrqOqhBiBDuBuwYkjCSzPmjdiJPknV4fuqhSNBr5UwAYyEyk39QUjLnl/MC hnKRhZADx56PIFxhhnVDT2UjxrA02xYwDKf4sxcWXsa70BXhHlpu7JzgYvZmMxq1ckL1VmCAwSHe /f5xzuZdvrMWki/ZlTP9DURuGBHwZxMdhqGjCKI0q0VARqm7n7N47xfkkETW+97ZVZQYr9cYUlza QYhbbsHzQmPXUA5lGycM8l7SIuF1Q4zRggkT5of0ipwKjlqXDiJ839HRR6kUuptxiCuNaHHLU92s ijqgyH196qJJlU6Go3yhLk+tcr1HqUdUMqKazutWXodttv4GDOYFpjY9tqJw+TMv1v8puQ8pZNbq ssmSBt6FA9dTGB0aweI1I9gdp8qoCDQ8GmQTKANyVwtJkBHWeDD/3xYpgixtyd4Bq/3s9aMZZKlg TVlRYfKMnlDXX9U3EozjBrp/IxBZhDOnlXiRNDLzItgfbNbAxsu9ghbOSDiWfGSEN0aYfoZMzj5g yja6mxdWo4YnmdiFV9pPrDUDMnCTGbBFO2rNTnwG0K70BaIEsGDh/YkxQZlISPkVh0JLFYCFd0A9 UBheCE9xbgR4HYN4IFyjhvnh1JeNNyC3YM7MN4+OI19Cy6CeSetQxAAWL0cl17r6+lcWe4hPLrFz tizTSg0Vs8Y44HvZnTrCn8WM5JziEPjhz9JOBEi/bHoPpbB9mn3b0S9hs5+0BmhHk5Cos0U6FlrA GjrSnIVMVd7cFOeVgPZdjWxyK+c7vuLEoJWg3hm+MJY3+MFEdGEEnQggVxLh8GaeWb6E37uvqqoN 4KrrF+q3b2AX52fMzvqOoCfmofyUXpiRSoiE9+cNLfQfaXm+g2loC2LB0UfRbkq/dQVko5I6Rr/t gWIvXYtRQ1bERR9CMzG4Sw6ikOjeeNUESfucZJjEIj91amEH9CUSy1z/HuZpMtafvXuPtWhBkRMy 1+UD+nV5apZb+y3U21LZqLfi8Bh+B1EQM0yNyjlxAvVptItmsNcp01CoUuoVUNyrmciR4cjfAENq Qr8uUPCSDP++SQuL3Z0N6bbtnhRNvWQjDm11c+IvpTbdw73714WwNGSnQ5H1G+em3tg1OxZOR0eo RZW5aKarKFmD1hD/NhXnZop0Bpe2djyn3IW894J7MByIcWz+/+4WxFtoyT7SQD0JIEfV/k+tfSpE fQv8wnhsJB1tm2WljbszDALJm59mZq3vHu+/lqGTMv1VCl5AtEAeOuJa78drfI71tm+9azHGPefN mLicwj/GXD+x3hCN2DRXSn7o+/u+AvvKyJjttWm2gZvbkg2Gt/RsXjvXoWP64luP5HB7KmACP/li i5loJ20hUm9d/ppUkrS0DcJVw2PVVXZL/5XXssRinKPlmJMhDpqnK0WPVs8FvndLpnCIf4cv1rN4 zBi2rL2jZC3m4917GqsoIu/RPfe8b6hmUjTxjP4o4fyiXVxLo1NnaFpe9yhvb6dPRRctehK3uo/1 tKDsoQckAkFJQcxqLmAUm+aOfsXQc6sFrFLF0E7c+THtMNP9owjTB6TmpsJJYk7ytuaNLYl50P4p bRmFDmtGDCNkqRphrrExIGhA+1QuPHT3SETccsxb5h8UhQDZ9mW9VJlc+n9vKOoyxOwMwO+bTstV Kp6q1aPkd2xvqoGYWcY9O81ILDyB7ryLkCwQhkiUoQShb0CzVkMuVb42+M3qtgLfTU9wU3Y7bpBb Mfiss8A2gyRTHOd+Cdjg6mQwvs93kS+AhvNiSb7RmzmFSKakO5/GG+1URqxiJCs/U1F4wY2S/8gv yLEU3u/SzbYCn+AGa/t+CEijivHErr4STdzyU/onFZ/G+T7CxrO0eoOdvYYmk1jkegExk/DhA+Z1 rf+zvGkN/JT+9Z3jyQwS84WXaqGOpYfEs8gTJHu/31SNOyGIkg5JOuRP2CyGy1euzJyW+SvfM1YT JGJS3dK9f962DBSxhdl1roibG8ESF5upoRgT487MBo1Rn5ZPYjwD0irlZRzVMNawftFFn4HtYcME 6axeZFtJ5MmQb8WBMGFcfOI2znoWYydAcdahiUmgVlXhPalr/xx9p44yQd+KBqRKf74iPyDBom+P vUnI3/JNVTTnD8/CaNnC7T91Q3K/TiqaKGalUZ62rNUMD1qoxDgJOj74MoLQSCAax+z1tXMbWt2R PX8l9ZR8CYaYYPkukFJmGzp/C/YDQU/O+qIXVedgFy3pFKdf5kYpo166f1yMuiZNuR+ACWKr3/qX KvovPmLJP29mtqD94UFpfYy6w1i1GZ4VRiBTlqCwQrKHpbgMHXWRT0+q8XnI6eemCr3l0OalDu4r 8BWQOjvnoIV7Ab0gNNnjo1IFeAJQTOPTcfDWhM2p8oHpWIFUzQqzhkkNfteaWkPxL2FsbomcpwND /xphPEWcKroVw3oLxdQ3jr5luyGqBByPbB3XjalPz9yGh5ehIu59Do9Pw3MJa+tSXUHjK59lyuKP ZgaXA2J2+JZ4xxu90c2qIow9/jJidHc6gz2/ie7rY+TzSKQ8bvUZTsFZm9sNJFVQoB7pvkds4Z2Z lOqlN85MfDhJYo2Qh3QDRp0MgDstFtSWRD/zfEkbuCNLALeQXRVQ/4OwJjhIPw0qNXlsjlqj35Ao Wr7dwZlwoX315Hdzb5ZVPaeHw13GkiseB3J4WnfyFCWQVDVv//yZzwDJfMfOpt0/8m7AxwQnyJUk r2VD0pn3LvhG0PsXSHDhT2rdMxaBzfF7sAKImxpBDEhHSwyRx5j8n+fVM11Z3hwQLOzMFmcd+xDe 20Aa30D3se9JFSAivLn15731o5umkA39hM2Glhk+P23cb3AiY/ncbOJm+LfsrZis4818oysiHPTy Qo0T2r4Is4ux97URDnJ8rB2Tf4k9OKqG4bJOFrPv8KSpxwd1/r1PZSaWtn2gc8x1KLIbQh3ZJKRx pgTXXzeIcu73k5siaL4uySmTmn0qN9cQYpIEsI0x4iPSW8mP+erRooY800NOIKu8VgZiZXDS3xdR WZb9FeaTuSr4JiHThzI4YL1Y7OIDmjiqw2LbCnJw/9MhoxrDqYzyx+qqlEY1sKHm+aT/8r/JyvS8 JS2Tiq/F2xfNLEhdMXJjvrB6QQ5Bq+ew9ge/OO6WGdGtZNSJcvUCVF/NEJKfQtj3kfCg/ZXNykfy cnghyIgOspCNLJeaH6Wpt8ZsQeD9JQNS0faKT0JDlMEiRMkH5cGRkDNQdiiGviZRX/2tGoaB1wvT zh/8ih1aJlbevZwuxSxefvwpUWqS069jODfA/1sGepii8okd61wp5hzpZB35dK3kS2Wm0jLLzt5n 9K5Ty73ZWS2U4m6d7+c20U1j8BP7crV6RZOSvw6SGKSMvJmJ2w38lBVZ6PRFZzwVpP443vmyQ/qW kW/Id5MivdaoTNoDlNI793hkGJ34h03wjsSrR5Z726KZoF5wmW5kbXFeoc0qplp7DwLoEJTraMos Df8gF7udmBgyQ0D7GoygR5rJFELl3dLkMPPPD1936Ad5Eqpx8Hly5Xc/q2FSnkI4IUSjNKodjhgY TrF869W6njkbCcgRvxCcZSGMS5v93GgTQVGZT2sPXfhOFluXqg1NaIU4/Jr6aF77U800rjpCfDPe Fep3WyDtwFRw5ZymOqdDmRxaxPgSvulP9Os3CcIWCHJhTGdIeygTLWlYWVpsM4oc0jek5h+S0bUU EKtuKYbIavLCSPzGBuuWw7esbDAFLuuGa5neBAj4RlyUCB3hfO0+FY5ludYnKxVTadCmyNub0mL+ 06ctBXERjjug9gAtTIAN5izs5UHXYgZ4PPkzQsejabj+LmwwoOfpjA5gAGUNMX+PSxinOTdfNgTW G8MKRkQc/soW7ELA3DOLGidwDMsIikFgTY+1ttDttMUy3Qph/ZHMHe4VseHZSxcOAsWRPvPBlXbV +/cOFXZDeFPUFUYuOl40hmt8fDjGIw2PjuU0wLD6P4UnyJt7FkT3+VmXWxa6jGmOKIT7c8ARE7vE rAdDxcMS0pCNdEIxlEoul3hVpAFddzVwl+sYEz6sZweLhI8jIvCCjLbdnoBnZvTsZbyNGHKPEQwA KNPn6tu1/96RssIPM7XXl9TM8qH44LT7ErxUROtmk9hyhcGKUha4oVCZa6Eql24X3pZuhVgIQyuj aHGticmr3cW9H6SOvt1GYYbTqPis2WQhpVej4bWjRrINbKbrUMbECL419mRzSHQ7YKyl2MIEdt4M MgoJvxVBUcQUuM7zwbahoFPLsT+JxA/3N/mwPBYaWKXFhT8VysPdyw0YmoagXVPex6tdU2KoCv9c WWhT7Jqit2pVul3eLro3ESnQUUdxoso8nYwPz6v5WFnCcfWMZ3Bs6/3EWHFQr5u9/VhMtLGUuFQe vFMWEgFlfuVYd2cpKNo5HhzF7Apq11wwh4bXCHoOahMGZa3MIshM6gb6HaVLLAXNRsaFEE+upbEm d05+FUbE8+uZXNuQMrVBrNoIzyhtQOmQs26ht2lsc8PkA8sx/gTAxVeFvB6PkR6O2cgg6iA01/Sp RRwZimncMjI5/uJUg+HM3Wr9+3eTRsJT8G1Qs+LCUfeEtw4fINriwUYnNsvropwtnk2SSsdbHKY9 iJvHHvrLqDYa0l0uY4Hg/cNtOO3oQGzCBUh5+6PfidA/1FFqk/8g+3Agv2SJB3lvgcAJvYtJDnX5 x934PrhaAKxKWuU2p976/eA/jpIlwLZqFGG2SNko/m0mStcRXpljkASgDI0KD2hWR4k5CJkeija0 3ZnKtQY3ZTCehZZWePjpQJN4MHKrO8iKZuuOT7ZMkY6Sw3BI/2Gi1R3L5hsCjtwdOHDTDKvmfuOW v41ZDEIirtrAA9/Sm5e8o+6uoFjwMpUW2Ssl5tCbzx7iQcsUqQwOQpzP3FqmKfcxunY1sjkQXlx8 SRQlOQEnmcCT3wQ3NtfwZOS1W67ZFwuv71hIsyN+ubhYqT81f7bSxaSwRSeoZUEC3/m/ZFgdh8kG SknnQ+l4xYxGWRzp4hWKXBhb4em0gsP7mrHGz3sSKKscvaihjLRVmmv14WuJxoHwUZGQJoTD55zN 9G0nzmwz2mq5W4HGwWSBOSd2ZMxpu/9WLcrjuIB+WcotAZgU7gFcH+FyveRuVP2pHiPJIcg1l5JY cAxMycir3cIiBaueClNwEg336uvj2nMhTqBoEU68TERdhMOuVEYqteGKQPyBVkYgqBBBhFw37ttS IWl+oA5ntjoNjD/UcDmBkz5FzCL0vSbDKdVv4+Sn+I8FRtf7X59TFXa9E1Z5QBQKHXJTBUbhoeTK rgalQj7whj741WEstF6FlQX09TkhN46ObucGiFe1b9XKlcQqnewIvV9doepmX/9BO2LYrkHF73sG gYxyJr/KmVVLwWq9ITXHjvjp/e/8ZBS/SmOML2UnYPzOdnajtgCYqKV6Pp0ZV3T+yT3ejExJ9BiR MeEyCKXqIwPK6WGdiHz8JaNjxi42tYSAcm7tKk0mrEvbD3YOuyVDrBU1gdR/gGDzEM6l4+s8MdiN dgOTmTD02HCvcbiFENsNcSmvJmPFflh+XCH57vXM97Ta3okKCCzXZWwlzRPgbMBi01pUiFGd1UDf HmbiGWbhT5vzKI07BstMv1ip3U7q+ESArQlyN6DZTwCF3nPn7n+exukXUspx6S968TA0gxyFnJUc dP7ySsPz+0crsDZIDnve0t3xCYFJS7lN0AReaQPWSFP5LX0fjHL9dRx7MklF6ZRVfhX17EaX1JD8 nF88cWdZM1N5wU28puavXXI6qdOCb+p/wX7Iy4OVawcuHtR9c1GniWee8mwMPLHc9O6yPMm0yg// /pSszjs/BQ5Q4hdnqeBly+8TfWq51ski/DdjiRZ6qoCGPWuGfecie5YCOdWvzXDV/TWcJvmLf/tP UP+bX7vAz3x5IQZBfFxhhq/xlKfOo0ig8Xz7xvRrcA7gN5Qcn00l1LqrFSBe1lL8Uuqp+AvLfD/b +52/mSK3JJ33sQ/pEpilJy8c6d0OGUOmz0w347jrWLJ8eyL8qcFZCCpJZMSE93Ca7NPLHdwB5Prt d5CqghcoU66oyBncNEiNJQ4AYZNHrHrT8dRF2xNVOkzoj1Rb35EllL83r42Cy9G5eAql92Ic02zP GFIIsHSrFjIVfd6DVzoOvnw6AjS5L0uuDhOm5at+jNTd9C7BYxMOZB/fG4LtXFMHxpHGx/psyqd9 yvZSmMlQDXKtiqMiwwvqRu6UPp02OHETh6nED4vY7/vBpWr0kREva4MVXXbOtLkCkAbQZChLZaiG kZHRWtQYsXN9/iErx5olRHSBjgNbNhwUH9HmhSFOCfWpiGgLmlRLQiIkuo2AHOeSeZzhxh2Gfe3c agL+Tismj0hRMkWVcSz6iGPoujCmPHJjsJQcKbrPbTBHPSbWxiJ+H1JqMO8Vc/87ElT1DvM98aUG e0tkUx6lI1dHk7wNtWKcmqapXkl+RiGZMYnGqWTCaSPgOqLen1FNbGaJZXRHiJyrzk+iH4VTsUoQ Vc0ebCk9drLd7k0Lg8LKsIIx1Mh7+der19CE5Hhzbrq7m4/eaE0A4oE9KUUtwURk3lXPhJEVD9JD AIUUHPHqU4nhYQdiAi7HnT2qJf3MGl3dxPzROKjKPZmP66adyLCpGdtPBfK4xNH9u3iMvveJSzpy KtrswLhTaNtDuqPOv4mz0KclgheY3x7NkBLFopwFOPmB8xr24C41kpuCYeoXhp0ylysd94RcNz01 h2kwb1SKvr/Oum1xZUbKz6B2ju4/eE1dsixjvfKtj1O+KX4j662GMyDHIpV7bCGzXR5DkAHhS+kw OYW6AhtCdmnJdL0oQ6MP4LFUdH+JJ9IBeWsm5PUPdXzc7qDZ7Yek4+HmUqQ83nS+pftdhlWOdwzG ozkdyX2YknckQgyJZE3ysXebePB7seHxyhv96uAdGUSP9wx7dOYCWwQrib+xyqC8zxpZr7ms1GXQ C/NKONFYvLen5li1dj/WyuWptzEY+DQl2jJptsUimWOx365vcVg9dnvf1Qs+vhE/sk3WY7rpGRt7 a6X26O+14vPDwUQGkUPQVfyvIefcYWQnguQtEW/ksoqpf2jkpNiBeLxR687uNZJUBZKEDDgy4GH1 RQaMEwN1tWekk+u/PlUEmbSEJfZ0BrzaiuaYvJU5A92cnw5vL+8Sxmwk0Zea0Iu+7pH3iKcrdh+/ WQmS7KjlkRfXqH/wuFH6IOA6Ln6+TZeM8HHnAgZ5LW0PGmAni/jY/e+Y2TqAq1Jk6awUZmSvjyO3 ORpVfzLrer7MWk6l71LQbJvTlVNcFoN9AIp071jwlZGGwllJMEK1xjVMmdOQvgc7+IZvkzCT34At 3R4+GxMcy0NWRY+dPynOKL2ofGxhab2yhzZG/qq/mqjcKiJK8C5k0gxK8aJT9tzpyCnBLkrZVost hwKYn+vbM7R+J1in6MvOPrJqiUkbCqV19odl2DW3rXBTFGakxrshphPud63Uy0X9gq8ne7ccVo2e M8375sZrhrYf1mRUQFi+UAoCFHTx9N2uzK2RbIzH4HV4EJ2Mp8I9b5KB6XNTtDUPEqRJu2DAjOoP NtegLdBEEXOEi3klKWkD8xQW5s3yhtHLSSAEZsQBReDuJTxG4QHbvXEHRDO2z9hwZ3mFXqvLivyi unT/cH9UeazAoUs9ELfOC+H+xc91597vyo8bWegvrLaGvdeGA4XKm/cCJ3BCg9nZJnuUyy8D0UgX /Rl5wkKCBvNGWdYzaAL8n2kXo/BoPqqScLFUouxOM0QNUMaisbLQXAm3vT7zke0466k8sJF9/x7D LB48yY/HOSpFVQ3vdXXuUIzufBaciSNh0l7FF5xiRjRgNkMIBXd9XJgJlq5FhOz9WA3rVwoGHve7 zCgMuo02PCfYTY+7zXY4L9Rgs2QKM2hhw3ZfNtneYdQ/JnGvwc4LHLeoPMr7GdjskbEY8afA/4xC graB0gsxu2J/8wOU99vGAHMlMtfGz1pI3CA7UUGJ1mF+s/BcO4z8D7p8Ym7w3gUM9rUNtvnSjahL yAbgrsJBqeLLQZfFHU4wkD+PkWCc+CpkeWgbYXsug27F81P3Dt7EIahjSLODV60firxKmQbfXWy5 RUSdACUVtl9DZxkxw1udshksdH5S4P35xSIRKf/mhJwaIcea/ayLk4hh0iaUjkK9sMitiC+E5BBY rNF2x0oX9ltryixvqrcs7IqVFzhe6bjcd+Bu8RfObxqEXCUGYBZUjAFandK0uvqaQbHWV7rhQvba kfKzYmypS9oUpTGAvCMBcC990qEHe4nLYYJlRyke59NTre1kG2hmqodBbDC7eOnTTZpsVPxgGctv Tw88DoneGzwHirNVFyY2edeZAi+xnIA0MzfxlGHbXi8FwujSzslq9GZd725mUOIgChq/v6LfOtSe BY3eUftTOCgipGmRxruVN86BU1zwXmxAHmo7Jo3CdoaR9BwAYNm8o01xInIcvHSMNJWVNXLaQrxa bdFT1RrA4u1cEpFcm7Tjkmb/bbls4ge8M+Nks6SWxRdEMaVjMQdEmij+4FZkkzOsFeT3Qg0vT8Vh 7RfdWe6gzwVAnfHHlZKxWGiTO8C1kgMf6r6G9JtsTtU4cTxI+6r84xKDcNq5H6LGi3LbRAgwKuzl 5/tQCsdtCD0KzRlkduSKBU5yWr56QerpX08Dw/Zvwki+wD/ZNJa7rYr54KXFKt3P5tvzSpzfR5yT diCQeoO0YkCAneKfcqgVHflMvaRxDzoi0wVsnk1SUeRml12B2pnqY/p9AVEsRxH0KXbyXD15oxRy gC9NAAyFaahFFX2KMjX2rpr3qO5N44kTrSnJewAA1E9egQRac8KeN6csiYMXM2ZiBEl1LPmzH8XK 0FxHuijf9TVACcpxzKmL+pyXQtbnsUvz0W3OdXPT6992pGtamIBhszlkVSTnLwAHnUCPavevq8TZ H/ASc2odO+89Yk69fvPBYkunq2I/LgL4rP+ITpD2MT6puBHvy3p+79J6itx9YcTAy1c1tMIBh4VM fIvO9HgDUZPJQ0ilMyl4EhH/xqMKcz2Pqrp22Vy88qPqrPNfs3BPQHukWsAs/hi0IyBXKA/0uXkZ V0av6aOQwcKVzCnvXe4IjY1noVzduMbeLawR/AlbvsdJfb/zZfnEp4Kzenwl+dNBnKyJfeNKeLIH 5cakPlYOABb6TMfGzUpziZy96ry0VzRt+Lb+A45TDL8Wj/7nl1Bn9khV9itPEXdX70g9cTbbzedS rGxoBTqs5cAxWzMvmwSHqr+QgsCiLObMgytxygpxdf4zKJXu+gznaTI7pmbJ4hu3cpAgnvRMHNpT fSBTtXDjKET06/JF1INHBCX/Ml28vCCXoyqpMwWp1606E+gaFtkB/IV1kFsILj0HEYrtBHZr8h87 EfwhN9uTiSdW/tbJLJaE04Dc7igbtCv2/9kDPvRYfGIOKxjzAnDLJrg1JPFnz+rnY7yo4VTnumku kXdQVbmyWEB9vQv/7hq3TxfJ0BnYkmOvO3YWuZHZ68yVWJVXMeqI/06eFAEsVzxFCIIgyOh8qEfW zI/ySjPUMYeSMtL+MdtAbpHvJHI95KfX2eVqREZYYE4r0QcKIk0jbq+MsFAodUotgZPX7eHwsFT7 do1A8zLfdKy6jvf+r1K0BwjJL5nLPNAAThEj+M5qUUvH/PYL+hHJB9BBHxCXCmqmuT4RZtntKwaY hUIMQKY8Sj/WjrzqDm01D/muZWu6EfRv2BUEhcy9+s+1jxN5YfIZXk5lGp3OUKZ82R23LOUGOIwL +0mDa95ckF+7QTXhgwVrjuwiaWV8TyEtv86rt3jciSa35oLR8kI3DFnLVAfDz33rh6UGQYVqFlFo lOGEc410s1Zt/furtb6CF2COyd+roEXUhRK6cVEjW8zAhs1yjsJWYoofpwIdeMWCmkp2N1mSb802 K26JxaxK23oTSRR3RmtwQb7eiAOPwoF4sn2KFe8s9mqUHO0wXNkBd88z+wEY2G1pNIpp6v4EOUjG oET183Xc4JjSK3xZtK32BXlDnOcYqV5nO2dY1TXPmnyUz/fzjd9oRMmve8DLCj0tcOskzb5y1D53 ZiTcu81bCd6E3vfNxpVtVh2nmxlyCDK6V90BtxXa4vm/g1F/S544ALTgaqJwfrZ80CpAW+Dosu2z 7foY/DgZPcfbUadUbXnyHzddKPPsmL2/rPWqYKIEV5WXCKK9m8vMKBZPzHqDwF9L7pmCMf2aJkN3 GqRt5jDgSnQmgVfmZbwrYeccs0MgkdHiI+X/qSV0RBPS1IUO8mjWLWFck3FRbFSuy07I/midhjwT d+sljbmMaF+VY5T8iNgXTxAwoJxpfNxgqzZA6PVvCJNPtGLitY7GIosUnXoYeypGMcyqSoNHyQY+ EAoSnAHk9t7kFO9R+C0/KKeUhpUF5DSfYan4kLva32FlXtsw31+RmLZr9iL5Kbw51zhmn1b7LN6P tEp/oDBLg8BnPfnUxIIfDUOmdAHZLGPGP2NHowWv2Uqur4AZaS7SL28bRc1rGCFCEXlMYLuNZAEF RsoCvb6LP6ivZnjSqOVjuqLOn84gBWXJX8h1svUXowR3W1LCjSdch9BR18RcdqyT6s4TrROz5po5 jfDBIaSlpKMQIlo6ehAEsqDRe/q8vTvUC16eNCJgYzH8kFmKlj7d8P4Chnmu2O7IY5LIHvxRuL0b 3z+hxUCmNWVpfcdMIkzQOBPAvpG2mW0J/0DSZg6pOJ78KvlZ/Duf8X3Xkxsk8rvSGIdfa7wXHRCi cimcDflzIjLTJrcCLowLEGYELRiZ9gvPCkf3w5ETif2MGVlDN21wmPUdWigDI07Wbbrm4ZnA7axs Dtp8SSZZfXejdp/HvQL7QV4OaWhkttMoE/TdNy9Za0U7kmH/8lAyLOx43M/TXqQsPkaEvo9dYVv/ TJHKR8g3D72PfA+c4DOwGSqm6x9WAoNOCpCqDJAjXGaK5UnuS7Iks7hB1w1R/HrLDAuPu2wIAvuO Rzj6jqOAvD0TDlNWyXsZbHyL70/PgqAXpP5f/MwBYyr64QZ9U0Nh0h6g5+rMDXnS6IETDtBwFa9b I9rtMgbo5PYpADBn6lrBGHQiE4UqMhCH/oXfFHmyl3N3ooeBbFi3idDT9VbsnPmtN9I9VRbr78Oj t3wLXkap8znS4GkPRCuDjtBty360M2vuVLWIj8iq7cxvWhRqYsY2LThJoL22wg0pLSmFOpxSMoCi NR0V8rx1EHPVOJ3/CYEPcCzJfvoM3WKMeHPCobEMeMyO3gc5F+1SrOeeRhqzaxBcD+AVJnjQridO dfhJ0yVntSi1Ly0J6Ad8ayzQscpcZLQnepFMtPKanlyGtT5DP0vhfPqwPqdzJ2DjZSN5MUh1T6r4 cF2aQFB0fERVbeqkTf8Pz+SrtR/VbiIc9spaZ4XN1v7I8pyvG6jvSrau+Ko2yD06+3kwyo580HM9 P5n5zcdlxUd0fv6eYPUajBqhtIY81i5FL+M1CEDus3tZ43X2tiBABdLPzZJOci+ri9iqxFW7xKj1 5IoczDMIgE9qSDrdb+0o0e/XwURIic/OHPuIEk9EGcCO7CsCkoGNfDLTl/ojw5Ftxuo7HvM03WfG +A4HDVrVBxYnzXbg+84dw7vJuUm/QkBhLX+yg405pDPOndwHTiDMdct93oE5R8q9UpZS8CP+1Al4 zEfRNaWKZ924Fn55etru/wZlioGoClYD/bm3SbjTTEzxGqNqTuQ8TvpCjd/0ISfzIyWDWzJnt07i zD+5wN45ekmDqwif7e1vXyIWIrxR8md+8B3bBH2of3QB5ApwgU3xAgkgCoqaGYw3VeR5958ztqZG crGGDKrpdyBj2Yqy/XAHuMgI+NhMjOn6gSsZrr9EEAxWQhCRe3rRC4kuIisxA8J2BLJcSOIuoAGW Z53WsFh232pvecxe1XyKwmCrnL0DQY97GXIAoTPIa6tMBE2AGsaOoMieSBCz3syKIAUo2Bm6mPSS /UX8IMZ/BssTOLyDvAkMEyDE2tg59F5gchG9fTTXyK99Z7XqwAVoECylsjVXVGCugOEv/ckvvvQX uFQdDell6VMCB7BW5Pomy6C6BzzSCgcMFWPzOAbKufG3zRjGuz59iQTjbZQaTROTrccS9VHa5/jY gk5n+IEC77k6h7KxdTfDh7cXBiKKNUYRdM10PwL1M1ETtvBkGw96793ovwM2jK3yNYdpcvpcKMpS Gfgm8hsPhASeG2gLucxj169f5hA0wfo/+nqVEztd9SDpIwo+TzSFPrItgjoMIDv8th9okDI/597D zhvc8qbXaIZ1vpogowUeWPuNnmtyGETxP/Afbiu7c4mZGJiCDrOZEoOkfTdcHW3miO2Wp9XKNBs+ MmvMDHz9JgrdOdOLDgnvEY0DwOV9G1/Ma8TmcDCcpZXSeO/0tgQOvPfCaIAMiwoOTorotFLynxnq aHP1yt84Fp7uNoAFLHZNf9e5h5DXFL+acAVRY3wV3nZudLySJp9MFxyaO+VnPyZuqJIRTGG+UMAy SLlN10rQDWrrqyGlZzWmcVnCRv9/BwhX3V60cYgWfy4MeOeSYNLoJ+7HhIyIFAJuupSbxTNU29dG yPslAZzs9Ykfkg90CXM+/87tmdsE+66UJ4/JKQTjdYJZWF8FWV+hPm0Esj+kPAsXL6bYv//bun5v KbG76QtrBvVqO02HmAPzTIkC/UcMxYvnSjerosm51hxrzio1hQU8mOormyybRAjycj9NSSRgDC4g Xyi6j5UsaywUcvLvxSem3rXC+ImCb+UVqQebfItPRnbJ+O6uEqH1yC+8hCCGXuBL3CdU1Wotkste bJ3ZypCqhj5hZGpCnsHEeQusjivHMMs9XkZGxTA80QA+okvx0ki9II53z3H50/SaH53B6s4hsOtS AbVJF5uYvUIFNA5+tB46QlYkcWwahfbq3hQJPz3/w/roUwjBfI+xhg48ko8yti7y18vbFf6fv+gf o7NPJiLj+C/gWdZe6jPCmfkWdIE8Qckc6XgMSF4Co/mhkpG1h1FMYZfHCeI4Ac3Y5g+XP2CeyO4J ypDOyjhuDvWHnNEnUGF0XJ9VAXTLrb/ZvQKQ6do2dKIANmAk+sG8SOtn+9ani3omsGKsLLwBHrPC lQ+DuMxOhR3ecLi+9YSNdS+LrJX2VTFZvhqhk3KTGE0+R4xnYrGgBOM2kP7aC8ZAwBt0pMN4NLgM AiKLJndSnDywNtpyhBT5O68kw8ps0YcPzXqzirumhkvs7bUvuiiG9aIYotfFxTplePkm0ooPxJYv V9goU9PDFwSNxjQYh90Ml/FWxjS/j+EqnatA1nTnSPGx9OyevIGMOO+scFhTRzEqYl5FeO0hNFvG JiJVCWGJMKY+lOZf4fMInxodaToNsI2oFprXnrBK0eiufvzQjfYAUPfsHkODBZYrdWmRMQOW2CAL Xm+pICju2pSVm8z/A6vgKD3AOtYfN463MUjVaZuarXpK752i+QfyTIMDXUFVfOOc3Y9S181MqUXS +og5PN1PCKGhst5STXLYnnbpPuYDLt8I5bEwKqpDK8M30pCohiP++8qPMy5XsCp4Dp+bfPPrPssl rhVkbLAOQQ7pNmMQ+//L9ZLiIhjXCS0DxX/cx4VgtmF1Ge/cmTLiI3VbCXG9sb1EeYYRkbjgDi/N AcQooHD6Jkj+xUglUcAiAkW+fbNpbPp1xyv9U6jijvhroKkuI9YmugSvr1I+UD4AVrerFh9zL+KF t4WeDmjwWrpFtYrCZG1Eu9UOzqJW5opyeb3rV82yKrHLVvgdJ8/he8gsyyeR1Vv/yPKQNOTBLtrS 3Y0qdowfCLuTllz8Q45XUchSKU9SujPhKSRWEHrD/84q/3Nl/IbCnuT0NrqxCqbSL0YohS0X4EWy 4ohZUd1X3BfXjYesr/1h/hl7nmITMUqSu0eWi5bahlMdFbQfd5kT61ToGDOooT0Ie1y5TUhn6R8T zg8j1ZaAcwqlUxw1ppHJxdyYdc+LyCA6tiXeptklj2E+KAQM1L4eRZNI3DZZ8/7b6BPYKgBktAiq SGnIzOPBocUbifmVuAZr7lEwffN4d3on1hsyWMoQ8YwA+6YAUKzkVioXdPHTCb/s61uX44fgO2ez pIJq5bruRlMgRtZcVuB8FFDCQxpN41jODXZKBAnK06TEdOZgEf1b7CCE1Jjq7VizaBlMJqLyw0gb SmwmY3S/4eSqpCNka0UUb1VZEu25Q7V37dqcfcbUMEvp5mGyxODJR2o8Hyh7voEdqI+BnxDY/SA6 E0A8vuNUxobZxlX2zApdrphoguPHX+DLxKhGex3OblsDh3JnRsOS2L2MOfbnSHc5rSooTtYWg6bw 2JDPC/1Kng0lun50FAUTUXChMlSBqGgErhaXpgO6YGj38qNMm/1SnK3N+KfTpZ8Jqsr6AGq1r9kB iY93AXAoiq/hwidz1AgU9NMWn2XQMHknsDOXGvvNumydaOvzi0psoW8aLZXNVlqN26vau82U0xwV k9zUYetc9Jz78YD1KNHDeAzw9G0n8gCh9ON9c5sdA3fS4/NqJVY0hpBMt9Rz7xWXEA/Uia1xZJsT fp3zukBD6KzRKMkxBRQZN82sn7I07nlOHKe6UT3rD0bDX/desBFdfigXzFL0R1FritpWHkf19Q9w a1I+CIgZELyUyq1wfCsgRHi0d7BMMW19uZX/IvLKjRWQlNTan9RfzOZU8Qpl9PCix5W5mRHVQGrt RVZc3n0RfXE2bipN1tmis10KTnjlkRSCTpr2TqPCMb+7CnIPZOZ6akzgAMIe47aZfboS6UZWIR+c c8gU2dBxG5OwXH57YduKDro7iWV+/6VIrN2mtrEqOycfr2z7DrNH2Jpy5/EM7IY8MgWqAm0EkLgf 3r7abz7NXEgMSxyarY5qjNQ3WlKpoPkvgY1aC7oXRuV8KxH9X9cMvAr+okHm1fLLQVD+ckRAO/Ag jFcuD89pasaiip+pWIHAQtnMon0jX/FKfS3sFGr5wFEgq0OpAIcEKRcUdtdjkEXg1Vp19Wfsvpqj 1db1Ao9u97DjnUUmuFdDBe2/7iSvI42kqTiS7qZhS13POiL5FW+GcMmF2SZp1tf+TNgo030EPpBa vkx13Z+DOXv6uN6upGWJOq+ewPkShCeOZwOfpHC2p2kHJHEMIMdpuJ07lPrXsLOVgc35h/drWyoI 69Y4I3ZeyHPNxcnqez1Q/N6mS3B7aVPlBreEbgSdI1X3lR3zZmuYT/53NhNDLOA1s+AMk6GSkqEY 5BqT+GpPsVCPCswvn58g+eefsvviQGewf9okIlh3Pnpk6+DyJB8BefEKpPaLYnzQpQvAuCX5OaeP 60lclvh4R5hTiAt012JC8Y4Y40QgTk61siFFWQJyPda3FCO83+QMoD09yx027nKTl16Rh8SjPok4 rJDCTZwjZzbw8MpWPKqikbIiz8G/qlCn5T59GEeibMZHvpgVU783m+Tpy06OQaLXqMnXBLXslRm7 D1jBNDgz4Tl6mkF3sdsG3psQyDIXeVEM9I3GFDVjVZhs071c1IgNqzrs5i/7CjO547tkC458wjnt t0fZV/URgdohPwSZqZsYgNR3ieJ7n0XHNLbdy4zW+hhzFG0sXR5pmh7wmcA9nZ23tALCsDhAMeaS XHQ9h6IfihoUMIVblxqooG0VfLXh5/oNxj0R8Dk8dSWCgZE2vwmp+mq410RsDrRgwRNenKfZ82sh iZxzkf8bVWJCVjB0pDLRpOnSWSzGsffjbvwRoSSykSCMuLuqfLzM3PC64Oe6BrqMRPABTbiK0ey+ vd8t8l6KWwJr28D425383/kuCGFMKX40i/31yGqwnn1floL3pllFbaCCVVyzzkUuFjWZ7JEqPeT+ +v6vBnSS7TQO97RUqw7IRPkozRz9DlD7j8xEnrd73ZXcLI3pOW8aTqfW6RmW3WdXHbjmkdU+0ifs VbwqdNsh9A8eDpDI5S65ME4h+b7gqC2xZS/ti+rCo8jbJlIc8XO6zhTgI2Znud6v4/O0hB1xCFWD zKJHMxfmnsVDwp//sTK8FPiAcdkEnAikxec1Edojt/9XVDMni1aBM9W4LZO9CqX2iIbtHS8eZHYY 72iN1N11aS/7TDwx0aKXadZU+TdLvT1I7mir82csDccgmm634AKyiDxqCecsnVxQf1CtR9NlBSzs cxKFly+P0xtrfTmFoO3S0n8ZzPhh/G3+xieVmAOuQFaIbAwxbpCIEhUW4n7jP9Q0dkiie/0jQWLP h0H/Cabk7SjTXmNxfWtgDYOZP4Jp3Rbqpzm92S7uSEyiTvEnxIs4gNqQOUtFda9RJVHxlPPWOdTS iVaGOFWGtyvJMunIQ7AvY34Z24QrEYJWP+L6wz3jNOjDjmx1AQX0zv4DjdGPVh1VUp1pdBC86l1k ZxCrLrkYGLU75gwnYfJgX36M7bBVbaNsZlT75dxlLEs+RK8RLScbLQK2Sv7YUCdT4PioUOb7RGQE Lc9bsJBA9EkhTwdoRIh1JvKgAkDj9Fdq7ckvgGU0nU17wlIMy4OeOINri70/5tQfbrTeViXHtpu7 HTqn0zPbP0CUaZYVr4oyX95Tm2nY2m68ft/WR20BoS57y502iSQq/Y31fgFs889SZV54UcIRKRSp igAmpnDjzMk94IuvR4b//2eKVMMt37cBHZCi6ZRKr2QzzW4NIRrEZUGYwokXLIynsbVweakZSTnp hDYDQPaSOFVVGDcwX5cox6MV+Mi0s0RWXpnXR5kSSonFmbdTqZ4wNOCKwFcWbxmirVaSekDv9BXu RRv/GqaXHVQRLrjIYvbRL8qurkIxwPl4igZJxfUlVxcHhtC9dRUL2rPwysswClFne3aowYEWrs6o IBDREQJM1L782PP+yb9FD4kM2ChY6X39kDVguS5rxJqo5CYBNN6KyWEYBUcHnumDeVBPmKIF/MQh hU+WPBalhYML5QDMaJDBEa6ol0AfqD9NOe1tWJJkL9r2h/bab2Qizq6fqAIzGJVjDP8jbNqVhkja x+fJ6QuyESlyHKZGsW9UuNdcvIs6VGsQ2FBNpTmTyad257NbNpe/ed1NnCtitKsu5tzO0gSLv8l/ lMQ7KzmefpnOssBSm/1Z+/59EvdYhLWgaVMKkJ5P+10+0WaETEc2reSj14jI/EIoqSGU/yuRrxtK 1uhTcvZWPtao2w3W9Pk3vOv61kcwMgXOAGk/Vk1e8dL5baK9NeqHLo9s7byGyzY5VIjhPlRJPG4B zr4kwLynoCliNNriRSUXVZQJ3fUA5+J2XqnZA5qvP9XoLnRqjypIdltwPJkqMoAYdeTC75xpSKCd uOAlZd6G7LsLZxqeEWB1XsOqxYdsosz6sq0s5RkH9MkPQ9np2V1+ADnPUcV/HmSRK+oDYIa0UR2l usRY756gGMbBFgGG/4+ME0B+K7++nw+UunrNwSh3VyAxvuMKHVV6wViu2tef6iYcj/E3BTvdXaOh G4UpwiTXgMSPEur+RLOTDc3+mzJgGl5CZTghdHuweGjPflX7qI6Bj7A7Joe15LuF2I60fj4rpX3r vSMRhF/pxK4FXeUIitBQboKsYrBd550JsSFmU/JolTshSbpLEz6PacoYIiBHRBMEJluA++CCKt/c +m4C8WgThowEjeT4K5MLl5MJA7tnyIuVxema+OIY5Gd8bOl6A3NSTUcpgwMt2VHwFlErJObcXqsv vql133u6GWOQcXlfYElOLZBohc9w2w4WpgcchH9mbE+DH7Vp7q9yqoInyqyULmvMB8ISOnMTu4lf 39rMdps0Rma8t8/2S4kD7jcGYj7uBLNAKiNbxWqf3K87a+LWyhDNzGx91iWEfCdRjKbntOhcS30R mjaQFTYkI92M/vWcItvY3qvc+Efw2BK1CYlfGRbakXeRWa8uJ6GuySz5+SUFPbx+cRYZvXqugowt D98w2DlhTjEUXkD/GpqtgyqZ1zEgS24tAC0ls/95YQQ8iW1LW50TRi7exTX1iD4wysvqdkTH9Gs0 VyhXM08senbNgf5VQZHwhTjDqS0PXd/YezKiKLncSAQCjZhrl30sBFvT1vy1NODX+pKwbvuc0Sqw DZTcysdO5DhOUxC9injppXcYlQn0Iq45kC6HoETlVrcMNnqBGJ8je63kmhXc/iwF2qP9QCdS2qSt q8fw3iwq34uRB1PUcsKW5sAFBJ1tQZVSfmqwNnUjVRWU5ss4dA0u0l+2c+K+xS8zwNMl79VBWYzU HoKdX9cpeR3AcyAqKjmywoAWYBmKhY34H55wXE1E5ZQt6F4FW6xwmo3o1U5eH3jT7Rc5OESxP0NB YCq0epRmF1W7IClA9PgBewiPWCRIKQN1+AM/9ee4WhiL9NUdiM754mWoii5smW0L5rMYzZWWcX5E 9mlB6uAkicFtFUuiu9azxM0qqJc9k+MPNEt9tpliGZioUiWFEnfOP2fj4dNrBjfYXm/iS3F+4zHT ERSkMn9upU9039obzf1j2L93ADXjJVOVmNr1k9sMuuMs1MbVC3CmcSC551lrAlYnpvoW/KOxVHjk bah6b21PEkZnEaN4G1hJAk4Ej2HC5h6WTvngnZWJMNJi2KKKvP76lCsY/Pqcy/z3Qed6Tf/V7//w WhTc9IHqce19V8elK/sb8HpVRnuXKiXaM53B4fkTak76U0cevFwE9p05UBNjMremVON/3DYGF14m b6eT+YWSoIs3BAwMqb9fB9A6iTqSiECqkZ5Qe8/f7O51O2ZdtcX73oXJWCOxMEMst0+4D5tihkhs L4OZLCmmHTjD4Bbca9QK9yESM9nFGoOguc3zF+TkRrl7JuJqSC5OlALcGKTB+uoZwHlHoCa9T542 XCjSeYzmgb2nqqalePadc7BGpJhLKzDqihRg66QG+VtwKlcAGHMsh1OpxEvCtjHibo37mwc8tu2x w3cpMBaTcexqdU4ysuyEf69JjlX+WcNZ/qBseMd2x58cjM15MQSmzzNiWT5ZSCLx925hzptzvQ42 EaIWQCJ7vaZm/w/Z65CjJvtyMLa0hgM12EfnGzRqWHP0GY3uE9xhrxd+o1Td3qWvF2bo0VjuTP0O H2JNyzaYDDLnjLCXlcm/vKg436KYkVdpru/HSCS5xf+ldEjnfCut320oEt1X2qjmJ0fJKsc9uCo0 FsIKo7zrNRlUX2OjLN7T49R6GUugZ3cDwukxJ7EQFT9Pbbo1IWekamQkJUptGA29dud3S0GRgfZf I7J1WqK9bT91cEinS/W8Xz5ITG3LVbwKHHl0/bOv4s62XbZUXWBiDSumzrT2EhXL6uCQ7YsiSTxt jlWWSPcFKZymVDdIXwz7gNgTkGmcBPPBv/ZVAHnJp5fYG7tJ58y/djG18Q/mODX1yLPC+OOw9TLq evmo5bEGuP6/49dpfhtDI+qbCpGopn1D1uFaZsYqxjITU6Zf1W9uGngZTeKxnk9w7zb+0fzI2dLy 7phRji0IRHoacML3m2DZbYp4q3hJxvY432zZrJ65Fh5zCFTb7mZM5S1ItumalianAsvnXvofilHo Glo26FOhj6JwdfmyRAnXx3LvSgXFJB29jZLnMf/sFFZIDNeU7hynjgroicwEL1UQhsUtAru/g4Jb xZ+SE0UhmeRxtu5DiLAcmdHAlJZgFcOrDjuiM/gzKFkNc1w0ZzIiJq0KW5GKZOk/roDrWkoqnoVu vWbvFsPOdwESaZ0lf/qiUnufTgdfNRvqbiAJrvetOGZT3+bXbCSeN9BG0AgJ3gp1HjKtTdE5tM8M OV0tFzIU8nCwYJBJwSQRBbBfhMe2L2cSAtKh0NnDwYd6qbP4vKr/dyyfe8eRK3Y8RMKza7iHvBmb +7Ol/tmdRGZKFEHe/WXUM78IPjB1zrUjNPLSkXdufoWuzhHReiCmuXHK4K+3cLVhMKaFW4JHlKj9 L76JCKE1N/s4yyxa6gSzYmgPaQnZgGGmvQ6g14zZY86oQszg3Yyrf0byDv9qaMTpeONKcIKnF1Ie F0TeJzuGYqRcW7aXqmdWA2pbJyo3QqLYdKFNSoS+uzZDq7PLzAjVRAGLcj88UKJiNUVRe1S2XgHM 7a1r/ATOI2C98VSMmwkONQmIL5TKwMXb8uWMEutieRiJBh5oTwK/U9hrtrovOrYSGld8JKXndxfX ZRFD6mpoaB+qOXmTagpUGVAX9NaRUpSvoX8FY+9f0fAKDxwLUwIaetjxAyITn4tgWFmCKeIyFZVW q30PHILQnXQU2rLiWgzwzIjDbxavp+WZCPYalgYm98iWuo7Gyi9wanm9ezTI2vJ6rV9JGxAPFgFG ZljZ7YonDTVZ/vWVQP0dCCxmcQYlqMmu53Kr/Tw4SqPZeL8+1g8kGbXyplRrOPESL2oT6sdDoLH3 DGvAY0vmnAP7T4KagH7+ewc5DWuWoF4jMkD9zMELrCi+DZl0Se5y6v3UHgs78AwnyquO9n4A4Tgj M8XAv1SbcIh8LIKjEySTADi/OiGGkX1Cl/JcMs5pymvyr7d0mBNHjsZR+AqoNlvOJdW7AL2C7eUW rTMsE/WJHoH+MKcKn9CxvogBELA5ZCcuFdRhFp1iaAY2qJI7SSKfCj6b8RPMGRlAqEmRZQSRFKRE BxUf2OPtky75ReIpqbMAyD+Wmb8cgppxUN9ItEDS/yeHMnnBM+XMwPnT2MwgZEMRuDqW+hCxcnqU DRmwZq9aAMUbTHl++xBW6/CCCIj4EgRFo6BDyMxc3hdprx4S0/uRcnRKelVG9m6W2ZXx1kE/SEHS P2M3tOfM9IHd0C0IdDgvoeuY2pHQEJZtylmXRqxFxMXeolHPqcLawlgU7Bawy+BhIR8iqB+Vbu7E +J6jTR9ABOV1+eUEli3QHjn5psYvDu7vHtBeQ6hl6+M6j4UmvgnRFfXT2Z5P0qREI/jv4EKKjiLL tDLtC2sIHavYIgtW/nwdudNY2C5XYzBwx65DfKpkwa8rxbKkqX7g4An/7xQxk95gROoMWtzBXgrd me/hETBiO8cXzZ0htMSI+n8ZZHQbhsFsIaE/rCKvXxnyvqAUBiK2/jexSHrTwOl8w0CdD74as+bO qhTD3g5ytyDLRYF1LGjn4mES2gPDTYhvHW2xDcvQ8MwW+jbvQgbg5p+ebk4NO8LsiS8H1cfYyL3N QiIu/WS9ctJPWO8MFnWE8JOCh56CIBLSBg7vN4IjqFWulXWV3E0UEFh8WTU7DoaxboLPToky+GGB 1J5lhWNgu6Ciot3fsGQEA4kXXNmLbQ222ZvR0m+JoxcEQr4O9RdWaf4XdDBP0PMlF4l8cwYvnEjG zezsK+nV8CeRnlZiOCvEak6zuoDVmMOpNUOyiWyrsVGG/q2K7M/P11NEW1isTfi7naq00yZrq3cy pStfrKsgy0TIYljhX63n79wBfV+1w//bqOFGu6tAknbT+3SNsKD61hCgX8hsGk8HDsQzbeCtQYWF /XqqEjVVmrrRjB/PBRdJ0tmxEh8jnPsKJ1H7Wg7B4GIiqvegrRVuadACI07sAV6E6riIPvgCXBEt hxEPizfIMLLdWU9ZSn7J656op9yCCjgmrYqWHxr6ejluC+wMby5R5WiBEcgyTKSTxhg5l1vbqI9Q HBIW80nm/pxQXE2oLGosUFrHXAvf+MbgCxWN4WP2KOEmv7PATZvLgl9ouiNDzqkSnIu+jX/mSVy7 pppJV8D/kqKMwIpQ71+CoLaaWztLv1jO7zXo/P+ayvVSwmT62jw2/FDo7XK6ydnlFRb8g+hmIht0 oszbTb5KTbscQkyoFGhWFlH9FfBtJxbLhzVsY6jcndPSz7fOS82vE/pgKf6pn1lzvoJa85G4ubbE DAa6ubowyg3UDl85hDaWV6p4yOALARtxT9BTkDNnqVfTTssbcFcpWtD+rG9LEFbH3fea5JArOxsr ziW2UTMXhz48s6wih9TNvC6Qtimg2J93X/Vyi6UYg6JJoBDN4bQEa9VjJ/QrbcQG6yNSbQMFJPK9 qxG4peGrk6TRNkZ4VEidSUVIEd1fOkUhZ9Ah4v5lTeWXa8lELFqI6xD+u5qgyRa2w6HzdWag6EB9 iwJVyZfe+zwaVINfiYikkrMYaymTgnhodihzXfimlRhvqYvs2i1Mx9Yl7vegSRlPa32OeGwQz72N t92gFkVYAK1sc7GIkIVhJ76+WcHUCPLPwS3oWlGT0rLz0tBK/PV77m7VrAyOnMCYbDYfkEjyNr4j Kzv8uQ7R46t87Ch6uwB8uxTyNWtVscrfvS5vXh56oOYjboG3T5zh9KqEanfFn52nUIfXnJL0Q0X4 Txls8l5CDho0hMbCKMBntGZZj3KJOV30O5HithbZ3Ska8msugjX/3sqWvAOPWSBVa+kCH8Pd4Y/9 GU4RgDt5l6dWrp96DekCqbpqft7G4jA9X/AyDCV130O/ZMDRUEONUIoTjLok9JNYI12v0Lqof/Tt ItE22OX6wULYYJ+J07UJboGGZ5lGsP6BAa4r+l8a9K3ZlwOPZqbdDwt16SNQGphnf6wpYGOxpXa0 YLMFnzgvhYfeTOTcOAJX5vTM7pfiCSTVaQX03YDhprqsuqGrjoXjrNdDcKs6R9XAqR5y77aGeGoe kdYivjt7TAadgrhh/8XF12k42zBJAOp2wtU49xuOlN04mi94acuD+OOyU6hCmfwS5QXfZAH7JVOe K4jfNAkaC2wKadgR6N2yvYhPDhUAvTux7TlR/02UaENp9fH0jsw+RGoUXonWd4+V1Vasq5pTin2r xzEpHbH+kSPVEcFBqqI0UYJbJ+OjtXOhkTFsk0ScaQUgrvcRjKWq6PdrtZZADdsvaq7ioit/iE42 WrDx78O8cZWJPrEDOQMiVtTmNWXY6m579Hq+H662n07rgNT6yL6c/gtDV6o2EEYdlvuuMFclsz2E e11x0vVkJhHQrs/nCSM73vOtlv1uUWH+PleioxyOQVe9l/pNMFmCrDgl2DKb7aca2WXXKlMkC2DJ gxWLIFUloEsIobDq38/8mCqnuuIg+aVYhBwdmppPZT/BNh9b+bOYLE3HWdu0J8Sr3aSICkk86p1m ngOsFq8oix6tEL12jDxCbpERi9ckijIUBecFblFIT7BXGz1C/sfTH0K24VxCngIMR52RZaqWZCAv 4wfh9b1LsEAeEXobL0kX6ejPBLXRNYs5LALKY+OYU1fUoIEBAApugc8B+zD2RkS5HQnAj8dkyDT2 YDvxtmqKDeqtZN5f29yapNI6oGPLA60IZgR+HNg5tHY6wqaDtreK3ywmf4x1424BSDDtCeGt20F5 07OqdGmf3PwRw3ZeanoqzQENrCKv1Fez4hUjx0j2wVpE714RDhbqxfFzL6xn8rp4Zc4Hah7Qeszn mlEWRwK/rW80MIRC678Ewh4Yz/3VVbXaaaDP2rCkHnR75xlyJPpLA34LA5dkQEX+R2y7fgHf8k8b dlCHhbgbZIGUBRKib03K1mZeGtmyfPotSW5c+Pfqx19pp9Fx8dHtNpzdfJmlIQTzgZYUk+pKM449 6PDlcj38bcB1GVl+6Jk0eQ+gs9+bI/sXo2FmovO781ihjEGA/8B8vzVVY4IhzEGYJTcSlELwL7uu XZdgGWE1hhDAjb8JO1YgrRKbxf2EboMXEmnUv5TgEdNrSH8f44hx/JoKPxaxJ17l6tFiGAqLo5PN MxJboQTOUekIpxmiIJYot/m77aje/tjSB0L1Dq581+M+vDuAx2BQYI8JlWkUWWOsE52WM3LXRX94 VjjGn+j6FT7Df5WPdvDmiKWnjgoTSQR85mtE7gOcp90JPDoGBWO+VIcMahBw6DQd33C3wMxMqUzj mAGFF6j3UZ1TcvNLjyFghHgEyw8bJRyLI0ml++1o54zJoKHR9/WCoV+a4jJe6GyfsmCDVySSkqCH zPalOmGaT/x+06t/6pvllhUd64jo1FUwYrb+uKjH9vs2GszSbVeJKRpgR1z7XO2A9CCG2YNeD4AV FLPoJiRzmLby8KZsX9pakpvasxZny25dz0ajruHBKYnLg9Ss4PVdho6NXyFN7PIN2xePdRSUeaG/ 2PAvsBq+fGk5xWwxyUViWgEqcnI4Tz3hXEKKkghzqlBay2EdtXS5AcqOIJZ9zX4z2MEfKINvdsrX VQKBINlZ2OnU3zGsuEADZ+0P0avIpuVS2Z4XJDcB8egL0FSqcJx3fxsDwEXRPeLzT8LSFvtSvT4X 5c+yrw8LhwqRCKR3xsivyfcPyDEIpEvU60cx68xe476P+ue84J896PaxtYtaBs8fx7YTMy2zM3Mn yEkWf6fgXplv0hnT2zO0dlHeJJqg3JTPagdMQ1OHT0bzdtWSmQEsi46+n6PhwXBzbbQQ8BReQwX3 n28Axhw9QGzzRTGy/SznAI3RuWhi4sQVAvkwZWI+oV0o1JNgozpvHYxgv1o3KryHWtekxlbgkIRP fdkjGzIXDFpxHRcu0aYfYP48vW8+or5Gw2lFQiU1+x7BZmYQEk5S4ZjRWFbRphZTuOM6csB3RT72 HJAzS1vaDi5W/r/nRnLwNJA97USbWjEQOUl9RQRUk5L8yc708MRAEqvJ3Hmp9nQSfixB0YmxPcTR ztss42cafNbSc5bAoggLtFKhn0WIb0cYvOa9XyrElg7dTI6bzgcWwDcx0VWsWgeGpXEC9tjzZgoX qE0spoetbfwD5afeIIzsH+DmLWtyAdS3jKVkKiS5KttRX6DolzvVm0QYecNdwM9wBrF29d4rtHge i1sYnY2iZnWr6vjh1FDTmG4Wv5Mm0t6I+ej+GxDpW/F0rPVcGNLzYdg7zcMy5G2zD1In9u17/FqU cNSD7YQzhEL3pPpBUwOPuBWBYIJ65Tz3SqW+VzO7AZiTAFhi/ppdN3Qk57y7heZ/UjTzYoAQWDqY CQDqM8hXp9A2/kzBi/XUiWVBY9v3c8J6e5YmMmrSAN7Hf40w0dWgeKqvjefTQ94hKPJ6lTmvsO0z ATXk+DUVjk9/joW8YqAf9+sj1OR5YHB45gqMOP3RflXbONRKiu6eO4S/cRXOpo2P7AmBDn5kspib 7vJl8GKs336ahYlXV2+tHULqQ8D+GV1dTpr2xhvziJa26cif15h9IhQC4GeXnzfMP0h7x3gCgID/ CBBd9PucOHtV9l3b3QabaESgeKB6t8ZyytuePNU+0H4Rv5AMVltIP04dlYnL2jk/1Zg3UCwtsTfK iSEEbwhwFiHgn/QAvSmHf3kSNVUkH0F7FSiY6hu/3/2uv06nxIadR4mTF5gx0PiUh4Ni/I0N8Z9t XlyhBs0Cke09dl0yFXytyj5e3z/z2xJR1RPcvHv8JNV5jV1phPPoQOqQyKGBdP2iw4pdbmccRfVB wDJsqBZzT650QDopPBxUmG2zbSC/eMEK7F+nD9ZH2DYJIHbziR2/pxYmrypODg3mj/xPE5g8zfUL 21/Kju60fj3vrmX3s5R0oJIDyp7aA01z8XjJssl7wPLtmeK8tmO3hdk6kWIiYHTB8Sw/bVzEJbne Xp/71mrBbBfDCD5M4SfIoAcjbaoBPhHgo+5hrH/f0ROZ/ua/X3kqFjoOFdYPhfPmIn4oGTMF2wzT c3WieLVIpf2h65SSFCfHvGyCO5BPnDSasc1gdb4oz8M0GmmFjvB1hpZ/F6pe3Q9WBvqsp4mO5RYz GH/QPGRTE3Botd66A8WlRm6+HJIw1c7qulWj+smc6fFPuOqT/DfLM4sCTS07K/E/L9O2osN6YHL+ qdgk/nM/+ZqbruWxGnV/+jivvbeJpcb8Fn/QGzmkuE69wsQAGjXSRRAmZjC/nR3F0cun/pXTiCX5 Nqg5MykQ7Wf6KvJpZ4kZjsStKRjF+s9tcIxClzSO81Ff0XVHVyTMKhZAKRVE6xgu+Lb3TyxpPLYz OPqgjJ4/ujT7bUZI2OoEm0rMY3+3W/UXSkGm9wVFwAbB1HkkkRTND8QswiD2vgLcon4ned87FTyF bCV33dqYG8WndcvqJjGq0foliBUMTw4jIPRPRonEZehibb3/Zfq7iTv21Adn5y07g7Gvue29sfG5 5DmqI3vEyRhSPxqhwUBnCOcZPodZ2QLaEknrsz0GQaPsqjrR68zPNBfCNt+Y0sL48YAJE7hLgYXX ArnZV1K8Qz81rIO2SXa9Mr7oADduVCLsOUKCCKSpnqqKJAV6veg7nKKQaHcZBNLt9xSltFzF6wfm fsFJnq2DEB/aqv65ekBcO3n+32Ofy3lAxK1eQO4kr7GB5vB3jxr9/DpRZxuIQ9O4o1jbDnyITj2f Hmykiv3kX/EdMJDYGJ8reDcTAGE+/7/JD+wk3ss1zQcNAxeWLqIpeqO5LlaRMHUKRkVigujK9Uan fF9lfO2uJ6YYGgFRsiFNx11Oz+hD0rUdp0B5Ztucdi7xxLIVH6qOQ+LMwR0lSJt1Dzhv/Mnx+SbZ 89+Xj/lIGmw4YyF+UOO63dxI727fT6hOToWsG3+xpKJqELOywNsw5kN24+NQ9HtaIbTl3xjJWXqq Z/scwg/0ZMriApsmeENHuDWUIOfMsJGzXZH/gAYHqUNkc9OS/teJ8y5etDQtqaG+BQKw/RzP71CL RiKpMs1+udmQrwBiNKSQ9J3OjFcoe52j8y3dtQtTKg6hd21Shr0QSA3WrYlqTLZleIBp0KK+e9y5 G5tGDasHArPE6+2/CODF+Kh2SyJNbWiV70vO5kgbb7BN8i4yJ35eNXZu2NUww+rqTf+r0pHuRalY 9VPESJYcsG7OmtjQzIuq5VWGhhBCmtuS5A6k5GMGB06AbInKVho9Q/dSKapBZVfAfwPicc/L0RnC i2tylb1rbPj4IIWGmXRhgV19ojXZ3npg1hLqJ0WtQGG1L+QWeNprB17bssUT9rLFUkvLfB4arZ9K FcIow6DLujfNDkvuRjA40FliPCazQMdZ8edwoYbIg7svQSXj+WNSyBSUufESUtHq6i6Lji/thQ9b pLcJxzLjrto9G45D3/suUFdN3OQpWKce9nx8/vxNSRy6H3tNsZB5w1DPWpMEtRzcq3nKTYufmqU0 qYoJ4oJg7dpDNq7HzOFe2OWynMH9kz5htyzVVlqkV0BGfTs6RpF3/KzF74FV2tBseQmsmWYp+OpU abSDjYOlHFfxG40KI68Hjm1azjp1eZCbw4jv8A3Ff+YdzhDEcGoMCpo1wFwP5UmlfzlT24NlKtVv Db9saZOtkMf1C8ZYwyKnm78Q5oigldGoq6xr0mkeH6xuAKFGlUMnVObZYze5FQvhs0+9GQ7miSNs P6dYIYBlyVHb9I/xy9lBBV7smSBeCK/erOh6fbggAhuMEsJusx73SZCQ5sbMNZHfFuuxb3/P7l+Z Ce+0qBpMxMRLIBn0edNxyU7P9H13Be7rtVLx8PkIEYdWPeGq4yhnqpLncYjYwwcAzaiYW3qgraI6 rbK+N9/ZIIx4dYSEwv5TOt/21FefQr4N1o9cgiIOQBXzxJPgqz+KMAPERsb9Df6rHYuE3G/R8N8l AX4A18URtUOXg3ZGEoI05HCsWWvUN5Jp6FQSDWqb7bgxfEZY/DGSgiQN/Q/HpwtfEWIAWKqtqxzW gq3eWR2KgQnzFxrH05mrrrV+qcwTyxfGFAh3zoFvZxsFlMQNgP47hb4cx8mGkXeKz9t5VP3P3saF IRYQ7u8Vo2HtUxxvxHdWxlbYSrmAWEY8gBQeg/S18YoXWh/xhxxsWOzKBg9j9OJTzEZGHfIEIhxr JxjEe724mkQl046B1sJlUbfIAfdbLxv8RHVIIophRWvd0HxUL1v14J4yFKdP6KNWp5mUaKRQKkwu DepzkjekHS8Sv9pyucZVMxc3UkGEhvLU4e7MqEGwrX4LTdfGUR+oGJcAR3GwEhY+7c6efG2n+VIe lAHUKcsrNMZyPBbcfJHQSMeU7eaKmTbAmbmMJc3yWvQ0Wma3qt/RFwas2Gs6lnrEupRfERt3C8qD 3aX5Dzgb3SproyUi/uNXh8TO3wJJ+qMCFaikvo0P8mWY4NdJoQZ5KdZpsdn6mO09dQkVRUHl1xaJ cha++7WOiu5xX05jFu5HGyg3xo5Hv96lJxNttBv5z8/rM43KAiqKpchGQs1rZL7iufIdCazM36bJ 319XaGmnzJa1MvbaUVUjCfKM8vcFr10HamWmmKdnnmUOv2QM4MF14HeMeRldbYV/F/qdBbkw8Ufx CMtyuFHi0/piCWLb1DqJQE7G84dP1GU23G57b8laqr8QVZGPycg0lnpGV2PZzLxu7cG6DNnFEMOo Nr+74ItuAuSv64oXgRRWyCTbu0cJ+IH7CDC99KvxYFojA8XJdAGm6OVFuIfjibvg7YV3711UZWdL 418iFlIl8C3jCcw93YikAYK4R5CG8B9Nu8aK0bZ/oH3vcLYmicLYeZsok0ljJAkYoGQgnD0UELmm t9VINb7LVDU/3cqlYxIm5r6HuqfqvQI6O0fK4ChA0LFu+zUKuQIJQLwzMbi4ceXHOgsFoWz3Csg0 F/ynTC20qklZPK7eiyFBq6pFFO0LWURwtTs0KVxwtGkKlZjmhuMzgUg77eqKNB7seuqij8RJoGNf Xe+MsrFQjwxAel5DbikwsLLR8aWR/EKiqXFFPzu/RIYPTQ2cnJCmqyzL5909HguLcBvX/RJCTiTx UFVNYsHkAbHnWicJkR+z1uZmb1H31rStkj/QG7nFKf3/uK6QarhUZP135W3KgcP2tui4pUY+2XTZ dFEAd+3YXk3i7FVb3eSDWEHuohWX4233nJ2z5zxY2FLiwavMbbrutYxEArRDv8DxIJqR+61k9+wp AhjShNH2U3riwwyRocRKBunLUsw/eYK4ZOYeratwDmM+bvq1LMR2pHhcV4Cu5QR0rLgudzmhTJEC hpJZxBxWxvKpiM+4smK7Evk3YmdZqF6mxsiMXR4WWqsE7Q5+uLDWYQDy48+0gpnJZcyg9fh+qfCx Ox0q2iBHz7JhCgov0RM9vsY7z/Ou5D7PrPm1q2sctALYEJnqnMa4aAA2C1ZFjlLDVsNujfIecBMN JnMXmP47GFRopb3dD4gCcJkuVqZ61GKKTB+fG4At5ul0Sv9Y+CyEpa5+JqV7O5wOr1B3etSJrTfC 5s5rrcFbQ2xQKC/701MPj1fAQ0zVzd3YCPPo4He5penMrhcn88qBIVSMorVYdJkyC1aYchuV45QQ CoUi9F5AaFa5/MvxLnbyq/fs0QkWHtH17ePmYUiWLhbKHBdFKLBZfbUYQkNWNLSuqunVcLMR/snc P8yAMcpBzaveD20gfeqwVuu6XpRnZHemm8RJyTNoa9hkh2fDUtv1m09joOTuH9470IxeqaWa9GtP P0GupJORmudoSDojoh01j/LCGuSelmEgU6LuGO9TREKhrjaHFmujIuRT1vFi96h8ibF39Emnc5cc OpzhonL3bgd1j7xBxYgZQTb1Y+Pa1txoNfe66a70uzyGOHzdSeYBIQsXu651lMbq0RTvFH2Bg4Kl b5eQ6qogNe9aeBTnSPKlXnBHPw+xO5i4bGX7mn8iHm1IY1QAZfwMSv3zic3xFkcYh8HCGgOJhjHx OPo1/IqAUPoO6EbRCZdB7l8oz1OTih/FpNqQ4vl2dYD8sd6pRA5R1615qomOIlXV66VCGm5gEHGH Lwe9w1sgBqdPh8HFg9ZLwHRzXwFWrjiVwdB/FJDkXtEIvXkhggvzHWJ0zTehPaQv6NUB/Ix/WIgZ lSPPoSXxpPX//pI2Ajuv3Guwf6+xWRvRBgE4tKLm0cp6/I+Yk2uuZVfIuPirC0It+wovUS0KotgO +E0ZFoCgsjTxO7rTqFn69RZy5J19D+wfd/F0po5WhIL3i1Ith1Hr47I+tjCbv/ktaWk7h12BkXrm jP0VSGy3njKOnXiYA38yRWwYkzZ1kBZC8iCiKKVoQCqD3hCnHP72YmAQI0pH6bGlvvVi09GdCZ6w l99zfUICO8E1zzpSp/nAaUCWvlKmiU+gIKTLB89EsSKgBf/iA9EnaxRUSbZPWUSx+9veQBW8cE71 bqw5RDtzuzmkfEx3GqYvkNGiyOEYvhQZgoY9ujO2W0c3LyNJvanQAr1LSe/+z4Mf4tteDlVQ3FJl B8g8NNlukEQKv+s1v6HJzX4srmbQKN9WrEse724itiF4Esx8vtWtSYf5MGX/tjn3hUWpQZKku9V7 qWE3+CL/yk09tkhIkFFlUaFyHrcKFtiBZaEIS5GT7GY4eQbrZ08mY9t/l3B0923AU4vgxiV+bqKL 2eB5caKml9TF/5UluknwBrNvS4UKNoo2Q7DcQ40EVQr+sXsSr3MOEcpuZn3CW1Eq2sT/zztalvmw SY03SJuof21P52REV0y0CVPMpwWhMulH6D4VOqYV5mIf1j+PyMrMHuxhHhukxMK7VaaIRdwJDRoc 5yHlHZJQdxTAd1C9WqSSYMd/CvPGaZdwx5q9LrD4dq8huyKiK0J2yEa62tH4XRhNoLHiewXKT3Uf RBATT+fAjWZE2sy26xnaW7bKOp0QdE8/8nbZo67JM+QSGwFbYUNHqFavqdWsto0XCEEWxou3NDHf 14y6kPz+bW8Iko3FZNQs/5PtP5SiFfz7Xlbq1mkJSfVQfr5mQu0LmhRPueiXJWPzt6h8hQq0X4Mw dJipKbSleaJGkXyczZF8vd269DGQkcf8hzdKEnFv54ArbEnE61493Og2GWJZRbjK6zXU9fZKtCG6 77opF02zAlGyQyxe5dARTaPo9ROJxcs5g+dfZgHWqX4fkWwa/WNdrRslutvXxxB0xfxotsM0zr1V J6GpXLiQLRNXBUTaes4/Fm0kHPNpY4r8t/38rQ7tJ3F76ccGUAhE8/HypNRmPzYI/1oBMJiGwHQX NnWiOnzmtEo98hvWfVG4iXgpZssBuT5hPwcyXgpWAeFbMWtdzG9eMpA6z6tZs9GV4NYKLbnN2emw quWL8vrzLbTH9Glo3MYUBI7j/vOezPG4M/rSatEEUY4tW+7w1tPsT8Pz3eAAXmXDG2rmW9yQ6EQX Gi4AEhRY+RtdfuLKhUNUXSgGOXpGMZ8GYQsYmpfJWwzukbm/vrXLSNS24FT9m3ZndEJFuS8ynCfg WTJ4PDajDKZYKbfVzGNA2bun/fZ25MhT3VFl5gwV2ANAHs6UejIvLtuQhur1lRYBihEgARdAJPER 1aT4iuNM3I6PNEwysFwmu/Odb2GRxeAD1XnbosDSSCxLLhMdcWAE5q6AtyAo00fqAzpSTTUqZfGt cd/AQvWFpoUchf6CBQWkOXZJ+2BgnWZzu0xcrUjk7MHAMDu20QyVtK+VuceTWa9cdDWEraeiN7Ny 0vaPAMBiTHJ/xeBdWsIcmknkZqJfZWG3WDOgLc6+2fLWigolcnQurR16TquqbB46ZyNC892eh4zv 9t68VzkD0ANOG6yJdsxZk2zuv8hTdI4w9XAxWWiPRlQw6Y7SXjmsCbEn6tQHADM0N/wC2tQonpz5 PoxahDuO1dEmariDVdCFSeY10b2QAiqYgn+trFZ7Ai+DdXN/7AYFLEqpN5ob6o9oCz/v/PtGHMhv beyJXTJIBo8xmY5u5lU9oiWCeIwtHSL98RNzQ3D1eUz6lljYkgOFtdsAby/wu/PfKIhVITIES1fm 7iJwD6dmRFKbeEGUzJzXRZvlWnEQtC6dAN+azx1uyutcQYnqamcIRrKEfp8m5P+Ey/tazxPmnGch 8jYGuMdJrMzty502qccwKAY7Hq32rJFv8dEQ5YtO0d2jSf+eJJMY3kUD26duyxF7vNG5+tIeXaid +3GQlM8pAxVtJYBAP03lTC+8UVjdP/LfdKUFPp0qzXT3Xfcxf+zllalYNk8/lDnecXoU2oU28e8k q7tZOm+on+3Y5PiDKBd/iXt0M9hCRirUqpsmulw8Z8WC1gqH61pRAnOh+IsP1N8f698JD3BzG9Ht LHOzJsM5Y7pFczxnNfD1OKfOMbma3zoSEJ2Ho7IZxTKrnEdYo5VMOlnYzJ70CY9Lbr0/uM34gAqZ S+nVw9TTN0QUVT8uHd1bpYqp5SQ1JW/xQXSDyYoFE1fOzde5PPRI3/MiuNio6IslQuh3ircM5oQs ZjoWjDtmgy86t994gA6R7bR46miYzBVc5JSGzYQQNMrmOOTa+LTfeU7gnbwkI1ULnKXZEGRPtCZ/ 6Yob94jgEsX4T+L+yZn09Jm7euq9t1Nqegf3aTrUaaiNGIkIxcldsj50EKPr3ARnxiDAdRq5UXMO UvzUyz5wiFQRDSc9iMnoaSVYiMiT3ZabU5J87p9gQKGLQ1LGkxjzkhKNk3wKwELTVpBvftUT7byM 2CS2VPqvTOG7G3GMJji4xRwUBR8cum2ispRcbvkN1XrhJgPjGIvPdmYs0I9aoZh6/+eadw8Gs0p1 HC5AmtSf23XMWzo/D1xadJACVNqP35G+NEqVVFn+MgQDLHc62iBTPTBzWE4X0soYUmXP9/1lM0ul OyS7twAEjY6qtTeVDsCS4Onk+7fgVep+jSkpqmfk8yDTGmDMz4jFRe3Uh33Xlfzh1+odkvXZMRRC Ea2Lfa6lwyWVJjIgOVkRUgG9By3trNMpP/VW8K69UU3BfpPF8q9A2G+xFQTEFFAVAGMW8hV0bdge RbaRSLo/Pa9wOeTDeQo12eFwQ37YH2q1SlrccN8yUSIM9c68UM8wCezkLTOiGeElle/5TFOkl37K ZJeSwMAE72I02psSph0ZYBMXCmVOWoV3B5YU8QhkvmEwtPZy0cnjKUkfnw2TLXfO8JfvUftgxYrR X0I3gk0W2sWcoF8F8/NmDLLJG2+3rDgK0Ex89DCuhGLlk3qn5BdQNhHgJG1v161VP9wcuZ6/C/Hn +4UZdU2q5W/RMLQ7mK6UJPa2/CwWIMXgBiqhSq3qguM4mSf2V82hI4UUQiFsJ0ZUXc1fKylv2zZl 9QnTvR4IdvdNKeUhMPOa/NaayhdYirMy7jRrHfWZou2bLWlDDGM33jWmCFpfm+tBzzPZB/7cX8Py ldoY5yhAdwaxUz6S20biO9ZnPSp1pGrmSv7aSHDNrapG5vbi3ecfcxeQ+uQcnFm/I8Qhomg0zrrE 5WUBQ2no2/VozNOKxdnfOENEZrdxHb6sjzzmFXHFzzFNldfmZvDcwG4GcV61HhgIke+WKnADJAFn JVf++OOiNOFDzCqQNb3PySFIa8pp8g9YjHi8n2QXuCVbEEaeYhUgSog7T3XNXv1xIxE1TnM+7Oee UXJqBysjbqqABooSxhzrR0jF/wTDPIElTUSK8KqUSjNkGkA/pmA/KDq9x9XT2XsSxizmTIqblj5i ckH842d7GqFPRZd1RdMgrvvgaO/SInEexyxxXQiozYWVS8gETrsz3qqWemJ67KSn7XcGkDck6TTg dcyDmYUH7OQwHVHBquX5981u/Sp+fIuDYUDmzml4jD0OUVzEoS3sWOgYAdheRrKEK2VS6EJFC4Xj 30YHSCTuYsQ+07PXeX1TCI032ZoHBvJ7xgnA10347ZZKAFMyLqxN19uTzVKFy6W0uFOsmXLwmYVz 4l3KkDqUMWUGc6Qtxh3kAj7mgwC4D03bXXz/+y80jEaw9lDzNBtia+nKfQtPlIneKJ+spHdx/Cy2 HY/QZwfUh0IaRbyLGVaRJCjUXcMpv+IPYZL/Gf2xZhR8i+3vcD0KEjLCTY3u2f/On9PcRvo/qA13 qhAq2Kqbmsy771cE02P+wxVYxaxoREz25ejD0MYBPYk6VxABXwp7rFuSnb9KJoLBUC3MgZocpSHV tnhER7thWA5yLr9JvQAWCsOlbOmBWyY1ECRMZDqgGKkuG7I31wQjnWNLyCozSf0ILnNamms0FS9j O0BphwZxDdhVJg1Fcevi80xsxK/b68R/Ah8vax7HNX1d9z2VStwWCSy+1spl28u7DrCFPcyebiCv t4LCknE6VjQVQqwCCASlcFSBAdtx3l71oIYp30PHB27vaYw8FNPuqiTRLa+uAnZgc9U76b5V6old dIuctvmgnc448WBcNjACFlazM9qIWKlrzgS2EUk4h9+TQfKkdtecZqB6F/ZZSpqtqr/VQITWC/5M o67v4kJKviSnLwhTcqP6or8N4VKMzjeHc4CORChbha1mBE1XIllZzoRNb1rk2Ksm9kH4Jr1XkOAn S0Wk+XqmVux0CwUhvMu0HtizOjcB9kQ4qCheWVAdK8ttHSvM+pd5nty7wZoVozcx2jJ8gZ7Sj+K8 ivR3qFMQblRjj45JdZ7t7tct0iTRyVtVWNPUkbMq0zMW6e/HpPO4h40p4+YYf4UhQAuzrzG0j9ey WZcW51Cgfb/Pad1rOGmRDuNIMKeU5zglveipK+a3xYUWSwk8GMLlo/hjDyKkpeKJoqjoTzAwNdlm pO3/t/JB32rBvJDQlB5dU/EXgLpixMTo7Yx1Afi4pm4akU0a6bbyEcak4s/2D8gslDaIuQrLaM6g xzTJlaJFRbIMUFrz24GmLOKL6C8HRyhzjvSRhVNZC5rR8wBaY4j9GqMR7vsnDECCXUpnwafjs7EI /bWHbzUkkItoCFU8OecqtpPQyblGCp937tm2C4m80OfPEEstjbApP1Q/5zLVQmeb/Bw9DyNqK9cG xrBqa7B9V+u4tY4kzMxqA75Qu8mJlNSIqDOw0xQPtBq//HU1+71unwgRu6VlOxz2zFPlxAyTOfvk WsYyalRdhENoyklRO6qSzo5JNEMuil4fx2L/Z4+xUiBPlnbb9dO5mpDpD63v/LLYWyVBqm2EsVan HykCIUPFIMkU8xbKGQIVU947n0+5eAzks5JFr5b+4Kpl9v3LnxdxzD9sGH6MlJ3arSFspUNJ8un4 /4JPU4HomQZ1lWKdiTfID50AlVlrffF4ZENGID9DPU4mFb7BtPSGs+tkMlDSdbmLHjopwY3kvLev wCLjy2OWwpdJYPEwX0M8h6iOe0GBbXvXikkHRh4uAzYzbH4K8XYOZ1kxYuSXt8sE7NmOUD+LEAFD qu+4vByn6yzV6vvG6IPnltXqahlly8OD7XGiEy2AOXMfcaBEVMgjozWleBUC4fM650m9XAwV1+wK 64Qjx0uTRrNd4cY5PbAxJJ2jGl5o0vw9wd3qJNrg32oBq3urOVhyxZvxCxKHlLxbyUf5jIgg+MUI LuDd8xiiHfOSdytFCeBl2/pbBipewm/H1xJGjnrlrkjTyl9JedBAiMZ4hSejN8dibbrGAggqqw5/ t6k/xnfBBJTqcxRGS547j8h88s1ERWaWOKsd9vyUSCIfGABM/xEfwWUJdxQj8/BqCWueLHRoI3qA FwcnesIH+Appcsc6dD0h6Gyi6aRkXXiZo32BYnLXXfmPgccTSTL9PKj19oOmfPFxa2xO07C6g5Mo hfbsBsBVfd/xoMhRBUEQh6N2whSuFNGGSzULJOG8TgZ5EzKXfkOEoCO3fG/M6tm01Omh5p1mLExK ZwwMycmAGYfGrSL/8F6VHwh4j/xHh+lmnl3ceKibbGy/W8bwRb1kCJUyt1//iGI6yCqKlkobtmay 6dAYKp+dgdFUbddHvjo1KbbbQxCaV35tw9q3JgNj5JOMlAi6dRmPvWgcfxy3qVRGQn0U5HDkKprP v64GYMqmUig2KK/AwyEFUHFOwO3/LPg1ZyuLBB/sqqDgo+7/xLZnE8qCjiHxX4DJzfQuiIDkvhcM UzmMoZiLGjQuSiQb2guvjD+bWvehk7Mli2vQnX6zOi+GMZQ0AEkJVgfw3OBZb/Jbkpe6MXEPXHv4 A6/PPkRAmyqb2MvgAR1Eit2dbKWMEea/IwreSJR38I7q5FjXsN5kA1zB8e11l++36U+M1vAXaugL NXpFV5JSF6L1lEVP/ABXEBLVOy2R7Py77yq10NI535Jn34U7BGMseo9XZDkrChj9HucLrYdQxopG WbcYO7TMRromANvynYh2ccgmHRDpXqpBuJBK4figczuLdcy0r7uhHZrS43v3g/gYC4naGz1khLZn pNkTF/l1e1039MjfqjiN9VuGtu9LI54KrMkrotCNe7gF6qtM1e1U73U7v9hVHd/ytiDsizR2S5Zq sOrfKAjHxIeUyOyB9j7mzS3XYy2oGJSiyvxdEo4/fVpKtgxmYcSHuRL4VlRUpFkTJnAG43ScyfDH qRL7QJgfbZQyPsqMLUQm+2QKjZJP0I3Zj0IM1JrvqJt4asksnIHJXob+0WaIP7eLYCBXYd1prU6c b/r9MGuwmysLC+n3/ERGx2P78Na+RFkI0ZuU3FQ/yVMXq3XiJgBvueyRr6CRcQxBHgCCRsG9kEWO 6ZkX8bBLVu4xXlzOrGrHFnBxvxSsWkwD6aPHM4hR0/sY7bYXTdI1FpKw8RueVOlmx2iLQ1q3xbKI ZFPpqPT6g2vd+gjOTH+WjCrfHclooohHr4os9YQzOEgzfHXhfJo9TSsCrTf76paXSg9t/l5HJFOl YGrnauP+P+lvfgJV6gILiHJErrRiKDwMwzu3BJV5AQSyy2R6D2Zmt+qiPiRxooED4vYss8o6fWkS J81PNzFyNF5DlMXh+CvohtvCvkFviAUcJLdpyh3IpIOWhXk4k44dxytnS+ETYHrysIK4zIyEIq7c RiNzeYZpDtc+kZ5Lttuaz5pO6pa4emJ2nUma36yq3+EfmGyxs5EIK/flcPndltI+Oosoz8gcJVJr P4pYPlG91RAdYnjtRkjY8/lt9/AAE21JppnnHpX2R8xGe1o9bsHmwYFJDRF44Ijq2njAeoqJQa0Y 8s2x2fdzHLMQBf0umkStx1ty+mQaTQYF3E6KpH9bD/bU6KuHv9CyVBY5gl8LqDewjzR4EDCzUjwG X4U8NESMHIYeSNInLJmOCtbrxCjifpjpSw9IFtganAp6qUDOJc2DrABaXyuyjhVZCuN/N0TbE8Mv +cYhKDOHzBB0Ru2wmNRuQ6XWUEANmiXvgwet6IDkXKnJIbaksWVkqjCjYwYJLJ+nLKFaaCGutS3c SSNchObkfy6Yvs9wLNk9aFJ4MvcJEyc04KPooefkQQ+Dh49dMkmCVGhNh8jIwa2zgWSumGIaEqe5 h00y8Jb5lxbMWtoNDsSkU+n2kVesB6RZ7O+aku3h3QFIo4CBnbETjlvdcUuB7TAw0U0RrvKx825W x2tIuPLKNR/Lvihod8XAn3cIUism79S0Rxupv8xBCzgIbX2uCM9DxFb24eg3/OvLz8QusJYEx0qT vdfxIG+d7Dj91bBjR0wGdkqhw666C7pbUoOfBDAhMgM76IsyrV65/NUx1ICuL6YW3EreJfM4FqLY LscAB6nZIBu43+ItRkdeRFV1f+2D3+NcapNvSxbzGeWxL1bwRckBIyEwAuSBEyEeZmckUBsT0aTZ lKjdyFJl6vwVLKBs9HCZTRYv2GZzQ7+icV6PT+mD7jvXxt0cxzAaFJJv/g97gPycNNa9x/AHakxn OKrY0JbiWozE/stoBtWwLTSHzT2neBrKzns8KYNJMw0b3wyH5I8XdhZhuJPd4aUeg0Cj4k01j6d1 BZFuuSRzUrCnV/plx2RQwFCSHGh8cthaWkCU3auBqAmvUOUqBWiMQ1U6TVAeG8xmb6NoabhYpQP4 G02rzr50sbfhlhmijfBm50FU7alO5pFTFh4oV+BL0gDCDNinKuesR1eu7DZjJBN+P8pe/7+AoFh1 WFLJw4a0gOt0vK6x1zYg8Gn20O5AbSP+jGV4QxeoS4R3mZCPw9j+PhEtTIPorObhQN1DoTLXYOM7 Fx4mWizF/HkPYrP3IdefNhdk0NeipaVXupzAvCOtFwPUSpG4si3dZppXNSN5SJtW1IJCVLRDe4zT tJ3f/YgCSMW0PyTvLQp7rYKVwXw0hVbKwK/nam6044gpGOQZKzcy1ek0hKxcB105y5ZNXfz8C/nJ XtEgY3AxE8ZWF0HcSo+x9Xhjfkywk2eN3wFHFiur19F58dve0B+PajEtvi1Xw4pFzwAkbUbQJHC1 2lHT6+YZAEJaWY9wp7taoAB8So3JGqRwRYOP6lqNA5D55xJfByaObr7VuXRERtn9sLqDAcTsky68 AdlJ2YcMtV3d7eF5k7sTNnyv/GL2pBtwPFF5kFLcgvsGNkRr1w+rRpdDygnW8KvXeTo0/0uHiDdc V7o6kYjE6FY5Co98ummN/z0wMHbvR4ytVr/4/RkAGhDH677lzb47VZzNYR+NCf8CMh8Ljp2xlSRo 0i9pfz+K0eiCjSrEuyxMzX68UL/F0SO7iV0iejS0b0a0pIHiz3MvrG7lmQX9Bjm6nB5ZnuU0AgOn WvPNizHB2dvzKgbSNHNdhB128bMp7TX3J5ymJD6zdAunBkLIGt6FIUGWDyIavqJZM49mCdGLKMV7 1bVaOOAY5/rIO2mO+yK3UFjrpn5JiCPfMSI0ypVnGzxShfN1aI5jeGiDURifb513tSWB2YXFZEDV IDK93zIOf6u5h0wNVvxtl0Xf816+SWXmCC6BzUGZjIVUG9orFrMz23RUpcjCZnz+lGLTT65aGUPj 7j74zO/6sjvOuC9b+QNogI55Qk8ugKN8q7Yv7RXidBbGivq8OKa0bNEqqWMMUFS7WJAqlU95pjFq hYn44bg6htW6+DjqX0Baz5rqVEmrpIdoq+SmKwOOXFJRIz33qS+7LHLugZ8N7iincsPb0GN8rnQW 6chLbcbQlXzusR0FGaWN7qr5vpPZvABgLug4L13f9yUXcd7V564XA251NeZJQnPxtGg6FfFlnqVm Kkj2wsMuYAONf080Bpgla3WFMDWIEyl8SD8kAXQHEHX9WUEtiaoHCVzgRgMfbHr5EUH3gIUVMUWk jaM6k4GnYcSzE4daHV2uxBBD1zI4iuwC+IoVooBhj+MJhM+Tl+ZsfdxKHvGl3jJU3qHfGRToVT/Z boymolwZnGADYy53sqxvYDvB5H+V2AVOjRW1NiXj+MVQsw1FbAPT1mLhv9a1epeSvG13/79TYTFl pG9mZ9bulRgECvPI9FEbca+ZjaW0CllGymnYFw0H4gXlYvSJF1KboUFLUa/vABAiJeVs9GmiKTSH 3uSE0OmaEXNVl0tfn9Lp7mbUcp8hXxrEIan0dPGOzhwl8XSunkK2CkozcoGgxatvEDb09AE1FulS 674rQDK0vLH29rc4nI3xFWZSNAfZQ9ywQNJfr63r4L7xg/Xci2dN1IBAVzeW7DlwaeDtmwuqBPsd JZAnB+Zoi5wnY0qRxcqYKL0WfqbKVucy4Xs6wuDon56cuZDYVkvZakc45zaK5iFH8Y4q9IVj9KmL 0Ji7PcwblpQFyVD7Pe8t4FQhmiiklhEUMEGMsN7J+BWLmkMDVjAcfZGQYoDC8LKIzWalHt6dEAn9 IKSZ9AETdCJjxCg97979fmAp/NE0KYQQmOxKTGjObiUsdGUuE6KkNglwz3tKQq5C66DN091zYT7R JRB2dQiieIPyR30rfzfCSSdGYMQ1Z79/ujX8NZBbGRuvPBV/rhdlroIpH7OVVn5Z63t1CIi0ALkb nbL2B4kx4DyzAXbGjPN35HrN6d1pWaT8WsPUt/fnDniDWfkePOLAwXdYoeMGeEbvVvsrjdUfV9rh ET0mqJZ5OYmWoQwvd3VIoHe0+WcCnjIQYPT9k+JjrQRitytfABCWkzhGx1uGMqxlP5J9WP3xXSGP kGU9qOW0ONxqKfHX5o33/iZ2R2NYrG/SJ3TZm+onqSCQuBpYcobrNBBLc605OGePDvnlhEpRTp4S S/q4IY2LqPsqcJTcpV2rCxmzELoMIFoW0iugaGPhCpneYkXh7PRC5sCaAk5F28edm7y/lOb/0fqr EP86ISU9mZ+AHCEBo0ZVPRICSyVgqCIWjWFbMM0zlOO57uIC+9IBJzSCCHIGkQrfPoGS8fy1XgdS RRKVwv+r3lL8rP/zpiyNIk5nQ+ZQShwnLeN/x9RGDdWp51Vk8uBZrqzPLIO6Ak3regyCxEWartlz Q/f3ybZ6IFbIXhJ3XsFr7vrqxC2kzda9K4zTbT+TcU9O4UghnFRXqrRtiiU+6FbFdBo6XpClB3Yr UuVjGIJpoHyy4EUKd4L9uNVYJK4RJ9HdpayyydsQg6JX47XFVVuiHmxaEqu6VcbL0236uZB8zWV6 f2f9IWIjUBiXMjXVXWBA6WVOOc0NQh6tpQuIl5PvRDhQy3FDCSDdOdQANapAWQOX4rk+KYOqRpag 8shRZUMjFSiGDQa+CeCF+yw5rfM+R2HYtobJ+m0n8YTlSZNcL2NXY7rONTMTJ/kwPNqgP4vzSe2F S6eOyw2aQg6wZV27DWdmEb5fNlc4uGqh8xRImDGKr/yWmq9oD8R3yzwVWJVLKDuaqHC7eJ1WelBc CmBM7UvqGUy59TVAnO9QFNiZ+TY7T6bUkFWdkhTDkRMErtRb5VHarW/AqjNucQPYvpkTV0MmaOlY ObVyc2CcYW0SXqBQOB8gWgF76zmfANQhB1Z22A+VLqDc2E7lndduDqwd6WEptemVIaK+SbfhUAPA 0QpU3jrdYDZMz3PUKXGj9LtBrpkamz3kTuIyPTMfiAoLZup7U+sRLMdH9YrFuDzYkQcWaxzZV+82 ZenmtoTBqViQHjAtTFI0SMSG4BeXlRHRLP+oZz/m1SWr/xr9/nw7Xdhd7lvAgIfGxEbunE1SfLgB jaZuwHtay8sduukvYTCA8ZzPLfpems5TSV/GZ33TEIzc26ze/TqPXSnboEhFdVwZn69MFDVg8Ri7 krol/+eOj50BdwAc92nAKffMoc4k3cONx8izXvnuuAED27KRcw7xM/aEdMTbep4S7zbvbakOhQXn NNGXoelVN4nnBxXObtw2rsTBy553Rca+pt4KuqdeykCKil0S5BbCVB6Km/M1w22YiJ2/O04XHS+F T5ddKRWGJLM0uYT1d9rgxsKC12PsvJpnVhY2nvOJt76+H9skuVCFa/fp5wzk4zzgBg3ZKJmiH9Od Px+7zjL3jkY66eQTleuDURbef8K8iwfw9jqsj2qPxvt2p0DFqbN5MZG5ISXTZhSMbbYOcIJuwLR8 ZT4srbh+Mswah1Xc/5iFs7o/EzT++INglothIHNE7Gb3szerekCD3eOWTrsVGn50dYm9q14pNksi Al3r3aOcxx5uCEc5oS3dNTOnvQq016rpA5pKv/2ACUmIp1KJ9Nye8ohpsm/RkQR74/e2msgIg9Mb q/k7eQh6poiFrCnkfXao/2GnR7xj++HQIjUI/8HMdFUSW8yk5zrCf33g5YslwAL4yolhuol5IgpB z4X0jadJzcQMnGWRx+KX/lxSMBVV0BXm5BCL3UGq4OJhYDYZhIjEbk2JSYyCOGi6TzM0DK6BX0H6 J7y3kKK6UI8mOONQaR8dgIlxQ58HS8JkDTJMBWB8my25V+HkQFO9h5K69yJfW6OEpslEHC2kWhiL lVRf82Ze6PkEr3j/6mLQ6+5lqJ3rKxulkTnbB4mQPE0djvnUHAQ9CG4Omm97O0vtOG6hNV+LhhMl Q+tZnMKbECi3BCHJeRKsMjBUo9U4h5zHkIy+3oJBQ0gIoWmuemlkFcVjz9/FzjSy8ESxG4qg2zFf +EAJjbRu/8SdlbDquAo3BKQ0rWx3VxjwPdLCDT4xF3JfGQ0HxivHMApgeAl2EJsYS1mwYwayK+IV veW/x57GCTVID4+TTMpmT/V+bDx3Ui+qw+GVki7dckpbKEcSYo1rTojsPes2I2bG/CZYNAdjmCWw GywweaGIMkESQYaHATvnZP5oAXObj4BsRgTivR+SHsDjArp1DODqYuvtH7mRkG9Mb+u0sVfCkdQk AjKbuoQm/MGozUSKL7PlqwuBLaZAYBiq9mXbaZeeWX1fEc32hxfhQrPlMfSmTNCSUIM1YkGJ7waP p+nyPO9LZJB0tjYILOVcdcPCdaE7lPDHo6bth7gE9yFqpWdHL1FgHCT9bbW3bJdSk5CjwyVAxdeJ NdBCol7/AUJBUaLScixhmuzDrusr0NmbXir6kJMMGtKxMUj1MQ15VQqPZXnN0D2ScutFFVRGnD7J PPE5/JI5nT8E9S4bVwHvn2kPntMrxekfYVbgpyjzBywNatpXF7tsPa492pvN2yy1Lc6em4Mw5F32 527YLQxxkArrQyBkn3LRHm5HTSIO09r43+oMqBefNsGb/pMsXH3JuKKQdv85/P7Uh/qMJK/OC1yh 6t/65EOl1DpHZm0KVHruGyCUl2N7UIa//i8wahcUaC6Cpku4QJSG9KWQ58U/6qJW3QDxUISR04kX Lr0JIsvmfr/QT8om7ZbEP+rEX3ju1r+IaWbsWPjpYIfqAh8qs4vDD7YBWn585WcMYZW6Ynw7OkdI UvR0gPSMU5d/MnC1LjOg4lQ4IJ2IuGPu68FGFhTL7Z1/m1A4YPHKS6LyPy2zgAflHGjn8jY+TgXJ DtxZ7FQP5aRU9ygL7b5Ht/RtyAq3s7eo+aUCS5pDBBsT0nEiOGYrolb3Qv4TsxelrM4Z00HYd3v6 XNAhkIB5LvC6y0XKQWtwifPuqhUN9uxhIoM4pW0AZOQ8B4ww8TYQMGBEgn1mPzIOA++O08TFC0dT WBOdNCbwKo+3Ryzl4L6P1f94ZI++BBU7Kd/7Ax1GNlmXHhLIbD6MoZf2+xacDHuDpzh/w0LzMiQn 5v/wpDv+F5MrI6wewM7CAa3HdWBuKd/r4DOrdzMElcWZ1nsO2DfzBueSzJPFbPdngBof1M+ekCP+ 6xIGeQ3sx8FSyFnizB9tbMZe0A7BLZFe5YK7jcAgo84YOwpCTTjjHkU8qV5E3WOK3+j2PNs7WN+y Nnd8gV8o/KEbR74Z+zs1fbQ9i6PwCkhiipTlCT1X79asAlGnkU/OncBKhR97mOVsSwzZvUyF+NBT bMhbO2UMi82Cy40wb4Ln1c8tS4x0PUjSfI1VHKPmcV+DTVkIukmvhBYWliYv5K0fEVfhppXy34f9 SAbnnyNcnfU28tbYSeINhM3s1C3kQout/Nev0WYojZX9JO/UbUvEZKa+WSEWl0qH9i3iP6KKM4Ik DYK1c0Gf34TDFR6pXYkNz9fqiKk7sag4v7ncXEW+ULrhpzCX1m9BwToHw6W1WjagE/C8XnIfK+dp jfn0jzCtCDoei2VPHi4sfSi+hr6X8Wf9/JmjwVDw9s1tqaAfkNn6cXrurslmtAHfXU5FLVdMYOr4 XGXBzUURzko14r3ny9kDzbcO7jfK4RAWaMwl53iId3ih5zY2zN38jalPlyHs89QNsm6YPPfY36v7 W+Q8Kkixz6uoRL9C1Wx12aJlaicBgRK84P5+K2B3Ir4HjBdDbCVjwgqm7GlZuB30i0DIEhT4ppc/ 0aD8sh6gp5GqqE4obEmxxmWOcnlb++35JscTBMIabdIOuOfIMg5+5MfZUIEueR//5ohKBVLmCIUl V71JoESFC9pvxLrhcNaydQnT7+we+g8twwuxnjXQ3OlDtJp6rM8GMImee6xQXtAl7sN682LkGAlh YHgXEuQC6kCG78/iIwKQ2nXgrpty040fWjo/kxvAuGw05D8sK1iNCpNrUWUSXyp9hluRkpoyjuBJ E3pLQOAGn8Xfyq+bQWgxPuojQybpwSlXCIDZpEFCnzLQohFhJtY4YaoxJvrHsbZO1WpxqjZEg7jv GuRQkzxKWiOzc1KqLt64zNRyIoTaRRiLTZQmzsfB6PEFC4eRVT2cuHVlsGqzHAuF2fymO0eZLR2F 8gSAERTUuvPsdgFsFttBQPf0oMW05nMjcJJk/ieDX3TFiWNCld+DDe0Khtnne3cfsOMM8PSWNOul mvtAfo3YMw2ufwYdkU+y4K/Xh1WhmTmLjqHnxq9VE4XLQUWbpbys511wxcNoxSiiSsvMk65sdb0w R0lHFbmH+7XHGj4qilkAfaOKwOcY9ZyacQXbJpKqJQh+/agp7VaVy8ik1o+8aZn12kSc3/1XTc/1 4PHfqIs4pa+jYr95g8lPbYVGU5xKABe/DlxUPdvzkXaAVy7Ys/J0/COkVQbcfhkp4FYTY5oLiNG0 Ys95bB3q4QfAZJjg3K58lt1PBZ76UgrZzv8g9r0U18iI9JhMkFJRCW0PUfmS3dY22l6Z+YuVIvMq al6KWymKN5pb69JQyW+gWvIQUCNkAwlyQ44NahfDWDvXFnZdJZcMtlBS1czNw5jvWE4pARpym9fd D2llqogXKJxuFdbGJx76OgqDYwAHhYeKH+rUfjhcjIISJ/XOPWXeQ62HAbg2V40hzHkb852bg1k2 fWsB4evP1cKHW+Lj3ZDGJkl8L5hsXqbfpRtLlkWBOVLSb3kdi7sBnIofiCMe+QruaRoeU2JGg1Qc tU56/gsK1fJ3S1/EPmrp9x717lpctAqIz9ToQvvMC3TuTdEE6DvPq5Y6FD//lGZmwvY5pThmcDiO h4zfl6IdRMubiE7Q3m4NAJNXKRtfDkDml4ROoSxNGZVxfjTXpQsKq85hhTJaExqDEAkqO0I/5KDH rfBz+g4zblBgpG9rlIoK+MuHV7sBGvuCN9hfk1tCEGAFm03hxth0vi+O76ZP/WfP3xCeKmeEBGjk 0dK3w9UTuGUH0/MfpqR4C6l5DIO+kKGJyshs6JaoaYZA8q71vZ+hgdkPzoMkDo4uPKd8SUVan5++ QpMqedDHsmx+S1vbozRMkbcXM4XuVPOnj+Xt13OgW5SjdLMEYPTOgyYSeLQm9twB1tOYIkMskDF8 4nbNhohz0fOnjvwrPGuMmpZMp6qlEbEG1q5Kc1gMv9/dYIgTx/3DPFbL+H+BIhXnZyZmLiDj+Qwd CKI8SjH36u8FgQEm3NKoRX4gJWNrNvr0kT3XYFPmd9fUEbLOx3Ksu7E4yehiwu4uhQxEi3GpNOsi bIF5Ns9ivGwnDSxIyBLcPY6fMLL5ZPFpSicjQBMPl7CCOlg/9q+pb33zamuxMsligCUsnq1FI2/w yVkMntQElWeFxRrIXMPVerUZZNCCnosvmlpB6Rxg4cUEPaRnIko47m7VWf1CcHaR/KyLOKCfQnFi UlahMNjbZo+12Xg+3HMG3GqtjlPNNlYdzCsSW+mTTa0uonLbEI2mCFSWn0NFfeKrVqrzx0qWLc9m CRbL25v4AZbWXdR/PfdNw3Dj9NLKV6CzA2xSiYjYzaB09MRXOnUrF/PN9bP/5/IWh4qkqAFA8+no VLglT0bZmFltd1W/cwvOHO7T2oxaWK35CXOPTn4RnIzV0vmsEkOLifksxKPFV2Dk+QCG73dKhgKF mlCVEUoRHGX9aMSZFjOIl/rldwj2j6A+lJV6ldXf/hXsNya2kRFFHmyYILg/jrmIzpfADddWI/V2 s4XA+ABFvJUIE5IiWh0e/Mpk6obEzilAB7LiX7B5X0uZuzV8aRJw+3jIl8p5UiVxPtsqLFlgkUmx 6GRNEOZ97GU/wKnlyGXiwomyGpVkoiUHwa7ey3oHrZEXcXx8Ks875xnWNSNr `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block VZz3gA1OEAo0GderLFN1vAy9VjW6GHfNIvzuIsiYL4pObhfFuD92v4JXddeUi8Mb9uiE9mWeniAP 6axurY4i4Q== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block V/FHqGcuHkuQA/mXo5Z6hby0fYqv5HdbLwzSf6RRkitLROmzZ7kuem6lbOkkdKPEq7el37wV7LLB lS7Z0SpN6+NFFxvpJwmAXsxAlmNILJUkYM2qy3RGTd18wZzOLOD3LW/CxHw6U/8KDCIE0QPR7gPZ MonMc1sdRumiZxCH6p8= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block MhNvJyoQvuBNKA9BY4qs8iuN6gsubuCwSLP9+9HALR7b3OaTr2NNpcJWL5Z2I5y4M70GpNsDLMPN 9x6xxl6dDjiJRZECV9SwEWPnMOiCoaB+AsXeT7vUUtbJNztLP+IJM8m4GxoaXa82G7GmovIGBV/w 4I8s3WETrKiD+AzsZGw7+K2Rv9qW0odg0raG3Nf3cg0Jj3QVcFHpKgJBjvYUZ5EulGHRyU9ez7w6 y6RJfN1K1RY6s4t66rCqdUJUgL6zuBnooS8J3bfZMDJBU02FgqcqfaGv3VkgxhqebXTwUHH6dt05 XvK5nC5Fa8ivOVaKTCai6uvlheV1U35wjc4UaA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block rQ7j2Mp14x4heUZNN1sxi590D3svKV0yVp+Er26zbuDVzZY2es4L4i8KGLZDZgWNlJ8KygSbSSmu AMrgF/9J6L45adeffFOhMlUSE3dKMc9uVglmHX8+NJzpjX5dYFIRPf6r2tIEsYL669q5VkCA/E1w OYrkIhxgyBWc5KA4bdA= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block XSzu0gCO60UCezzrasTnl1P56lBSmB5WoyDnA9GcGthkxKlRJzbd5G8JQBmzgeQ/X31AQOI/hSSR 0KBXcFTa4p7F8sfh56g8EO9ICdlNGlmK5lwucB30KgGIDn+D16gBT1WrdmuBInOK9hVfZpKxtH1C vNGY4AZdGokYQo2eE7iEU2Odq01QNto1qz8mklUCahoHRhjnyHMerh56TbVU4kjgnoVqlDhf67S9 1gulUL5I72iVvZt4D9d+OrnhSSYIHIsZQNCxGgLPpQh16jelRUvUb8ApjVQqxWWD45oYQxzToKeP cjW5Qj2B5xwGT/QE3ivcnwGi9iOvHlavt386EQ== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 99168) `protect data_block +SfTMUYhoEZEGaPCN6exMh8+5eINx+9h8XenvZKnYT7lUL+SKIud5B7JP92jml/r022nFvj8ljEq tNdfF9RCQGGTHNZFMjYkXDv3AR66TL+QZKuZLZWXeNcUYnnD9SKG2ktEPEeV+OTH+i17D0dQ4dc8 bTXU52lZhc8JkWmQWsu8ynkKhqD6BWq3HkGOlzar6pn+tCzd5YncrCeF+VThPMaAk5jH3m3g/w2q shWfF6W50/ZK6pWfVJaEKW7U7W0hPjwY7YHIv6wk/4Jcp8Pwd541tlwVviXKwQjgLbi/+ya/aw5Q vYOzlQORcZtlqJ/CeO1zAkrkbAcuC9SpMsBws6kEA4yPxooi1EGUbBxQmv2usaJqoZPrUC4AM3s7 XVmpRjfyfU+u6hiNSKCJAtNK8Pf/ZVdOKrTF0UJegfeiZipCFWYlhEa6Vw0cve18V7/celtgL6Qi 02dFMt1MnlCIdiKBeINSQhseONklNm1inHBg2lrRZ5XuOdwt6AR8DLy5LFbzz/XotZjUj9vBDLZ2 8k58WFKvW/AWi0Ljwb27U+NmazCXYCgQH8iPRWybUx6am7q/HFbgCTWVa86R3uAGU8Kz7w/SiIGA ClEqs+5bCxCY9YpO3yTjQn7JNsxMI9G7lnyf0fwoAYJYILxPy3de4EuZX4H4j/F1DKCkUZvShPC3 ng9lu/LnCGNXEdDmP+kqccxCtS/ya/YdcnB0JXG2jEDT/XhPYof02HdktePZVeGgc/+4TxPYBBOS YkYFkpf2HK4bAOWcjQ0/YMGV1WSGkuheG0ZOAxxJ6QnZ/2u0i7FYir6C8ndwT/WNLifbO8aZentK 2R/AcYVghfUw5wkqdhl1payu2drwWUDm0YaYwdQOT+E9YK0srOSEVIU2Wb3/33y+ERafmZ2VMT+9 Vp8XPrDUnJbmDnK3TfxLCXjyh5gUpgnPk0dSInFh0h9gT4dXxO1nRA5535HNxGNq+JOxZJlgrrFm Tac2CUXoyV7aP0Xe14PldjKnkWjCTIYLtrPgf/QCpSatYDczQQxPhoopicnFvRoIe+HazUC21kVL EsQ2TL6enQduIEXH+eKlEG2Yj/9m3X2d0GaRre5K/fYk26T18/HQ5cYn947zFNAZVa72+QEm9fwg qFuCAfWCy5UFtyUTLsRnSceaWF4fQa4hyE9sJNbj3RCLR1MO1OU+NyP8LCX2SVST+Hh9dIzXWfjR LtI09v52T55kfbdxy+5YPssCOJwB9+KoUhRmBbVb2oHvE7FnYkW15aa8Uw6F6uBpSBL07NwsYwZR bbuccv80jiutzHh0KsRxI+JHSj24nUfZ0ofM17xOeacEqnEsACqKoKty2SkeTb34Dng4ApYlG/rH upureRjAqyOtsFzYq1SxsowaOOcXj1+Iw66GWrs7Bb+dwR+uYhvStKhJjrqigEFeCDZcx687OEkw SLnCBUM1Jw2POetGI8/b3N1Gz0viUR1dgCOMo09NLXUm6aQkYgW9B3jjqS6HRjG4VV9DS3kohLuS HP3TlD4vytI3+uaMPHfLi14oWOeYfem79e2HuqoUbR6FoUWetnbGJGZe2iWCWg2SINTGFxm00Onc xLtWRCGasWYBw7j+ZkrHQWiX0k3XIneS+9jUPuq/TegyrbMwzCzwJmvEO/XdXsyuawoTLet7rqhv /S9YDuQgDFQ1A17sWax1RHLy5o1ssg9RBw58LVCBgqGDJLzq+jrakMiPc25EngaDubDWZhU+6Dks HRYt2tfBq+3rqdiyd6RSllBQWMo+ErHVfNpHe6/rf90TNWE8zSSGOvzHn3ssl5SEbdvknDMaWszR 9xJ/EhqWDbBRaW9OnSNBnUHHeXCWCr0Q7tbGAW3DFJO9/pM7rw46r+HuxDXQ83YPI/6jRkJWklvg cOt9/PfrplkSXryD4Mk0mz7XD6ZkRd3UR3gkGBV6TbMrQJjaokzGJidNzBtozgcKBUv2ZyO2UYvY r1Z3KwyfTVjRo8yC7EtVi5bR2pVuQnxpawgCUqsdXHJEXSNUTyIBJuidg8A9rmRZmbPFCbBvflrp vR06UPQuzhkPM0MP6OMUdb4bWtCl0CoF+7gCfST89acZ4J8l/jyxZgqmozk2/rY8eMyQZoxmuZI9 EKfARwISgTIblcj2A9a35MlCnCuE6EGHGYxj7g+2qiVm43pDl4LUhie/uY0haizTya7qhEWCMpbM DHKKeYgAzo5eHPSz/3E35PBqKqhsDxF+2jQvD8TOQudka5xIsbFyYiKIGuEVKPDfwvFr4Wb6geuZ vh6sK/gef736VMq+NMwhttBZWWblU++N59/kn9QhHjqtXemIPmNjFLTY1551+K2Vp/ReLf/ItugD 2yyELVibvL48exJDhzxlGQSEUL4OrEUzZhZNVijIh2POY841oo4oDbdTpTeO9rqnaPuBNwG0SGjY aG+x/OVSuvkoMOP7ltEJ8L6frcxhcIFBaCb1mstlTxHxJGV7EuUW6EHMgHs8WUdUCqTygpZ5HETx XmRM2w8Hp1RJoNUvVoCU8BlmCLxwxgPQyLusCTMf9QU2vQrehhr168+TWKAFvBbOfeWJsXTmKmPd KoTb3eMQdOAHIKtMXDB+lcQ5/gtr1KrVw2GEaSX1azNWcm15lKlGXwwGK0xpNXwuUwr3B+RXuHB9 khcHu6t89MuCv12y8ScdMdVjcGIP2wGYBpU7ZWZPZN4HL4vOA0Arly72YngI6ncA8pK2i9F6Hddi LQaYzWJXVq0pCd8Vxi2UtRE3/2cp9IftpROGb3tOkkBSyQlZhMEiHYro8Uhr9Xq3FiseLszdQQMx 2HttMaRSo6X2Xw5Byh/obUeCOHvOFjvd77tWi2ZjxWxO3lIfrd5uHJRkQeeayCbkDt3niDXDmfBn 9wZsGelQgnYjd8TgHTqeqt+18C//bJ+C2ZNzhSgmDKCHbQU5r7j8QkW4bJqTcLidcfmejGwYX6TG sHT1PdUQtV44faSaQYQBlYYpnWgB18nla+F7L5wt/HLXp7dfNXSTnKK70S7wYKbOuK71BJXnVV6R tBNKmwBh/wS5EMWfkK8kcfkK0twlzP9xmf0UHVAhJ//gTDmzuk71xXD/7xTl+ds8DHw+f7Y9+kiv OwoN1kfP1FF8kwNujzwRj7ZFYf7TUTTZLq43tPN49qCNr9OxzwqjmS/4mapkprrsJbq+eoYz2vuK NlWb/WJdKAsGcI/+BxCWDPxrCu6eiSWQ4eu6/k9rAGnOBWqVn8vBt/UyHtHh+dyKzAGsG3QvqMY4 2gd4ie9rgzN7oJRIs1XVMBJh95UPMozuPPPN0XS91t69HvIzFHpP5LPK+T+qS6awiHi7PZFmOPmT r1nrrX8rIeDquQEJg/K8iO4dpJCK7X1uGpKQAG+iSKuJH2hAEZr7aZlNvLEvqu7Pe9RCwJ5PGSs+ +Ldp1BHxGiyVGAaeMiiaTERsKaevqvg1XtjUQEJ07q86FoXcaMjRoSEUv1fUBd31llzZkaXN2/7A +p8s4dCyQ4mwmcW9I53d2fsouI8Q1RvkO9o/HShVUI5h4sulFO1TxLgXTqe66YnjBF0I8H2JmYif /N76hJvjvGMn+cDElOAFvcc1fLbEaggQiuZS6oyypmCvg2yhE7CpnBEJhtQTYnxnOCaQQSZGh71f CUTVQRtWcLgR3jlrIDzSn/CdMP16P2mezdKg+lp3/vMMKF9yUh2s4uoqA5IAREnqFWlwrI2JTvzS VHpXZThIuSAMzypQZbeG3p5aHq15TRkIggF+Nvy4YK5RLmDTbWobuN6WgwbW4ytxgah2+/MP7Jty pI25N0+99wprjGu7Nzh3uZJBhEYp9f7jipEtcKcxLFIPbHOYufDc0u6p1OBO8stdPDn7UUGwnJfc wHL/+gnyGp514f8SrKYJyouXmd2CtKYb56ZudV+J5JqHkDY/+CijaVbmv9gN/NjKJ4t3BxddUigf WqQ9bKf06UIRucEnFBlNEfFn3kRUapB3g86RcQlvdXCrN4tNDMejKm95iXokAoO4g271B9rFA3+q IYJ2cnizKxWi2G8uQ3TDGDVUDgM9MrVnF6cT/O/dpLWjFr0cUT7aKXAfI5uC+lgUowLo359tcU0V KM3Gh3dpRUQJB5b92zJtGDqClPKZcUu2uernXtRtUmNIOeX3+h/kvKjgpA6wbtwvOmoeUzcqCxs8 FVx7vgSYbvEY68IBQqau0V2eKhHscAuzqwUFc8iGVXA7vzOEcdBXCfPrw53XniGVkL1Lh6VKpRvR yzlYGlDA0ndpqw2McDDAuK1xdbowGLb5RQ4+XNhZ0SkgHzti+H6wMsE256lsCXoA8nBP0Bc22ZjS fNKrL5pe5pYJsKZdTk/3ujRwb10y2uEt3tv5batUtTXVfaG8fX0Sjc7eXzU57VrikRYFqX3CXP2p zBRqqskl/YqJYD27C8MSPyPSSiQYvRkDbD4tU38VQBBQTfx+nB1ouonn3DQg5jTh4RweKZ9OEX1u E5J4jh5+8cRbPJ8DwKyS2WkNrAqVcmdQ5QYqiEakTSvQxDzjoI3Y9a5C3bFzLDjjhH7ycWb2VySI 9MLZdMYWZSfPhiTXOoyrhv0eRXJC4NHAmr2mIKsoTPX8+f7GcvxjgPJUv3Y5QmgSpsXNKAd9zEGg ZCuVhVChqRvv18/jgKUHQs0hAJyE/Lg70Bh5O5A1UHYA+ITLPzFZKcu1wK+gR6GRDcFDjgaEClL2 lIAMUzPe2gbdSLhFkPMj7wYHDpso7dPLRUt64Qb3YT7VzRkWE4Y+Wv9i5JNtYACI32MaNa0yXTlv NlQuk4e9zz6sIjdZIY46jNQe3/R1FU1XmtcvaDdUt0tphLpV96QNS3htw57DFiiIgg735LnAtRod nNkRfjjSrcTTlRdnGE8xNv7qDnI6ZdA3CW5PMmsVko3EVqpfSbRHqCJsyXjXFQynMeItm73A2Y/Y fmBl1p7k5Pb1kaGTZkGyLDqQGW4kfsDpL2mXaEqnBdIQnxk6GvX5BUPe7CVRQGDzaFKnNc0iNEra 6by6yKqqlRBZqHJfcmZY41k8iRu7/9W1+5LdbopU6m7NaGRsnezmZL3YTfdGlmvGLNWC/0/XApnn OuUDFX4KhVIlun2a7R501pK9EE5mrpxe2Q7OHv+tOVEuQQ887/EL1r9wVOmbZEHR12zZ07gj4r/v eFmv215yxNd01mNILTPN6nBP/sNcAWqFRO8JTiwp+sj/j/tPY05YOgQbqMZdf1pPLLiLpXpfLUQ1 73HhbhYKzduzZQQne2AYwldUj5U+oHVUbXuzKDr29FP2X5htlcaRm3IEIXL9jIdi/MbeCajX/VPP +4K1FuKdaVQdj2Y6PcteSibd5+k6bCUKHVIm0ga+XhspuTi2f0/qCnLmDpzfwoaFYBPl2mUTniWK ILDX6xcgrNMqjbCWF2qS9aMwIxIRo1Pi8TPLBxQEgM4gvXxTuvHvwluRksS4ddrZWHvRYWT7o4wF TN3dAHhOBaG5rRdYKVQfGsGx3sr5ZG8S0+fzRv+lbf1i9L1yE6fs3vjkIllRwgicIMr/Re+7D+uD FA10ipj2SuLYGNI9QrOsoROZnYTXdUathKj0wpei7Gj6I5VO4Nzm3Gx0KVeXTIGM+Kb4o327txo4 g2wRANNCj94bBdBdS+JaPuAxieq7gXbMBcGO5FJ4/waUt3Ln4LwGH4M1sM8INl+fvXegoryAIGI1 fdtzL+PdaxNrKA16ERfQ/udFQu9gAnxA5eWNJQRgAnVPJqRetxex1SC68lsNkzw8o5ihh4+07BTi mad3siJogE9rZmlpUZ61cNHUBq9TJ3dX0IRcsHY1lCr6dEnWvIAYmBOH3PU4hPTZsA0rBJRDslc2 NS3LK5cTeQ5cY70mnZoqbiooWIQfkSxbkUVz8X5GSnhkbcggF8oeYZn5e8qhxDFsgubhg08hID4F XtwO7TgGFjUIByVg8WDhOY9tk82WHZTORexsnDru/hUnSFh9/KYLS/RjT5n4noJkOX+Q9wVORzYN /1rQ5lMHUCiUqNSS514hLGyaRMCuVEKqd7QfuGuiXXWrbgEdg09sI7WHKf5jQ1Ei1NFE2ALzdQjW 1+AGnHIkzviFMltb+IpCYTPNtxgO6RXwDht2UOVSFgoy4e64qRYvo4cBOtceHkLn0v2av8svQwVK Bb3FZbdWQeEvaOmoKMcIp+fDiHkTKyxMMI2CZRMLaaQytHiOGlY3hfQwXjaEk7x7gF2jKeYxiUrA Ul924JabF5zfdtH1qQSuyL4If1X2RJ1FHK1SkWMQWHfGJZfiOr1cAXZchBua1Kn4KjbmI7BlJ5UR cRyU4q7gikDw693gskJ93s5DDfo+Tq6zqXtFHZWaPTTsdKJW2APoajp34C+D1ZBlhI8XfIQhVyj7 NR9eowDvgpiPKPWNvPo4c3xCKLiXRIJQI2DN82whv/QzwVUD9woQdio43jMa+Sv1wA8Hz79iH7lv 29ccEzFuieyekQ/nMBSH2+bXhxTm4tbgculG1nNxz8rGg+Nd4fDQsRqt1+b/SQhPXnmQqwdYwO/Y AbK9zCUSJVnoKOIgxI2DJqvBmUQAHRTK8muMvvgIAfuWRmol9YGRuHZbyl/9Cy+CgF646eD6ln/f 43TLV/kunqWljkgfTvL3Ud0F4p2s0PNoX76EXfQ/dl9Uc3T4+jDyqSHNQofgKgvO60YTnlf5Ggf1 OKfuh7jOSz4Krr5nSYIZYvAmxzA20qCJ/9H2k/MJenIsoRC5STXb2sk1Hn6pN6Xjz5URPa5V911q lh9njqsDzMQh/anNR7tA2zG+AucPwAo5qd7DKM2CIwQGTB3f7T5ZbxkL6NQcLIl1H4NsEGZhjhUv jqzhNVDIDWDyNXr0ukyX0nNKDn9JutrdybRKqtEDvVbQDj20/Lbpuh0nF3y5KReCzOdG+HVB3msm /tgOB4Jk/DvrMCFkkYG+PEfhZgOrYkQvvcRl6uBuM+MVA8mDwd73cq85R4VepT4ds8R18EKdeXnX 8QcoKNnzJjiflWfUZqu+I8ykhrQ/DPq9Mc/WG+NDqx5Ui6z3MooW6+BOce/t4QEpM+fH6NPYRg6Z NIHeHSddoV6QlfoB8dA7m6QLzE1oI+/Rf/69mUNmUQIyA2sbGJJ1/foQGczdJt8V2bgkNjfcr6Cs F8rbMeJB+HzI3fTqpwqUhwTm/WDn5pFVaoa2IeX5/U/J9TIHVDVPngW30bO8387rwBkwxBN3D7sR wX+016uKcwRFwHpTpe//fG4RDrG44FgqH/QELydCv+EN2TNUHWOjk9tqZIIt8tB0w0t1Lw3ZePhI Ue9IqarulW0A6hKKSraVXOZ0iYlA/bhnbooE/kQaY4Dul67QWfbMhQlavsxOds7uRvVp8I7LUD4i ShAWIoFKQVBDYR/adyNIfrHvFv3zdJCWwaJNfpsdfwaOrnlekyf6JA9DyaOrsYCK4xIYLPmRdTP5 BcR6EX8fi99PHlOMtOgMJ76knKrzK7nEDkvMg/duI0UsoUXcTk9MvBWpo9jQUBoi0+uVuHauWWad Gpq1CvpMxYB2esJSxl6wJb41ewuYdtR4YvkC8FbJK6pTJYgG8+/SzjcpMFoJr1dewSQVuRA5EnO6 wwdlVTVLeJGSdARyGHsN0G7sikRzCqul6Fp2s044HRoyNKY4eL15r2AQTx+iyiiqBSvTwoDNVME5 iMNQJBqkxfcGZb5ecoKPVnm3rfe36t4DMX9oZLoJtdMbariM+cNyXlkw0EX/vy9xY4AB0tE5i7kC WK3crQwur4Cp8xIzJwzDJEKU6O3Lm5ROVYdSRDWy1ZByW/TB8GsXYIXcRcRPBsJxA9uncV0FwlNI VooB7rctavoEGqC6/EwVSyPB1jz3NBZIAp6eBtpPXRkML2nYVHA0/fUqgg8a4xmYD636ZI9rHtBI UOfqUztlPvGEsKbMXSyrYZFjlvgxbdfLL8kTEdATE3EsH27Uy5Ua3V54epUxSV3kFqCRjLIxY/7r Ds9MEs6tMyvnk9hrid7jFmsvOg5BpHKAYDNrb3gnC7SJLQINXaEBo88RTmULBBNwTV5rc1TQmIMi 5/7NadTE7Mv5U+pK8id+t2o/BDchQPTBtHp8XljVw5k6I3mfhUxrZGQEuYAMBEv0R6OSfUSVmLzo yLUsaIwxgBCKyqUhdH6s+gXrwMgPd6vE5gVMl71N//I7wuT+XSMSdwRtXED8+HxCTdGygAjXa7rQ tJOJvJNZ//oLAgRaZb1DUg2nqcmaigz7nA5hqplF8AlJeRaQkPdm1ZZrPUFyVzBvfMNs9qhIzAsj 7/7nLsbq6buFZmG4yye6i8L83V8i9jWtbczWFdnriz2yOpRlmbOzWc/+b5UKNWsWqWNUwhETz73G YVARytV4JIphmtE9D6Gn1lCW6jqKaZ31T00IOOhRtp1z6N+jZ6Gr3787WV3n/YL6ZzuPjfB+XXs3 0rEuXppcHUG1itQTqKBveI1r42udPmGLY4Gx2zz5uZhvT7EHpQDL8iEUKyWRvvzQmeU6WA/uoftA VsYTq2xeDNJjA9eqJQSU5oMiAfsRaotuEdQXgmAprTIQTtCkLvpTbkFnysQIisZ4+Curd/tkrqr0 eVtGMUA4DUNpixZVnfzrqdM+s1ANo+jAEbtkaaQkvl2O8xR8chwRrxx90DThXOEDZLz1pgH1RC4m ymyLawgqxyNgeLXy/wlSd6NcwmEJdcYH7T8MrsEvnmPLzYeT4/a+NQ2c9DodDC7VsbrNnYFsPKKA nEWt454H/VqxOwCb3yhXqL6vRJKkzj/SLVI9Dh+FSBTIcAd2WH1VWSksk/542EjMHcU1MpXqK0ex mGTsfi3PRizcvCNmQTmFyWrrfVtDr/JGRVupbZerrFiT4hXyzcsA+yyNf5xrhAcFMQtnQV19S/8H XoOstvDMoz+F+iD7bUwunXptsc7x0MZfiWtahNR88QsEW2MVU5RUiGa4/u9eRacPACSc+Pj+FvSO 9o4q57PhE0T5WtSP9F3M/LzHTN2QB3UsfumR1I/U00tkZTBqbXNrVxpWush0LJJ7MX6CBsafPxw3 0mj7+DL9IrS0OGDzUoQLM8N4OQWX/9guPrmRIBEoiiuxIoUr0B0sOWbeIM2GUK0uythRMbcC8Lqi JCqsA91Enoh83GLyviRVIDfehmx8ZMyfANnAvJPCBRE2O5H16pkHMA04JSXQsG5+6hrBNR3pW/zF ALrDM0PsSFeVekGE+FVyOfjtA+Pq5jThuOWBJOOSLWew07UTUIsFFbT6ZqAYogQumuHzryVCl7vZ /mjrCFM91Zd2/kp7IrwJMWnRUfyF7bXdzSIRIy4CMaR9IuR1dLnSfvlDuLoMoBkgyZXdJTrz2o2q dfTmSVBbYZZKhLG2u5J5kyCYckc2Q2FhO7zpvn8UjO9WcjQQ2X3Bnylp0yGmg67QfqvYifMsW3au q0NqrBkfwPCq1VtloU8lzTrkI6owbbwpNqpJjADfZ/NFvvtEDWSQUeF6tSe8r2/6kXpC6UX5Pxmd vyakYoc4uQXOXN9rJpLYijethYwN9q/x9D+IztrUrQtTsg7vYYK1TpEIKVQKsyPt5fCHliJI3lls aRLTHcYc2gkiIqIICxs7VUPgoogf+OegwJPYgiBUXWjo62+ub3d84rvEbrieUNjGK6TkmFSy5ctU IkDv7WozXPVmR70HIJ65kA2tdmuaaf8XIDH+PeT4C63aZcU3ksnQFDPclP64gBnEkI1NJRMjlbE2 FNZZq4tSQQMYEhIqmrac5R5f9W4pf/MQb2qd7s+YYSEGm7xNtCA8azTn218y9mWkvylnfH07VRlL 3DfrXpLhyA6oQt7UHqJRav+wAXi2tFpRTrUsjohgICxf7xVaIhSYsDz2lzEXgNDlaujFZFT8eA9J wwqWGin8TItJMXhzSQBl71et2GuoWiKcGieKBxKPGuAwZuJHAhsvw04nUWiNajUGoant9n/fTGMN BSj4YURU1FfG+FN/TFC+c2PIh9xNIbCmYS1wyE3w//1XePrCcOUH5YtLy03RtZwjde+bBcwc495D DXxgY9z9ydoS/0IFmWi576cpUgK5CyVCi5ala8crnn4thGB44xU9EfludbiCu4KU5pubYuakxggd TreK0+e1BrNeJBWrSMpJ4PBz7BTRxN/O4so98b5+Zm3gx4X6iEVV0EB0qOIyJOcpnL0rGKDRp2c7 oAZjXKrIoSv1csopCplY+jwgTgCQ9lGyRPmZMgFch4PhtAJdX4nfmUnsmE6xzmEmx283N5zJm6jc 4Ov96DWp+R8y06wiw54USBXRnGF8tZWJ9vHvU16vYs2ih7kdY3TFBSMFYKtUFqmb8v4u0NKklF6q 1zOw748DDISz3RTs2iTbjQbD75cC31WqelRDG7jpJygJvf+vd78tk7dPxfewYsjNYE4uSMM4g/JB iYHDTUwY3eMktBrHJsMdbs2/bgMslwhhWhGkk2Sok1NhxFLiRJuQB0QWTGM7IumSlmtV91ACVBPA 4b9DJSHSkGBj64l1JGbLmsTeXnvW84RTssRXilNuL4dCDw7A6K1+gQK4xiZ1u1lbOqrj90BF1tmI Nvf6ar4oTdDduyOoIXrslGvW0IAyZUgrC9EFAHOXXlW0ag2u1O62cIZIEqyvr3yKs48oLqB85UbO WxwKNKX2uLbwinaVnoDSh21nKzMhrODL6VcenNOQLUy5LxTsN2R/UVZU/sxWG+FGuvPdmKCAOU83 DG6GQ7q1omOmRgoKvLjXWkuZW6p+lvZiF6vGVYjZQS4TZDH2RsEU/SoaVeB8hsNMJ0L0IxDmOuzf o4JovKjpJ25o2G3cvFnuqzk9BRcE/UhYcGaBOrU2S3ew+mb2gL5m2jGq1oFhUwsJXMKZWLo1KcM4 CjGlseUQmcsP2oE1haL+41ig8gNsw0lrWm133OD8k/EzV4AcgfUbyAcX4S8qWYGeg6PobBEx0Nrk xxRm2fV6S8gb0UXYemB1nEzbTbMKYkstgu03kBDZxk2z4WUVYy4baMp8+09tzj6BlD+Ob0Twdeo8 5JYYRS7cxOrupdqeQCPpiYu3IMrVW1KsUX49tNvCOSjG6WmkSE3lzOLYA7qPi9cVgeEH3d7MiCiH 66VdtAViA3NLTVygmpMIZl+qtgCs6TTPhPVLRmLCED/N+nMOTDJXiM0oUxD9c4sKfmHK1peuIkur sqcxGlpYytRFugwDBsy5jvltvV7fWuA+J74yGJk4vjVfgdDb7ktwCwDY9A6jCiTC/FEjEccZ48T+ nvTSQ9x4YYzav7wcrsuIRk75bo0Sh0SiUNdvsev5uJn7X7vCmtPSpTe9i0GoK6BgZNx6XTAS+yQr ayccGX4K6+900Ux3ID+leXQ/VJsdF5E4DOYZDf4DSZrLKsDpqkvQCAd/Ks69tB3KJ8W2SdfapI3n LFfckG1DpqlVsR78cwMNdv9BDcFwkVC0mpMcavuExPVPB+NpPJgkFnNWemfxd29Ue16pef/TL06K zVH4k9F2ay0XNAmcCzIIrgiPW9qZSmFu6f8eZaDHIQlf/08MbIL9F5kT+juNgOCvRbk/7l886hLv CHogYxuEy94yzWAJs3Oz+qdqj2VWRtq1yqJu3+v88OqG1C/u3xYfhP/cH3aXA5E7Voy2QBxixe// p8KHq0PWXr8UNcize1yirxhr9Tr/5eL9dZYU9Kz1kv5c12ySsdsGx1Dz/PSqoNr1sN3MgcMdFQ/T M+fssi2+DDhaObEzsstZlNzCAUrTuztUdC0tjSu+14IewBjozbTqvETVQi8DMiaK65qxbXrPGrE7 OFPveT8DT+rmYoxzGX/IMrfP96YJutQyi3NCrxwKiXWgQ1dBU8gCJ/gF5+ldJalHzKx/gkK9MuXw 9hg4ObEOFynQ4k1G02W5zJR3HcAlij0nLzt+mieMU05RWfSn6gDagVD1VHd6YfmhSWX9brWlgL9S IHydcbuTGp1Mk1+TUl13GO/tozr4W7hfE+QRd5V2yZFKpZTxYbNWIrFmzIu76SYtg2vuHSYjl17B CwEARSDmmVkuiAA2h2zxQ/TWMj+cfqbCxoadN1XA9CwI2whI0MueW0jQDu+V/nz7bp+hhNmsNQyC 8jetgpMgDQDUqm/OwmUp/iqgKJWjNFo9Bte7ML4YQGbyl4E2uxQM7rEhuxH4ftr5oYko2afW436J 2foFRQZiJtRSfjT6ASfhK1+bnPXfGZJtRCOL2zy/y+lhjRM2m+N7WN08E2yCM+yNahdqvBUh5Fuj D1O8LwVdV6B1QNJhqrrpS+9LVgKtmifQAn9fSoWhoXXdSWOQSTmtQOg/b+5GubJLaHUsrME2Dh6R zI8V3GCAACho/MqGY//bilVG1a2aI1qIxr0WFg3XydOIQwg4WPfCaF5cWv6Y4lMH3TVYrORABO2k oJsg3W18MFrDS9yzt1o6RiddEBszhGLeliPko0mJPyijiCg9cU8yZU7/ddBtW8WzH0oYsMmSWpbM i/TkZfAAiDCYbVZ+hmRqV2R7SYJ2VFxLkFnQ38GM9KutbfrbbJf8dge25NAvw/sW6lGxjT5RT615 ftiyYzDG7p/XcYgHV7QQaqHVNYTdzgceExskkh3cKUUYjOmAqXIOj7H8DxxvqiGzd+lB0AXedIBN jxy4CHy1lR11gh4YK5Qnt2/GPaWcspK1JYYA7eP7vcX4VeOG0qLrn9MRENvoLClAcr+9KXGGAXc9 OEipNUmFajlRL9+WdDMm/L5IdJ2/ozTmUtjcaDs8CqYFw4RHVk+dUVq3RC/kCSad55qdvsQpv/QU VTyJgSfzFeDUAIoDSntt+X2ZzMJEoU2Lzqsk5+ey6h7C/pqyoLRrHwHirvPdIH9+HLUa3wgU/8Oo IbskvNIYVFTDF47MU0m8kh253QW4OvTYk5WSqZplaKORHHaikFRgHb7uEODxGNwfH+JH4cWI+rhJ WiiF0Y6idFKGG0+QusdlcQB30BU0xSZZISIaI7MDjv87nnDkuQCjMX/0+nteG9Yg8N0BojqSxnZc UQN8tMsQ7hNY5P9UNGCcgRnDt3tgaPaONQIira2/mTl7HFqD6grzOz1lKhr8qu+wFO+7QMkkiNiX EES/TANNhodvgMvt/hm9FWax1Mw14i10Sxh9x8fYKebHXVIeeVrtqYoOXaDnvrE1VFVZt8kyAeo0 DUoCK7kdxIo9UHkTAJWuhEFTN6XCmsOXiWerHDcaQFJI8sgv+i/m8aQDgX1xAAthuunapvM8JpGM jUDJy9Es/lLcna6BquQ2u6EOar61+fVKiEn2ixBIspSzCz5zIdNDBms7YkpLzw/QZ7H2Fx3Eg9Sl 6PWjmmzxyfA7hhcFe39wjOKqQxJdsL5kKGNeSUkmlmAZ3DOM03ZNF/OSytW8sBFYvrx7MlG61DMf DZNnWIQdtj7qK8hNkkDW2T++afFP6Jmikq+Y7CO3lx+CmwA6UXOGwvOHbFE8itWteXqO8cItFXQC h4GR6NlQNWsrnQH5Eb7Z8BRlqaeiBkotNzLW1AJspZdU7M7qH/FsmfiPncKuIxVOaMuOiKTdy0Jb 2H/2BvRJ82Yz2D3DfWy4FVr4NE5rNVR4lL+dp1SBlBW4JtZA4WOML8putvakPG0e3Jh5OCpUJPEZ itWRR8geAMrce5GVrtYBbTF9KtwOmkNeLmwyrqFAjl/OymQa3gT0Z5+a1q90YELKJ59SLrhJe6+L l9HYZx+g8Yy10fdwSHZkfLuGV1niqCUrv+z1gWr4lkdkru3gf9XZLhZioOCSb7ySrtoLz60HAdkv P6j6CLjgqWQmZer1Ov9U+iSBrOxZgb//rQkpZDDyTbnY9cv0qIBgtmKqE1a+q9x2pei5KKrKuIno y4ARYXw2jLD75DZ1qXieHT5Bx0HcXFhfnlQggDWDOGau5jzpt4qj4L9UB0VaZsWLk2iQkJ5huNKN czoaAHaN1QeOYaPVqlyHmnZ6tU948sCBYMOSMbQZt94yvtJXdYjGLZTkQnIGYDnRhwGXMhi1WIJr Otap66+BzQf9N6mw/UeRbZ8lGGOBiRKStUTPJ/jsYErawnF05XGLVx1BPMKw2qLCl3TFq7MgtMlI YqTT1u3iUCVd9vct12mTrDuA+yNCzTN+dkBSVeoceWaTKEa5kLAJHiLX4oKG7jgJ3DhAx2AYO9FR NS7odjQZ0zxQittc75XO5pflC/hgk7o1D0XhXpB3nFvhhgkxPR9zoj3dw1x/iih45rsNEGhl3Ejr WMJ/OXZ1hdQcwPsBKOlKiB9kE0iMiBiDk0WYay4UjpQH1ziXtlV8GelKKHD5B9i31hUkogsFczLn KInbpJZPVwiy/B8jO+9YKJDABaXN8KWiAQe2uSpzvEO+9WOx60mOj7ucVdRuaAdto9p9hqppjgkZ oOkFDr5g557KyB/86Zt/nsHsLuY6F67VoNL5Xzpyw4uT9NjfimXC7YlGilDIfqQkYrBgo0+EsVO3 DtwV5r0UpWStzS6cCMb8INGb+HWrQdHJRgfJ2EUd45Cg/KeZtaU1MFYFBd5U1PWlh/6KOGN5mPkP ou1VPx8fKLIvFr7dACTT+A5JYMO00PiespXqokx/JhDMbrSu0lHsnzwIL2cA6dAbgT2sJHNpCHOp oSfDDA/mB+A1pWI51kVw1gOfytdW4aRSs94/wlHIlIgKyFpm2G5O/P/FE1fOH7TGYwyX7Fhxr3/O hDLCMpn4PUuxv9zbD/r1SFb0eIel0RSJGjF8k7yL5I1+0MqCO4cTmhwJzA4n1nLTRiE3tzCUZSWB thM2agQSRJTUjVrGsmtePJ3k/QEE27b+QFAkz43YuXu+NsWecSNx8GKrMtyaF1iXLhoyZdGechrp Nsx8LOfprQhDK9buSl2oLs7P0c9J+d/hpxJrI8azi+TPzGZi1vbFAH8xYvKQT9gD5zLkD+svWeFW Xsljwwus+rloOjwJvcOMKTnlGnXm9rVJoH7962bAwPC3A5HsIr/KEYric6d6wjiDzZmMMTJmCUcM v1H7eRWKEGyT1fFtm1RrgL7MiX6EPGpMqXnqVIgL/TXUY/aVvkrmlYAgOUYVFzsvHlYEOODYHyTk k4OHkU9shJ9LXqNzgerkUN+CI+QoLv1lZg95rWSzfWoao8Wgal4uviuH51KqWAQaM5Of5NmXjETm dBN4ai7ZvAWmv8A0aofCtYgaKaM8YYc9cRpqp8BuJXi5uQDM1gSTakqBd1JpGBseKBLO/54nqDNG b7sOFkN/o+Js4FwArJ22IN+NPkXcN2qOigFapL2pU+UkuKewvtJJTpKdpbRiVRolmfG4EunMO/hW RZIlgEDCZMkk/jtZi5VoJN0kFaasL8YWAYhRUZVgz6KwA3Gg+5pAV/HeEmUWxIzEkQ45RjpdaHGb YttXVi7VKUyD9dfxsnxXILBRlq/lsh4yDQG32eAHy9I7p8vEhGZUxaNlxiHOHf2jY76kKKRHQ/0p aogJgVhXn0Z5+9DpazQlarrnMROV1K3fCM8dizr4wNMd7oImHk5aO8jbTeKXw3ufGHLQ23RbtnzU AbQdILdh3D+X7/hAAP9tDjyLl2I4FkqQVOt0c+zqlx3Yfq3T0ySYEP0jKP88SX1bY+euWrgzltiy zA913hWsMoA/F63k6BZ7esASEKJ3/8F938MIJgZrjKezhzTkfKzahbV80oxOXbqSw/TycEPmdLpD f9biSu4BqLXrerjIYjWyRMpr2LehhR5OZ4JGivhyBuk/IyawHCpnNbogv6hos0+oi987F614qeuw 3V9zmL89txwLs/ac3cpjWFLcVptAhbFq2VYaFrnh2lNkTUFP4IaL4pY713GtEzB0BJjRG3JUJ5A7 8Pp7Xw1MvQisH700mNN9hq/kZTCa68v2ENRqkbO8ruz3bR3P7xTahirP2PHdTYNLH5RyIsPSxxso ilZCZW0UJXRD2aNVsmuc52/myo0n93SM9kOr2Ehe7zWcb6gNmaU/manV69kzuaTbQDfk6mAq7Ad+ mWeWbZEt9AOdLCqcSuj3V7x8RlohPgIHOGNLhSSJYQPEUEyOTq6dfCZ1Vz4QVQ+Ugwvk+qYU59IN C8Z3bB6Ya1kwuPeimh89UEerLAzmwzmRkmoS+BO3DxVoN6XUyVWB/6kJ0M7WIEbw6V7xAU0fhz/m v0ALCwT9xnpoL+pbPy4GrnW+81Rmq10vsD035b93o8oSsEfpanmNuOrKU0RDoAAi493p2KpTy1Jg EIEc+YoeEH3HEY0CrLJ59YtBejDXg7mkaQqW1KDmUdQ7HICMnnuLmdwB6Xn2u59dEM++FIl8w2zL bzanOyOV9PsQqxrYLiyvcQg3WX0vxX3lSyAqFnNAStEUDP7Cx0+g1dRMJYDEx7VGDHmWUrgl3uDU duZyprbnlwn5+ENzd0TR2btOZlJ70JA+uMJh0eueU1kimAp2jMzTVu90RqM786HVyY4ZhNrWgYfA vxkjPsV7skVjOEE4c4/ZtCMQXb4TpRKDTEuIEfpAeUSzXEDO1Tq22/90BNQY5YpPrP5fFd8vgDIC LbQvXTUcW/w35CVqGSzhXIbxCIQAAub565CwqLQUZ/4/QSZ8g47ypH7sC8rVKP5aRoWV2I64flQC p6hGReVzj9x4oA5XEGC+Gv2/tW4+wfd87rmvf+DEwXjDFg4YXn2zBpjacHlcRQ75QlTsmAAN344A v0dWVEjJDs8FfsmDCVd8+lUTPbS5BKnPaHTCH0sUv5gUNiYys3jY8DPGPIpAEywM8AcL2ojtKrwg 1EGU2SvcRqJZi14l6xPwd5auJFrPHBRZhP13mJMEUj4TjIn6oVhpUIVepyyCXCsumi2sWr+tNYf9 a5umfJoTWpAxeGgv4e517jiZcUixh98qYK+xTM8XCB9vNcWKD5olhsMiY17EPtlgd/7VJYq4qFsl qsyKrl4ciGXgFj3PekDp3/RjpfGwA1rrmzNkVqp3FuLty1g4sXAsCkJRqRK+vS0VVpp4/TVR1dh7 3qIHkI9GQEAhe1ztGxerCXajygi09ktzjG/TIOfLlIgPHDZ3Zh0HGuaztfBMjlnf0FOdALVMg26+ Lg5OVcfczFQU1sCFKxGcpX+Y4XSERZuMTNVgtR3KPqfV445baKATG5fG9LnV/1mK+OZb2ldc2PbA vQ0MmNdPFS/bKhdB6yEcZsxMe0S9qkDGZ/4M0G8p5KX3vWnOum4NSVhuX7RwdlRbXnsxjvjgfsMw jzuZZ3t2NFacrxgkn8TS3xq6BDOVZj6ZpP7D6w488Qc0xCf3/43exr+vs5LAd7j6hzzgCA22NyY8 eENVIe4dzYWA8SBsNJx4Sc2Ae77O8QbzJMVBUfKRijyvFkJEeZlzGtWy+CWsgx9vs59TbXe7Omay dS/7IQ6w+BBBs3x/cp2ZAQMoPJkrryJn5JorUGZFA31fxOmXB5tDKe7y4ZZUsx4CkjF8MWaL0+K8 kDZT1GMBsTA2pIPh/ELaB/mEZxOk2TwGdLfR7ZAws4lE6dzNuTX6S2l8isFCGRFrR70JQwGfMLd8 b+rq3dG1yGqFnu1AufOZvHws/+XFJFyHc5Z9ZRF062ajpVVOgbb7Al4YidRc7805TqsmN2NI0YKb Piq7uXR/d94MNHpLQioTs3h/NOg8lfooMzuyuc5MVoMgvyaxETBRAyZ9QJJpJkZWUMqlBr+i5kBb JpTvxcKCDIeDo/nUTbmpFiOtCNE+DQOO71l4dc9A2UVLlgWSLm6qDlC5zecpLiqh2j8o8m4eeNpi uNa6Cjk0ISWrWVEQ5IZPZwBADLRGKmae3Fv8tjSGrb2lFFTEZXhyelhtxBonkdvU4vkpTu7iDll8 eLgsnm++FsM2Q+I1oBqyJ4uaoxvP/YFUQ3YbJjlxODKIGz/SwSm98JRJKS4857W9LdMP6/r3brpY rngV3eR0Yxx56SPoYFgLNH1CLeLyoSmFMPRl7xch2jvFbXX2LwkQTMLhKRB/7TA/Ef57N1ls2mZf l4SloNmtuX6RH9IItMvKEYtqB7E2MAEYhVc2XdC7iZjf6V+ydloTlDHyhcOXhY7WVFjzHCri23iB HJvuAwBAGqhG+/Dnq7n1kjEON7V38cAOJzTtQrlOCEHBIulKBsZJr8i9dOB8yZmZLWW0K8lCkfrl 5CGy67dQUAsrcvzrYuYX2To9cKhaynesumlERnoLPPQCUSQ6qzK2vUaeEDOSIMaztJB7OfRdgsYT P22OiLK5QZcgcWuzzwiLz7/8HI+Ywn9Q+tquiAQgDbZ2TbgrJ0kpusdq0N1mVgiyM/HSobBLUkuI VAZIe8vSGV1VUGWc3PykNXrtgl5p2xoeGWPa0s8CcHu7Swnj+Ai1b13mqKTIXEmT26xP39ifSydW wZennhy48GJoWWq/gXTCDkTL8GajUUp9KhL/byUldo/IDE9DoBdzswCxRaBf90X9hqLFSy3QD9AQ BMERKQIdN7JofkdKAOtkcAdExiWMG1LunHpO4IigGtKk9vbJ6rCWc9riXQ6pP8YSPo4cNynFYv9+ qaLiwiMKozhYyM1YbWXHsWbLYKwskW8OSiYO6nNbf+RccXFwGFPaBtvVCFM6S74fK5QNmjayQ10j D1PWOo4PDu6h35YkNpCeGiC23pXWgln3v1IMOej4ojKplQ1zQSv2Uzh9+RtTFPlW4g/piikyjzAW 6Lb8Ys4ntJA+H0tlibf0C5JzhJYv4d9M1Vr6bB+aiXYgaszhQPAp/ImC4tCIGVxWc/6SKscg6tY8 p9HMZe20jju4dplCrsO5Ul4xX455sx5six+sliF49J1kO+qfZyUgzgpWwuK3Is6vfQa7tSz8vasb mgj2qeMOABcP8QWniJgijs7w6nb2k/NI5XXHdYO1jsVQxBe0Esd1czIrUAcWhk0l2SeITRcJuNmA DdXSu7t/I01j+Yg6N2sSo5OAXxCVg727N0gxfP1dInivA9Gw32KASiph2XEJlA6q8w0ZT7a1fAd4 OJVgty9szrGY2xvhc7An60QoL9rQrNt1YEz/fbwgFZJR2oSSNAljv5fRVY9/JCoKb7NQKBnn7VFK VWVJ5Lqr8f2aufomhesTAbaVfg/G7PIW52LcCAtha73nUXq8gX0NN7pQgMxGg/HqZUtZRrToud40 cBzfpyt/IYzuCppvP/wNnRn07H79+jp6KOqczr6OLu5p+ikP3xoN9jF8hkCWNN9RH6bXytpARCqS UxlqpkvavNq5zjNxvqbXZjxjv43CYyINWvcyBIQTJZKB83TZP5keEn1tvRuTpwnqWXMcKRQ6eGmO pHwByxVSXThUISQqTnbHaD74C/5F7r1ldx7s5xLwR1JCueO7qQtEk8hT4x41BmFSdC6JFl3+ArFt yI5l+7yKMO7d7Blry07TdtMLWnhIV8CnT5vtWdJK1OEihKUMP78MUdBzhW9gWeD1yOGXhopv86a9 tRn51VIu7RjdfI0t0k53WT9le9cBiqRNDBuWy/+P2g5TF/CYRG1oywtfGKWA529lK3mbgGBmAUdo lYKwtVSGK/tz9Om4rwRtjae7X0spUqaKX/wAUht0oWhgOmLYHfALlwlctHVjbkPyVenESZiNjvW6 34h66pTMlcRGJsGDQu3m8yn5GgnvdjWo2qEAEATWvyzXgIqmR7hiMCs1qmdcSvF/M623LcezPcq1 cYZzxF+I3A8czuLirLrVBpNYR4lX0C/e2ECUc6WEoo2XaYsN1By0ph0ll6YEGWNaHm15CKWnUF2X xN06vbi8FsxnZDO0bQXB+qKD40o867+nRYEQ2tuCIZM6fZi7rLL06IDphjFtMeSugdZuI+w/eREL UbTbYngl2VUF1Lbxdn4CFFrhoUoO49u1d1Mwo7uo1UZCDfxlJCvZ7M3OwUd5HJeewPuPnXoIkDKB ENjBNzG6SkcymKtPQURqbmNcidOMK83lWr9zCBi3qW6cAnTpRBTlmPs69tJuwneb6KGdUBkeSSMh mBWoLpjSAfZDmVbEcaQxxjkkyEHJmb13wWSLoRmyM6vBkB4kE90vWGe1AKKDigI3310YO1E537ig w0DiFYXCxN/0cQc7qXYFf6Phel/dbSf3flTIgK61DPjirVUgDPIIyJB3SCgI2mAA6HsMnCyMLJTf PUDi9g4OAMd/3urvNoSaVLWnq2St4HWOTY8BGygavyvB7Y8BsyWpiOFnQjMQmkm9UwtnRTQ+cEQg 7j+iDyb9BndQzgexViyx37tiNBdMu/TLi0NMeQMomPTqc981zz7R/mlfsXEdMu0noLZoZtjvWz4i q3RZaX9ONzNUVkIFDWsl7M2gwJqvieBR/8j5gjdYGeLXKiWjDBN/ACUuRxy/4tDKsxQEsSlVNziM Awc6GKk8Cr+FMDmDDqZ/GN/YmHj6ckffpvoh6BMGfAqGwZKxS2/oGUeKqNMHaOeQARmHPcSGXS1p wvAZWWppNPid6xOLDrvDAPvDip4OsmY64+epyNH52O55lxfmGQRf7MC9fj2gDIpQtzvZaSvHBYh9 DjC1F6SUPYpgMYSaAZYTkRgAg5XzatohXYCsKCQPOgJ68ikOagHlo/wTM5qMOemEC2v4DpEpeunn HCGExC+STP4bGKkGf9zw5UujOj20w3hfpkBbETVMF1NQ9m1dEAlmX91CmTAbS8CH08rEM1teeZqE 24CRmIpVJPfe9r9rTVJaQKC29EEutYrsGSsIUEx9ms8VXP8+RAptUyCd5ZxIiCEfRvL9s47haq6F FYCQerx/uwzJi5+yKNADK8HLY/2AT5UqZ9qo5dkgF+55bZyk1XbiWYXFgpgwdmLqv/e7wWBzHcE1 k5gRBGX/RmFx8cuDrcXyIfLDYmn0axWURLa49BsRuZHjJ3ozmvTSQYwRCURJCHKVgjU7pzNmTtWk rPrm3Jq6BOrMA3L1/1Ji3X9/lHRiV8uTwS9xHvGXETlg24q95zs8oWbFQcKFNuVpZcw6sjsWxmWZ yu1OQmgxMAzQ0VAK3bQUIuhOfGrTkgUKZgT2vUkMs+1NdCiuBTfsXfAZwh6DTCF3jPcah3tchJt/ g5hfIcYXyb/gIk9rOt4PerKMsoEajXewrGlAktWsFrSu3p45lVhhrCYSqJpv/HBtcpOj6KekOBId Z7upubQGUG/3r3/q1S3ruWwrIk4APCofYA3siIwV8IlzqpCK602tF4VB9chIvtNLuNRuW/vSt5lJ uM433QvoB8PRhkjdOCQq5QJxH5CIajXLc9+BgHpwMUmvVAXFKbFNKPP6Wv9erKyGiqSH74kUAvHl /tfxgcyE4aTEB7bq9WjUJlptebUF55iqCB5wU8Yfwy73/FLWXTN1H4Z4wu2tZ2EzMohedFR4KgUA uFiB/ZhFaK1hxu48Fr/AzHe5temy1f8EKhRelN+N6C886kYyTygnRzBaqNyc1/TVTwXvECsYgCjj co3Qx3VFa+xR4XgLXIrth2BdqxQ4V0OxXY2UYXIBOELjFofStAV3X2MkF5mVwb3dCEUQhQ5OTvDu clUllaEMUex14gJzZjYIAratNmYvEeKwSYirmcYPLZ9sqco+2dbm9M8iKJaMYPjfhmbax03GnUeT yuV7jCQUaYJVyvGz6Hc87rphmFnwSO0xN3wiUALulIkSGxIQJm3OFwTHOQgFUqY8e1YXxL8AI804 sGhgUcLmfrFofmoSb0Hd0Dgq3u/lk6LUSMIDs0Gh3hVd0Y9xix+MgWFBQrsLqtBbkYGLRCFBLfw+ MFKloYd0Pm6YKIsv69bjjBN93zR5A5DEvYMxkKYlqNjEzcoBh9tHti3zYGuOEANPQBMbbJzN5cCv IzpII1TqGNMxOHhblaivw8uTrJXV8sQlVej9sK/X/onCvAEJp74edTxZN6C3muumEMfAG0/JASUh /dTq0QIUYao2EJ8w93NCpHacifUw1qnnXqQSI96EwwEw4oc01V9j2gfGyUYYuLvjQI+vatNW79cB MxdF/TFDCPb5xSskrX1Zl3SYHYy4xlfZYpH70gRwRje9BAJWZJyAo9vtY+r1fDXE2uByIW8m1icz XHILK+CU5omzplR3bcmUxOSyY4Q4pJ2rAZR0lt4bC/AD1lnFq5fnpMDs+kqtbZtXcIiusne1B1PU n3PPypQaVNS2vN7N9/qVaIjH4K90ZVzUWSlsJ1/rHcv2fiJgrwEmpaWBRxAqOm1AbjkNRlBdFtuG i4okcxU8BFBW9QNGVM+ijkXb/Lvy2QiTepdKuX/bheiOMwdF2A9tV7H7PWTOFRaxgfolELWzxr8Q RNHFWjN4HUCKBvaLFfR5na+LDtcZHCxsYBW0u83yRROm2nWfFAKbNbUFUhE8ZDHr+/HJECLurRmK 55wZwcVy86aFDcyJoM24J5DtDddMkhSnnriWUQWUDTjhap6Yio+wNjnU8/uhusborrkELYRsHREZ qGNL1TIGrhYWF1zZCWg8l7uOnypW5B+TQjVLtPnSmoXM1CKas42KtyZNKbPOSaU4+oLX+6UglRVX KP1VNRDYFjFZNSopXsZ6VDLn3/4DFGS9SvM+DAAs7MNq8xrGfc0mClvAEJUi+/qQ25Ndb52b2wLM 4R0WUKpHJ5TLutxMmTOPOWxgj9BN9QbGbnSqDKTFLQYxdNVg2e1iOewe/ErFaFobdVQl8ktGDOnq qwv2+KTjRBETgsnES7HWcliyLJd9XalZk+XpPvDWiczxRgEbXyxx1CVLrQp6kXl2QRXAdzV3NgW7 wJ1lP/eyymHDDtO44g0zYcFYtlKnWeRIh10wgXJ4C48STZydBijfbfAzTQ3yva5TOROSRYLKaCEC mCqAEOsQ0pt8757s28lc3LYZVIek2givKNuO/TuWlqjykJcAvgRcZaWFdiuUbkr0lHkOPqMr/5dV XAhjUdxH9l3IZ4LLgkiY0XH5rQ4mBXyjqIY97Ifbeo7Msbq47PahFl+xCSMGmYZUOXgZ8SLaaPgj T0SAxxyhj+4zh8/NH1te++/3txD6UpulwTyPLu9k8GbxonyqXHwFZm0tWtF5wqbgOPuztpw/fCZV HHVeOLROMiSfzjdmQA5A/8LfwnQo+c4s8mAbLvj8bnXayv9lgXaVFVs39AABZNWphAVVTi1Ssxtv tzyXRKY2a6wFqk5Gq8bqithhw6bh8RcSbUEiFIxIwjVAEEoWVO+7IN4qXtENvnREUyKp5hK2IgJT SbOe7kpErBHsmEzb0R2Jfpd2RfBDqg/YuTERHrLVClQhHMUhX/catIg9OrJVPXhN9S9TjrnUTcWL OK/9sNsByd+kBCyK3y3J0YC+8f5vaPmUK3uPXrsHJZA816NLQUgSjmEqfU0lmtcB1p+sZ2xzRLu5 0MzqULTe1HVtH77FyHhGIeQIQPOca+0bYftc1k+0dj4fMC4mLdTV0rBoY3is4dgVvo+N1YihNjgI UiRif7e5ASXsingMPGhPSJoaLvetZ2i0BPQ4d4pRA9ArL/XmTi9E0Zhll35YOAYKbc6JEpUDDvQJ G/EEg8IYZfNmMTPborojspILJQEajTMSIipK02y58TBPv0vU4ww9gZvcccZ7nbhprTrjJ39JrZ/K WOZNaA7qhpNsQtWgJOgQcS7xF7rhUcApBcm2bWStcOpkrrbTeU9y1QXp7JU//bGPV0OV2SQaPz4v 5NyIEMymFe4S9VM0yGLZXgmLz2/hIJu9gC1jjBsOP/EKuuXhjyEVXzqPKxG/2y6C64kC1ib3DUxq zFWR4U4Av5Qpn/uHoroyMHHQLYbNBQZ530aHvQnevHriSwPThIb+f3p0X4J6X4zR+1Ii3U//ag7x +L26RLg1CKYzm3V/Q1SMlar9ZCBOw6+9UDik3mL+jtLMLj6nxNWI5jBLrvALCVhkExdDYvuzvbyc MuMejZl1xU0cRw6uvqY/dUS75AW/pU1uaQX+K35z1qf9iq/SXLqMmy+ObOyTS+9zW3ry5u17ekRq bYpTWUZiVA2J0NPRJ9P27/qpSdUnZl4Ubn3fW2wg510x5QVJWjK+aNsl+uVg8id+4E1HtFzbumqV xGFqlVSoJ4WiYqPcpulp+aMGJkzcxNPXKbMiqw5+TKrgO9O3/FC1ToLN95ztwHHrOQ7xaQJOotdk Mg0eXdXPpymFBN5fSPrvAE+DmNbjnSDuttdOcxDcLTYUeX/ICqE8Ze+uRbrs7+ElHYdoAsgDgCXH nmrhoz74bAc29AMsuN1ExUFzuddc88ePGgyNe9N4bXT8Ao2/0lKP2hWjwnS22kcPS+WEJheFpbMG MnkMlwdozd47DSA7oEhWCcI/Ju/qBkfHOyYvzSiswl0ImWxwVs762UT7HSsjOvInsMGMfaKJ4x5d v12jVkdFPjdQ94D8JkY58cQOh0JbSlH1uxJ61oI3aEVbld1lCMnfSPsVnqUSBRja9GXezX3q7v33 MO79D5e3neeyoq3XhgpkDohUCem5/b3H7IHUWruhAHSbein98Lv2RZG7BmcEnjVyXaZ8Zz+a7fl8 3PwIDzD+26XYToBhEIwvcJPyq+WUafx1O6WE4AA9HeCa5TVaBt6ytt4ojcBTnZDmx5VpC9CNCQa3 0PJi27HQpJ8NnDMEV5LTy9RUYVUCMT1dvxGqFkHd2cXtJ27hPeKtlGiPA2fFbJXCES7oKPNIUOw8 kp/0xWOEw++MHpsBxQX/KtEPzHFKUyee4G9HoFQJZlc/WGv/6ZPntGba7qEADwggmpogk7+193bM nqg7rBdWOTEfC7TADPf65GJUfgVX/omVtiTZbFtWYv8AhgNjM/U0iLdE2786vrkU0vKHe9STjPun xOwsTHtbnBbPOMSp/anho1eUVz1aKRL81gLLyd7sFMirbDcS2G1hhmuIgVazueWkQHjy+/r0c4Op Qz2nP3aBXTMzZvklf3Oa7YUKvZeYzyInfucxWsUGNk/egnlJEIes3lze4fSGmCOPGcNFiJ8h/K8a 3dmmgzmjSvFB98zbrH+X5RsQ27HCVn1AvFxM39pOJu7bkeZcgvtKoj4iRs+qSGO+Fj+k5K++Kycn Wk69T1SWqT9O55SfQT9TIjZINa6HNW/+6WW2FALfYI849I5ywdP9WsFuwSmS6q17XKUgOTIhybAn Jjw3uOIkOrnjvbQoWMBAwIak64wUJk+SqiuZzOIjWSwU470uIG4wssnOuMUmM1WdqKNfxWVMgPjM ytznqX5xvXrM7aoaWnFuwpAMfmxY3KsJqX5k2UoUyF7yrTpUEsOOd83/cZvb0ErKzBD5d3UeqCdn evKLaiL3VME8V9RHONTELzwPm87oI64gFSggQPPjBwTlR+0sWaTK8DrUeJm21kAC4DMjw/hkeJmz vQw6j1eyFiBBMTigWY8V9zAkQp/nUzpToJGE456v2yBdT8t+Afpm6h3/sQjELf6o3Rwn5Sd2ms7w 0g21Lt6QTRWkebQuP9Y8kO4DH3yjY70sJefIIATKPabCkL1KSTMQdxvEax/J/Ft5aYyNWg34DuUK N9RMdfOI8e1iayTzpqasHQ3MYMouaRIsotWzL0oVb1bd7foxSAUu0QrdQ8lJJ9FJdoR+bNxvThvo foVoLmB1w5s5lEBGD2MihmHUKIpjEBN8qsxeAo6ZMEwTZeV+7+oiT3qyiMeTaWz0sL247V9KaPzu ld32gyCeGEhuY4ilL8o4ScTo39/kbc+SJJG63D1P+lUW//t6x8HurSKTIOzepk3pI86C8mPf8P0p /LQlWtlVbm0Kp1tLfNlYAfywIjOdVvakwaUX6y/rSwxgB8qnMp6bQMIzDkRvYLZy+cCFdMVap5B3 vJ82kmFe+3f/3x4a3BH+Qf9SlyfhNtS1xMM0DStzRgO2MmZ5l0XVYl/7VEPy7slGUFFeqSV90755 PjMroodFTnE2zgBZDeut2ODguQXs+vcyi2xVtA3m0uwqGuSNfg1oHgW1p61peOXsc3AF3YRkDhf6 WDtguJ66ykQ60SAm5LLDAM7bsauDiSaGsLHPhBcmLv21bcvymrekCSfY71NI6/OWQWaFgQ1S4H3h +3r7U6EyuGXC3OqYUmvTQjGSA/aNIk2uyksKmPlEWvpuf6ey8wbU7X4M37/mVvwwr1XyIeLNLX1o jy6uzZaR1kxZ2vcMAp6q01wtFHrk9QS9dsA1X9IUT9Po5f+L0a6OFSgODgXO15dkNkqdHDfmbuIn 26r38jJuzxzN4LWHqG1UEzNNDOAKmLXPJQcTlp0k9ol6XhGcdpCIIT199HPJ1Ydt+VP8oZdvM3iZ d5EpBqMLj4VGcu/wZQOM788/s7Ve5zxWQl3tCoiwsUfIupuvS7xHj7AZKQ2PZ0doZjl39sHQLAdw auFzMoG+cbkgYAr97RlOl3oWL9E7TndnJWnWp6SsM4gQvzCtg+sGDyfl3JUPdAFRVUgunu6A/1pA 19iwxpgS4UB9F8UWCLt99P7p11La6kkCuStymhN/kZLJLwhGrePWghaGhCqzQmjUtDyt4qTU/Lcj aakRx2Cwr4A3lVcqhXFwroquiWa1ifGlvkrRB2A2v+iDylxBMUE9OELUEBP1/Q0EsbW3Sl5nqgW5 HdNlUxNHSY/xYCfXrILv3NgMwphqPIHxLOqSylg8fzcHGP9j0Y0otnGyC0EPFhyjHztODa5iwupc 13aqfTttfxN7ogTLClmO32SkcdmdqFi5Xgf9kA8w6yZex+27Q4bmR1z/5ZCqvq4YcHwRqr3aSrJu n1JZmNv4zr6vSEk+vaI69fJfFfCweRdmaV7FLvNke29e4GNYmoem2Uefu1Fr8otwRELYFNm0+gRZ A758p5JlCQKnv9o8u1z/05WfiHw4G7IsTSqM7ojKuGRBt9/xS4eaeeSfOOQBGS2UK8eZD9L0sPHX JegLqLnTlL0NifQdiHf1gS1hWYIGAwPiduIST5TYaSRwGAkgrq7sDj0T5jhvejHh4NdSikLm0O6U X5MH4rQMQxBPVyQxLhreEFPq4zE3cvQ0zyYOLKzuPjJWPiSnt29YGRwM9yXtMu3A/Wgi/xgDRuNT wTTtloLcZVa54l4YfrYaY77YgcnZKaTrjffBt6G1S+q1RyB+/n6S5ef/ZYQ+ylH3HRPwt8XG65Ih Gv/vZvtJqzBZs4Sg/+Fh3yR33J+jyshZIsRvVjPPGa9+/1QTPX6+/5Ncxniod5B6yd+4hPw56L05 hrmCv6LiCcx8frOwdswcHvYOh/65OvDNhQl1aS1WO35yTDNg7ImnWLwQn9fJ7k7eSyvVgcnZme5f QppFyEHsyKj6lfzSgPsGthItAt2WEAZxjOoubDehAHhcaL+j90u4aVcxVdWt/V2ErQSZTeprxNF0 AWe/lgI6PqQ9SxGqhmerNSx7T1uWUfKVj2tbWkPgr0gRAwugGacR7WkHpSYZARmjhRc1JFA5v6Mf GHidl7tQzPjlkJHW79TaVD47bkAvVO9Sften7Bt01hpR0XyonDg1ZSgtTawOzwKUHqUFZDZsznsh cBcrTwWbyqGzYPQuvf24o2KuE+dQ+scmvlLieUXMyxskasINifTCm7SuCpIaGbb6IoZR0zxe2Ald 6ehn5Z9axToVUAXidOFBCQuAM6PIoR/Hr/8i0dIfJyUGBJRsyOelHUKj9zScYRDo298aTQQBcqmX dvYSvVG1R33CenZsRL5VNl5VgLny6EOscBVbs2bsMATioox7ab/+UsrrQzk6Bq302G/ug0guZB4Z KYVlhX0DjHuswbgC30lyT3NS9U38nPlJzFqXX5PsewN2GCn/G8ud5roMzBISnuiJ3+p8gtoIMFtn m2MJWZL94VbceQ5oXgIvMa6AyQArsq9WKCaA//3nqXyxSqWtFE/XHuZzsZaHAIS4zu9kvUl65Gri 1mHdGPyYS9tCHd1AbrIv3tTyg7S/gX6Wjl07bi4KP71YrR0czmyDolI0MUQgIb1zwgDIOFx/6VJr 6taMoY0J+LDFzLi3Al9ZlbGiNNSft3nUrVbOu6MOXtdD0/Ms+GN0Yh4peP6/E/nPnFgo2QPGLZTk qD7Stso7dUNWEJQJy5E3VLDTAYhpLrG+8vPm6d0pFi9zJ6IieNifsQ1ZmRlEy9Inil2oHv+XoEOZ MjZwjIQns4HDqxMynQx3Tlm/N9JKAIqMN0+K+oJqUtpRGYIgbAEcdLnYTl5d1RW2hSDVJ/9KXbHQ oC5IdYKZtuwrAKO+Fg4D+uTaGcxx2bk6i+do4GAAR7pV6+sQU0NDvwZkQApp256iNf0QDLOfGw53 g7LqEqBUcPjvC7h2GFZ9canZr46mdJa205VRuDaWsLxSmlSPGHYSJFpqwV4zm7YbVhe5qiSDSpnr uMliprNSmrJ8Zl/IxIp+FKABdNpMrUKnWOhXwOTFrujmPoPzgf+Xlo6Dsku8iRRRllOG1y/rGl8i cua38rSWDCPQ5PGBTOFjpXmq/HN+yGFGKjoMzElptpC2LOyuXO5rYNeI8i4e2Ev28RbJZYPH55Uh b/gOzDZgezGfcpuPgPVwevAIO+RacZ2wXmwI4AcRfedreA8ElzYFadvTIwGiafKH8pXkDyrAIMpC MW4x7gLnxD2WoOsDPv1ifEHLKErMMl0IqU3sZVhPHyoVsB8nOnL035W312SoyGvLwCAcG3ZtRs+b BlWnznQjKrTJNka61cWl2KITXZI1bXVGYnJ6Ehe0lkc6UqI9tULwr05mmsLo4snwnXaz4TJbltsE wo+sSfNcMRTU8CIrcpoYP/p2i9AikzOoUCWUgXBgOr8lSprybI6Br7/XaDmaf6wFfuYNaNiruTHn MO1Z7XrrsWGPMu22Ega4leMKdq+/15HbhPb170v0lXxdMO0bReq6ENabMkul+JAphpgsxizZCdX9 OYzHvgcyvCFMqMWWr8lxRIfBem9rNH/XRiIR5wBXePdXPyCxe7rTIyK7Esanb7kgbzy4tznsGpFW DPaWU8XCD/I5qyKFREEO/xgjyFYcciWuSa01MvuuNtAQmLnuJwHqJ4KSp2Tmkb1ip2WpDjc3RqFW mCxjaLGHrgf4BJ8jURsZT4cTlRgwbV9Og+URKnHlDUT6oYC+88PCSvx6DoL8qpEEXQ4uRCYFFC1R Hoo1kjQXfv/sF7olEDr27VxVVp0Xm6vau6awLdiNBlCeCBOb6RgTS/EHnUGm6Z0QPrBtgdpq6BMv iS1Ok2lFPO2cy21v504nXSruWMJWP9o6ee5cSeCr5UWgFAXcok5MDmomf5xGFNbySdAAMPW9Xi5d bI4i5a+ZNuYei8OA5VVKT7RN8n+yvQELCKfaKsdMoe/VetBw+Vc4tal6MIn52s/+cIY+BrFjpvg4 UgZe+6/m6JgvX+w0/zfbaHfrFIVfk5wE2RJngk2y+bPq9Qcx9m1K3GNi1q8YyTGx1b8deNti18ra WeThQJJslik88D5p7YTtprPvg+jZUnU50Q8nLcFj52GNhUzVNWjNZ4RAwaePiGgFmp+T7v2KW75y e8mhw9rB3qAAyEcL1rTEIgrj4t0bym9JQHOeZEdWl/yCMD00Xe2Dr3kOO56/8YNWf604JKqEhwug qIptUqKqjZC/jFImNouIpC1qV4N5yfSwgB3zs9QtTM1EfZrduA0WjED/n8r9/QQ8lOUADlk3d7lO lQsi9FTkQ8QjyOVacm3Yf+AgayndXjB5ntPevsbfpJYDnJZc49/Bc0zXgezimy/b4xezEHjf0iWn Vub5e9/u1lMjy+HB+Ex4Re9RPj2I+smkrv5L9dqlrOUJt1IXkocraEFMtXcdtRbEbkwKuywNHwEa bIpQ07Zb0Rb6t2Bu8cGd5jVwX+j+/noRlHbGVc8QHTv8fODQZB3eqOL7TqYs5vAQSseInO7yML3M XagRn1JHUUjfftUHSyE612MPtmWjF/g3m8L9QwPpKJw3wMLw3nPwjU8XkOw4bRv3/JCEBRszx7BK BfdslgqegdtRsAeMRzwxsoxg8VGdvUn5vQ+cLITkc3uKePC1NxtwArhzd4Hc8bvO9vFiWj3/gMcX lYeVnd107MqIdWkBoKW+3R4TPxjWJm1oXsxE7hhxV9utkW93MJbXwIzIJl1Db5sElaHG6uJRVhmV 2riXDvHxsI4drsvWelR4zTsmwlmp5rxwe4uDjuYXN8vb6BBX4shqzzdRtDVJg0gjjEl4ntGpFyvy TYWFk4RnYgaS5WPXxzQK7vN1aYKpwrGt8Mk8QH9FhkSBWJRkVkKlEeP8ylpNEi8QF5MwYrc9kpLh TLrdFTvmi850PBFizIjCzIe8JTiQSFev2g35zXIhaOCc6J3pDJpcqkVgHbqgwS9GLCCaR5r42kD0 F0eRcT/8hX5HDzWMfF0029sD07HpNXCiW6xf6q4ymOSpvzmaHeKgdWLILhwWw9qot/v/DLw5B5Fg pEcvlxFCVQU0BAWF77ngo7E6eqQJ/heIWwxFCtNv1XotMp0oEwRc8nWALsJT/NBtGuecBalDZqvd U5jvxJZoJ0PSXFNUR1smkRiP+LDCuvpMspJRrOIIMy+vWdggxjSKTpRxNy0pzklIginNr4XKfVeQ 04pOl6W93AO7688nO77Ohce3B9v4XH+VgBs6cW2ef0hB9M0qFnkBrfZO3hkn1qf+iX8xN3IwFbDx MP1OhK0as+cANbXV/W6gVgH5KpFZFtk0FH9Aknvtxe/HHFVi6a5jnr4kxCJRAsxecW5QyWRR1BjY LzFWYXa+h7ZznyxaMjsiYtpiJy0T/xwOqgjDmnAOsM+vV22YetC+gEfu2ywQ5ra/zYWCB2vF39Q2 sLKW7IPbgf1vXe+dMB0aLgFUcqFVef6B/KOmC9VxyElX8i9+Wgz06cN1CI8Omvy2tXokKl7A6Aub 9OJ/6ZIPUwj4mNWYwNTzZEvhjc2a1Yz988iZf5Ev9orRLd9IIc4hZOFikRowu32Z5U/i9b7KtlVj wyQ1UxZKVwSAPdu1DipdSzhmBNjXgu3s4MQ43jqXRuOSD31d069K4hcvFDAyQbP89asFG1WeM+cf ZDOGDkDfGwPgaQ1zJzpy+g+U8ESATs4df+aEY5OEpVkVu4OKnbeJ2BL3q/W+Pohs5TRZc91v7sTu fb6L+yXXvOOkxOeqSdPwAROZOVGc2c6A/sfDSfwxzky+vAJFtv7BtTLTULLOUz4sn8DKCl/i7wZZ JaUuJAbN3pLzQctPeeNibGeQNslSJbvwRx/uSOAqtrC3HUyDQHOywjyDbCoUlxJ69aEqvrX3DVuO w5dk8XtAEOkVAwZWv3njTWeFNS3+8ZTHacBD8I3p6CkFLT7E4/yhZGwdS3mtonXUqS0ItPh3BgdJ sNE7KTfKLVCAM0Tagda35FMyTy3eGdlSWl8Q7uH1/RG2RwVgicVA1lh5A2sdE5LH8w2QnAmt5NIz BvnJT8aW1ek7gXQlJxZJg6SYaTJBvMacVXsyLwKJP4frqhAdslxOt70I6qsjY0ngKWQrHbf3/Csm Js1W72EzCOrsA/jejAqIYnxXIwYXQZnMv9e1OF0HnOdzvKuwM6H8a2SimJsz5+sYmC10vRGAKxI+ /PSGrue+aB0NJqUrC9c4ZzMIjUoFeZhCi3kH0aU5sshgPsDW66UtCJIy/J7DnjL0CdFMaaBsKrqL 5qXmBXp3Do1qcsD3BFwsV/fWH21yVUsdIxAFfRWuov7DF69I0tC9prx3RmsC6mnSX4PDKC09uwjx zbQjE0gKbLClLVhOIAyhUOMveWFNPdoAglhYvsqNz5b7kVMYDzIHX/0D1yIEjwYiGdo9EZZWbBiv P9IgfcuvBRxOdq51f6Ig16L99BPzjobIdc38KLJ9PA8dFHoY1WSfqWy3usCdXpGJ2OIgA1YeboFs I/BuuesTuk9vs3VKzIO0kyrUQLKqpQ9cAj34zyeMrC0stnIjgfgSkizwbRLnPhj2rGJCcF9DQZd3 Dnbw7SGFDbotctj8nSVFnDOnMRHmy+R3m/txvGzJB//zokCIq4giUxIFWoiCDXE11Eg1q62T3KQz N7W1Cyd136c864oiKtrACpQB+n6M6YGFlCSshhy3GmubemR5I5sGyf5dSmFyGmEQinLmsZFGg1zE FNfDoyO+sK3tERV1XBeza6z/cqc+5VRqZ8BjfcMK+n9TXyi3ZMvESkmpyFo3+n6R6IM+iZKeAbNn ZhRhlZin994YVJQHp5G+sC9sCZUxhOmj6K6BM21JzUzArDxmdDHuiUBAkVN0fdU2Ir/Y1ODyAGAq rciJ3pb0DK1MW/xmuKRqpwCmjE486MSG05MWRmpDvDpa+yF9HZHQKOjk6xmAO3fhnBBDlgjlan+X n+G/xTbYCiBILyLYtngPkMrR2dH3CHgBWySgk/Ue1hR5OHDU5ALVxtKacy6TEklldj3KAdQrCQk0 JwFwlMeze3OdmlmVt087rs//JtVDL5RxP0jQdndJzUenHACa8znx9EVsiNb76TbMc3GS/am+tayy UiXpbTO56r1mz6tRJLjUSTuQHctlkksIJ8832ajvykiZ1Nxi+x7JFB/mDVJqlv3QpaYndAcpBGJ1 QrEXorjKlYnNYy2Eg95y+XhGcWn/r7aNEr7E5URStPvYoXeeHnLZszJ4wTb4+TGtMYg3nvXaDPPs yBML5gKgIZZNuzjCErjEqDPGBTOW/kwoYroDd0ep+iUxbKdlbK/nDNGtEoYX4IvkSR7DVes2dKq1 7/zhTGW0DA7f1mZEQx7C4DgQOwqliDtJsbc6AQV+AxYqAfO5YTsZ1+UaR/V45KwUZ1iju7YG82bU i4ex8rqFUrEVWoofVZm66LRkousde9wtTyqJEvKz69RYKdFyxn0aJ49H9aekfUQ6dtscZ5ZAJgJw F2EhfSnJUmHba4MND1A1S1mxzG1S+1XX9GGlfri4fmGp4PubwTWNe5bAqfz2hr8meKmVROBbn5Q8 KkIibBkIOhgQWsabHl9v9lm763pz+v1tnfvoC2pdkLD8AhqYx7Dd45ZrtpHRzQQLxVUQ6ytbzjSB 0OiiO0tCN7aXpZJngek0SGWqMsbqRz2c8ya2LGooPw+Rk8RqSSsHuEsA5gMZdFGtEYbYrx/8QwVW v51A9LPu9u3+JqfEFybZcKiKGf4FDo2R9MA+MCr1Kpnlo1nhCjh9A/LWDxeoLHHzA96fV+h7uFBe FGboBbSa8O0IriXmi8seAOW+UqlRusMmJLwCfyhWyXP63XbuFqXJTgpX5da1VjWO1960eFF9rypQ srIF/u/d/zNGXQWS9LrAcNlVROT8wwxLKXUTtR3oi5kXGasT576H8Kwi8+8wnkRm2CFjFdvd9p6R cXMUHZlC7UXUtk9RBnkFVJeluP9OzRmOl4MtpTvomQjuhc8FE9EtEcAVtF0HtDicQDrwuR21W5nu lDFLNKv5eH3MEllaVYGBM6udQ6zytMwGhhp5YRn24NHBBOAUaeKpCLvXOsyymQxUu0w8N7JXL8/6 fbJ4+fGy9Hse6tOA7PfuBizapn2MUcgmDaMKwnAuWP4mPpRpuaDxiJ19xhDghM5Xm26p0bXZFgg9 fKxK3mLg83RUE5PKYGgBPjELVsWSBAdMmNSOfycGROAnZO4cqGoo8Dhtiev5M1x+8vdd4xkpeLjF 4fp01gsTwlsJgLQLFdinH1R9FYSzsjVBJywNzqfY3ocodMexKvJFEUIRv5rW1RENvC/Bwt73l6Zd vTdedyscP8xoTV6GLWb15h6Vpu9wWSir1bsMQpJyLTRCSUgplmZeKANcR598WCPcxGBQnHmS2zwQ cg6A9DWUYnEF8m1NkPERbMUBNPAh88b+F1eA1ZSrWsEKVvVb6CPCbeGadCw4PI3fUbNmRolAjQl8 3Bmj9IuVBQKmty0Ylm/BeZK3v6O5A3MmTZPo7NbnsyPXxHUgRO7F2lxyWfkz8/WTQFHgDxq4nUKu XG2BjxyX+JY+MOP0I3PqVM5G6hxapIo2xWJ9+ql1VOHMQJLEpBdx934McdtUy/eTB/6DqZOgzN3h 9KXTVWKEpALDbykGki85FKfsGFng4AO8nlu1sEvMqBxnl/z/5zh5w5TZnN+/sdcIcYPFaG3MRH2x 3cYYqZNczDovPUB6aZNDnmA3yNG8jzkCDLqNqAVtd8fpFEa2WnuVpcCiGW3LULtOw4ogDryda5la YIwokkwLE/hGxe3uaJRPuDh6aT6pJbLiGiNkOf3Y9YnUY3zKfF9777y/9VSoNu5rurYf5oxVtEiF hx2CqaJJCoyyTdgX/j04t5Ij22XGUwSAP6VATUAEJ7ZuQKi7xpMh3oOigKm72QDTX5GvxIRRc6Ju P5LM4xmEmPTiPeC9w6wY12BhZwUAglWG5P/DxiL9ZyLVA/F2s/k7AuG0VDG1Zq+zQsUbGUSI3FmM Q7YF+rpelSQ0ors8PM4dsa0YCuC8jun5YGEGo0eAS/dZzC1CCvMLAtOmSKnh0I230cA/zj7v7W8p PE/HioXKb43sFq+3wXUDjtKdiNysHv5V7sI6b5uB0pIc0tr7B7ZPcLabsbRQTGzKdpSnfYaU9acW fzsbt37tycvQ/WvHjFHryoL8C8XcexPvE0Fv4JLEt6Nlw71bO6/f2Udwhl2KVhZ69e7+2uOuGVZg 7aX6+5+PNMO6G4ctO/SwndxSvzJfm5b9b++ofhYrokN+BXvPeSyV6AFylbivmaqzZ0L7SlTovnkP Qi0NTBtzV7OWSI4m23Lid9egHtqEp8Y7B7vGKG+xeIgVDOecPdqxH2AmgKNqhKi2buTOsdWNqqwR RClBAM134mzc/YO9s278csqp/hkFp7Jr37xt8SFn86NB9LH/pehoTqkIBgEW+DCd9gkOSiQrA+Nf i4DorQzpXGBMPJ7rJ8fjRC+47Ym4//kiH1a/i6TxEAO85I2VNCSbMr107x1W7GBvABt3hfpR4o4a /FkMtpggLRPz4jydmUoP6Kuvs/BdTUwgGbS4erPhJR1WKjKJzZa7PrBf2rcnQCunrrZostk3N0bj kOAXmmE4p37ZE2r2wpZsrl+kG0D/4/9aSbSwq2WbnKVbpnC5sS5pO0skeEhBzJ5hGMke6YkvWtRb 6OO0AioPZsv8t1gFWnyccFJpgyw+meUFoLz6IcY64h3wUVxX4LwQ10DSRbsoZN0OeZw+7o1Gi75I gfK0hFzgEfHxt+tNUSO+9Eh9vuRbtFmnhJs5dlcY9FY5FNY0SlrKq08VI77JfzqCbEK6kin2ui2W i8IuUBqPAY1ZwDYt/o39NIIP1sYjYk5LCpH5APPQzTobzoXBcvyRWDhanYXlJ6i5vRMXJUOqMDh/ DPgNpVJEtxYAvV+plfK7BWNMhY1M1zFsSoEBVkSpaVj6EpmWimaZqaIe7TxCDvB0Fdtsx635ZS/p rWflsVtuAgtouJYB43MhzzGEZW9ce/ZW0xD57zqtNKvhB2aIo1pDgQZGKwmqwn8YHDtOD7WeZXXW qC9YjVCPqumCFQxFN8NPVYzX5MvLnFuaY3rAD+vXz1VsjkwbWARJ6sQCZzIlQEYRtRbGAuCAMHFq Fs078pNzn7JwfgGGqGUECNp8HzxE5zDkLg6UK7hOCOYhMlZm6EPurLmNr8tOOMGiQgvQHU0oe6Hn end5w0IDB//GrGmz4yMECeoSRmwUUtCRXTe2fbnC5cqI4ZQBl7VXs/CiuKpaKf0Pc7Bf+BpJ8WVw 6CC2PTYylGSfVbjMzd45GO7TkTNJXZzXCh1LQEjcFZ/zAPDmxa4EsoK8lmh6URelseis13+5QWRy mgCcaebcXtbND/5K1kdI7IZwWmA/27pb2xNugPLZVSl1EE+G+aaTuhEyxv9+P6e4HWZcm1VhufBp ogLghFd5lFrBL0xNplwLVrus4uQiJe8AJBwNLpJLly3HN3yctZ8cPa5djwykNzR/B8B/2686eVbK dwd2WoTRprHz083oFV/AqZstLN+QoOSA8WRRNSEMfcXTjqHNbbsquUhMkveP1KDafSWAOeUPx2HA XHz/lntVjoHXw8ddXJMXXsKLaclH1rZkydqElwwrlKIwKAZSupJIBod2ngX6jGU583v6a8JPOCy6 8YXfaGosRbjCl+pfMfVjQJ3GJC6+L1dXVTkUFch7017GRC3V9UAmO1wyslowUaN82T4etJNjhFsb NwLN+1jmuJjZxx5zDaeRVhBSkkyxtHcQyy8JMKysig/Q75HkGs1AnI8ktRE1K/lNzTYpaH7HlNkD l94PlVWZCrUHOXO+rsu7vZgbmBsjmFeDaWBsrpLP6aPKQ3NK1z2fALBLbYx3vkQBjuFdyO7CbP0n 6zDi8zCBpJSyM3mD3Xg2kSSKHVuM4hqiSzRawl/vxR8kzOyvafizYjCM8r3iWCgFk7e17bjn38kw wSiZP1nyH6mz3NEOi5dt9RYzz1cngciNfb51gfD2YAnr62cbH2nZ5yOsYQl4wAx34sxw2wSVjxW2 I9SueaM8yMtZtnJJwGs0nks68p8jF7navfnBfp4iHeq3GQk/HH7TyX9PfIYjvcsEnQPnNdInd4uN zzV/XUY4QFNI5hyau/4xmHktOBZo4fd1B4f/7GgytQizdB0buE/NtACJU6bM31Yb7Wqip3E6WHOp GUI3MaDq9WDryLX1f1nzd5uubnEx1Auu5iPk9lLXOhGs9xyqyBfgQND9uy/SYJ/jzcR7nEFPyeYU BP/hiWiiJ/9nQBQOwR/lbaSKc8QhFCA5t/aowEqfZQW3DOSIPNJn7RxggXE9EXmeU+9F2HDD/1Sw bEtKT7McQLfnV7HtarhPFzYCbffNjFevfkQg12MZkb2d/7MxuAi2ZMSvzjr53WFDRfwxMknqCaKm 0HCYzlsWg4xY0hcVNBfu3N47rlzJnv6zbioBTAkJ9XuJ3MajaOaKN41hpSPSvesbq7lO4JdsOMhb xmSCAi4RIbHGoeqIhArbtGRjq5oZ0OTj0qqV3QdBIflnQyjWPFrAsX/fLR13RKGnHc2ocPsK92S7 FUoiK7wkx+8k+Y/epb2pqat3hHIu5jYBIXJNpg2NczxFFzSDLvf1FjVWg+MADYTK3Dv/2AAqvkjk bn/uwNSAVsNTUBSJ3PZOTZgytfdg3CMzXFT6wXBfF31U5+TpD7kRGZWMFDMCcPc8usGenyAEUW1u CWvpPOzQGU9KHXRDY8gX8+PCvoLVyq+LpPhfSiVsRQptvPG07v+mSbUTBgNUHihOzHjVatxF3USx BC2ezOzqfGX/FLXN+qeHwu/q9oZSaoxoLg/7LUdIJ53xXpgA/SM83aQ8csG3+lVaaELHJRty5gGj kBQenQKJfmOPT22YMj+PWshh8/Q6KpaQbOytFQnjK+/iAXzvW+NHD44u6Rzw4H4rhtoNuW++u5kH amNoesZb+L6GNaLNGIl+cD7bC4kLO4xzgjIOBDlwIHNjq3NLzV1mz5QfBpiJI+jC8Ac02PO89fTK RrwoJ/XhxNI8NM7YiN9PPTW7AjekKoTMm5B99EUdNEe1mBey+c25h0GnxPRdlXrlU/lJPQMra+pY EWT1E2J7vBg46vRHL6aJ+94c7C6U1/sONx0ya26Nu12TJmkhW98m7twplHnlfJIgytgr5mrK1L+m Ki9ffCNRyvR2MvBd6wnTtW7R/eJXgqjsXaESn+oSZn9sbsEBtuDPMNVgZzXYZWymD2/Sp/BQ2n51 VpDn0fW0/p4flOra+x3wX9QuXEsoJWQfh6hmcwK1pEkeqX1qAiwyDO8lbrvEJwLoazYyY+7TnMs0 gvtO+37vwjH1MBLUFHLNu/GzHS7Dom44rVhUm7NNmpi3g3AS5UVdmIc67X4bVn11xP1WxGXKhRR2 /D10LSUR6DnV6efOKofOXmwq0j9vDweoaM7C/xJCfDT8ZMlrAp1FGTSEezmfGPQuhMGcfSZp59ij gQN/dN+gDLAv+M3DVtcOY9bEbIsfIhwBJV6++hlqPzI4F9xJPWHVqmXzLvlqrrXQ2ggP6aMz44f7 HfzHFFmWTErilXUVRYiCcIUh99k2YfemsTNXJ/YtUOFfJffiXBjDcM/1SJm2GrsZVV0px371hh7W gwpqealq7Secqwce6rB5I+hQXY/Y8sVxG9OvhOk50/rjUR145VLNgVckBh702DW3rtbVDcVMrZNc t/WkLU80Is+df0FpXvlP+ZlDOqkF46+ZcZ0WbObje2no0eLhsdwR6CTGRyBjR/uR28zE5JOVTQFA Ghwa7/O8dy6ac4Q71vyjU0FgMLCqkYMJJeu9aEsjsgIoDqMi/7lM2aV1qO4Wm5NIEJjzq6iaLqRk jHlHmva0y8GjkWrwnwDEeGps/eREjI8Qklu364A/g6cne3zGeQr176x/hXXu5keqx4YJ/N8O5YgC o4zhZWfH2A0XHlmzgLL0LKGIKLV72sv/ptxnGIKs2GRLMPBF6imN8EPpv0NhaS7wXUoiYmL8iYXw ii0zTikLrhVKZTLx+hAA7IfbrHEo+YuRQNKjLEp685CIMMYuIHHtX2boiW56Bx1HAaTWS2+75Oem lO3tJYO1tDR8aVCXLS3lcWK2Zkn4EOa38BQpkiAGdGfcWZnFb1Ck7kz8QC3m6gRIQIw8aTDnmhay 7R5wgYFr+2wNhtFj/d7kUZ9d264TJ4SW8CJDRjv4PsviYFjZa63N8k0QBnIRvTjdy4IKtlshob5n KL4T0nbwq2qmjHauQ0QOY90W2y6RUdWYDsLqLFAEfZPcVzz23RQcOaLkQP5awb1asiUOWdDYOLm+ Ssw5ICaUoJlLi0KLkX4y+/h8z4dFu7PDtOVQunZjyQLPnR2wPlhOVLV++G8TfxPFeAnRlgXZnHBG haeXUuDy6yPzxiQq+Ufdds+RmaZvh6z7HvNS8S33qQQ6ageXS98UwRyswl8RbYZ+HZ6LkcCL0Omv QbggxLU8VkyDYzOPadGoTqelj9EvIz89DufzlMcMjp7nM8hJRb0CcsUpu+p3ZNP6ex7mS8FRdPmB 46gjDvZD6EBVmJcp9yl56GwrwwAsgoDwwgjdByoguIj3g8ovUbIkKnGzWMUNBssFJg/3oTbOvpxS mqS2QiKd3qsIKN6iJJxNTQcQp1QXrdSExQtrohplVBfPT3dKot8Pl276ypFT9lauAYm+O1Cdqvp0 +QdQCmmyVFZ2ni4/gG5NEqNKwdf7+TJi0ujDgSqusxFLlDXDoOEAdLOlzNIIU2Hmw44i62sNxpET eE17zVPGoy/pBgEZOK7/hNciWZ5oC1DQAph+yvdzED8r/qiBhsIbjXSZR/VFGyAE84nqeA+WfMzO W90ApPxNuWLZTGRdLNa/yfJi1VNh4r2w3oilw7kj2Q5Oa28L4Cdz4w/UxAdKqHI+HiYBLLi9inXO PBemM+XcxM61jpqIlZdn4WXchMjAKhik4oFEU1IAD6Ds4aB/WZTZEtA6nKmr61RJO87ou2HR010f 0+T753IKrfSzU4zz4mN1PGfl6pTAgxJhqvnARONLCTwwyagDQ/DQ3n3iGDqlZtp7eJirYKupK8II Mg6hVBMvA2OwK15wXd9llFfszX1zupBxxfPjUJXKFaPiip7rvineK1bgMZIt0OduXv19tmplnp3S 7fZe6axK/YP4SIimlic8+W4oGyW66h7E9HwAxApP+mSgbo5d6/Hhje/omi6h78pm7bbgpN11/Qao y/klEtWXEJUyKCB3E/OqcrNqGBKEU57pQdrTMJaTKx/eU0ayrnXDuhIpkcW4VfQzd4kDoYXs6ymh 1hn3A5nSUG2YklVX3p59UNiPT+Ligs16RDeZV1doQKeWkV+7rU29ycAaTEBAUl4Dl0U+MVQ5+e7n NvUiC4LKt5rry1DhLywAhRWRWxyy6h3AfK0ov0KMiq+PzIn1caWD1LwGJxTN/5T7aBDEftqfcQy2 KVhfXJDSGnTUwCl8XpYHpZOajruMSfoSOJjs0BDye/tZxzRmHz9h+c9/2U2YLcXZrTFNBzD03xRw HSnBrVtPzcK/aQJuZ7T8gcKHmo565sua7OvEmG6Am/a2RkhFBLuD0cEj2y05OBsKAVBxfa280eqO v8WNuGgRECUYprB7DfZwQzysSrpaVkzzPSqG4NjuFRBaQpwWkq7YhzkKp467Y1J11ExX/kefzk9C qcdv2v8yfMbVsnqgVT6DAuh/xch5MgQHVx86hGC465QS91+o22w5hLdC5By8gZizclaW3S1xKxRb eH8nwPpsaXo6l2Zl5owCQk81FKEm01KnJyIMHbfCxjHubX/8MOyEhqA7RB3+7ONCgET6P4UJsJqN QjSuFgOSqwPuJr85DBK21mE7IBFs8BiPqw7xGrpf2m7GCVvBvjr1WMLyaCCHfiR5qtz0xSPvwmJK FEXN5pDkXhIT504nN8c2bJpJ4wcUIBrFh0VNgeSp3MPYsSA1T6fPDscdDWIOJyGglJ7cE3GMis76 Pva7lvRQV38+cyUhDv4yGASTvywEF/1gm43o5aXSpyLtSa/j0CdJOzdUckfaPTv2zGQKrP6nQw4P CHb4uPy4SygML8CsYVEl3RaGiKNGxXixv5FIdf1w6tv6KYjNe3vZpqCXROQ63SqNCJw0FeCsx/vY n9fBH87BJJN/OdAxGIUOEMiu+s7PnGSCR14/kqN4uqXffoo6OBA1J6kDWs++gu6jnNca8geYmOiK HbEZq2Su3rfPa5s3EQpAFypVMnAmOlIAHFaqOTCo/zCjm7jHSX/A9YZ7JMha4sPGN/xVmv4WzyIc arX1ntDE1nYDbRqjeiwx4kXLHnPbzdKSINrRD5ilKk0FQnxiKBttCFvgq7DtCBCYm6QWQI4WW2oq OfTyKsTtgYrAGJd/wqcRQshNIRp1UVClcfOkiY91gEIM1eBKBLuxPSm5mCYXfKdAxPz6icTzW7Hs fqqhRBGksoJqHfUAuv73qIvtx+tqKByz6ZeKDJjlVQbnI18BLt5QQFz4Jw9bPRg6GlMO+m4hW15m uRFxdLeWyBvhGccC5lIu9/3PKxLvahI8bYrihwVxw64sQgSfQBd1E5SMlsZjkNa3RwuzUh34G8NV ZM35qjwz9siBNg9P2R7mLKj58VRIbBkOwIDDK7jClX9EqMT+OV86z3Nk5xB2dU5rmM/wgF+MmDnn uKWKPERSlEY0c0jasJDD7Qzn4hT7KsyhXs3P5AlQXIc2FtIT586rILiQV7wpllJUtOeHC7Rz0aGN VsjWpB5bgUZcC6S8TI0HwglhjBhb4p9aq1wngn12MhnTv/5iJnDNJluEdAWk7gv/gLDqe1oOSDHL he/GrXlFdCa1NamkdhNYkHrk+j89HgfGO1W0SFNjleksQEBZStYMpFDTzpJSGLg9xNaIPWZt9Pm5 TvoaU2BsGmxK6KEN0ci3wBVc8Z8xdLz04lTqzaHLBIkMUB3Yl7UMQUIfeiHVjTqhbFFZJ4YoMF55 jk2lT30WIQ0jMIPerLbJHkME9SmuepfSboqN/sxajUjw4P4633PCsnIGMgJg6QqwpoedZ+GPakv6 jASqZ7NCKjzCvpXmul+jAoWsgtg90/61KJMy8c+ZP0R3hRcYKbJ8Xp5SM78eDMRB81WFGFnFsuNQ mtr+cU3YUSrp5lyZvINP3ufYVtSmaNo74fS1RNT0GyM8rjmojt1MKUNKnH7yPynxrrX/gQK6O8Dl UurWZErXuNVupGtZSlm3ihdkaWR2atFHvHEKSvfLoWPtX72bcCybefD0ESeZ5N7SHCuHDSaETjJ6 VY47xjnuOYb5YPVVq21suzsV7JrV1UuBtcXdHuHAmYDO91PAGYKcDWNNI3RsCE5F7VSOZCjRbjRH 38DrD5Prn0dpID8mM73T8mRDvpkEv3fxi39Q46stUSNQYikxFcg5EeCWS/rL7ckgrD3UxjEFYcxn 8YLN13+prH1Hj8bXUw0wmCyYvpwfr2JGQaJtHP0EC8vNMuazICWKuCU+q6DrVsrUh6oOe3RRBgqw uZUgcxTfErFSdDzoT0yEQxGkNupGpKAda7nXozpBlc5Nm4ZI7wqpepaninP6b1V0scwwBbEZ5aQZ dHV6XYSnK+VOvnYw+ALaJPfIiQn3W2/bDYWpoTVWq8bVc2ctmE7yjPmVVcWhYYdmTC6YY4DIiBIF sT2BVlTEApVEA3BxHfhaTNzxccFPWEFEDxUrhbDomXrB68vzyDiWNIOos5dH1JWSyOi9cgYOBRIQ /K/LITVZjVErBp88adyYYt+wqsYXC9HA7voXUrsMmM3fLIEOLCcnF7RV5TWi79jcORy5OfV4nkEM IR5QcLiNGbY/YTUXV5JZ7IhHknsPB2eg0w3xXTgXXT5dnol0ZTQLoFXKrl0DjNd27f7qeq4afZuU R+5HLBdesUf4TlDc9ayaK3eapZiqkXCqe6Etd8zJM2zeTLBQCK8ED5Nj2Xjc6nVUgTqmgnDYVWoQ Uhh3M8217vWdjis2a7Oh+A1x+YwUcQHDM3tpcfaGEZTW9weigsld7DdKgVD8ZCTE/+5lqH9LDsUj BMwGka1Zt/sJtOdQiUr7F76ycY5eMQDG5l8PARZQkWWc09HTYAxU8wW458SivQImPRo2VbldDywM ufRcnLlsuX/kY+JmgRrEU/kRjMzHaT7R26kU28JcG0fA+J8s4sjnt0FEdfmP2rNoOILMFfcNXZ7q l+RxGrtdL/3hZs9Nv3HwxFaegQfVk8xT9CNx8KsCQQ016Y0Jv9NpK1PzN9J3T3kStXweHu/rv+tt jarHBQdY1Y3uSul+YY6VqxirHW93jCL2yDGafsgY51+KXJCkUYj2GHBxd3CaTYXTPZcW91lerQRw GcVtg0ZbpmlQ+6xUzjFikJYKVh0eeLSHP/T3RWiLeMK9ZSsbfal7AY1/UFi31ni6Hytf2Hr5ucdP iwMRlGZML9AE4VmrrbIkkkyF/fbyoqvpgJl8XJ6lOuq9ZQBqJvtK33/QBM6t+2JzAhXBp7hXPoK5 sieR/G4HkEzp3MmiBOYQyWd+mOO+Di4/Vtx1lEZzqdfD60utPNZ5ngmB+cdLt0CkELn16zcta3ds 791UuIlknQkI8Ia+7lgHE73xbP30ft+jcFTBwehNeYb5+JeEoet6+yrqxGQIcMxmrqXW3sMEVVxk xZu/oDlQzf9+wgQnJcf8RB9SzLxiPrmGEe/EdTNiYlW9Yn/v3I/fH7ABHltSrW5OBl+aI1jOFasu XXQdYfD1bck1sAnPsmN/UzbSDjtGjS0vdtQe5xSzq3FO5KuThtIrz7cfE6TzswwnDsK1+R+/yId7 0Wts+jRrKsvq6LTD6KxkWMTOOdz4U4gYQ7LZo8HF5UvZADkG5l2UJFsG9qeTHm1pfD67+gU+VIJ9 y1OKbNCbcnfSfJQZ6aW0e7RnwqCH1K8S5RVhq07rlNFpOcEsC7IFtjnchZvZqfOw99w9zxsJIcOY IVSRd+0Y+nfz8cnuprsDjwslkvzKMbrLV4/srx5taAP31RdpUno/kJuIwEqqeuhTpAQcp7G0q6TZ olalWpR156lfZ//GMpTLeMkut8bCD+CnDn5nCHenhXTjARlF5RXROoYrpY3lNSTGxo7OemTk99OR lbBGL+AZDTreHRuImpYoZvDoUVJ4HGWlnxUWtzIo2k27+mwaokPX+crBCiDILEo9eAd52AvfNpkh tSRChINfcpGW3mP2oYKUX1FTpcwWEdkYbbaV8TMEFahb9k02LAFAjM3J4FG4VsyzdWrOF7ZnSz7e DnXZx2vBM0Xhe9/6HVa8mp5dcQ/qik9dgtJD67i+bKOUk+q/mzA8Bk1NfAD1I67/Y/GgcfSoS3no /m8glCaM9WsolIoC5ib+clAXq3ookPDzZDprkRsrK0JhnjuIKvB4zJhlze0AdTF3On+Uq8OCEWCg yeEtqMxEd0ffMntMhxzBckGVR+nnENnQPHkzNTNG3SZCWtgqg6Q7V/gLCkefgO3VLu575tgLvyGw 6Q/4HYdxqGONtWUcaUxI1EDACQzlLXnQoxnheAOPL0uxQ0HSdGloYceaRvGjtNQ3ZMid8BWgG6BV jwbWcakULmoSMDiEL9LpPg6qhygFgPTAK18HpAud1kwgck4ROBNXWA66kE5GiKU5ZZejXG/LBoVD eItZJKb6CPYmCnHntFV8FXYH8vpKLjdaum3iwp+Ix6hTrpENcKvnhzS8xxEWtjygo36Iy1NN9Uiw XWJsugZy/JdVwQJwz8rrVuBe9k7jQtrYXwJzVx9v0a3PjmtoVARStLVHn6SGyPZ0teA5+mdKuRaO Oz4KboOKwuWocr5A/IlCMdQAW4/PGEhXoGErpD/jNZrmo9p7fbZLTJ3IAw4JE0b0yuAkoDBLTDbr AlEkWmau2bilfHbKZi1bKBujLGOa2KPeD8n0vEzyLfTfISYGZYIsnarzzy8WXFpxFY0y0nwKjZgm oX2E6MJ/dmFlhBmK9sUF1D4NgodtO7Juwj1q1IIZ5TrRUlZYjm44XKW+vCKwHS5cv9gZ3WdunxI0 k49wkU9vRbNBSjrM27Z3Ecu73NLmLU4xR0FMODbOoPsLPH+vj+q0OpaO43I+oSwCmfsbVWDODf5W GxL4d8UdCRhc7k4DveBAlEUKasRQc64hAfvZAB5IqqwixF6C0bq4sSje6O06lwnODDuwwlOfWY2T 9Tr+pS3TTRjNoO6FiRxmPWXGGFeyuiatiTgG4pvvuxL1N8ADw4UrS59I9/bOUrkPoJrkwtvnGb8P N4VrAayvmUberZulYYMVfPbQ8ZH/7sLRg9jhuEVdzm0suAEBHIiCTKZxiHI92hxBTCDIm77HsueM zhMnxJWhlSMx0WYa4yr2Q8e8n2rdKQucXDQb4Lp2jcpB2fQADvaSEYUsMnQIaWZ++lCfPCTjjhBz vkkPLGymsN8+n8yzrZNdv3KR0bfHGKzoF/OtemS2PMtkdJhqIE/6Np8j5ylq7diRKi1BlDQa5N0h cPWDxSZX4Dl+g2Ly07xEP7FEALgV/JZcXYQvkmobX6obNjCL1CdLioVpJTipTp/F4aZMTmBS6WXP PCp8SpoGIh58t1KR8IFAHD28QbUsJnw3LtYyqK/zI9C7Lni0cMk0fntislxD58cH4ZcFVh38MNVs 0UOWMSO9mhRssMevgHWWNdQsYlYfquvvB3nKpD0iitjM39r4eyqhKL1ySENrK2wgUADRY16DW8Cj Lv0B0vstAEb7GoDRcTL7/LoO4BDFBFVyzkM8ELezsphsXGyfGNh52C2f5O4Mgi5pjuu7XvL1fpGW a1S15PzXX07ZAH0OIY4eXMAo+h5zIqudIAmTMtKF92co9mwxmM3i3QdASU+SqaotGSbZSmv3iv8J YvcPU0lpKNYJsCFisrYQAkJojWYScpjx9JWKUSV9N5VaIsn26adqQ3dsDd4+2AB+RID7kANp28op OUv4AWuEAlZzvnZa/IpfXY4nFgh4UCgSD9U3FHOfG5cmBlM1buksadr77F/dxF0hGxZiD2AwOeih u2t4sm//x5qrHa6MQhGzizvXqVyG/hFyqfb4SE2Kv+lhDFTaEjxyeLBKCIiDpN/H/rOFqjpMqVN3 kGG0sTDpOEyN7zf876oB2JpCunJVfOw9A8VrVgwTd5G5YU46fN5AzzyFUmt7GaDlNOwUUSnx2ige Oq73QzvfMPJFbUVKG9rJybNNwm+1m6ZKsAj7nCu9VHozL7Ew13VaEeAZUo8dRhk9rpJAQbui0zMm npjbLQhmtDTqdKhxThWdNN9HmIy5En1VxSu3u5D/drMiFAHwBjoAF/l8sTCuV1C6HQ9Aipo13VKv ZaIQ1QSMM9JddIg9fcZK/y7iRRQ9WpZ1Me2M3rLjbODbWvcY2uWT8Hjf5lbJKEKBTX2awIvdmWvz 4Tkv8m+1KYz/0vmF+0XtdTKL7Hg21H2CaXOGK+OcdF7KPCRpYtSaJJHb6PhbBFspJTozcVF6sRJ2 JBl308DD483iC04+74U+nCGn+bdXziBhVv3dQO/ilh2SxDF3xWYGZs1hPsWqYE8yYWWTr/8XQbQa b1L8S8mCF6SgOW6mzKIH4FkrowoJ9vH2UaPZRGSZXXDjGftDz/7dtSn38DjEUl4t7/O2hMFYhCDf QHKwFQd71CmWbC2Yi5wAOouixxQ61znLDPpQv2tLE75wSJexozm6Zf7056tW372tEn4fNb/gaSsu h2xQUtVuI5rqUWV3NoFsj4SCEETBAunrKWz5urlPxhcVT5qD8CrxarUKAXQbeWm0pfpMtFEPp4Ft D6Dhc3ILlxyxZg47j1ZskVljHnczCXgSKbmPKFgNKLDrUDy5fHLF8lQ2axBI3U5XN1fWEQX5k35y ROkaVI4tOMByl+Y1XRpDN2KhqeIQe3KTlpM9pPlrUBQI3mnOz8KBGSwQ9vVnjs+d8a4IWqSDjZpQ Iq7I7diVAv8nzoHAJXp0Dwd5ozS/FK4ahr/E9LJUv5Q+6L+xeUUBSsJEeCD7PxaEckLj2xC6Lmp/ 2j3WYy8XoQFxNTw9kMotsT8fv2VIsZzTzyAqmDZPwxu23QaG26zs7qwUzHYcGrJIlUzrFKkR9hL3 0d3sHx67RbTWlQzAGd5uF7ynFKgbJKecv8ZKv0S/x2OWz+2TFzZww65VljbPtTVeREu5J5NQDVTO mNEgZc8XumfR45HfJmVGjmCaavUJoq1tO77sPAu5JhHrxDHZFntysPt6mDpHacwld9yzOVt1MRoW c7oWbwx8mxkEILOq7elneIixc2msXVfJeVAKMje6a7vm7skroaQBB3z0/Zyglc0ecw09eUNAAL1u Yp2h/Asd4WOwJ6i7TrKpBuBEorEAi91ngGvaF0nV1GWua3F0PQe5pjPEgyS58mPpTY799LgNj7bk UJG6a2de58NphE0AKRHzxWwHjC4rco0YxrwLuzDz/Xc7iVPJzf+ftp/ZeHMTj90oZJdYrymcSdH5 0wCHCsm6YP4k1s5xS2TZKsrwMD0Ibu0tD5g8mGYWGi5QtbfDkTJ1h2WsUYbJ1wkzKUs2tRBrrBu7 SdECPIW1ZABnFBDcMvFQwrgw5/4v3L/9Uwtd4zus8lfBHopfR0aItO1K94rFtCDJKS94AkXXzPVr JBQ+yrukT704kl/tBO/bAPatgIjAIbs5+/+tXXDoE0hRj0xFX9NvnGeNY99AB/6T8ZImMORyw4qm YtoIMwFNP1itJevqvAvh3Xn9R5h25SUZm0H9JHdQQH3TYvTlLbwLQ7mGGM/I1AD3WuW9jJOXEJD+ xaeaWZkpA7e32DtLAtjB0KKGxI1S6nhp5DbLHi07KDqjgPpa0vdOCehK10gEng4uA2k7FESWhlh4 tUp8Dv2QZvN6yMt2DdgctM2cFUgOUMr8tYPWPxCfYdXf8VExmQnS1T9RyLRJwEpX1Nus+epe2yqR +Jqwvlj4m2cDZJqpXa3vGyCcbvD6mqe9dx0Sk+ZxTDqIs9CsR8GPqi+JwuCGusiebuOnm0nI38v0 Q3y/EIwSibNrwYrC6Cc2EIJ87RzU0NERM+ah7hOhdix/i/uKrMIkxMzJgYDx6kcCZKg3i68cWL3+ 2o6okmdQQ5DFwhgKf4siRnhe5I/utflnrYat2rfIZXTq7+nSQgIfghc53h4s9MjvBa0TqKdE0Vbi tMw7n8FPT1V/y1k6zlIVCk1pay8fRrLegxbwXAvqlYMD/5DRj5vCeTNtQGCV4+Wz17GOOBUJTzbb qUJD5WCwvk/bs4IFX15/pWNfVnE671laTqgZRsnQYI99LyHNwM2o9DgFAdmRdCqctRjtk88NnnGm 7bzrNuMm1lRqNkYronU6hMx4NryKQ69roK6Vv28mnXPYDGbG2SgmN9Coog0k0vaekQ7DBnp5XxDS AQE21DScPWwW8optGvEjspPArubOup/6lP0lHoB40HegSkk0Ylg0YJVfYeyyuQC87mafdOCOgQgg VBfnkaExYo0zU03/r26vA78aZMFHkawoRmlywDrGVXFxBo8ETZ87rxMDCRwnEP4dUAxlvxRTS2W/ i7yVBicF/HDrsFoEhZnzuCdUWjC60lBNgato5Rpafc7WJdr6NJtX3KhMgA7saAEu70AHOxxCnYgE TT+Ohrm1Td21/L2focjBgqdyoZBECITxoT61c//oKubx/XhdLR/JNA5CpJSd0Gyew6K8Z1P8aa0p 1S+fnsIKwxJlVaovxz7vQP2u7GBUs9EL0WOMRgjTNU6dx4j7j467AJmBupeWdjVW/LxzMb8iN/sw c+4femc4gJMSoPwmlNHhFbCOosT0tlLBAhyZj8BsHmMmhqYPivU9bIh7/CjFuJrApteh9bFtX+U+ w4bC+VLnd93Tduizpy7iEMWnS2mGeZy9TM8cCM7sQHmU9yQdd+FhkR01Xe/GDdBfZLhcH21ifEQK RqVvmxhun7qLvRf2KpBN6dCL53kueno07auIAwdOExCsSGrpG4RylqYQ3/WTnIiI4lftcI9BYNW3 csaZIiIp/1fbjwDgtOM6vpsRJr1NVCdrfgB+x5dsI1n2NZmUSHo9HnsqmrNI2nm5QmDw/dPwGXge 3UAiqEzQCENv1H+4YDt+tD4snio3boPncnfP2/w/bwoRScRK5KvKPf9F0OvfDyFJiAjmb/swKG4z zg7bHxUXuy1vcFsvzGSTqxOZOzdeLUFBWr0SKHDlfA4YWbt05Th+ZInB966aVHHLd4Zax5ahwsyT L4WbLqzVs1OZOpbsZ6iyg8TaCCxOND8DGDYM8M22voxASRI0C8PuZVDq2gPsTt51nXBdcS9XGP9C LbCQiQjQT6o9krYXz9MGtFOS/HePtrGDL/IReMSxlKICSDi6JFZM5+Srwlnu0BbBmfirGun6Plp0 6KkqMDYta0DBX/m4QbEbyfseBvaKILrOd1ClqBBpB+HOESsNsFE/giroc16HmatvAHoe56QoBy7D n7yH9tOGeJ7oQMrIMCQ0wZrgJegijQ/J4t5wHXGl1RMmjXJ0vEigNgZaKm+zp+Qd5+XmFEkl1u/4 34+kuMKlxitUkpKcGmtF9fdp4pwOwNx+80qPyP2q7cXcFgCRneTDsInFUry5rSkky+9z7qYlszk1 CdULeXLelS2WiSS/Bh4sEFINkTArHN+aTD0BIq9fr+NwTZ4FlH5sZ3X6o67+NxkgZPo87PrInjxj tZO1pYs/dFtyZ168sflcuzmWFH5hyPMCuHk1PZqfwluvDe/fgU8ohvhQKU+GK7rLAMLxbSNdNcBj IvrZI2MS8Q0tDNo985Vp1P4RPnVlce5yBEEt1SXBZfDXPmWxTZilFl94jMVxsRNUbJjcbpGasEmX Hr7ZchZdq3bsbyG3NyWhyrMDQavlKN5fyhUW7NqXk3pZHq6G2YFxUajD8KEHrcgS+YxvxeqW6vgu Vpp7qa9ZM9DBgAJWPc5EzSZd9/kbZ/JRxaQWAoG5TPjPqxM5Z2MVlfVprbxjN3BsKYhEszayTm51 qKp6CSIu0QCvhMN384+zzJ6ZcDzXmPXch9Pi+zaomfNXDafmUdUxlkXCGxkYaR5BdVMcahRdAp7i MS04DYG3bgwn1iz4dJAFIuIicfuKI6jIHuh+o+jwyH03u8VDGMiICNpoD1hNAkZGf/n+L8DyDCqd y5Qw+hL+T1Il/0gWqOhjogEXflVAfLc/O2J4oW3KuCO+oBjjV4rV7V16ezAFgkMZQRGGXcPQJVUJ ub73T5kc9DTARpSH2PTIGJIhhZvQQhfpWJSVMf3U/bVpnamkx02bCKQ+e5acMjshEwVMQgY0DGqH iRnCs28GA3IpeXrobbzuUYyThsj3QPNq9YimeDEZallk7fSM8gsv1PvRZ4kSYZ8DcOWHzBM/rzaE fsjPMgBtlWzrs8JbGK+2QFEq24WhDUKLUXBtfekeeXPXbJsGlplKOZt3ZLXxJzflotSG+fx9yZ+q 6mXH3X71G5fhg9C3uWJvkaaJUiBAsdXZPh66Zfnr07mdpAkMAKeNc1KvK4kMwbPQwYwzPg1xvNUf Cd5vvFZwI5d/y5ED25ayMJ3CuBdQ87cykgkk0a6FAJj38ATvJh9snrMGC8eE0njiamd/elLYV+BR wT6webujvfKui7raoT0cj4JrOtLvyLDkaAuSwr+SgBxVSfJZHvBHI/yGxLg0lA/euCEmsibTwip0 Ty8HtrTpUKlRqCcKkocCn9/OfC+gNwXJ8DlLis2g3WvWu+fQYCLMqFDqhiY76DiOxtbb31u0QgET 2mUKQT58ToGsxW9FQB9MpM5p2uZeILKkNqZR/XtQkPUREM9Zsx7j26Hj1CIsvCK4Shu7aWL65kHR 12naGWaBTe0ZC1svSyJKcqsXB46zPU8RBueHtwHYkvWAlkB+hqCbQ7kdIOCitR2UQOvSv07oDoUn t3iiNq3JD9YhJgrJfqLVvAr1Ib3zC4K2qq5nUyfVqwYVTe0aMngMwj4yuyX88SfsIpF3EGoiXVR1 PBsezaL9yjlrJhHt7zxr+EyLBZ6bm8DAqDoCkovShB5ZeaZ4kfygL/dCGbVmhM5aixVitFAKGv/L 0lDXSSU1H1Iv/DGNys4dHXppic4KEH8biMhbt7AGK45f+CYPBfxhJ0Whxj4nXh3zcshBXIXyt4NG bp8qAMXBFHipcSpOHFf2wq5SSj3aOZQ0Ful7UUpUjf83B/Gt1elLqtdB9+tpAcS6CCOjklKxSni/ D2yw1SYOOa9xSFfma5QHq5e7G0DZ7vnLl0PWr9cE/SYSDhkDH4sT3QJ0dqj7DeRZgSE0t/c5kvE5 h5O6/S9Sd7Pfd0JiF7dFEy9fI60RYmVwQthWz9LO1t04cY2slb5fb0acSJYdIuXQ7NBz9XinGn3+ JQJokaO2EPtpodJiZiciho20RpSjEb1NfiOt2ZNHS5oBuN6T0CPNvr/uweZ9tjsQaUf51AvatUld 1Y+HFH3d8+IQ2Qun6h9bpvNWCre1svNiToMWjMSAqVPNU1x+CxFK0BFNBIwwzq1KnYzzA51YB7rP uNeYW4xo1ESWooxi03mwD03yYyEIvYNm5mOnI/8uBe7yiPzG6sXWiNApJLhCKANeb+I2RNejunyb iYw7wqHpON/RrqX2dUV0uQLfIaVUykqjA2x0CMR7Ty0qGbwilV774kkzjIRTq4nl2wq61r5QrNzX A7LvZDAwuahfiGggR+ziKm2yiY/NDAcSZYbGABf0YbbIj5FiiDneADawO0yrXEPtLA6v2IvluFUx sybHEGwp/eGItjVVemsNLKq9yG9ylsCanaVZWPjRvzd7pn+zVTBCSftcurtvhlcTDI8yOEqNc2i2 xNO3fyRI7D7J38ak7e+JpEZSOWckL3r2+01hjH02F7oD98Qr5DsfvN2H/r09epeLHVSdALyQo8dz Yi2mxjoZE8ZdIayTmyI975zWu6lNuIQM/bYSeFGJ34Bk3Hfy8sblWXQGNgt5IPQbjFrz5Orod0Rn cGEhlnFEnjbSgymQn7apO63t58u7FjjVH9lC/mJ62wIMeSJgevxCPNXZ5jfzVNQIJAONjSV/I05Z lPGMaFcIqx+26sFK5Zdek+8XLb+pdJ/Wbqq/qtHtn+qogJ0+RHzIbQUjHUOQO/Bu1pGyRt58qSq8 s0Ej9fsPYERoJ2B7fPyDRichT6vdcYpI6yyU4N/Bll6QDgmxyvxeujluH6pth8chO6r51oX4Tw/S m61cLGy+KBkTNu+x/UBin3yEuPp9kHALExmJj4Dc6rX6dii1QPk8OOW2LEFeEowGnG3rnsKkH0Jc dYaTo4AkCczkA9o+o+IyT8Tj9HZuletnKVdllQXctTeXfV8POVBqa6iQEq41atFULztAMlHq50ST u7dj9CwfYeHoPAEJJiAu9zoYSJ9ppCUzEE3X+OAUdh2vvt8EKoIzAQr1poi6ETn7K6pODEatWmcz LVI2tQt3Q1ktZXUFQTcMR1CX2xQTfejsAHup02zOXUAYPaFHGUJW/fhE0GL/hhkCrVqecQ2UztaA LEo6oGii6kPtSL2cq342MhV5SRVU1pBgT7jZcfSwJ4EM829nxiMA+mX4BnGwr4cAEk1wwykzdaS1 6LekpYROl3r84tlV1I4sQw3Gw+9vZMpfuh6008nglbAJkOtMCJvhbAmklQGW9k/5MfwYyZhGmYen WYastCZoIM04F9oTFxCt+y4JosjpOLl3RTWyu/AygTa126CID74Y5pWzFKqviN2S8OsYM6r/Znqx RuOk6nLIIkNY1QqdoA5cxaQzRoo28FlHKwT0i48K1JOm+SEkEFF7bsmB/qD+J/il6zp7Gc0fmltP AUcAMW9MqR1hFaaaEVTee/7ec9ZsHkv+5H4edq8sy+nNr2LSfxeQn3vIRtv1A+scbLV2z0+tIh4e oLFYG2+a7lwCHK1eiE9XRB3aaNgbOTiVQhIH3o/DZlASBR6EK4y5daeWyi8EH46e8dN908LJJWwZ YgH7d97/KKkpe4mUvnq7AggN2p02ZBBiOatDuUMGyHN/jWe9GnPkHSNLqy3HlQnQ5RdK6XrBFe10 LdRdsyTMxnZ7e9MzCp+jeT395eDTBeyNMwnfRHmai2A1tZm29KTgrtY8y/U4y+t3cNsV4px5PHLd uJsl/r/ylCRupYYP+zKYpaysImZdUS+92Fg2aNO55SYc5Ov1VzEcW9juW6SLaOctkCkFKcfwm8f2 uS8qvDWWfrnC2a8S7p4Ce3w8XcN6J2LfQOlecfQ6NQ3eo9vHic7AZ4KfzNYvriMBro+zGXvsRPYA 73HAtyr7x7uvavWT+XK/GTrtIXFxeEZT0HBpAzpA0d7dJyfBJ7WHavkythFVHBZcP9buHpYF6f01 80PDyAFoWzYO7YvKqibUOu7qVSyd9uOejd4E+pVIDjZrUrup2vFPGfDBjEarBilEz8yfKAx7R2+i psmThh8vbRbGQmPsYqnHcZR1LhVW54QAAkSR5iVMZsPU4E2gkCMmZEeWU3gMbByOtP2Mv+0qxRMc MoJwxSVL/Jqxha4YY/QrVMCXEMhh/9OjoYF1E1s4YVUAaafJWV1P0LVAXjz6NucVzR0k3SW+BgED 7fc18AMl+rBGD6pROVERABkEmaXO/umiQ0l0amNSYFww8yqT2k287kPBDzR0B7JL5TPaeOee/pf3 xYqjb2YUNGcZSU9pCX9r1VYEKR1qdU1iZCNd9Ct+7BkpxiRGo4bPSmfNDpFw6T/JQc8h9EOO+Dps BqG4izeY1/rAV4DBYMMGDTYQg0n9Pe3WY/JZaJYitP1XfWnCZjzFrXl7QJxbuBKd864bXrhOhCPo yyTKQtiox9KioPZ+GVQ9kQ4ajZbY/p4RJeB9SqIBOMEx2KP6cf0UEqdSM6jUyT/BvJObXaqpFpcH Pi2GLokEaSX7XkXv/KPVo6mWcSBHdZ+YU+ykRgKI6szeRL8gm86TG8V281t3iq55R+yCHR7qNOyw Z1iYJa49nThTs5/p/nFoSiqbmljKEiv1/sVu8GcEuhNaHxxJsNRYuS45mfnzdU6IHUARfwee67+e 4+gjK2zakd+L1GbjRtO7ttmGruQwfwcA5kh2sODugqIzWX/O1Nfp7Slp7ClMGOja21+mda6hkOC/ 80k5WCd8RFsiZG7JOk0RlXoZJk7dtdr7EuRJZ0wc3sfs8BFGQtrZ0oN1Z45kzZsK7Cu8n9uePjt2 /SwlVA6swz9M1oBMMgylTgsCMTF8ZKplJ5vNQxQyDR1LBILAkV5ge8lSQ6jLLZr6s3+BFfnKbiyw +c+llZkWjgXqTCRL8DTs/F34UP8fqAB4lzk9B5eUfmYjcUbPpQ7gt0QAqRr0Hcz6vHx/BZfr/66d Shy3QEvlueBrdof5hxsSl9mwLROQLxPX2dE87UkIVjuGOILDO09BuW6ZiEIb4oW9JKHuO7JpG0NQ Q3QDb4PpndInv2LlE6gNgnJM3BDfdFK9Ql6n9lHiM1uMHxXc1SQoI0H9v5uTUKzqBMrBuJKEXOMo zc8WqD1bG5G4DQ2hY2JstEy5Qo5/hhzhPqFTXlPzJBEF7GJBu7goIr/1vhSBXCdQTNutH3sIhmnQ mZ4z7iFhqSX7N/nltIH4vEKS7ElI5KHXUX7oZVYkrIcaiYesgPHkOfDGX8JsydlhD+lj81Txr9wy CLhBn44QGNOoqJFG3GtccQU1sqkEvZWzTzl1D+mCQNeAp8ILbfOzzUl27LFPVAdJRsf2AqYQNGf7 hJGwNRVTs0dsPaNW8dudy5x1dI5/0GLN0jNHpJfTYKh/Y0AyMkAdCMt/WHrjH9tJA3ISwwASwyti nOEE0FldlhZL7MuqqtpjpPiVZmWhJfKmtnLCqanYdmmQlOT5siu3wAxPIZbz5nYwknxUBOCrHjEd YaNyISPag6f9Bdmz/lespuHIQHdIsPq/EVZyzC8issL3KUYDq30omU/ZH1H1zZUcHQM/TlqVlvoc rI/9xhfxpR5JA5QlqMjVLuexhS+raLhjsPWeQvtbvIKbb3nzUsEezb/CssCcIXI8ALxvvF37AoYc rasdWMmhMMKNc7lLagt3CONprfV2fmgREsXWCkXikfONR3ZW9sl2kmgPD4+Q8QQ0D6j2n5rn1Y7m 6McKli13Ys1otVvzD/ZyqjwKez+7q7FrcB9mQKnaop4As8Oz0A8NsXI0WtTjPOKUBJFCw6H7Lebz GxDJYPYEFzHi+v5x8RRq1scdyAlS8pgB/6VAtTHwcYXulmV26WgbHO0+pOMyQZ1O81+0oxtMMe5w +3dUuWhVdO5E6waGbaSTS+/Dte8ejid7DcSwB+dllohhmDlg8eoHWQenWlUPCQNohsLg7yLaCzaz 4+r2SMoL0NH4nq8EvebNiLcj8HcLZQ+ta0/CL2sokpxQfQzLWQR+EBt2rZRnb2YDupCtCRUy6EuP KMIpuABGH5+Oigp3auQC5ImLkMAlXhyluDqVrRXc7jBHDXZCS25Ro3poTw9J4bzUirylmBg5ge/V Esqv1mk7ux1Oraecvd92my/yvAnNtynMCIpkzf//fxOfngW5LPShLEoUNuE9Db4quPSFBhl4uGax wVwuXnRcRvi9nyhtsgR5sFxmx1h34rkeZYFOCg1asioqyIbJdYfTtA7RFd4qVImdE2HG6sMvGhB/ U9QYXws41d36dN5FLOZfNbLsDJDameop9Jc3jJ8OpzXYlI0VlR6NeAYf0SO7Omy4Xk7jNG692xKu 9eTzGnozfq9SjzQlUgYH6jFzGv5cp8IOw8jJXG5k8oXejyi01+rKJ/fr5TBdIpoiOCIeMDLwzOFQ oyxWlnoAub9yy1aJI4Hd8HvZvMO7axTq03e3SfWk55PyjluUS/p6vufxdpEXih6ubH93YGUMs+dc GqkS7w7FAGjBZlIL2uyeurLq089JKGaRhHbmGmUwLc2pjFilkQrrnLP5ojnnI2U2e79QT6a77L1x Uuy58k0CkiVtrfLSxgSogKXHRVWkrOmtHe4W8v20WgVo8IICWPuNAV+i/uPa9wzXAVwfGSzxxV9T Upn9a/eZFrh967h8pkjkqVahd6imRBs8lmee2u4Tpslslut8rjC+XKKYMrLScUuUPcYREn5iaUPN aN5X2/vIFwaYQx5qoEI1wBnnQH2qolg62/8xZfzouzx5N9cjFrh4UVUFaXtALNaOhc7rT1Sd6uhI 7j7Wi1zb/RZzIjYocS9da90ZKFx5A5mr3/7N0999c6Ac9DWIJy+ws9otUx46BAq+O1O6c6mExMkL iDLgyCEtZN/Enlxdft+MjwCl4RGhnMgE5lOy0gBVuxVQapTrX4w+6Z6VSmQYVpXMy8+wSlzBAoPH 3AwuU2robSzQ/Fvw5QbNTuugFOCbXCQNtEPaxFSQYMJdgvyLX/ioE9DAmuX/75ioUOYniUoPTJUy 9f675OIYicuWrx/axTLysS8E6gpispWwbf3kacRtf3pk1E7PV8MvQq3OBFv6tkP/FK9DRbJc9glI ufx6R39r1S5x2hwv+JzdhnP2UKS7li5qSSFfpg4S0Ao6ggrVYpVY+CNCmTqLZ74c/kqFUN4ck8f5 GLbcQBNyuoslAAByvoesstP0orgRiYnXjMM3PGWsDaBKGMlLePK92G5l/T5KOdwJFCEsME+txOn2 8oLM/TdUI+cBTQIR65DSHphHUYYYP+2G+s4uuThbzoZo01ZsYG/+wSemU8ooRrPBLoR7KZvx5s0Q O9hf9NsDqzNuygL3mT2JSLiuOqUMKSXJBKRn2gcW1bkU1ENg7uFbDFPW92oayjzXXhNrfNWwbI5G YNtFaXf9y5YdmuyzW4zlfpYHXcIV8NTHEojjlZ/L42/vF1XtMdj4heOQHq06LIHBssu14wwRwHuK Pt8u1MOD0F5OHmhVqDBm6r1llBn63J88zI6O3dJiVa25aH8l+vINc/CURksT6K84fA7qdlupTsPc YPkcEsbuovYC7qwCHUKiU0egGcJ9IZru7Ic9bA2XtM2j0wvB3Z5jkCgI0RYpsUhKNzi93Sc3wZAZ St9IbGoV0pXR9CBLRlcYzTHd9dKoKo4wLs0FPyjR5trdo+lcCuAZdF0fFaHhsGApCkEfy787Wo7J un3fjJ/vqCj/yNIyfzxjZILC6ihVGmbMzzkOQnWtNAopAxKTlKIMrBAsMvGOJMH4lD/Qk1Wi8XPB 9v7dfSfrJlgRt7unZg98YsYXEjj1P4GsmMDgdGqXVmts/jDWKtg8fYswfacFIC1+ZCH9fia1iJc1 I9q26LUCG1l8aw369E6+bft1drRVozkU8FcIT4O30yfnUoY72b+IbilJLBpl0xtJBxAxUcyQHLAb WsIP+vsUq1Z7aenFEvYOLMk8DsCcIpD8EU5rYmzO80OnA3BRR3t9p1b34my5aYZYhYzNTlSgkhgr 6ekc4ic8IStB5MvNqkHgDY1qe9c/HskkVdltl+s7Xz3kak1YUmbyl8ukLLlVrPeQnNehy1Fhs8LM f7Jxj+9v0IkU5b+OJSAMGqRZoTUFAX/MIUwxuOeFgYdWp1NeSwua8w8qgH2laU9LoHV7UGcD83ZF X4+CQZhyQAhp6VgSLcHIYkfhh940I3pfSzgj7Obar8YGu8GFMMQucUm5zw0plJFdSHcs0RN1WCod 8DZu6oKA6MkW5E4ks03rjUSNFHKpBCutHW4wYMcIhLPt3BHKn0zn8E8+L94c8z/snA/xWKQZQjJ3 VTY7H07NUWMc38zqEv2HSfHo/GaHSUzPYBMGiKIBWsjmniTvak1nMLji908DghqD8x0ccIo6TA8R b79EbmvwE7rqlGcHHG38KCpsprbboOXbThSBnGjoxw9NdEJ0r/jzmIAPCjC+iH1zfbZa3zCgdXNi AKGiWrDXoNz27RWdwBr8HsSH1S5ZLGZBTS9UE6ZcrucelKibp6U/vKqADNHKUIAlTgzmXdJrkW9J O+Y8OkIKScI0LujiGrty23qqp9LqTKjQdFf7bodr7BETsr3J31DYVrj/cIXLyVhmdKqsXS5kow6G qa/b/XRJy26FhaJ4eR+W5tfCV58Oz3zsrgKkvwhB3AwGYk7xujCY2ceQlmwAT86+dT2+az8LmqxJ BrVa3Chl/i7BTh/GXFKMeK6CUXSbEbhKtdcYRrbuLlnbKFSfnqt/DfiBSxN6t0mpCzs/RS0+mjd5 GYh73mwdIIbn2AKSprJI6EN1WZiDBVxmcZBEHYJ/JAAZvwZg5hYQbDbfBJ+MeuYWj18v903Y9/zR pueRSanxHlQwVQzXNLfOfLMb7m/nYU8Eyke4ishr5MUyVXptYRdTwssiUrQImUiSAQiB3mcQDdX8 cTpo+FCSlAhKmjGKI3Km9XIX7XJFpNL/gtLwLx24QX14EnVVrX4PlR74kEJK4g01Wa7ECXLB6Bov dxSJy6nCl/Cq7qejdGLTcVEi/ZTRickfVx8ng5IQ/f31axzfwL/qetaBiFosqfCBc8kdNPrNXRT7 Y3GVfW8X7ArUx6VnBynH/BRxrDMpBDZljVwR9eMJAjpbtuaWD5X3FxPUBKudTo3H74na/nOaeUYd c6sWpxtbqrg93hQmPEiUI4g/caTnp+ssElldf+BNThlf+KJaNEw82W+dxD+aLW1bDiSRffhGFzWw n1JfDJuLlXg6XQu71fCgYgPfLcJ/n4hcWRDsaZcBKT3ccGjMhSeBhAI7B38pbmUBzIv2eQxoNeQX 1ntuthW3AFLFYUWvjSdet4zByWtdpH/NltyWqddWd91j8Cr3BIS3UiM9p9h57/aGOshTBkcW8tAY aKrSI4U8L9PQnVRTT/B23LVw5NO/nJ3l4x9LKFp1IUz004R9wS3G/PqbLJ+p5JKbzbZl5DBK0RmY o2+38WJC135tRAZvCxWS1DyrhUz9Do89EqzOC7TctnKa9tQ+bkDR9OONJuiYH//vQ2lij2a7T1xq qo18gj3adOpXL+cliamI5TjAHcrw7XzPfZSZlXi6WRNT5a7XREx7RjoTKD7W/gVJDqZRPA/wE9/6 nDHuLxcEqyFc96plM7Nx3j2jLDePWLxao5lUo8Fx3LfX3uKglKkx4lNfsamdChCQjfNY+zf5rt/Y WgToUc0ZClCz6HNXkT7QrZtdj1AMhAG6bXxQp8hWfFcazvPdJB6IXUzpjKdt/ByvsS43R+nmb46L Tci3sT3MOfkCBmbV+Tm3KeRnnfWWxaZ5WYd0W/vRivsIFTDwgsLIS5V+aFSwh2EZD6KzXtxW3EZK 3kYtCOF0k2m0fjNzPO+s0kEHm6ZslA//bwx2N4JvZvtAh0akrRqK2Sq/r/BGilqXr3pCJeECB/kQ TxnHX6V3tapPqMyaiS/FioQrZ9+tsgVvJLNSfQKBvQGnPrp7oS7c5L0cmeUYWQBeTlPvSzISkcBE SlTpuRDAwJR3JgAjHExFzYNuMsT6L4Ys7IuZgTCF50kK3LIkLTwfGybY7y+WU9pwhO/hg/vEA8hk xHas7Leu4fO7Rddohgt8UDF2qi2CXsCLCp/QiobKNVrWa/5DN1dGVYL3EEvEkfrZA4Ipv22duzHC zWH7w02m6lcnHRUtIC4CH4fhD8JH6CEjHIN42YxtPrvMkv5aurpT5U016vCOlt+k8PIIN52cNaCL D8o16JoBK8XmZzPXanOqEJEoGx4N22ybUf3PZy6bT+JfdR8yaNMD+5KLCb0diPqevgXfWpVvhylv Vomvvc0k8Y12btjZH83uxecsvloX0aGrHICEh/HfD6yHbbBaXoWmakz6I962HgtLAMlr3oIKOQeB Ust03vRfIPgH86uPewWKUD2s/+fQ0ZNbuuKtUzQEGQMe+ukmWy1H5PLcl3A2MBWyjDpQwJjIuo3j QaXscS0zAYtqn3gU9Jm+CALitKK5FZ3VOAy7xMKFeAjPLEgJrD/Yv4vSkJF1iz6nCXvSBYsi0R/F za+LAldj6mrEQU47br6hMcO06pruhhzsYXw/TmxUBkjZWIZ2qyoeZtgDVaiY+g4ultW70LwGx2i5 R0Ow6FFeno/aJlYyQ3Gh2l0ELwrxs2X748pPxM6xwsqHfaeOlewrA3Y52RcmGVohLHObTOlesZWv 7kHwjYLvKDYHwF7NEdIwRraxbKEQBqffGj3eeAYWzkoCJ1PWTlJ3OnGMDGZR92RrXDd8Rk5VJ5GF /qNfc3IslGZlfoVP7NXO5Z8p1EgcFhBuBG+0NSWuxsV4oORck75MRnvNWhH/S9C2n5cRh3TIulQi JtZDbd/1n56RkASwINKLUSOPt0xFUhgLImc0G0UCpHNhVr0khzjIY0TUcd9Mb2yblsMbIZrgzoo/ wt1RJzyDRvldNHUKYD8yklT62aV+w0prYfeyU0Xn6ZvHKbyxQGIZ4YoXNZzLS7bo5Um4PnJAth/+ 5GkdqznoED+PGuP26ffcjs69p8PzbcU7fEllAwRKfJfYi9o3GMBpGYhJ8SSTVmSjOtH/QdpPgIKA YgLAMggqEIZV376FLjSdytxuRyYRzHKKiFoBizKysiS946PzuLw1+G3t32J8643A10IbrNpR21yM //8HNbYMkU+tfggMHz2oGQALL1iywtKizjQxqsNMrSNjUeu3gtWGmPTY/sByGLt/+7TQu++/Sc6B Gk7B4/nOECeswC/YN8oaLLKIuasv4gBT2uBHXED/ocffW6n0bjBjBo5zy6eEiPIKMxpOA6S/m1zA UinI2zpE/EEluPPqf7qyzR9xFEcMRp+MN/C7T4GnV8+ii/MDz1BVutKzJS3PiNIrhzFJ3J4BczSe XGcT5ykecqnji7iDkMN0rqVuYlGP/vbMAddioe280b30i+/beXGazS/XGQtk6iVJx/3dmqV5d+Ns tjdbU5Sy3KpkBJ5laxyU+cY2zXu21ir86QKmNIzBOiF2IqSTQWmhGsI6j+TJ2gVCT+syjy23IN7a o79j6I/C2l2mRj/X1I91QdaYdhPvM+fdXN6zPprUm0Z8ISFKWl3pell20tKWqTabgGBge9nqeDga c16IzmclIWBY1SMKtzBB+l5XMprJ2KBX8lKd/aJzVZiLP01vUEvlpxNQyG6I9qAe/aYJ/DZyKKeV G89Hb8XVjdadGhPwmuD6bEXvp777NRJ3JHaX9o40/nLNY8pCFIhFg8CWgWQJRnpViqGUU8IE+dt9 0CzkV3zStnHMefbI1ZiMddUfjwRJI7tVruZ1uy+Vyt4rVb0XT+7iASr8eIdj4abqHTsDCc7bglNE rq44H2VKBXa1TQ0jFQlIH9dGloZSUDv2ABjWz3U+6m3mPg6wc3lR3RQC0TN/QjFvur/NXYwypg5y +2pmDAvBRDLvoeSro7SPK2kbq6mDtExgMSPCrp0II1Qr5Oqf0CormD74mQWHLWSaHQJd6PwAwk10 h5r5sTIN0FMerM9PB8/NN4bgh19hK+N/OZ6EdiBGIJW6XutpM9+Bv5md7o7zssnYssjH4Xavooke oA1+AZTnYNBALEbjx1JgZgfrJvFNM9noCMzdyGkfm9Oc5PSxwqx2ylwAluHKFn3zx/ERU7JaHpTG LRKAiT+jxPl28fzD//hYwgmIrpQDwcLTCGP2Vh4sX1bwaj4nCB7rar/pnVZv/TD7V7SxjwPfkyM9 E5tq6ZVbR+Oj8GiYjs0KiBn5gUw9jnNPA7b4lUiOc99xivj3NqWw/Iv3/rtIo11BWGlBRbMlbM5o /p402YatcVHwDVC/JBJnZcTzhkEeq20OdhG57T48rx7hhonCNsAlqG/zPSxtkrWqfUyJlPzlFHkS oqJirPd72Ho3AenN6hYxpIp401YKqFrfTQefMWtZEVa+eAtR2HM11gJKa2V7jihV9jE3BZzgIThR cP5jyGR+NLRBBJZnDSQ9vh9M81Q9xrDjea8y4Fb0vcovcE04oAlLCEu1mZYBzfENJEjtLT9Oyk3V Af5xAmst4tsvNAfHSoEGIRmuaCgL7UPqozNhng+B14A8L0XwYd0a2wn3fwjMFzfiw6b4Z2UAhklh IPBOtBoKnU9zheIbvYHXfyyqS9IWRPJ7tcYaM2yJCTdOCCARlSBZSDAMLOqOYrMOzJfrI6QfQM0d gXK1HUn5LSptq1o80KBgG6VLOqaNyIFWTZ6MCR00XYG4P4iIltDofzWF5i3nntJOUfsyYtsOQAmp cRbuwILRqTPLxtxXDnSyBwyMwv+YVGjMiEff2PcSg5zhAAAsCVmCNMSAdMHITNs/vtWYKVBBotDv WO8vwM7741RHqu5Yux9k1CURPIuJoPxv1wY+i7gVBIifGN+8LQNN0rZxBaiRuWhxTu5Xsi7yveWZ N/ngxFasIdiJgpQ22hINZqWD6PPpi7H8IhfGmEPclpfbBr+iex7a2+ATTeZi0anAgg3rHxATbQJF 1iq+oCPnIS4ZQXAOW6xPEc4ECxU9m2jQZ4fkRi18EV7JkchQ04YHmPL59mSEZF+rXT6eE/vkpSG/ hleIjtSzjM25hLVi7Meil9W7X8E+atN07c2DTc6079p/LP3tzTxkZZRiieQmXsk6KtnqEIS3VFCs buC+XExg+rjZjlOu9Cg2LmLy8p6LH8BkFBUnqx06alsuz8LZfsA21bhUOCIVqRgAW8hQB21DAHCO 0yg9kPwZlNcigwZfmYp0LVVfpjfqNLDNjYrjz16skHskC3gtmiG3rePY+zQ763q1SZF/ZC6uYHLR cYCirkNjXBXPv/5wO4p0vnFuIn55CsMYWMv9SGHNQ7IRu0GQnOEYII2xd9skBrNCmWExC5kC9K6w ymhWo5/0okmqqep8zi/+R2HivgjiuaLRhTsEzUE0q4nrthYivROXgC1Jd915DmkUQrC4+lsmbUU+ qEWUK0tVOBQVnpSTmL7KCPXqmOJZ9aw/AXBLVnLBYJkjNmuUMpadMW4FmvG9A6rBuIPlI0M9G6BI xE/eUqUG/kNM7p3eN7U6WocSY05pHdPk1cBX8PWzSX7F0Qzyr42hyQjqUtxtIsz8UWF6vdB50mJl Oksaqf+mbdHLUNCBpTDYa6aM1kVq+wyvNKO5TmeBd8kNJYrAToEI0S6Cp1VI4nkZFIxV17wqGcFo Zs+/dUk340QgpjFBGngmJls9pM0m8y4jgoTTcpyrFZTOjro5QnqrhUptLaK3VgRcSKxrMayGLWbz iDd8rTiJ0OCZBgwG+nw7cHEFNj/jo/oVTuJIyvmNgTQU2WMx8U4X//iWCv+GoP0mVAV/IDV0NCw9 gb54Iy5wWmdJFROEHMWGBClPbEdBnNZp9b5ll2Oys/66bakHk7lufs2I2JZymABP0tMq+YOhjfR/ O0lzZUBN2g6lIGgwvmZISxQchkvErzUUm1Al0+ir7pnxwmoZx7pKXYW7sx/uuNFZ2a+QaPR7clf+ XK8wY/0nfoO9iinNEw5LbJu/IjDMt7oMJ7gYM3QC0LVPqGzzQWO9rvCuTJmULaLwz05J9iGHYxeX 9V+asnmcoyXhtJnJBKg8A2d3opfr4fbF5m+yGMi6XCDhBIaUM2AAfeMgWIM+pAYUNFrTwtXeQJ7S dqhOdbxBVth/AloTWLMA5103UjIkichaVG8T/lAsrXYpn/vk1GDbTq2x8Q1KKiUtDedVEchqU2MX tI3qF/XzhPVT1VO9qR9DDHMTg+GQL1lswdGB5ay2X6o8UCQCq4ZG+CVy7DowkbdVoy/yOG3Kf1iY /U/ORyaxt4kWQB01XiEICJX8eUSba+8pHVoUOvS1BzuBHXARJImZ1CVnNAheNrESwOCh9XpT5QHw pqgjAkVsLYsDaPsED3gCp2OkQaG999nKXdcj1k+FqJJMMZhxtiDgXegC7iV13YFC82hV/ZqPYG+A Mrw9E76/3+GduzXMlQTDUfrlArzwl0O9xdseQFk5tVNQ2PY+EkjARp9stGC8p8+HIFJlG/8nNkLS lRAg8eRewnJX4kxU16x77nsHpbYAaSS3YuzaTVxl2XVTRX/ocxCiUf5v0b5aPkrcJfSSherhopGw /fQiCNai1L6iGCtQ5SG7Vbx4vny1dfQdGZSLoqXayPElelBmrRa5qJY7+OlSyqtxYNwBex2d1PXB 8fh6XU/IzE0w5/yahcLDPcrSDWrQnwcgaPA0DjRIgJI7rTehR/7QWNdDRDb01rnzgIO1mwoVVElQ rPMTs28Vs9lplIYeM+9E7WuRmi00x8Uc223HeobfdksrNPt8W+QZ8jy+D2oX1M3SUOpOg3tn2JEo 3Tp+jtcWY4PMN5V9mpLdM7YDQC/cRaIgVHuVgpXWUiGck03jRl5PpchmJXPtkrdRNUCWK2jmQ3LK BpxCJVx3mCCIddo/w/o1YPV/Nt15rpUu9R4HubLBsRN/SRUXsOQcvlNSgb6cjIJRwVXXQxta0ZHM sOw2q+xFddYEgYssX915/fV2ZHdh9or3shhKQMMgf8V699GPja335bA3CHaObRd47TrC4xG4zuVt mGhBTmtA9M+lsAXsAQGPnGNR/N8I2QjHVhouc0WT4T2koIbOtzDC7ARPEbkLZBIuPa9mBonKO8zq 5V30rTpIQtv0kAMrq4fGilx0BPS0KdZKxKGePlFsJK7N9L94q/4h1yaiJMhtkxsZWGXCoRPPi8d5 9U8zxCVZiOyMBAorPizAv/UFZU8+eHsRwBNL6jR9tLA2nUxfuephRJnIjtroei81CHongNXOk7CS 2VQiwHJ1S2RlgoDYETkXfe2B9soTem6ZmcIz9KBzcGr3KHU6eLCPPmx47kkBdhe7VJQ701Ppiii/ PB8prwPMaoAgpaZ7f3siIiCkdyHU7+oPpqP2BmZjakLwvSmoQP461sMW+wmLofCSVxOny4dcOZla MW41ksSueAi6cWQs31+OzFc77oBshVPaqbygJCYTevsN/1WAifYKxf1S7c6fOzuO/zYVvt1DXObq 8Th0E+7fI27Y0wJEbdkf7Y0K0oY2ikhuK2AaC2lLhLSr9tiz+uDhH8lKM4b7ZKGrAh3JVDJ/eAL4 WB18J84sLUK7UxTVipoyXiwhyhEMbkp92zMMc7vZecY2V4Qmsmy2WMZ6rNmCfGzeizha56F6GQUP 1GecG8gK0J4SjJGc5RMWdAhicWeNJGwAAcNbHoqhUrahjunFawL4MCb2B5WKjnvzXXEFAAdanZ71 C1Mg/w/nMMoJ5H90aSg0S3c3TDvVOhdg2cCq1/78oThxdl6wGIzu5fqInXH7sTvMiQhL3rdZnbmM 2s3VxZWpVh1LZ/y2rIFC2diCbykdTf3c5z7OigVne39qnMeVxQJIYQqEYJpn2Hg/BIIVJfEIPSPg zfSvVxwhsYS5DLZzgZCd4piMGUEhhTWLp2oqMbVGuX97Y0S4OarWmlyIzPpxUG3wsE3GcRXk5DAB OJf9Lvp3kOGvBZ5Tu/8/psBImFgocxgPaItGXUlmkJ1wiJdVBLzmm9MexkA4QeteUUdDxSsh752e eMUJXPtjI37bE+3MZ/qZm5jqlxJl9QWLWM4jicQj96MCZu6QygJXB2HHWiucIrUGfPqXVdsY8ZiN oA+n8yuTwZ78fMRTZ3cUjQhKHlNHa784SH6NnIBr87/YUk7Prllq7uCd8oQOXrAOrPHH18+9kjp7 dcSmGUTtPiuGWQhmkF20/qa4BmI2Gv1JO1d1+l+6pxaBvianz17ZR2owjK4jXSVM/D3cdJucTAFF 6f+XCPF8RniROPZbsrVkEs3BtYRP6bv0IOf4gzMae2zs4fKpQh1C2du4zQNb54JoW4SEsa56P/IL rYMoy46EkOhqQthhjQZpYVylSTDrU4mI1EFLz8KEsYqPoRqmXxqYjqYLkbVn67uJ/BqIbgG17i+j 9DxPABVyy0yPKklnH5K91jlmFIw0gyOXQHDMLHbAOf2Z6ggOzeRqSzJXxOsUlLRlOnRGW4FaESSL 8tZSTYx2eOaSMkjyKmOXCsou3D3dBUhul4SmO7YB5Dpp9tcBnFsQMcL3RhbnTUHnvudtoO1iKEW+ AvcD7h/TOidFRhget1RwQH60uOvAFxrBOf0Uk9+liOl2UltKqevxPsW9Tz6JmmtUMqxOajDNEoED MLgOzS5W8XIrBZXh4CtD2Kkm9LRAWiVHUSwbdTlWM2j/zaobE/nhUCh5a5nflHNKbAcxJV1RnsRw dRbYA2ICGiLisDnwgr8gA75JPodHO3s0fouKgpeaQolaW3fkz5yXzPaLUW+nuThJW9SxC+1Tnfz9 lWwGLN6U5AfpYtkLzQhhEehGmWtbaaTl/0B1WXGGTY9ALe3LJarZUsurRBnffetiagJaMR1t5m67 cbm9Adh+JfXEaLy2Kp8OeERW0tRy3whNbgH3SmlgYOJKKqQ+8zYoSxHdGLAvnmxhNlgPtXrBh4b8 TnvbZWVlEdiBNHahoIDXk1qztrdXb0t8TipMOCNQR7FOjyHq8ekgUyCFFoSi42dKFKp7CkN6c/cw cAoJu55Te/0j83c4vc1dimdQ7vIqWMDnmg8gg/+br2OY7+7z7eBZNtPqZ+j4kmGYewN0MaMacpiH ow3tbhzNvllnCwgdLAWr2VPHtBePrlXJlHSZqlsw4BVAZ2mZloTle+8rdwutrFDQUIOzZ0SI7xTD sgvg+BjIw9HqfPDvvxbD17kAMY3trv7f12w5NBzApzaWac9aGbm5aYVKeIsUSedEq5PA9sDqaNxF +qv6CGVOhNX3zHvDZsYCsvn9zoa1eZs7hykstckXJynwo7xoMpiUq31xCjNA+7YM6OJBebjSEr4H 1HG1yLPHi1vTNqpFNRqlywx7l69yZ5PLqgpSGNvmU9BVOVlORXcqpJYExuGY8znzPxBJtThd1G53 hucDbDRgxvEuaMEQ/GrtV+LXXeN6fdHY685kFYfoyrR3hXxr5pE3m7RRY3FigXOavC22Zxj81GSf tlCjZ02pBoxnXOhsjkuq5kCARLKDknkI7MRjv7Iwy9gbZrT4lp4X5hk0IBFGRihE+GDGJNLdH+XU F3Yr/hVdAC2vUDpteMEQk1z7ETVYQ77fjV8oZe7TNseqD/MESvGPCL6gyjis1byGhYVp8kCNJPxV 33Xv2p3ydCDBC70Cr0bIlT1lzvscGjfoEQZJ0PTA1ucgj05wyW7891Ve7ppnS5nPTPlQlusVY7Uq 6S0kr54hudmD007GSMki4xZH0zvshGFU3zqVZiKrNC9HYNkG4ZE+7QUcGfvDaVq4gbkjltFZwu5n 9d2uQRBlBPn6y9k8OXPcM2JCK/7ejT/61ZzAhc9806IE9U4y7AAE8hRHYmEbBCC/GWZWhZlFplsW OxZOl0fdJIN/zTtHx17l3QRi6K12zqfTyjfUZ8FHbdrhaPSg/PkTaqrszHkqTD237nBTo6xCIZV7 LeISJdwlOBPfhOZJ/QLZ5d5Zw6uPIQv0mjch4miQNIath0JuWHs6m50UQYys0Vxjx6Dsvcka9jL8 WvfQuGozlF4R8vkVn1jz6I8ph2Qin7srsUXOUCRNUlD0TbGNL4pdUv5n58Fs/459ktr6cpbREyE3 otdZ/LKfkbUlpuxN6d3pGnf1Ol6iIq292TrDkX/tUeZHd7GLN5afKcDBciYfryWD9FNXRtZQ+NjG s4qNMt1LoM/VvGI/yR4/gnanbqkAt2jkKxp6AJCe0g2LOTSJd7QT75kpPMJjaAdlYnYALKsqRktf Ae6xdBMJLJUME0s/psBTcNsrV0p6ozYHllS3gkw4LKGQiNolUrdzGwicoP91k099seevVcfpyIIC R2c8kkD8XpOKwCiwFdwVwBdvtv6sm7APYQBhZ6xzM7s1+IRZOgper2ho4KrJENf/trjOURCcjSBD HqsPYikGsndTd3GCxiblcjCabnVVatsxsSqEwk38bCe7i3lmGzZK+pdjNVsdn+Bc/RqZtNBCNxkI qjqd6gB1Mz0UHrVdoBA1hqBKOogtLmjSmG5s3ctgQAPPL1AhNKb0CIPgOLSllqTTOZ7LQv1u6DSW +nhSCPO6clgAdwrlpf3h1KAP7nG0OTKifb9yXDJ1YnGl0CCTC/kd+UQ4hA2LWTSwFAuKa5+EZjHV 21ERBv8Up1tq5ScElF3NQfqbMjV+LeSIkloTcrNvTpumZYp6q/fsu1KFmuwV3LXqf6UpQjpuCMur yKSpkYmSyBbCLS/0ouVhFSRdSsLyySsBEUx8y809l3OAIi7jocY/iuyKQme5rZsLhGT0903AfyKh mGwmK8R2324S7yEBDC4GrAHXMwquQP05LkyuQq3QtSI6VhiJd2WRb7EpIdvDoz6rYn5/A9jEQpP8 9fhWW57tFEd/nvnbdOxIoCLZMZyzPupI6BsTy8HQDLgC9azs3QhHRudhS+4IKAToLuhsdB2a297W f6uDa5EI6Ke7NUFcq5tMQ0Jl84KiiNQj2fI9tycvdxl/9mcgw+khoeCoML1+xdm32DKfQQeGLLF/ P32KWHvXvXPk2wA6ICvv3Yy/Oj6pNO+71VppiGr+hnzRoqY4XMhbb+vQdqosUkjRLPYQljqDEuis UJnM0H9IPCnO3nr7i45Ux7aZhyk3idGorUC2kwMmWTrUKvEFTT3amx8DzkKk/JzG/Lhwjb8cDjsa WrLsOLzrUyiRLd2Dny14ujsZUvS/OOnezbiYaJKd3Z7/WCr/hOThUl5D14lczvzWjlurP7HYNXOw gM5TzJ1ilwpXkSrSFMiWaXNXZ1v0xwJzGNlO9wM7lxakTH2pbSELHC4ICngsmUx8h44wv92dZhfs 9BjZf+G3InvvaCXkSa9mLxHEApOaSf68PlD5QEpxzMC2wcOF+hyOpgNtBGuZZ2r3C2GErbPBfuIZ 1YjfwNEnKM3yLq6At4MiORc8mZsq7hga+5Rqm8hck1RTJ/ilIRhwhR+EuEhlLNHqffo8GP82vnDJ GfgjI7eDlofere3tHoOHWnmoATcXhFGt3D+M6uB4iQ3R0Nne2Rf3+w2Cu8Em5SE7YkTd+ArlqDBv vu8iN6dRJpfAjsjASzhekRImps8G398k58MhSIcnTN+igxQ9VXxYLgep/6rn+xejimjUwLW+YUSg Ewfal+u4FSFmd8XD492lXbf3wN2c2TruTK32Xv1WiJAnWEf3PlZbx1Uf6wwd1YYjtnxexlWZjmWQ y0vWG4CRmGYrW3+wPzDCiyNOlCcKkXGQmoHaZUFMsMvky09NxKhU185JceJ2ABiIlK17fmZM6gfa jsUyOESNjMaqkUEfCkgHEe+Lti+2mJZhAebxR9CHDIRp0sQ1H3l5IXzBXkcYqPg6Gj6YSCn4r+bM a+/fvr1DgPX9kHf50RU0A5/TbApp2RkXFQU26L/uUhXTnfvxK3prokwDOegCDXtXc+UTBwcT8LeM ijRc6qeEOpdgnqxGG7HZM0Muih50XM6RZ4b7tRh7trRjozD0xsI+k9WgFddkEeRNIFtAYglP15DZ uxL0lmjDI9LqQm9TfqlGnbHxubkAHq6lzUkx9QuKZ+PLiaB1u4ek0PDg9Kv0nQUjmoa2k5T+oHnn 6o7rrZvCAXIPaEqA6AkuQL7aOw2mtxS+kAayD4DsSBbU4A3y3dvPDvUOQuwAdWqZTj+x4zl4L1Tg zyMavE1mV1p+eQ6/1OOKTKbHlypJ9nfrJrhHqlyyG+z/P6ZqkGkctRNJrgJcy9skhI2QZsH3P9RY D7Bvarz9ph59DDoJB8/7k2fXKVHpeTt8OXKCFPkFc+A9jsdVMJzcYzJkvmA59leLb/pkt1OkLmn7 0M+gkwHn4PmpSL8+gLxuQepk4SUe4v4gFJWQXST0aRRrdZm0COAyRwHVBpCAXqQZ7wk31mdaBli3 MERkf/vdjh4NQ7/3cN7u1m4yZn/vaEwIIpap8JSI9PmN4KKDT586qlAR2R5tLFiUaMRZGNMhrAo7 7ktOXFH/crlx4NPSrKI7w+3Q3W/t9DGawrpGdHaXgrE/IsGH76TgDPtmYOCSVireF63oCZfOfAYo XlssUDCaYXXmc7eQPYCBcAtZcPrie13vQe9ohDvmTyqVZwcHNmDqZcIbBxtQyEj9TKxKM6CGGgBt eSVsUtLDQxsZqddjqcjGARq8wdS2fhLoYpFkp2/Fv5X4AWVL+lkjn1W9qqRz0hiMCCYYJe6It+6W eRT4Rr/zfpfvyNqQa/3F1gjEPIgOcZOvMeW4dXhd6/IkNeFrVRlURCjJ32WhiAhtCVrC61ZJGBF6 aYmNqZ6hMc0x818mQV4BJLkPRFQOL8gzIUPpiD7QQaCnb1dPft1/h9OH9DGD+tQ2iNUIjzccZ87w KL1tamTUbuLAhHyLbjsS6ilV0xw8YpQVQf3b3v/HIhREhOw/6hYUa8AACRM4FyQ09JyftDVJ+rHW 48pATvl6GY3Xq2JhlR/pu+eSnIc1cqcLU6fMUgy37wUREwiNsANIHyCl/9c8juw+6khD15+m3FoQ JdErY8Av+RZ1jqGujdMGqOw5gyKdGlx+iXxbx9lpHHOMh9agqBAUzolMGARqIWmODmTcqcgztV1Q 5f4oGjN6a2a+C4WOZgDDiATe13JpmmTXHWLW9UsvICT0Ub6H03GoqBsx4DPMuHdg5yjPJCfRpwyY J2IEppeEvllZAg35RNF/ztNVylbl+bC114WDCtxPskr1/oCnYVZIvqzZ0lpk/3Il3eB47GAs3RJI 4uUGV5Ayo2DghY+1+Cay3HePGq1BXlmMv9Saz3z653eJdFo0/aehNEzlJl1QSqiiM3zoQKyMAB88 99iguh4/MRQvIXzcATb3lDmda8kpZ2L3/hXB/uKTfwGj7UKNAa+Tw8NZBwYFo5ceLXccl/3u5YOo qPdbx7Y2EXHCFQJRSBd+UgZ0xnzCYyd80dA6Z5cpf5fJpIJUAKHwxpN8I5/RvEdcOi8kSHV9RZwR wX5EvSSow8odOxB84kK7cXMOYwxc9tq/TJ2iQrWAQgx5uxc4pN5uhqf4aY3fyh4KMaFE/nnugROk AimWkr6ipZFDgZ1/pq6A8PFLOUnib2zoSkLTUu363IcEBXMV0MQhBNEGF90Ue89gc2kBc2rNj9P3 lDEvKqg+G4inph5M7T3H5xApfe4tVmmE/3D970XR+xfKtq3F09sA8wtuUajSHBSF6rM1c+Nl/zKE l9g82S9dEH+cyYXvY0jmzMogsXXZuwKzx2EM4Zc6RSWjiIQ3hiNXxAtwf/pv+SWcCwKui4dEGk9h ZxKtZ8HBL/PK2kzNLF6PLQNqev3Q5ZBPhdGapwsVmsIXBioAAEUROpYHrFogt7AlfpzZBFzXWccu eTAZVp1iO7XyMkaoTgBPjZlyGdGwnz6uA9PhHBirfAf/L6VMTaSBSAqQniR2JRg2KMHaJTqB4Imu J4DhqgssbVfhLmMaRthV9bgt+rdH4qG/ZxKJWkLsUbNP6Fo3gHlbOQ7hsFsARpCkQIzaouWKCJlN U/49lh0eC0BafCZMdcoXhj7ARAjmRRJHQCFfN23DeMN2QQkwA3fT3ZBLYVm/iO2IZus8UYPg8V6F /I3mvG61WtBvFGbuo4HKSV7ZPOlTd++FS7U6KWq8v/phLdLBAEpoUEoxCC2F8qJ3yzs/yfGt9d9t ZpEjCwPdrCbd/E2vNWCDUrOKB42zubTnXjGRf/ns+44lohpmSAOI94YD47ozmusrPT5b0QhzK1zO wFTBR65I7WKx+ddsMpgIrUH/KEVGwDNCFXz0cl3+mGyUjGUOx9F1WeqiDtT0MGVzk4BmRWf6WB14 w5HUqx7bURYQc1NUHzkrPOjLMXhQsAPiygdIJZVg2Us92U8g3hBpIR01kNkWc8CQgUaDnFjWlXqW Y5FjgQa0OfMAAT6T2jIFhBmsY2qDgvv7+U9QlmGkQhbaCWo6FDC3H/fcEA0Axjck6CYx1E+jrO0O KPe8Q8JhpDn7LFftpuB+Up531whbpWFmsk+vGEmg0wUFMY6z1GvH1YOLFVtqWDjzkYZTD6ciH+Kh JzI3tzZL66JiamXz9flaHevHrJtq4WCgwYNkOj57vrR6dH8AJh+p37CaJU2KWmA/0D6oCnhkKczO 87asLuVU6TIp0xJRZqmHlRPqJ7lCvkHI1mJuhaoYSZDq3185ZAJzPzjcA/3v/c6mXklK4eysjtF9 7zIqFcdn9x8byBYjMGoOf7bZ6Ia0QwnQpdmLwLcXbCy0XrDzyRyAQ9+gAuxUYntJyvlKLcxD/rqa +7PKkwQNjNlmQHrPEBy2ZLzklyYl0DA5C3c2izttTJazt6KrB4g9N3oxwC4q9GobgaCwdTUFLTM5 o83Oio4CHf9tl5CQj+SVyIL5Vh3TnVAMdjBnQ+1mJmbjFYpCm6esvwQQkXpH1JabmraC5D+aCYcP s2EYxCImnB/WVLQ64fDvF1VBrpICBz6cAxHmwjDngZs0/h+AfRmQeuW1o/JKYS7dVCW0estSr3GY m8IpGUNC8KnVIxZe07fK/TlEHJv8c1U2jGa0B4PsR3sf2lhpd9z4QB9r/GIvIARUU0syYFxtyX6q tQ7JcMwbvMEjIm8ySIw4LfIHsU7ey9+bCh+gKnvlfVUHGgvUh/E5oJ3k07qos/5u3AAoSXsg9s2e gRBy/j5/S5WpQW9FKcVMfUYj20ewcFndeFkDE0stcZvkJR1MgZJaFOz6u/HOk4tNEI5WlXCm8VyQ ZS8CnfIC2J4TK5RZtspYSTiNItvIOpyPPhTIgtW+4JXjEBRWXxsrPgOEXeEmhVdhZnhIqetZ44ut US1des+4lntkmp2Q7vLVI6mBL02D9V2k9XiA9/w5lJyanaNypf21Abu5JkV3BYeDyHNdLMI0HEx3 s4SEMvHgtHYBzfIeNqjO5Gl5rbv3SHofHd1vRTZlDA0mqHV/KDxSnzuVh+8xm534+CGtrLNz1luf HYShRplg3zVo5MHsitN25UDmHmDctZHTnq/8elq9FYfv0Cao0nejcFkVHW4qoVMAX2ZPV/3MlVyo ookBRMuKO5915qvLp2ycMgxzaGWok1eN+oduzRMXxEODLctFVrSTrwLXHZ2zP+g1QkGq2pGwE9Db 6WsvQFyzZUZgh1cVbThVRNyET96aGbgbGi7ybFsIY+7FqYDpHZbFbc5dE/o0y4fCtjxqgISqPtrw nuFD9Fbe//Q11w4d40LoxQsDelSlijzUGk+m6hh7DzK9+wZuM+yZUlUpWZnpzGMYqbWXjJX0wSRx AdYv6J/19s3bLgFXBaVMcV1DGA5hUmWulEuejRPgspXuGhOPOF/Y6pOQF10f1s+NLGhzJb6ENPv7 /aitP5Mo56wluQqW7FD4wcf3b4NzRkJ+DxEeLBrIPVEYuyTtK7lIMqd9Bna0sFdCvx3T66+H8bpT 3f83JkyqpU1DZ96cPx3PIZDMkNa2F6hC9c1S+mG07OURm2Vn+Wx1SxuTHLi4DnzVAhSfdis4CiBA zZi8WBfCGpYZt/MlRicKvn2r13EdctQi7OSXI4yypOrKYFUWlu4wFOYLEn9osjNkaZRYJxjOs1Jl tk8N2OA9VrQ9pXAFgfYBLVfTUNOF/hjDFE2LyzJAsdxDBSQGJIR0pdpPgGaTdmp/hnADdXjrlUgq 4Eeevp8hNZ8QHhzhg2j+pKK4WXxddIapqQalepwx7RjcfQNWlNT56wlQDgsnOgWDMGJVV1DsyOEM JiKLEArzI+oCvoKiDzVDDAgnVkLwuF+oEJNd1rhjdr2GzkoYb9S+pqqRI44nbV1l3OPa0jsEQYrv arl94evNmok8J7H5ZxOyi+PB9UAsqdmggD5mHEjCDh8MtSJYFx5iuuXLGixvXUk+a9ExI4UgmBdL CnMCVeTY62Wm5WNcLEEDwtT+BW3VCdVaOAKTBoZuu1/fD+U0zFRg6/7Nd8VQ2lZU7xITkQKmoMA6 xyeoQiJ8JNO/s4tyxu8dWKEBYdtRhwWp2kdnZlTIIARjnWkP/Cc0iptNY/cjhTg+aX06gDEooObA YdZ+1Nfoq3uOWvRFkaPQ6qbtNq/824zqkVwB+gQYvttm+X+TuWyaVtGCy3m3P+zUGplvQi8RiM7m Vs+MNZejeGO97/ft9WaiVgSJCOiw0gYXSerm76Q1uoxBM5LGYvCbO3bVbkhm5rRQB9HjMRkoulGO 1dGf0YvwUdZAl3ZbRz4LZ3eIqqRVe6R7nobRFQt7pUzXmwhUzLfjcMMHnqHURcd4upDAktscftW3 EFjzWltIfQMtr0JhDQcCs3rQcBA6H57mwnCgbW82jwC62gRm6IIA9FIFx80ggFPmirJ+fyE4uppp St7vNvdqcxqP5fFynFG1Cjk63roI1nJpeArJkPytpK02HtpPsJRIaPZIUwe5KO9RnIC6Kf3wG+U3 Tr7nq0i31ibv7jpvKiXy52MA+ZO15GCFxT3lcmpOtySGwRRQ/vDyqm8gXUhEZgdkvCTrtgl4QIjw jmfKNIMXYMhLpNrFSHmVEZPs10Zy+kdqvtgxyhx/eP6r00yMfsgVXDoaOdcC2aaIo0Ee/pLfsp9R qUSjRmPnsKM0ggFZ86FIkX0rCd0SfzA/JWqBSVem1VVW1hC62E3XIZB8kC5Ry1IWDgHh9j/gFmHd vzBQb85bRyoq5TVWv2rt1pz45rt0OK2+z2OIg2eZilKDfSu8//ons8RjFU1fztcEZ3A8P3SSAmnf WdxqYrbD1HoiIBYX3+izwCrMfRG1ipsPcjyIHTlkGboKibyHuaOSQVddak4Idsl3yjW4LHWJpm9y 0KPNJq5XrNVf9JY+Xzbb8nQtWMCgXaXE/eqfowzDWVE/Tqg7x/qRaPekPcV5jzz45y6kJE6De++w FIWitUB4hO9CWeOy61rFHymjRmeeFRWwNu0H9puAO+vnqi+wBkw9pInizn4X+kxvnTQlgp/mSbkR 6ZItVdxaFHjDeYWoTJDrlzq5zEfUEgZEw7T0BUgFs1d+US85XyWVk3XYDwbUDM6kRmFl9tCKGWhN cLTQKLQ6Bs2rxTxoRgyulzqLE5He2RDVLa+FmuVdrAxyCZRt3YZpjkmd/SHMSjjjj85yGIZjGv75 yyC8/0xwiKrcPvndunz65bdxJ4a+fE3TIeFcUDvJEGL6MKEL2RnyWuSr0INMvDULnLNb02+Mp3tg nC8ffkZslXoXE7Ab3JWlYSS+jCdV2K+ph4/M0iHH/wmpj2W0RzVuuJNXtRKe++IhSzs++21xa54W cLoLp9BM/WFlFPWwyEKNDMw7UMj1/jTyz38bGfbs5r1CXfvqjI6HZF91ahDINOFYWxNzDx+odoQ2 Jq9RmgCRlttr5F1pQozgNboRjpu3UX/navvgsdrsk59BfiRhiDwe1ahuA4xR4HVhT1hmfSILyYED eqBy7XwdtSbZuV3wyBMK/xXNQ9kHh+MMcfqiwUn19g69AesFJD1rM2jdrrREXdWse2/tWqIlcnm2 zYFpsNS5N7tBG0e5to31Ae8Tma9qZmkM+cVYpsDrb5WVPWHUZ0R4NABOSX5XuGBF0GO4Sndu3ffz jXYueMg+yijCthJKlofLaktPR9jhTsbC72F7B2YyqowDr6f5vSeyVR4b9RRkcUs4fMJzAPUbwTO5 viepHivvRh8cYVAWmJcRGVsLSMfvI716oRx2YD0J8Hm9HPZspOyKszYB7+3UDVAKD4OMvgHVQAj+ MRylyglqntxHvhsLVfyV7OIa8YLAcavbA8BZnWiCRn7rlxccE4hFWWtRQC9EV0wE5//bZfEnzQM9 hBeRy52kT0FklEUY1tYolBE4/TnR7hh078vm1Y3IdW5+HsBy4x3osfZAD4C4RWwyYc8V82qjKBBu OlVuRu6g0s35FNQFNiXjcMqlxqZVHgKJp7otGi9tEWn9la+1U/NiVcMzGSC1Oe2BbUS6BWUAa25k o+ubxgobx4WY7zAi53wyRGY6+yH5h0ClSrHcjrDjCbD24Nczt0oh/wun8zRqiMhrz9L5JmvtSKAr Bz/BwUslFqudPJ2LWP3qoBujv0TiLKTloQRZV97bT+bQxNmr4/DLs7gLx82WIkdzZlVakwjf6f35 vgzrR92hVrXcif602FYiC6cqldvBC5bTGo4d+pKcGZxJ/SRG1YVNele8zMVLj+H1Pjy9oJpVZ80i 0qnEPmzGF7i9vAvrcjrRK5Nvxpt9Vc2Mc2Mu6wPFHyWhZLch6RRbkWolpUXh9754QZMuKrGpYBfe e1huOzD62QokRI+lyqOV9zKZuQqblwlxB0/U1zaE42eUSfrDn+meRX0cHgHHw6zHo+y6/0Peyd5Q wTPgrwic6IgnyC3h42X0s0z6V2qSnvd3pWkdcEhBOQIBgpF9XcD7cXDfmm+F4UqcXVcd9Jw0dzMy iKBCjID0RU3YtiAmIM+dzN13vSjSUI5sNWKqtKOEHrnd3B7EPIFCbTyI7eWmIzR+d0OqJq3YmMJL tIP+ieRtAVQpyQCVgkcr4t/MypX1rtGVx9p7cICjTGWk5Ie+G1ihaeS7pr7kNAMdR+Hd0aMxpczT nt6vl3zJI+TcjDukl+E68O6O065rjfayr47VJYQ891AzWr15XaiJDgvIAmAwNiBwIzcYzgwVc8Nc ZhAtTo7ATuEkrsWAp1pSEe0IhcKz7ABwyLqRBzsfxRKumdnKgYeoVEIWwg2S0lWS9mDnCemisG4O EtdCmAt7ztK7nvK/sFvXbkeSi/RnSMCVdAaF+60ppISV1S91xIa7PGE3E8PmATqUt/5jXCvNoxcO 3yRkm58+jS5RMKYXuiyAdHqWVupEEVGybj3IPL8qriBIqbkxF002eFWQz8LHeSqIzwbhKvY0o7Ak TA/W12AfmFEHiAOnG/8AxJLed1oYMKoMIOVxIw2svp5pesQROLNFXG3oAiKF+iW8LHkUMVqagDcb 4TM2vsbvgT2fxnIAQS1c+q7hRW0wYoTDz4rTyqanxAxJw84jrSFwRZB2+sgIyF0arWDNix1Gnoho 91DTYbxu8BpywMATCpEGt+AEZ5CAEtxtHj2oHG8l0NbFlfHwAg6s2q3lmcQNs1UrihrWMaNxPedL 3A8qd7Fpxiyh18JZ3QI82kFZ0/V4W6GeGfsdmkZyWTkV5EW7+FPU/oAn4gDSj9jRFYJUMJaUAfWa jh/6upAGb4OM8jAfmH8eCfQndvZ5CjRchQG16DGd5XOnQR2M6pvkDXQSMFyW6iHzJ24LAYkvFOzR TgpXIcNWouVGIgJMMtaBwnT4KcSCl4NCdRuPiwjSygpBWIb1FmTlkLVZV2GCWaR3GLJ2JXZaKYoZ ow1gCLDLhWubAA3132e/Kg4eKVqf1uboDMhdsnOA1VlpfSPfbf4IHh7WP4OuX2xmkjqHNYvx08x1 eFYrlVfbFRnRPAFq7riqfKx3lD6BsARVlpUcxPYcksyZ+LyXTNtDQgYtwPdP9433L4YeyKFrNnwK JuzCy08HstbRTl1qYTr6VezT+iiFFaxPtDb2BzBMOifkdpmJVttbEad+DiSk65SB3TYK8z2+GeEI ZokKNHjbwMXA+/r0kITCdk4ZM6eDnQ+M0L1sKVIMXdq03DgCrthyXgMCnnq0Tr3D6tJmSfKCnIwR gH2OPhbyuK/AgAKoJ4uaymUchGU7Rk0Fc6lHGVbpcMHsra/guKmf/yWPIksl3H798WeTsQJjHuOX mwzWlLz1zuO5k3an3yE0dOyiXOZWZObdpnINWcPzaE5sX2iX8VpEcb4JLyMBwm07EMGHiXMmBsza WRw9HkPY6aG4hL6F98rmrKoDEZOfSrcnfPGTdUBFJHkJy9kNmcJuvCKHJ5+O+usoPvSwqAPyvM5v wJmduvhd4sA7WGLGIqAlkUmfvHDBzIMs45sOZovY7EoVci1DAX/qdqfzc8kJh7MZQVBSB5zSbekD vFI8d3DLWHW4vd0FWmVnkETSDFd2UKk7Xk2aeJA0FiQKPSpAY/6kQEjE38xkvL9ikVRKWYxh+N/B x/pRA38k2eFIkHW3+TRpnNVWBuHjEKqkT8x7jHYuVbSCrz3HeA/9uyL6riEX8iQfn8QbW49b/dn+ 6BM3GyVddt0Fypt9GltvHCxHblQ429d9/FiBhF+cRXF461UXeLfuBX5icgG25SFvC7jHMaq0xWxr PBF1RNEcHggS719dWQkrw7HZgMXsgSpQDBp0VMSPJON6eBtaIq9f7j4FKSxAP/N3uZ3XATDStrvK gL/pcD7iUDi50wWiILgZ1rIyNvSlManHh/mpCmMPAFaoUgBpCiZ7lNKy5jmA8I14R+ylr8CsiG1H a7qHfdDeNm58qPUHODe09U2FPVxmPNpBZQoKGpac5UuL7fjWYAnEt5c8dc6cXK2vOHTEyCQCs/Py 4G3oMghEvgb+fg+n4QMwrJ1el6NS/Lf4s+vyswaQQes8HZzYmNbRYyHtM3m2npwSoa+8zJWdB246 6jHx1Yq6rTm9bTtfyp/6iU8VI844rCCD5j89/iOIQen73QM5uawSiwZwF7974Ae/rJXjxiXQCeqw XCSxnb+8SGmmnNM5IY5aVVY4Zn8/8s7XpakJfaWZ5JIU/sqoV03mQbKryGn9ZNNCVGeyOKT2UO0j x6RDQAXvPRWUYhO7wFzzQekdRXJJAnvlvEeQTDUCYjatqx00hHreNVs4zPdGuChxxqa8s14M/gQz rrBmbr5AQLdAXyKXj98y5aHYS1wVTos+EQD2vARq0TwMAYh2mAG/ht0llAJv824KQFTHceTbaEVY MBvjEp+yM1cQ6HVBDa1H63VLAkRHHl27i7mn+uUqN3TGwed0K6YK0kRw+wJJfQ3FgIwU69QuE8Wg eK+7NwfwZ0oqtRbTqqaw8Eret2eYJWIDvI54iHIhkSTcXanqlLJopB/9Nztl7oXq5MvEiWBpDdA6 wIAXjrie9dWoQC0pFAaUb0UMb21G2YTuxnNH446DHIoYHC2X7KiJm8J3bRsuDjNrBEiEb1c3JL6G 3rwyUseK8Sb1GSMmc+88U+BZiBY/fP5bOMbfZFAnriUyi/nL7CQNN8iC7bMskXheGPrDVrLfxgRD 3BSub1UwUBe8QlXqYCNeaG6TS0T35EpWEHctluRF+hhS1P0UVmTMhBqbOWwO5a3k8bzW842LiTxF TBoKDJHIvkkAi2ULhjhqLiYJ1Pe9TAMkvGTpK4CEwCs4kL/hLvVMuAh5t1z5WkmxbSPvSOtZEMr8 k/qrysIfrRHLdCPt5Rt4rknS9+FteQec6JeOdhOgbbRWXgOZp9x+k3GZFudG568UIHtwRhf+aYxB NjWQyDI/T2+Z3X6TPvwCbgwdqFdbnd9bq4tMIWwYzpjYbdbJ7jEbsIGr23roLvHG0L5hLzDzFTp1 NN1ClV6PTFbq1hkhYqw7/KQia+R0P0ByAQRrAEMlTYsx0I4CGPRHIEJZW1frVbs5YkPScjgWSQJx mKDUDSU71CeIBa6m1e2VmjYOdoddGus9yxvt3Y/OE1VuLvtabin917BWp/4/cF47mCU0oBcr4RHC Siin6D1hYmyWoEi+iyFmJBX4XtcJgjovyq6eWOff1+3qvlV9HTSTee7zHE58TvoKx5YUfKrtzdMN sydWrgNaZrknV0T+ibj9LGK/HU8Wh2CfeDlR4dbw/bU9oy4FITUSA32ePUBUV0GZ+dSMQKr0rzy/ OryEkmVbzgV5qJhZFa/pkCWVs1qpLj/5fLjNk74kGMW8OClPgmaSgNie1DrSItLN2+pb6oeCiWir ebaa82XsgsiVaCtxyw+nXoTBScoaVwdJuvvMn3XP3AMrZWioToeBcqgA1gvgUsvac6UXy9P9yXz8 AJKbvDhn6eYI391vK16H06N5NBMC/lj00sjpfLEcP9tr12O4WswrLcWgAeuX22INwo+dUQL44fYR v2MPBgWzW7xOsGAtb6Emi58iprbJoVLPNIue3d0kdS4tHOjlTJ6Lk5VN2yTWhvEQI6hgR9vseVml Irb1elKzps5rJKrz7c47eLCTdns73AdrwqssRzkhwP4knr1ewPdp1RhLB1o6KpJEPyTQgvdPrhAD swRWZigqzz+QvmOFy1CfYEEif9B1f5UR4aui5b5uKMy4e1qREJrVlmtQKRCCgw3zQ3984eY1PtJL y7Bj6zB08zD/R0OeXBToGO2seHoH51Wwr8sWHhfM+g03VpPGqD4oLd5PBIuW2VNFEiNWaBPWXp9k G6Oa7MPJtsWbJ7jH8kplrrxPukkfy/Wl6OIvbhmtOpfLisU+m0Y7pxZg85VYHnVs2+7D2PFO1D7O 7OvmPKthJKfuAmaWhH/26PFykjVIGE3CRCyJ8QauM+Rtqg24y5vBHvKGOvKMdXtktyn8/UdWsPFn 4DrMVVhbLChaIjAToLJXKnquLBtIN4ISOUEPbwgcnqcLHymwO2aDDTkJeFkf+0YJGGYeEB6dwH2s Y+F61QJKKQx3hfNmXvC07JEX/Sph9mg7IC0lO/KFZEzmIdmyXjDJhTd7uCDHYEABuLbn/79hCCoX cQFQ4rCg/osqf4qPvUs4JSbqBULqkljadjl9/EpL/VWeH9k6O3STHu4FQWDvPx/w2mU09Q5NWZ28 nSuDIbB1gUJjbppDDXWa17sTZFBZIz4L71KNVw/Lseqx+BbaiC8rPpR+c+3wtByCRpxdBPTkYB2v WcueLySmytKPialzQ15qB+9nKxFhiAs+IQP0BkcE5yGm27X5WyGa76Ia5ZuYpRtTtJVApvOpQcmd RoiYjtlEnmZmlJpjhKdjZmBxhTQwI8k9diul7NqgUehE0IHzIMHEH1BfOdzmsxpMNczKpqLrX3w7 29m1TWUi17ligXVv8RcFM80h9nd3aNvJ1E/I/a81wnFRFcajg+DAOvOe3IFZN5I7bFZzNWk6YgdH 4AU325sM1bIj6Agnf0dhsg0DZmTeG+KcwD5iWsrZaUhUQB4C7V2MJFm8i7C5O02/U4dTCh/Obb+Q 4s/d2nummpNx3sMaBEhHNACjjgBzND9VLy9EKtfBSZxfgI1m+X6ZaDGzJIR8OMvnCK3AerNNPbOd d1X6LvsF5gmxD7l1A7lmcaMDYgOS8d19xzEptiVGycMBZI/InJTQ9RTkss5iJ2NrZLHSFdYeeAAd FDaIE4X11Ryaw8GNYAdKcaobQFEeYITtVaLJq0fDiUmf0h4eTnvKljPnWWpamVUG4msUHPthEAwO loiZ31/VTgubl/nKAmWC9ajY+yqaP5oHObvx0u3qiYYzriU1YsDPVJJs7VBVK52NpxblSJiSXr9U qLOW0tKgv5QvhiX9nhP7KIsBQKSL4xXcqSnuq/kx701jIVD1DUsc73AFf6W3V3hYHy8a0XK25i2w DY6lBPeUWbfNK6l+DJRkkHUv5piORnKcpv4itXvAGgvRWOumdzK3mVL9/dex0VO1vfEuogNt+vOO FwPNKOjuVmDJn6P9gqc7frtHPGcJl0TMi7QAZOfYm3VjshJMOAG8RrYfYS2eqq6GV3I15c/uqH0Y GlIK730+A88rreNWvOUTNlDn/0TVRkUn5Ip96Fx3oFcJiU2/eCK+m4LkNfb4aum6Wv7FdXSOCBO8 WfhgWwRXAqhAPV0IiRTgi2MwM+wYDohlr2odc63KWZU5id2VOGXcLapGMS0suw4tfVwlRdXpiGEN usTavrs3cNbIj/Xq9buua2OaWH4gdMGUiLK00k7wKO2qfQMPz2QNJLYHgfxwMcsFv2bwWc57fygG vxjeuRCfOmF+TER2hkgUCEH8C9m+ix+Tbg20m8E3B35PnioBc6hA1FZItOvcPTRaISQRKSfm71co BdzfjFVJxc6FomvtNLmVaiIgKiWNt+Eh4SIQtzGZf8h0KGqizzqJlzlfUtJ7DirBSyZ5NX0286Oe OmQaq/KCWk8Lr1UPlAhkT0p9KoFgG96rsGc5UAhn76H4SgNcnYoX2o7QWnnZn1us3F0HCQD1dOve 2WRqFGmya4KTqcfipcXrc2BBvtieYwKgZMOKaBXYhpZByVcQobhJa0Iv3OKbD2TBSyQ+o2EF645+ ubnVNuYxP3uD9FdX57xUGI4ShxW2PvttySyYtdCwOdQsGjUpcnq3nnGh6VuP3b2chOt5XaW8pNZU quxacPM6K4uj3PgEvUG6X+RIKrx6wEsJFQTmEgHDU/tZ+9/0kjnKAZx1O9T/6YinLGrb1Apo80Om vpUHvCgW39k/ThkH9UKrcBCG99OjF1GDqHKnsOyl4OHz6dEN6b/5dJa043hftXMJSe/1zufZNDyB qeohaTCoYBiCEHS7W86SxK1TfFlM719AKqLuStQqwEApgY6F6r0zJvntNX/KJ0WiD3OupIgFmUZX xPAF6Lnb66+GOPxxAToHXAX4kzEi/BceM/7WBKDGYgVgMLUTeGqrieZm34dwPyx8fJGQ+oE+8wkH 2Y1pgkOuZNl469voPtDjFGH4QPVuDCdKeicfsw2VcoRNgHAjvbViWiJqcL173JU8zjZ+drOywwrL VOAEqUIpHFM7KeETfVJA8QD0zqCCEj0P+XVByTdS0QQFKUyvePG1/MutFStJu6oh0Wo0rOIw8HQP nJogZA95xTqqAFE6J3OP05F8VVC6B47JH5o2g2fdKanRVF+IZXU6oytjdCPZEOS9wDQB2YjiBd6S RAwW42Ac7H8WmCTgywAEiQHGQMnZqjNFoYMLY9XYqj7HHuz2Vc80LgZADlyzBM0z5lrKUI/oL1bq 7llYHzUaEU5uj0g7luL4Zj//WA+T3/DiuSygIb28XHD1bL1UtiXctRqLGbaRqVO3d4yKFaZEAZhH GUEM+/jk2Cw8OcPZHttMhwskWptLaMQIFmXwdWsCUGE4w6UVSCFpP4bblWzK2eRO05eH4oxCtimQ l5clynU9LIfc65OKYJj2Y4fNS4PNwPpmQ5eNuR9NyuLTm5I67PobMvsjbOvOLCzZiXrEUTMinYKH QUjA7LJuq2stU77msP+TmDRcu8Wosm591Ysmr1DKqJQ9Hn/e3vptvtBvA5c3ES/eBYHoVKTFRX4a s71MakPQvEUjW4szoKFFMEvZ/csB7x5Ty5OhYFI07PkTLTU9GB4L/HCy0JACXUyj8p8ibwCUNEQq 9DBYoZFp/DD/UViITcfRoGn+xsXCGzn3vCHoSRJ7rTCRrRW/x8cC73BnOlwNmEf0P5EwwcbZ2k2w Ugkk/XdAzJyd1r13OeF8gCdV6ZO0x1KipgSja2Zbtt+7geaFEydRgJOcvrxdP2IhQMpbvlviGjl+ REvOV0MzoPEdrv44eBApAVVUWmMamAOyKd/HRChf1bMayFtgfcgzuss3kktFDbqR41VbK4C9TFFv xroeN9wWNWxl5vSgm4Yn0tXhCzE/zltHPqpECivHdHhU956RQfeaZG/tDOcQI4lPPAFlQhWZxnRu jHBvJLTt+Ygko/cFhu9cLpyuF48ie2k7ZtgTyaP0Nz0oi0wEAvUe1A1xeXazvDgarGPu2duPt5ud 79DswDcz8oE0EbIDNnaRa94KBNGCTrGV5PVc9Iq+Ub1A+RC2jEld2RzsWnQAhD0jkW4jfsICierh Eq851lO5EnMcTsQV4sDFqdYGooeMMsFZgqzSEoLSrd/2ZUvAmSkbZ200aTIVEg23ft7Zy43Yrcnc 1QxRFL5NdITBEJwxjW2+NFLGDkRvAHrNzKp2+QswBw4NTX5xp7guk+ZbFo164XMyo796+bRyaTyW LG00hLM7EJKRYk9h3WairfIQfIpEPo1Cx1hlDEyJBxiKY5lJOpHWWhODsY4UN4cXiUuqCqdbvnRf Aoq4RmU2KLKo+2+qokO6xij1rQ4xldUGPLY+C9O0KT0OYyHXkJmqV4vomq1ZUAZG025wiZ02irpQ WqiT3nagM6geoE2Cv44HgDk8fFYm6MSRP/4S3NY3V3rM1DJXG4/Vj/1Ixgv4jwXsSGO14YsKLm5u i7VZbmfN9/rwqO9QPuxgNlx4Rz7aiXo/h7UJ4IAKkYNQwYaBE3NFw6KRtr4nWd3es+GFZLGMq7Z1 dhNX7AAhSMlqg8swKNH3s5MOgh12muNqLUT5L792oy9mETTMCsNHavSZVVLcItx2yYqmlJfc7ABW WbdtQNKozVwhDThkQJYWPbajbEApLQvkOP2vjr5o7hFspfEtyaz8rk+VEsULDbiBUZ7wgqI2BjTE eesq2mgVa18CRvBick72UL5BhptnePndo2h0ManxRw6AUX4gAVx+505KNQ8R/D1LYQFNZr5hWdMU gEGTlieG4jjnyoBF3mWFjj66QdRzfwRn3zu/Ul7eVLQhX/xG+3Gr9mAardcE5G/kDPl9oOFNL7BN LdwKEk2sAfnNGJHqIA8yp96kz8XFc+UD5nKep4i3Z08oyqFo63QXC5wkEfTlgUJEERgiUeMVyH7P /yo/gFBPYg/HkowItJWPODGJUshbLwvkknt/nk6ziu5SaXTGetJrHOsxYtwyjd5biQoZdNuwAOKh Y6KXGRovKV+vZ1bZQh6Tq/8iWl3tUu+NkWmHbzT2q32aJOX98pgC3V5z9BxCmjREv1S25IE6E15U DdTtygLlM50yTeoJBrp/lrROVkh5O5sCb4eja+e/V5tXwcWiAwn5cHKdPaTn/dzPYS/df9i/ADXw LU12K4Bk5vC6hHDI35NI47Dte+DXxN8Wksw+aaPcaHOBJ5tKfKhv7xp7zmtzraUng52R879zUj/z DtyVgLI8EsTVYDAaALLTrIJBG5RVfC8ZXi7Sp/Xf32p5YlnZ3grnBomSwI3wSQ2gUtVM6Qhrl6yM aHghNoNjQFcz6G6o1I+RWCqjt6Xp1hDAj4Uvu1AHa/8tXDec2dZhpitpQsMYmArV4xWKkQ8INZuG XY9kqF5zl6nmqsZpeB8DLfoPLrqWZlpbcpmh+7kdobFjR1WRqreVOquPB5WOyMVzfa/zm1MXuj0e 9i8mXiltDHP3LEOjIvK72PUs2k4eAZS+TZDgFRxOaFXO9Vq+oxOT+qNr2Ke2uQQ9432NS9WF7Pn0 1LtmIqNVChoWMzPQtG8cFjGGDaJXgt1oxWeMqPKF89iWhO+Q1oaknZ5fVYRiZINZ2ksTbOBsfJmh ufNGxBYSiNmacduCnpzx6/2leubB/1Z5vONhG4GpllqEgx4PaZY3TPd5psQROfb0cyFxx8AUH1wr lN56I9j/qzYiHrvNoRMrkCtBKhB4pxGsdS4/Z4tPMg2+xFUIIxSXZodD+HxRGU5OWuxya8pNy/G0 geGsXxk7pnWVEnxnDxRt4WGrkI2bf1ccDDW0RQkAJW1Sp4U3yh6Ki1zUKqzlgQY2jobT4KJDvy48 32zcu6S/8NvLV5fXp+8UGWKE7gzpeWo6CyAH8hYXdy3PFtEwv2GOVQhYxwAiso+3kxwReiYpCgjI NLl0F2CFKmGvoaK2uGFod/HLm1Vv3cT7Pp57F39mRUOxzdKSwoF9COgFdpWzKi26dWQL4wf/hk9t eEaVrYWBbthO6AoRWqQIUmrBcNPxG8LDILkY6dQKu4p6kITDXV+Ug0QEzXY8totdh0WZnm4ocw1X YORDHMK8up0rStTgj5gaLAtzh2LZuYmhnwXhJau7TpbcHBQUMncxPgQoXiEtTLN6P2r8uET0kTHc fRII1XkfC1xzAgo5X8Z/CU41MGaXo567i1LzAxlE9v6OuhyN95+RPjHztUXPkDe5oFL3psLGiWfM 8zVpBDzak2B4uk7u2lGSBpbVXS+L4favlqCP+K+jDIbT+tXzMO4JKIFuH51aq8y9srwubZYAeOSa nASBrNQDyc5kXCcVwAwpkY3rOPIekIWwA0rPvIDhkCFb7CjbCTz9TO7iBwWRdYYatHWfY98EhPez RBiNL9u/lcJtW4s2RLwTAjywwF8k6JRL+qa8g8K8Din22twfVHitboGQRiSEff1oFzljg/rZUuT+ tpwbz8CHr3jnftAGy9Uabzi0YfBjsJo/fkf/k8N4DI0M4A6rhJodJTa1VrUcRp+fPaW+Sg1s32kl G9mG+KZ9EgXEz6H1vrB7Db4tLU0mCgM+8gV5XDSHKHFgAzUcAnbnPwWLEnfV0MCqZKTsaE+vWn/n WFTJvgGQ40BrwDK58s2QvHbyPj4txG/LrpU/ySnnTsobpQissGr7E3T5+8yyOgw6ylvRtL4ldpiw nBcRDtRzcSciY/aGbV2Tt0Hmf8OYKJRQhm8YH3N/mtLDSKkJPwsVHYhz10kSVl8kwKFpnKgaCw+2 Hffm5/xJV77XExP2zEkp0ChC3ILPNuLeD86XbrIf0YAMaL+SxflrOQTzGskZpA2B2Sm+QVnvA4Vq zQfYQdcKOJEsaqW0/wX1FX0uCSKzyPgHR40xXqu6twQCEUiSd99IymBCU+eB83H6Q3CBmswqFCvL A3JHAdD9qNpLzTVqIpG105gtz/Ch0dw6ixp1loq62oMC36oXOUcU2OOr12abdHq45+9B0DIf8BSH yjvQbbyLyrCKeUQKweD4lVjNtYj/DswuZkv+6J6/tcC+N+BWNWCQlxmZgp2J3uj0kPK2wwHQXHQs q/2dohbnK5Vdb4KUaCibiGFekBateJXGUbngqiPqTeqH+CWoq/+2MkzdvxqY2jf/nTB/059d/0hG JhoZwrlu/2at065LcrY6HPLemV9Gsfq+xlc1MujaxgFtdFV44GHkGvp+tHs2dBYiWIk9Le2NGIF/ Ut6UPsg3Ydz5TXtq7amEW8qcNTjVLy8CqFRzbGzdPU2JTDzFTbkdheQ37kil077CQIoI8Vk3pyRr 3V40NTDoFFwJif57m82WQS6rhpfrHvq1VJz343wMnnmJPKKbHxs+lWS0G+st0wTm+u/GC44GZfjz hdt7LF0zKLpnDgS6SdtRSRP6u7qid8QVnUM/7HNrKpwXATRQJKRMMRX2q8YY0cP5k7qe/aBMzWLL PVjgTCXuBRHOaRWK2Lpapdx1t1dWiQc3wLXYJ6YU1WUH0XqDgQXXldl5Si4wR84rfGe+s9HZhQ3c XEdfmDuz6rSgn/4xcQFoLHPB7xT5aOTVXboyHoiKqkr/VpD/ZrMXcFjB/Me/9eLtN+maVsy+XFTY pe6Wnqno9CmrdXpGFhK+5G7sBEoSBUA6b0DyTTiMDGWOhhV8rki9gByADFYyX1X4iz+XtXt3+Eb9 AGplTO3LFa58kbmUP5Owr6p8fXfKhBABxqouUgJ3Ub7J75tHC9XBflp1DkwbVg4omhFQwtEy7/+e uw3r6e3GvWqfHqfg5bYHtstMc8uAGVIairHYVvRw/Cf3KD20yB7b7LkJoI9JzquFKn14xAAqPjOq QzsVmMApetnpWcvCC2NqBnBvLgpa2RvG9RTo04eKP86sXmQPwqwa9rcmBFZLiUpPM8qo+gdvZZgt eWHNhr5U5mFmg8lD0iH2UwVM4KHHOGVkdmCX7a2u1rEUs74VFnKgbHieVH0zpbwb/ECbCw+uSupX YrLeiKJM0XGpxM5hv/tWj7rV5t/wWZfnAmPbtFry5vdPxWwK2Ij91kfBwWgw7wxDZaj0Iz+zN6oe bRs9qCQru2RLN2LEyb5+XdfmB6epwzW53EqqTe6b1RrvnUIDdE+c8NPpJq6suMLo5H6ogEV7oXkq p6uUO/jhSuqy5Rgz47xReu3U4+yDgSRhvF4tP6p3WjMpE9dysMMSEhiOYWlRbymxuCT1LnO5fr0Y 5KaWGAxZnBDUm861Asd7FvcGcnlZZNsmGkKMTZUBaWKjX3qSL+PhPhUAPodDBDlSCNinHBDGJSsq 0us73gW8MTcrQGaxkfTrFXr9YDmDWCaQ1MOENDvgbApla3gXGzeehpApE4i4SC/skMjFBJRj50p7 cDYvgeYfhXn0WgY1FeSQAWCSAiajyfPWngNKPiXdi/qEzeO4I/KhPWCvph3SyclCZXUZqGRzyArp WW3GomBwgU8oywj8UBZjENLolF1FKaPyBi6wVFuehQCn36QhpWVCBGMAj/9LoUY+rkOhB/tu739T Su05RqhzXtbUx11BhjFQ8BN7nO/0zoL6JwbNNe9uuIp4G5RJp3J9vFljr6YM9dyvKpEBJeNOp4jh cS39GpCnCfjt133jCMrqOqhBiBDuBuwYkjCSzPmjdiJPknV4fuqhSNBr5UwAYyEyk39QUjLnl/MC hnKRhZADx56PIFxhhnVDT2UjxrA02xYwDKf4sxcWXsa70BXhHlpu7JzgYvZmMxq1ckL1VmCAwSHe /f5xzuZdvrMWki/ZlTP9DURuGBHwZxMdhqGjCKI0q0VARqm7n7N47xfkkETW+97ZVZQYr9cYUlza QYhbbsHzQmPXUA5lGycM8l7SIuF1Q4zRggkT5of0ipwKjlqXDiJ839HRR6kUuptxiCuNaHHLU92s ijqgyH196qJJlU6Go3yhLk+tcr1HqUdUMqKazutWXodttv4GDOYFpjY9tqJw+TMv1v8puQ8pZNbq ssmSBt6FA9dTGB0aweI1I9gdp8qoCDQ8GmQTKANyVwtJkBHWeDD/3xYpgixtyd4Bq/3s9aMZZKlg TVlRYfKMnlDXX9U3EozjBrp/IxBZhDOnlXiRNDLzItgfbNbAxsu9ghbOSDiWfGSEN0aYfoZMzj5g yja6mxdWo4YnmdiFV9pPrDUDMnCTGbBFO2rNTnwG0K70BaIEsGDh/YkxQZlISPkVh0JLFYCFd0A9 UBheCE9xbgR4HYN4IFyjhvnh1JeNNyC3YM7MN4+OI19Cy6CeSetQxAAWL0cl17r6+lcWe4hPLrFz tizTSg0Vs8Y44HvZnTrCn8WM5JziEPjhz9JOBEi/bHoPpbB9mn3b0S9hs5+0BmhHk5Cos0U6FlrA GjrSnIVMVd7cFOeVgPZdjWxyK+c7vuLEoJWg3hm+MJY3+MFEdGEEnQggVxLh8GaeWb6E37uvqqoN 4KrrF+q3b2AX52fMzvqOoCfmofyUXpiRSoiE9+cNLfQfaXm+g2loC2LB0UfRbkq/dQVko5I6Rr/t gWIvXYtRQ1bERR9CMzG4Sw6ikOjeeNUESfucZJjEIj91amEH9CUSy1z/HuZpMtafvXuPtWhBkRMy 1+UD+nV5apZb+y3U21LZqLfi8Bh+B1EQM0yNyjlxAvVptItmsNcp01CoUuoVUNyrmciR4cjfAENq Qr8uUPCSDP++SQuL3Z0N6bbtnhRNvWQjDm11c+IvpTbdw73714WwNGSnQ5H1G+em3tg1OxZOR0eo RZW5aKarKFmD1hD/NhXnZop0Bpe2djyn3IW894J7MByIcWz+/+4WxFtoyT7SQD0JIEfV/k+tfSpE fQv8wnhsJB1tm2WljbszDALJm59mZq3vHu+/lqGTMv1VCl5AtEAeOuJa78drfI71tm+9azHGPefN mLicwj/GXD+x3hCN2DRXSn7o+/u+AvvKyJjttWm2gZvbkg2Gt/RsXjvXoWP64luP5HB7KmACP/li i5loJ20hUm9d/ppUkrS0DcJVw2PVVXZL/5XXssRinKPlmJMhDpqnK0WPVs8FvndLpnCIf4cv1rN4 zBi2rL2jZC3m4917GqsoIu/RPfe8b6hmUjTxjP4o4fyiXVxLo1NnaFpe9yhvb6dPRRctehK3uo/1 tKDsoQckAkFJQcxqLmAUm+aOfsXQc6sFrFLF0E7c+THtMNP9owjTB6TmpsJJYk7ytuaNLYl50P4p bRmFDmtGDCNkqRphrrExIGhA+1QuPHT3SETccsxb5h8UhQDZ9mW9VJlc+n9vKOoyxOwMwO+bTstV Kp6q1aPkd2xvqoGYWcY9O81ILDyB7ryLkCwQhkiUoQShb0CzVkMuVb42+M3qtgLfTU9wU3Y7bpBb Mfiss8A2gyRTHOd+Cdjg6mQwvs93kS+AhvNiSb7RmzmFSKakO5/GG+1URqxiJCs/U1F4wY2S/8gv yLEU3u/SzbYCn+AGa/t+CEijivHErr4STdzyU/onFZ/G+T7CxrO0eoOdvYYmk1jkegExk/DhA+Z1 rf+zvGkN/JT+9Z3jyQwS84WXaqGOpYfEs8gTJHu/31SNOyGIkg5JOuRP2CyGy1euzJyW+SvfM1YT JGJS3dK9f962DBSxhdl1roibG8ESF5upoRgT487MBo1Rn5ZPYjwD0irlZRzVMNawftFFn4HtYcME 6axeZFtJ5MmQb8WBMGFcfOI2znoWYydAcdahiUmgVlXhPalr/xx9p44yQd+KBqRKf74iPyDBom+P vUnI3/JNVTTnD8/CaNnC7T91Q3K/TiqaKGalUZ62rNUMD1qoxDgJOj74MoLQSCAax+z1tXMbWt2R PX8l9ZR8CYaYYPkukFJmGzp/C/YDQU/O+qIXVedgFy3pFKdf5kYpo166f1yMuiZNuR+ACWKr3/qX KvovPmLJP29mtqD94UFpfYy6w1i1GZ4VRiBTlqCwQrKHpbgMHXWRT0+q8XnI6eemCr3l0OalDu4r 8BWQOjvnoIV7Ab0gNNnjo1IFeAJQTOPTcfDWhM2p8oHpWIFUzQqzhkkNfteaWkPxL2FsbomcpwND /xphPEWcKroVw3oLxdQ3jr5luyGqBByPbB3XjalPz9yGh5ehIu59Do9Pw3MJa+tSXUHjK59lyuKP ZgaXA2J2+JZ4xxu90c2qIow9/jJidHc6gz2/ie7rY+TzSKQ8bvUZTsFZm9sNJFVQoB7pvkds4Z2Z lOqlN85MfDhJYo2Qh3QDRp0MgDstFtSWRD/zfEkbuCNLALeQXRVQ/4OwJjhIPw0qNXlsjlqj35Ao Wr7dwZlwoX315Hdzb5ZVPaeHw13GkiseB3J4WnfyFCWQVDVv//yZzwDJfMfOpt0/8m7AxwQnyJUk r2VD0pn3LvhG0PsXSHDhT2rdMxaBzfF7sAKImxpBDEhHSwyRx5j8n+fVM11Z3hwQLOzMFmcd+xDe 20Aa30D3se9JFSAivLn15731o5umkA39hM2Glhk+P23cb3AiY/ncbOJm+LfsrZis4818oysiHPTy Qo0T2r4Is4ux97URDnJ8rB2Tf4k9OKqG4bJOFrPv8KSpxwd1/r1PZSaWtn2gc8x1KLIbQh3ZJKRx pgTXXzeIcu73k5siaL4uySmTmn0qN9cQYpIEsI0x4iPSW8mP+erRooY800NOIKu8VgZiZXDS3xdR WZb9FeaTuSr4JiHThzI4YL1Y7OIDmjiqw2LbCnJw/9MhoxrDqYzyx+qqlEY1sKHm+aT/8r/JyvS8 JS2Tiq/F2xfNLEhdMXJjvrB6QQ5Bq+ew9ge/OO6WGdGtZNSJcvUCVF/NEJKfQtj3kfCg/ZXNykfy cnghyIgOspCNLJeaH6Wpt8ZsQeD9JQNS0faKT0JDlMEiRMkH5cGRkDNQdiiGviZRX/2tGoaB1wvT zh/8ih1aJlbevZwuxSxefvwpUWqS069jODfA/1sGepii8okd61wp5hzpZB35dK3kS2Wm0jLLzt5n 9K5Ty73ZWS2U4m6d7+c20U1j8BP7crV6RZOSvw6SGKSMvJmJ2w38lBVZ6PRFZzwVpP443vmyQ/qW kW/Id5MivdaoTNoDlNI793hkGJ34h03wjsSrR5Z726KZoF5wmW5kbXFeoc0qplp7DwLoEJTraMos Df8gF7udmBgyQ0D7GoygR5rJFELl3dLkMPPPD1936Ad5Eqpx8Hly5Xc/q2FSnkI4IUSjNKodjhgY TrF869W6njkbCcgRvxCcZSGMS5v93GgTQVGZT2sPXfhOFluXqg1NaIU4/Jr6aF77U800rjpCfDPe Fep3WyDtwFRw5ZymOqdDmRxaxPgSvulP9Os3CcIWCHJhTGdIeygTLWlYWVpsM4oc0jek5h+S0bUU EKtuKYbIavLCSPzGBuuWw7esbDAFLuuGa5neBAj4RlyUCB3hfO0+FY5ludYnKxVTadCmyNub0mL+ 06ctBXERjjug9gAtTIAN5izs5UHXYgZ4PPkzQsejabj+LmwwoOfpjA5gAGUNMX+PSxinOTdfNgTW G8MKRkQc/soW7ELA3DOLGidwDMsIikFgTY+1ttDttMUy3Qph/ZHMHe4VseHZSxcOAsWRPvPBlXbV +/cOFXZDeFPUFUYuOl40hmt8fDjGIw2PjuU0wLD6P4UnyJt7FkT3+VmXWxa6jGmOKIT7c8ARE7vE rAdDxcMS0pCNdEIxlEoul3hVpAFddzVwl+sYEz6sZweLhI8jIvCCjLbdnoBnZvTsZbyNGHKPEQwA KNPn6tu1/96RssIPM7XXl9TM8qH44LT7ErxUROtmk9hyhcGKUha4oVCZa6Eql24X3pZuhVgIQyuj aHGticmr3cW9H6SOvt1GYYbTqPis2WQhpVej4bWjRrINbKbrUMbECL419mRzSHQ7YKyl2MIEdt4M MgoJvxVBUcQUuM7zwbahoFPLsT+JxA/3N/mwPBYaWKXFhT8VysPdyw0YmoagXVPex6tdU2KoCv9c WWhT7Jqit2pVul3eLro3ESnQUUdxoso8nYwPz6v5WFnCcfWMZ3Bs6/3EWHFQr5u9/VhMtLGUuFQe vFMWEgFlfuVYd2cpKNo5HhzF7Apq11wwh4bXCHoOahMGZa3MIshM6gb6HaVLLAXNRsaFEE+upbEm d05+FUbE8+uZXNuQMrVBrNoIzyhtQOmQs26ht2lsc8PkA8sx/gTAxVeFvB6PkR6O2cgg6iA01/Sp RRwZimncMjI5/uJUg+HM3Wr9+3eTRsJT8G1Qs+LCUfeEtw4fINriwUYnNsvropwtnk2SSsdbHKY9 iJvHHvrLqDYa0l0uY4Hg/cNtOO3oQGzCBUh5+6PfidA/1FFqk/8g+3Agv2SJB3lvgcAJvYtJDnX5 x934PrhaAKxKWuU2p976/eA/jpIlwLZqFGG2SNko/m0mStcRXpljkASgDI0KD2hWR4k5CJkeija0 3ZnKtQY3ZTCehZZWePjpQJN4MHKrO8iKZuuOT7ZMkY6Sw3BI/2Gi1R3L5hsCjtwdOHDTDKvmfuOW v41ZDEIirtrAA9/Sm5e8o+6uoFjwMpUW2Ssl5tCbzx7iQcsUqQwOQpzP3FqmKfcxunY1sjkQXlx8 SRQlOQEnmcCT3wQ3NtfwZOS1W67ZFwuv71hIsyN+ubhYqT81f7bSxaSwRSeoZUEC3/m/ZFgdh8kG SknnQ+l4xYxGWRzp4hWKXBhb4em0gsP7mrHGz3sSKKscvaihjLRVmmv14WuJxoHwUZGQJoTD55zN 9G0nzmwz2mq5W4HGwWSBOSd2ZMxpu/9WLcrjuIB+WcotAZgU7gFcH+FyveRuVP2pHiPJIcg1l5JY cAxMycir3cIiBaueClNwEg336uvj2nMhTqBoEU68TERdhMOuVEYqteGKQPyBVkYgqBBBhFw37ttS IWl+oA5ntjoNjD/UcDmBkz5FzCL0vSbDKdVv4+Sn+I8FRtf7X59TFXa9E1Z5QBQKHXJTBUbhoeTK rgalQj7whj741WEstF6FlQX09TkhN46ObucGiFe1b9XKlcQqnewIvV9doepmX/9BO2LYrkHF73sG gYxyJr/KmVVLwWq9ITXHjvjp/e/8ZBS/SmOML2UnYPzOdnajtgCYqKV6Pp0ZV3T+yT3ejExJ9BiR MeEyCKXqIwPK6WGdiHz8JaNjxi42tYSAcm7tKk0mrEvbD3YOuyVDrBU1gdR/gGDzEM6l4+s8MdiN dgOTmTD02HCvcbiFENsNcSmvJmPFflh+XCH57vXM97Ta3okKCCzXZWwlzRPgbMBi01pUiFGd1UDf HmbiGWbhT5vzKI07BstMv1ip3U7q+ESArQlyN6DZTwCF3nPn7n+exukXUspx6S968TA0gxyFnJUc dP7ySsPz+0crsDZIDnve0t3xCYFJS7lN0AReaQPWSFP5LX0fjHL9dRx7MklF6ZRVfhX17EaX1JD8 nF88cWdZM1N5wU28puavXXI6qdOCb+p/wX7Iy4OVawcuHtR9c1GniWee8mwMPLHc9O6yPMm0yg// /pSszjs/BQ5Q4hdnqeBly+8TfWq51ski/DdjiRZ6qoCGPWuGfecie5YCOdWvzXDV/TWcJvmLf/tP UP+bX7vAz3x5IQZBfFxhhq/xlKfOo0ig8Xz7xvRrcA7gN5Qcn00l1LqrFSBe1lL8Uuqp+AvLfD/b +52/mSK3JJ33sQ/pEpilJy8c6d0OGUOmz0w347jrWLJ8eyL8qcFZCCpJZMSE93Ca7NPLHdwB5Prt d5CqghcoU66oyBncNEiNJQ4AYZNHrHrT8dRF2xNVOkzoj1Rb35EllL83r42Cy9G5eAql92Ic02zP GFIIsHSrFjIVfd6DVzoOvnw6AjS5L0uuDhOm5at+jNTd9C7BYxMOZB/fG4LtXFMHxpHGx/psyqd9 yvZSmMlQDXKtiqMiwwvqRu6UPp02OHETh6nED4vY7/vBpWr0kREva4MVXXbOtLkCkAbQZChLZaiG kZHRWtQYsXN9/iErx5olRHSBjgNbNhwUH9HmhSFOCfWpiGgLmlRLQiIkuo2AHOeSeZzhxh2Gfe3c agL+Tismj0hRMkWVcSz6iGPoujCmPHJjsJQcKbrPbTBHPSbWxiJ+H1JqMO8Vc/87ElT1DvM98aUG e0tkUx6lI1dHk7wNtWKcmqapXkl+RiGZMYnGqWTCaSPgOqLen1FNbGaJZXRHiJyrzk+iH4VTsUoQ Vc0ebCk9drLd7k0Lg8LKsIIx1Mh7+der19CE5Hhzbrq7m4/eaE0A4oE9KUUtwURk3lXPhJEVD9JD AIUUHPHqU4nhYQdiAi7HnT2qJf3MGl3dxPzROKjKPZmP66adyLCpGdtPBfK4xNH9u3iMvveJSzpy KtrswLhTaNtDuqPOv4mz0KclgheY3x7NkBLFopwFOPmB8xr24C41kpuCYeoXhp0ylysd94RcNz01 h2kwb1SKvr/Oum1xZUbKz6B2ju4/eE1dsixjvfKtj1O+KX4j662GMyDHIpV7bCGzXR5DkAHhS+kw OYW6AhtCdmnJdL0oQ6MP4LFUdH+JJ9IBeWsm5PUPdXzc7qDZ7Yek4+HmUqQ83nS+pftdhlWOdwzG ozkdyX2YknckQgyJZE3ysXebePB7seHxyhv96uAdGUSP9wx7dOYCWwQrib+xyqC8zxpZr7ms1GXQ C/NKONFYvLen5li1dj/WyuWptzEY+DQl2jJptsUimWOx365vcVg9dnvf1Qs+vhE/sk3WY7rpGRt7 a6X26O+14vPDwUQGkUPQVfyvIefcYWQnguQtEW/ksoqpf2jkpNiBeLxR687uNZJUBZKEDDgy4GH1 RQaMEwN1tWekk+u/PlUEmbSEJfZ0BrzaiuaYvJU5A92cnw5vL+8Sxmwk0Zea0Iu+7pH3iKcrdh+/ WQmS7KjlkRfXqH/wuFH6IOA6Ln6+TZeM8HHnAgZ5LW0PGmAni/jY/e+Y2TqAq1Jk6awUZmSvjyO3 ORpVfzLrer7MWk6l71LQbJvTlVNcFoN9AIp071jwlZGGwllJMEK1xjVMmdOQvgc7+IZvkzCT34At 3R4+GxMcy0NWRY+dPynOKL2ofGxhab2yhzZG/qq/mqjcKiJK8C5k0gxK8aJT9tzpyCnBLkrZVost hwKYn+vbM7R+J1in6MvOPrJqiUkbCqV19odl2DW3rXBTFGakxrshphPud63Uy0X9gq8ne7ccVo2e M8375sZrhrYf1mRUQFi+UAoCFHTx9N2uzK2RbIzH4HV4EJ2Mp8I9b5KB6XNTtDUPEqRJu2DAjOoP NtegLdBEEXOEi3klKWkD8xQW5s3yhtHLSSAEZsQBReDuJTxG4QHbvXEHRDO2z9hwZ3mFXqvLivyi unT/cH9UeazAoUs9ELfOC+H+xc91597vyo8bWegvrLaGvdeGA4XKm/cCJ3BCg9nZJnuUyy8D0UgX /Rl5wkKCBvNGWdYzaAL8n2kXo/BoPqqScLFUouxOM0QNUMaisbLQXAm3vT7zke0466k8sJF9/x7D LB48yY/HOSpFVQ3vdXXuUIzufBaciSNh0l7FF5xiRjRgNkMIBXd9XJgJlq5FhOz9WA3rVwoGHve7 zCgMuo02PCfYTY+7zXY4L9Rgs2QKM2hhw3ZfNtneYdQ/JnGvwc4LHLeoPMr7GdjskbEY8afA/4xC graB0gsxu2J/8wOU99vGAHMlMtfGz1pI3CA7UUGJ1mF+s/BcO4z8D7p8Ym7w3gUM9rUNtvnSjahL yAbgrsJBqeLLQZfFHU4wkD+PkWCc+CpkeWgbYXsug27F81P3Dt7EIahjSLODV60firxKmQbfXWy5 RUSdACUVtl9DZxkxw1udshksdH5S4P35xSIRKf/mhJwaIcea/ayLk4hh0iaUjkK9sMitiC+E5BBY rNF2x0oX9ltryixvqrcs7IqVFzhe6bjcd+Bu8RfObxqEXCUGYBZUjAFandK0uvqaQbHWV7rhQvba kfKzYmypS9oUpTGAvCMBcC990qEHe4nLYYJlRyke59NTre1kG2hmqodBbDC7eOnTTZpsVPxgGctv Tw88DoneGzwHirNVFyY2edeZAi+xnIA0MzfxlGHbXi8FwujSzslq9GZd725mUOIgChq/v6LfOtSe BY3eUftTOCgipGmRxruVN86BU1zwXmxAHmo7Jo3CdoaR9BwAYNm8o01xInIcvHSMNJWVNXLaQrxa bdFT1RrA4u1cEpFcm7Tjkmb/bbls4ge8M+Nks6SWxRdEMaVjMQdEmij+4FZkkzOsFeT3Qg0vT8Vh 7RfdWe6gzwVAnfHHlZKxWGiTO8C1kgMf6r6G9JtsTtU4cTxI+6r84xKDcNq5H6LGi3LbRAgwKuzl 5/tQCsdtCD0KzRlkduSKBU5yWr56QerpX08Dw/Zvwki+wD/ZNJa7rYr54KXFKt3P5tvzSpzfR5yT diCQeoO0YkCAneKfcqgVHflMvaRxDzoi0wVsnk1SUeRml12B2pnqY/p9AVEsRxH0KXbyXD15oxRy gC9NAAyFaahFFX2KMjX2rpr3qO5N44kTrSnJewAA1E9egQRac8KeN6csiYMXM2ZiBEl1LPmzH8XK 0FxHuijf9TVACcpxzKmL+pyXQtbnsUvz0W3OdXPT6992pGtamIBhszlkVSTnLwAHnUCPavevq8TZ H/ASc2odO+89Yk69fvPBYkunq2I/LgL4rP+ITpD2MT6puBHvy3p+79J6itx9YcTAy1c1tMIBh4VM fIvO9HgDUZPJQ0ilMyl4EhH/xqMKcz2Pqrp22Vy88qPqrPNfs3BPQHukWsAs/hi0IyBXKA/0uXkZ V0av6aOQwcKVzCnvXe4IjY1noVzduMbeLawR/AlbvsdJfb/zZfnEp4Kzenwl+dNBnKyJfeNKeLIH 5cakPlYOABb6TMfGzUpziZy96ry0VzRt+Lb+A45TDL8Wj/7nl1Bn9khV9itPEXdX70g9cTbbzedS rGxoBTqs5cAxWzMvmwSHqr+QgsCiLObMgytxygpxdf4zKJXu+gznaTI7pmbJ4hu3cpAgnvRMHNpT fSBTtXDjKET06/JF1INHBCX/Ml28vCCXoyqpMwWp1606E+gaFtkB/IV1kFsILj0HEYrtBHZr8h87 EfwhN9uTiSdW/tbJLJaE04Dc7igbtCv2/9kDPvRYfGIOKxjzAnDLJrg1JPFnz+rnY7yo4VTnumku kXdQVbmyWEB9vQv/7hq3TxfJ0BnYkmOvO3YWuZHZ68yVWJVXMeqI/06eFAEsVzxFCIIgyOh8qEfW zI/ySjPUMYeSMtL+MdtAbpHvJHI95KfX2eVqREZYYE4r0QcKIk0jbq+MsFAodUotgZPX7eHwsFT7 do1A8zLfdKy6jvf+r1K0BwjJL5nLPNAAThEj+M5qUUvH/PYL+hHJB9BBHxCXCmqmuT4RZtntKwaY hUIMQKY8Sj/WjrzqDm01D/muZWu6EfRv2BUEhcy9+s+1jxN5YfIZXk5lGp3OUKZ82R23LOUGOIwL +0mDa95ckF+7QTXhgwVrjuwiaWV8TyEtv86rt3jciSa35oLR8kI3DFnLVAfDz33rh6UGQYVqFlFo lOGEc410s1Zt/furtb6CF2COyd+roEXUhRK6cVEjW8zAhs1yjsJWYoofpwIdeMWCmkp2N1mSb802 K26JxaxK23oTSRR3RmtwQb7eiAOPwoF4sn2KFe8s9mqUHO0wXNkBd88z+wEY2G1pNIpp6v4EOUjG oET183Xc4JjSK3xZtK32BXlDnOcYqV5nO2dY1TXPmnyUz/fzjd9oRMmve8DLCj0tcOskzb5y1D53 ZiTcu81bCd6E3vfNxpVtVh2nmxlyCDK6V90BtxXa4vm/g1F/S544ALTgaqJwfrZ80CpAW+Dosu2z 7foY/DgZPcfbUadUbXnyHzddKPPsmL2/rPWqYKIEV5WXCKK9m8vMKBZPzHqDwF9L7pmCMf2aJkN3 GqRt5jDgSnQmgVfmZbwrYeccs0MgkdHiI+X/qSV0RBPS1IUO8mjWLWFck3FRbFSuy07I/midhjwT d+sljbmMaF+VY5T8iNgXTxAwoJxpfNxgqzZA6PVvCJNPtGLitY7GIosUnXoYeypGMcyqSoNHyQY+ EAoSnAHk9t7kFO9R+C0/KKeUhpUF5DSfYan4kLva32FlXtsw31+RmLZr9iL5Kbw51zhmn1b7LN6P tEp/oDBLg8BnPfnUxIIfDUOmdAHZLGPGP2NHowWv2Uqur4AZaS7SL28bRc1rGCFCEXlMYLuNZAEF RsoCvb6LP6ivZnjSqOVjuqLOn84gBWXJX8h1svUXowR3W1LCjSdch9BR18RcdqyT6s4TrROz5po5 jfDBIaSlpKMQIlo6ehAEsqDRe/q8vTvUC16eNCJgYzH8kFmKlj7d8P4Chnmu2O7IY5LIHvxRuL0b 3z+hxUCmNWVpfcdMIkzQOBPAvpG2mW0J/0DSZg6pOJ78KvlZ/Duf8X3Xkxsk8rvSGIdfa7wXHRCi cimcDflzIjLTJrcCLowLEGYELRiZ9gvPCkf3w5ETif2MGVlDN21wmPUdWigDI07Wbbrm4ZnA7axs Dtp8SSZZfXejdp/HvQL7QV4OaWhkttMoE/TdNy9Za0U7kmH/8lAyLOx43M/TXqQsPkaEvo9dYVv/ TJHKR8g3D72PfA+c4DOwGSqm6x9WAoNOCpCqDJAjXGaK5UnuS7Iks7hB1w1R/HrLDAuPu2wIAvuO Rzj6jqOAvD0TDlNWyXsZbHyL70/PgqAXpP5f/MwBYyr64QZ9U0Nh0h6g5+rMDXnS6IETDtBwFa9b I9rtMgbo5PYpADBn6lrBGHQiE4UqMhCH/oXfFHmyl3N3ooeBbFi3idDT9VbsnPmtN9I9VRbr78Oj t3wLXkap8znS4GkPRCuDjtBty360M2vuVLWIj8iq7cxvWhRqYsY2LThJoL22wg0pLSmFOpxSMoCi NR0V8rx1EHPVOJ3/CYEPcCzJfvoM3WKMeHPCobEMeMyO3gc5F+1SrOeeRhqzaxBcD+AVJnjQridO dfhJ0yVntSi1Ly0J6Ad8ayzQscpcZLQnepFMtPKanlyGtT5DP0vhfPqwPqdzJ2DjZSN5MUh1T6r4 cF2aQFB0fERVbeqkTf8Pz+SrtR/VbiIc9spaZ4XN1v7I8pyvG6jvSrau+Ko2yD06+3kwyo580HM9 P5n5zcdlxUd0fv6eYPUajBqhtIY81i5FL+M1CEDus3tZ43X2tiBABdLPzZJOci+ri9iqxFW7xKj1 5IoczDMIgE9qSDrdb+0o0e/XwURIic/OHPuIEk9EGcCO7CsCkoGNfDLTl/ojw5Ftxuo7HvM03WfG +A4HDVrVBxYnzXbg+84dw7vJuUm/QkBhLX+yg405pDPOndwHTiDMdct93oE5R8q9UpZS8CP+1Al4 zEfRNaWKZ924Fn55etru/wZlioGoClYD/bm3SbjTTEzxGqNqTuQ8TvpCjd/0ISfzIyWDWzJnt07i zD+5wN45ekmDqwif7e1vXyIWIrxR8md+8B3bBH2of3QB5ApwgU3xAgkgCoqaGYw3VeR5958ztqZG crGGDKrpdyBj2Yqy/XAHuMgI+NhMjOn6gSsZrr9EEAxWQhCRe3rRC4kuIisxA8J2BLJcSOIuoAGW Z53WsFh232pvecxe1XyKwmCrnL0DQY97GXIAoTPIa6tMBE2AGsaOoMieSBCz3syKIAUo2Bm6mPSS /UX8IMZ/BssTOLyDvAkMEyDE2tg59F5gchG9fTTXyK99Z7XqwAVoECylsjVXVGCugOEv/ckvvvQX uFQdDell6VMCB7BW5Pomy6C6BzzSCgcMFWPzOAbKufG3zRjGuz59iQTjbZQaTROTrccS9VHa5/jY gk5n+IEC77k6h7KxdTfDh7cXBiKKNUYRdM10PwL1M1ETtvBkGw96793ovwM2jK3yNYdpcvpcKMpS Gfgm8hsPhASeG2gLucxj169f5hA0wfo/+nqVEztd9SDpIwo+TzSFPrItgjoMIDv8th9okDI/597D zhvc8qbXaIZ1vpogowUeWPuNnmtyGETxP/Afbiu7c4mZGJiCDrOZEoOkfTdcHW3miO2Wp9XKNBs+ MmvMDHz9JgrdOdOLDgnvEY0DwOV9G1/Ma8TmcDCcpZXSeO/0tgQOvPfCaIAMiwoOTorotFLynxnq aHP1yt84Fp7uNoAFLHZNf9e5h5DXFL+acAVRY3wV3nZudLySJp9MFxyaO+VnPyZuqJIRTGG+UMAy SLlN10rQDWrrqyGlZzWmcVnCRv9/BwhX3V60cYgWfy4MeOeSYNLoJ+7HhIyIFAJuupSbxTNU29dG yPslAZzs9Ykfkg90CXM+/87tmdsE+66UJ4/JKQTjdYJZWF8FWV+hPm0Esj+kPAsXL6bYv//bun5v KbG76QtrBvVqO02HmAPzTIkC/UcMxYvnSjerosm51hxrzio1hQU8mOormyybRAjycj9NSSRgDC4g Xyi6j5UsaywUcvLvxSem3rXC+ImCb+UVqQebfItPRnbJ+O6uEqH1yC+8hCCGXuBL3CdU1Wotkste bJ3ZypCqhj5hZGpCnsHEeQusjivHMMs9XkZGxTA80QA+okvx0ki9II53z3H50/SaH53B6s4hsOtS AbVJF5uYvUIFNA5+tB46QlYkcWwahfbq3hQJPz3/w/roUwjBfI+xhg48ko8yti7y18vbFf6fv+gf o7NPJiLj+C/gWdZe6jPCmfkWdIE8Qckc6XgMSF4Co/mhkpG1h1FMYZfHCeI4Ac3Y5g+XP2CeyO4J ypDOyjhuDvWHnNEnUGF0XJ9VAXTLrb/ZvQKQ6do2dKIANmAk+sG8SOtn+9ani3omsGKsLLwBHrPC lQ+DuMxOhR3ecLi+9YSNdS+LrJX2VTFZvhqhk3KTGE0+R4xnYrGgBOM2kP7aC8ZAwBt0pMN4NLgM AiKLJndSnDywNtpyhBT5O68kw8ps0YcPzXqzirumhkvs7bUvuiiG9aIYotfFxTplePkm0ooPxJYv V9goU9PDFwSNxjQYh90Ml/FWxjS/j+EqnatA1nTnSPGx9OyevIGMOO+scFhTRzEqYl5FeO0hNFvG JiJVCWGJMKY+lOZf4fMInxodaToNsI2oFprXnrBK0eiufvzQjfYAUPfsHkODBZYrdWmRMQOW2CAL Xm+pICju2pSVm8z/A6vgKD3AOtYfN463MUjVaZuarXpK752i+QfyTIMDXUFVfOOc3Y9S181MqUXS +og5PN1PCKGhst5STXLYnnbpPuYDLt8I5bEwKqpDK8M30pCohiP++8qPMy5XsCp4Dp+bfPPrPssl rhVkbLAOQQ7pNmMQ+//L9ZLiIhjXCS0DxX/cx4VgtmF1Ge/cmTLiI3VbCXG9sb1EeYYRkbjgDi/N AcQooHD6Jkj+xUglUcAiAkW+fbNpbPp1xyv9U6jijvhroKkuI9YmugSvr1I+UD4AVrerFh9zL+KF t4WeDmjwWrpFtYrCZG1Eu9UOzqJW5opyeb3rV82yKrHLVvgdJ8/he8gsyyeR1Vv/yPKQNOTBLtrS 3Y0qdowfCLuTllz8Q45XUchSKU9SujPhKSRWEHrD/84q/3Nl/IbCnuT0NrqxCqbSL0YohS0X4EWy 4ohZUd1X3BfXjYesr/1h/hl7nmITMUqSu0eWi5bahlMdFbQfd5kT61ToGDOooT0Ie1y5TUhn6R8T zg8j1ZaAcwqlUxw1ppHJxdyYdc+LyCA6tiXeptklj2E+KAQM1L4eRZNI3DZZ8/7b6BPYKgBktAiq SGnIzOPBocUbifmVuAZr7lEwffN4d3on1hsyWMoQ8YwA+6YAUKzkVioXdPHTCb/s61uX44fgO2ez pIJq5bruRlMgRtZcVuB8FFDCQxpN41jODXZKBAnK06TEdOZgEf1b7CCE1Jjq7VizaBlMJqLyw0gb SmwmY3S/4eSqpCNka0UUb1VZEu25Q7V37dqcfcbUMEvp5mGyxODJR2o8Hyh7voEdqI+BnxDY/SA6 E0A8vuNUxobZxlX2zApdrphoguPHX+DLxKhGex3OblsDh3JnRsOS2L2MOfbnSHc5rSooTtYWg6bw 2JDPC/1Kng0lun50FAUTUXChMlSBqGgErhaXpgO6YGj38qNMm/1SnK3N+KfTpZ8Jqsr6AGq1r9kB iY93AXAoiq/hwidz1AgU9NMWn2XQMHknsDOXGvvNumydaOvzi0psoW8aLZXNVlqN26vau82U0xwV k9zUYetc9Jz78YD1KNHDeAzw9G0n8gCh9ON9c5sdA3fS4/NqJVY0hpBMt9Rz7xWXEA/Uia1xZJsT fp3zukBD6KzRKMkxBRQZN82sn7I07nlOHKe6UT3rD0bDX/desBFdfigXzFL0R1FritpWHkf19Q9w a1I+CIgZELyUyq1wfCsgRHi0d7BMMW19uZX/IvLKjRWQlNTan9RfzOZU8Qpl9PCix5W5mRHVQGrt RVZc3n0RfXE2bipN1tmis10KTnjlkRSCTpr2TqPCMb+7CnIPZOZ6akzgAMIe47aZfboS6UZWIR+c c8gU2dBxG5OwXH57YduKDro7iWV+/6VIrN2mtrEqOycfr2z7DrNH2Jpy5/EM7IY8MgWqAm0EkLgf 3r7abz7NXEgMSxyarY5qjNQ3WlKpoPkvgY1aC7oXRuV8KxH9X9cMvAr+okHm1fLLQVD+ckRAO/Ag jFcuD89pasaiip+pWIHAQtnMon0jX/FKfS3sFGr5wFEgq0OpAIcEKRcUdtdjkEXg1Vp19Wfsvpqj 1db1Ao9u97DjnUUmuFdDBe2/7iSvI42kqTiS7qZhS13POiL5FW+GcMmF2SZp1tf+TNgo030EPpBa vkx13Z+DOXv6uN6upGWJOq+ewPkShCeOZwOfpHC2p2kHJHEMIMdpuJ07lPrXsLOVgc35h/drWyoI 69Y4I3ZeyHPNxcnqez1Q/N6mS3B7aVPlBreEbgSdI1X3lR3zZmuYT/53NhNDLOA1s+AMk6GSkqEY 5BqT+GpPsVCPCswvn58g+eefsvviQGewf9okIlh3Pnpk6+DyJB8BefEKpPaLYnzQpQvAuCX5OaeP 60lclvh4R5hTiAt012JC8Y4Y40QgTk61siFFWQJyPda3FCO83+QMoD09yx027nKTl16Rh8SjPok4 rJDCTZwjZzbw8MpWPKqikbIiz8G/qlCn5T59GEeibMZHvpgVU783m+Tpy06OQaLXqMnXBLXslRm7 D1jBNDgz4Tl6mkF3sdsG3psQyDIXeVEM9I3GFDVjVZhs071c1IgNqzrs5i/7CjO547tkC458wjnt t0fZV/URgdohPwSZqZsYgNR3ieJ7n0XHNLbdy4zW+hhzFG0sXR5pmh7wmcA9nZ23tALCsDhAMeaS XHQ9h6IfihoUMIVblxqooG0VfLXh5/oNxj0R8Dk8dSWCgZE2vwmp+mq410RsDrRgwRNenKfZ82sh iZxzkf8bVWJCVjB0pDLRpOnSWSzGsffjbvwRoSSykSCMuLuqfLzM3PC64Oe6BrqMRPABTbiK0ey+ vd8t8l6KWwJr28D425383/kuCGFMKX40i/31yGqwnn1floL3pllFbaCCVVyzzkUuFjWZ7JEqPeT+ +v6vBnSS7TQO97RUqw7IRPkozRz9DlD7j8xEnrd73ZXcLI3pOW8aTqfW6RmW3WdXHbjmkdU+0ifs VbwqdNsh9A8eDpDI5S65ME4h+b7gqC2xZS/ti+rCo8jbJlIc8XO6zhTgI2Znud6v4/O0hB1xCFWD zKJHMxfmnsVDwp//sTK8FPiAcdkEnAikxec1Edojt/9XVDMni1aBM9W4LZO9CqX2iIbtHS8eZHYY 72iN1N11aS/7TDwx0aKXadZU+TdLvT1I7mir82csDccgmm634AKyiDxqCecsnVxQf1CtR9NlBSzs cxKFly+P0xtrfTmFoO3S0n8ZzPhh/G3+xieVmAOuQFaIbAwxbpCIEhUW4n7jP9Q0dkiie/0jQWLP h0H/Cabk7SjTXmNxfWtgDYOZP4Jp3Rbqpzm92S7uSEyiTvEnxIs4gNqQOUtFda9RJVHxlPPWOdTS iVaGOFWGtyvJMunIQ7AvY34Z24QrEYJWP+L6wz3jNOjDjmx1AQX0zv4DjdGPVh1VUp1pdBC86l1k ZxCrLrkYGLU75gwnYfJgX36M7bBVbaNsZlT75dxlLEs+RK8RLScbLQK2Sv7YUCdT4PioUOb7RGQE Lc9bsJBA9EkhTwdoRIh1JvKgAkDj9Fdq7ckvgGU0nU17wlIMy4OeOINri70/5tQfbrTeViXHtpu7 HTqn0zPbP0CUaZYVr4oyX95Tm2nY2m68ft/WR20BoS57y502iSQq/Y31fgFs889SZV54UcIRKRSp igAmpnDjzMk94IuvR4b//2eKVMMt37cBHZCi6ZRKr2QzzW4NIRrEZUGYwokXLIynsbVweakZSTnp hDYDQPaSOFVVGDcwX5cox6MV+Mi0s0RWXpnXR5kSSonFmbdTqZ4wNOCKwFcWbxmirVaSekDv9BXu RRv/GqaXHVQRLrjIYvbRL8qurkIxwPl4igZJxfUlVxcHhtC9dRUL2rPwysswClFne3aowYEWrs6o IBDREQJM1L782PP+yb9FD4kM2ChY6X39kDVguS5rxJqo5CYBNN6KyWEYBUcHnumDeVBPmKIF/MQh hU+WPBalhYML5QDMaJDBEa6ol0AfqD9NOe1tWJJkL9r2h/bab2Qizq6fqAIzGJVjDP8jbNqVhkja x+fJ6QuyESlyHKZGsW9UuNdcvIs6VGsQ2FBNpTmTyad257NbNpe/ed1NnCtitKsu5tzO0gSLv8l/ lMQ7KzmefpnOssBSm/1Z+/59EvdYhLWgaVMKkJ5P+10+0WaETEc2reSj14jI/EIoqSGU/yuRrxtK 1uhTcvZWPtao2w3W9Pk3vOv61kcwMgXOAGk/Vk1e8dL5baK9NeqHLo9s7byGyzY5VIjhPlRJPG4B zr4kwLynoCliNNriRSUXVZQJ3fUA5+J2XqnZA5qvP9XoLnRqjypIdltwPJkqMoAYdeTC75xpSKCd uOAlZd6G7LsLZxqeEWB1XsOqxYdsosz6sq0s5RkH9MkPQ9np2V1+ADnPUcV/HmSRK+oDYIa0UR2l usRY756gGMbBFgGG/4+ME0B+K7++nw+UunrNwSh3VyAxvuMKHVV6wViu2tef6iYcj/E3BTvdXaOh G4UpwiTXgMSPEur+RLOTDc3+mzJgGl5CZTghdHuweGjPflX7qI6Bj7A7Joe15LuF2I60fj4rpX3r vSMRhF/pxK4FXeUIitBQboKsYrBd550JsSFmU/JolTshSbpLEz6PacoYIiBHRBMEJluA++CCKt/c +m4C8WgThowEjeT4K5MLl5MJA7tnyIuVxema+OIY5Gd8bOl6A3NSTUcpgwMt2VHwFlErJObcXqsv vql133u6GWOQcXlfYElOLZBohc9w2w4WpgcchH9mbE+DH7Vp7q9yqoInyqyULmvMB8ISOnMTu4lf 39rMdps0Rma8t8/2S4kD7jcGYj7uBLNAKiNbxWqf3K87a+LWyhDNzGx91iWEfCdRjKbntOhcS30R mjaQFTYkI92M/vWcItvY3qvc+Efw2BK1CYlfGRbakXeRWa8uJ6GuySz5+SUFPbx+cRYZvXqugowt D98w2DlhTjEUXkD/GpqtgyqZ1zEgS24tAC0ls/95YQQ8iW1LW50TRi7exTX1iD4wysvqdkTH9Gs0 VyhXM08senbNgf5VQZHwhTjDqS0PXd/YezKiKLncSAQCjZhrl30sBFvT1vy1NODX+pKwbvuc0Sqw DZTcysdO5DhOUxC9injppXcYlQn0Iq45kC6HoETlVrcMNnqBGJ8je63kmhXc/iwF2qP9QCdS2qSt q8fw3iwq34uRB1PUcsKW5sAFBJ1tQZVSfmqwNnUjVRWU5ss4dA0u0l+2c+K+xS8zwNMl79VBWYzU HoKdX9cpeR3AcyAqKjmywoAWYBmKhY34H55wXE1E5ZQt6F4FW6xwmo3o1U5eH3jT7Rc5OESxP0NB YCq0epRmF1W7IClA9PgBewiPWCRIKQN1+AM/9ee4WhiL9NUdiM754mWoii5smW0L5rMYzZWWcX5E 9mlB6uAkicFtFUuiu9azxM0qqJc9k+MPNEt9tpliGZioUiWFEnfOP2fj4dNrBjfYXm/iS3F+4zHT ERSkMn9upU9039obzf1j2L93ADXjJVOVmNr1k9sMuuMs1MbVC3CmcSC551lrAlYnpvoW/KOxVHjk bah6b21PEkZnEaN4G1hJAk4Ej2HC5h6WTvngnZWJMNJi2KKKvP76lCsY/Pqcy/z3Qed6Tf/V7//w WhTc9IHqce19V8elK/sb8HpVRnuXKiXaM53B4fkTak76U0cevFwE9p05UBNjMremVON/3DYGF14m b6eT+YWSoIs3BAwMqb9fB9A6iTqSiECqkZ5Qe8/f7O51O2ZdtcX73oXJWCOxMEMst0+4D5tihkhs L4OZLCmmHTjD4Bbca9QK9yESM9nFGoOguc3zF+TkRrl7JuJqSC5OlALcGKTB+uoZwHlHoCa9T542 XCjSeYzmgb2nqqalePadc7BGpJhLKzDqihRg66QG+VtwKlcAGHMsh1OpxEvCtjHibo37mwc8tu2x w3cpMBaTcexqdU4ysuyEf69JjlX+WcNZ/qBseMd2x58cjM15MQSmzzNiWT5ZSCLx925hzptzvQ42 EaIWQCJ7vaZm/w/Z65CjJvtyMLa0hgM12EfnGzRqWHP0GY3uE9xhrxd+o1Td3qWvF2bo0VjuTP0O H2JNyzaYDDLnjLCXlcm/vKg436KYkVdpru/HSCS5xf+ldEjnfCut320oEt1X2qjmJ0fJKsc9uCo0 FsIKo7zrNRlUX2OjLN7T49R6GUugZ3cDwukxJ7EQFT9Pbbo1IWekamQkJUptGA29dud3S0GRgfZf I7J1WqK9bT91cEinS/W8Xz5ITG3LVbwKHHl0/bOv4s62XbZUXWBiDSumzrT2EhXL6uCQ7YsiSTxt jlWWSPcFKZymVDdIXwz7gNgTkGmcBPPBv/ZVAHnJp5fYG7tJ58y/djG18Q/mODX1yLPC+OOw9TLq evmo5bEGuP6/49dpfhtDI+qbCpGopn1D1uFaZsYqxjITU6Zf1W9uGngZTeKxnk9w7zb+0fzI2dLy 7phRji0IRHoacML3m2DZbYp4q3hJxvY432zZrJ65Fh5zCFTb7mZM5S1ItumalianAsvnXvofilHo Glo26FOhj6JwdfmyRAnXx3LvSgXFJB29jZLnMf/sFFZIDNeU7hynjgroicwEL1UQhsUtAru/g4Jb xZ+SE0UhmeRxtu5DiLAcmdHAlJZgFcOrDjuiM/gzKFkNc1w0ZzIiJq0KW5GKZOk/roDrWkoqnoVu vWbvFsPOdwESaZ0lf/qiUnufTgdfNRvqbiAJrvetOGZT3+bXbCSeN9BG0AgJ3gp1HjKtTdE5tM8M OV0tFzIU8nCwYJBJwSQRBbBfhMe2L2cSAtKh0NnDwYd6qbP4vKr/dyyfe8eRK3Y8RMKza7iHvBmb +7Ol/tmdRGZKFEHe/WXUM78IPjB1zrUjNPLSkXdufoWuzhHReiCmuXHK4K+3cLVhMKaFW4JHlKj9 L76JCKE1N/s4yyxa6gSzYmgPaQnZgGGmvQ6g14zZY86oQszg3Yyrf0byDv9qaMTpeONKcIKnF1Ie F0TeJzuGYqRcW7aXqmdWA2pbJyo3QqLYdKFNSoS+uzZDq7PLzAjVRAGLcj88UKJiNUVRe1S2XgHM 7a1r/ATOI2C98VSMmwkONQmIL5TKwMXb8uWMEutieRiJBh5oTwK/U9hrtrovOrYSGld8JKXndxfX ZRFD6mpoaB+qOXmTagpUGVAX9NaRUpSvoX8FY+9f0fAKDxwLUwIaetjxAyITn4tgWFmCKeIyFZVW q30PHILQnXQU2rLiWgzwzIjDbxavp+WZCPYalgYm98iWuo7Gyi9wanm9ezTI2vJ6rV9JGxAPFgFG ZljZ7YonDTVZ/vWVQP0dCCxmcQYlqMmu53Kr/Tw4SqPZeL8+1g8kGbXyplRrOPESL2oT6sdDoLH3 DGvAY0vmnAP7T4KagH7+ewc5DWuWoF4jMkD9zMELrCi+DZl0Se5y6v3UHgs78AwnyquO9n4A4Tgj M8XAv1SbcIh8LIKjEySTADi/OiGGkX1Cl/JcMs5pymvyr7d0mBNHjsZR+AqoNlvOJdW7AL2C7eUW rTMsE/WJHoH+MKcKn9CxvogBELA5ZCcuFdRhFp1iaAY2qJI7SSKfCj6b8RPMGRlAqEmRZQSRFKRE BxUf2OPtky75ReIpqbMAyD+Wmb8cgppxUN9ItEDS/yeHMnnBM+XMwPnT2MwgZEMRuDqW+hCxcnqU DRmwZq9aAMUbTHl++xBW6/CCCIj4EgRFo6BDyMxc3hdprx4S0/uRcnRKelVG9m6W2ZXx1kE/SEHS P2M3tOfM9IHd0C0IdDgvoeuY2pHQEJZtylmXRqxFxMXeolHPqcLawlgU7Bawy+BhIR8iqB+Vbu7E +J6jTR9ABOV1+eUEli3QHjn5psYvDu7vHtBeQ6hl6+M6j4UmvgnRFfXT2Z5P0qREI/jv4EKKjiLL tDLtC2sIHavYIgtW/nwdudNY2C5XYzBwx65DfKpkwa8rxbKkqX7g4An/7xQxk95gROoMWtzBXgrd me/hETBiO8cXzZ0htMSI+n8ZZHQbhsFsIaE/rCKvXxnyvqAUBiK2/jexSHrTwOl8w0CdD74as+bO qhTD3g5ytyDLRYF1LGjn4mES2gPDTYhvHW2xDcvQ8MwW+jbvQgbg5p+ebk4NO8LsiS8H1cfYyL3N QiIu/WS9ctJPWO8MFnWE8JOCh56CIBLSBg7vN4IjqFWulXWV3E0UEFh8WTU7DoaxboLPToky+GGB 1J5lhWNgu6Ciot3fsGQEA4kXXNmLbQ222ZvR0m+JoxcEQr4O9RdWaf4XdDBP0PMlF4l8cwYvnEjG zezsK+nV8CeRnlZiOCvEak6zuoDVmMOpNUOyiWyrsVGG/q2K7M/P11NEW1isTfi7naq00yZrq3cy pStfrKsgy0TIYljhX63n79wBfV+1w//bqOFGu6tAknbT+3SNsKD61hCgX8hsGk8HDsQzbeCtQYWF /XqqEjVVmrrRjB/PBRdJ0tmxEh8jnPsKJ1H7Wg7B4GIiqvegrRVuadACI07sAV6E6riIPvgCXBEt hxEPizfIMLLdWU9ZSn7J656op9yCCjgmrYqWHxr6ejluC+wMby5R5WiBEcgyTKSTxhg5l1vbqI9Q HBIW80nm/pxQXE2oLGosUFrHXAvf+MbgCxWN4WP2KOEmv7PATZvLgl9ouiNDzqkSnIu+jX/mSVy7 pppJV8D/kqKMwIpQ71+CoLaaWztLv1jO7zXo/P+ayvVSwmT62jw2/FDo7XK6ydnlFRb8g+hmIht0 oszbTb5KTbscQkyoFGhWFlH9FfBtJxbLhzVsY6jcndPSz7fOS82vE/pgKf6pn1lzvoJa85G4ubbE DAa6ubowyg3UDl85hDaWV6p4yOALARtxT9BTkDNnqVfTTssbcFcpWtD+rG9LEFbH3fea5JArOxsr ziW2UTMXhz48s6wih9TNvC6Qtimg2J93X/Vyi6UYg6JJoBDN4bQEa9VjJ/QrbcQG6yNSbQMFJPK9 qxG4peGrk6TRNkZ4VEidSUVIEd1fOkUhZ9Ah4v5lTeWXa8lELFqI6xD+u5qgyRa2w6HzdWag6EB9 iwJVyZfe+zwaVINfiYikkrMYaymTgnhodihzXfimlRhvqYvs2i1Mx9Yl7vegSRlPa32OeGwQz72N t92gFkVYAK1sc7GIkIVhJ76+WcHUCPLPwS3oWlGT0rLz0tBK/PV77m7VrAyOnMCYbDYfkEjyNr4j Kzv8uQ7R46t87Ch6uwB8uxTyNWtVscrfvS5vXh56oOYjboG3T5zh9KqEanfFn52nUIfXnJL0Q0X4 Txls8l5CDho0hMbCKMBntGZZj3KJOV30O5HithbZ3Ska8msugjX/3sqWvAOPWSBVa+kCH8Pd4Y/9 GU4RgDt5l6dWrp96DekCqbpqft7G4jA9X/AyDCV130O/ZMDRUEONUIoTjLok9JNYI12v0Lqof/Tt ItE22OX6wULYYJ+J07UJboGGZ5lGsP6BAa4r+l8a9K3ZlwOPZqbdDwt16SNQGphnf6wpYGOxpXa0 YLMFnzgvhYfeTOTcOAJX5vTM7pfiCSTVaQX03YDhprqsuqGrjoXjrNdDcKs6R9XAqR5y77aGeGoe kdYivjt7TAadgrhh/8XF12k42zBJAOp2wtU49xuOlN04mi94acuD+OOyU6hCmfwS5QXfZAH7JVOe K4jfNAkaC2wKadgR6N2yvYhPDhUAvTux7TlR/02UaENp9fH0jsw+RGoUXonWd4+V1Vasq5pTin2r xzEpHbH+kSPVEcFBqqI0UYJbJ+OjtXOhkTFsk0ScaQUgrvcRjKWq6PdrtZZADdsvaq7ioit/iE42 WrDx78O8cZWJPrEDOQMiVtTmNWXY6m579Hq+H662n07rgNT6yL6c/gtDV6o2EEYdlvuuMFclsz2E e11x0vVkJhHQrs/nCSM73vOtlv1uUWH+PleioxyOQVe9l/pNMFmCrDgl2DKb7aca2WXXKlMkC2DJ gxWLIFUloEsIobDq38/8mCqnuuIg+aVYhBwdmppPZT/BNh9b+bOYLE3HWdu0J8Sr3aSICkk86p1m ngOsFq8oix6tEL12jDxCbpERi9ckijIUBecFblFIT7BXGz1C/sfTH0K24VxCngIMR52RZaqWZCAv 4wfh9b1LsEAeEXobL0kX6ejPBLXRNYs5LALKY+OYU1fUoIEBAApugc8B+zD2RkS5HQnAj8dkyDT2 YDvxtmqKDeqtZN5f29yapNI6oGPLA60IZgR+HNg5tHY6wqaDtreK3ywmf4x1424BSDDtCeGt20F5 07OqdGmf3PwRw3ZeanoqzQENrCKv1Fez4hUjx0j2wVpE714RDhbqxfFzL6xn8rp4Zc4Hah7Qeszn mlEWRwK/rW80MIRC678Ewh4Yz/3VVbXaaaDP2rCkHnR75xlyJPpLA34LA5dkQEX+R2y7fgHf8k8b dlCHhbgbZIGUBRKib03K1mZeGtmyfPotSW5c+Pfqx19pp9Fx8dHtNpzdfJmlIQTzgZYUk+pKM449 6PDlcj38bcB1GVl+6Jk0eQ+gs9+bI/sXo2FmovO781ihjEGA/8B8vzVVY4IhzEGYJTcSlELwL7uu XZdgGWE1hhDAjb8JO1YgrRKbxf2EboMXEmnUv5TgEdNrSH8f44hx/JoKPxaxJ17l6tFiGAqLo5PN MxJboQTOUekIpxmiIJYot/m77aje/tjSB0L1Dq581+M+vDuAx2BQYI8JlWkUWWOsE52WM3LXRX94 VjjGn+j6FT7Df5WPdvDmiKWnjgoTSQR85mtE7gOcp90JPDoGBWO+VIcMahBw6DQd33C3wMxMqUzj mAGFF6j3UZ1TcvNLjyFghHgEyw8bJRyLI0ml++1o54zJoKHR9/WCoV+a4jJe6GyfsmCDVySSkqCH zPalOmGaT/x+06t/6pvllhUd64jo1FUwYrb+uKjH9vs2GszSbVeJKRpgR1z7XO2A9CCG2YNeD4AV FLPoJiRzmLby8KZsX9pakpvasxZny25dz0ajruHBKYnLg9Ss4PVdho6NXyFN7PIN2xePdRSUeaG/ 2PAvsBq+fGk5xWwxyUViWgEqcnI4Tz3hXEKKkghzqlBay2EdtXS5AcqOIJZ9zX4z2MEfKINvdsrX VQKBINlZ2OnU3zGsuEADZ+0P0avIpuVS2Z4XJDcB8egL0FSqcJx3fxsDwEXRPeLzT8LSFvtSvT4X 5c+yrw8LhwqRCKR3xsivyfcPyDEIpEvU60cx68xe476P+ue84J896PaxtYtaBs8fx7YTMy2zM3Mn yEkWf6fgXplv0hnT2zO0dlHeJJqg3JTPagdMQ1OHT0bzdtWSmQEsi46+n6PhwXBzbbQQ8BReQwX3 n28Axhw9QGzzRTGy/SznAI3RuWhi4sQVAvkwZWI+oV0o1JNgozpvHYxgv1o3KryHWtekxlbgkIRP fdkjGzIXDFpxHRcu0aYfYP48vW8+or5Gw2lFQiU1+x7BZmYQEk5S4ZjRWFbRphZTuOM6csB3RT72 HJAzS1vaDi5W/r/nRnLwNJA97USbWjEQOUl9RQRUk5L8yc708MRAEqvJ3Hmp9nQSfixB0YmxPcTR ztss42cafNbSc5bAoggLtFKhn0WIb0cYvOa9XyrElg7dTI6bzgcWwDcx0VWsWgeGpXEC9tjzZgoX qE0spoetbfwD5afeIIzsH+DmLWtyAdS3jKVkKiS5KttRX6DolzvVm0QYecNdwM9wBrF29d4rtHge i1sYnY2iZnWr6vjh1FDTmG4Wv5Mm0t6I+ej+GxDpW/F0rPVcGNLzYdg7zcMy5G2zD1In9u17/FqU cNSD7YQzhEL3pPpBUwOPuBWBYIJ65Tz3SqW+VzO7AZiTAFhi/ppdN3Qk57y7heZ/UjTzYoAQWDqY CQDqM8hXp9A2/kzBi/XUiWVBY9v3c8J6e5YmMmrSAN7Hf40w0dWgeKqvjefTQ94hKPJ6lTmvsO0z ATXk+DUVjk9/joW8YqAf9+sj1OR5YHB45gqMOP3RflXbONRKiu6eO4S/cRXOpo2P7AmBDn5kspib 7vJl8GKs336ahYlXV2+tHULqQ8D+GV1dTpr2xhvziJa26cif15h9IhQC4GeXnzfMP0h7x3gCgID/ CBBd9PucOHtV9l3b3QabaESgeKB6t8ZyytuePNU+0H4Rv5AMVltIP04dlYnL2jk/1Zg3UCwtsTfK iSEEbwhwFiHgn/QAvSmHf3kSNVUkH0F7FSiY6hu/3/2uv06nxIadR4mTF5gx0PiUh4Ni/I0N8Z9t XlyhBs0Cke09dl0yFXytyj5e3z/z2xJR1RPcvHv8JNV5jV1phPPoQOqQyKGBdP2iw4pdbmccRfVB wDJsqBZzT650QDopPBxUmG2zbSC/eMEK7F+nD9ZH2DYJIHbziR2/pxYmrypODg3mj/xPE5g8zfUL 21/Kju60fj3vrmX3s5R0oJIDyp7aA01z8XjJssl7wPLtmeK8tmO3hdk6kWIiYHTB8Sw/bVzEJbne Xp/71mrBbBfDCD5M4SfIoAcjbaoBPhHgo+5hrH/f0ROZ/ua/X3kqFjoOFdYPhfPmIn4oGTMF2wzT c3WieLVIpf2h65SSFCfHvGyCO5BPnDSasc1gdb4oz8M0GmmFjvB1hpZ/F6pe3Q9WBvqsp4mO5RYz GH/QPGRTE3Botd66A8WlRm6+HJIw1c7qulWj+smc6fFPuOqT/DfLM4sCTS07K/E/L9O2osN6YHL+ qdgk/nM/+ZqbruWxGnV/+jivvbeJpcb8Fn/QGzmkuE69wsQAGjXSRRAmZjC/nR3F0cun/pXTiCX5 Nqg5MykQ7Wf6KvJpZ4kZjsStKRjF+s9tcIxClzSO81Ff0XVHVyTMKhZAKRVE6xgu+Lb3TyxpPLYz OPqgjJ4/ujT7bUZI2OoEm0rMY3+3W/UXSkGm9wVFwAbB1HkkkRTND8QswiD2vgLcon4ned87FTyF bCV33dqYG8WndcvqJjGq0foliBUMTw4jIPRPRonEZehibb3/Zfq7iTv21Adn5y07g7Gvue29sfG5 5DmqI3vEyRhSPxqhwUBnCOcZPodZ2QLaEknrsz0GQaPsqjrR68zPNBfCNt+Y0sL48YAJE7hLgYXX ArnZV1K8Qz81rIO2SXa9Mr7oADduVCLsOUKCCKSpnqqKJAV6veg7nKKQaHcZBNLt9xSltFzF6wfm fsFJnq2DEB/aqv65ekBcO3n+32Ofy3lAxK1eQO4kr7GB5vB3jxr9/DpRZxuIQ9O4o1jbDnyITj2f Hmykiv3kX/EdMJDYGJ8reDcTAGE+/7/JD+wk3ss1zQcNAxeWLqIpeqO5LlaRMHUKRkVigujK9Uan fF9lfO2uJ6YYGgFRsiFNx11Oz+hD0rUdp0B5Ztucdi7xxLIVH6qOQ+LMwR0lSJt1Dzhv/Mnx+SbZ 89+Xj/lIGmw4YyF+UOO63dxI727fT6hOToWsG3+xpKJqELOywNsw5kN24+NQ9HtaIbTl3xjJWXqq Z/scwg/0ZMriApsmeENHuDWUIOfMsJGzXZH/gAYHqUNkc9OS/teJ8y5etDQtqaG+BQKw/RzP71CL RiKpMs1+udmQrwBiNKSQ9J3OjFcoe52j8y3dtQtTKg6hd21Shr0QSA3WrYlqTLZleIBp0KK+e9y5 G5tGDasHArPE6+2/CODF+Kh2SyJNbWiV70vO5kgbb7BN8i4yJ35eNXZu2NUww+rqTf+r0pHuRalY 9VPESJYcsG7OmtjQzIuq5VWGhhBCmtuS5A6k5GMGB06AbInKVho9Q/dSKapBZVfAfwPicc/L0RnC i2tylb1rbPj4IIWGmXRhgV19ojXZ3npg1hLqJ0WtQGG1L+QWeNprB17bssUT9rLFUkvLfB4arZ9K FcIow6DLujfNDkvuRjA40FliPCazQMdZ8edwoYbIg7svQSXj+WNSyBSUufESUtHq6i6Lji/thQ9b pLcJxzLjrto9G45D3/suUFdN3OQpWKce9nx8/vxNSRy6H3tNsZB5w1DPWpMEtRzcq3nKTYufmqU0 qYoJ4oJg7dpDNq7HzOFe2OWynMH9kz5htyzVVlqkV0BGfTs6RpF3/KzF74FV2tBseQmsmWYp+OpU abSDjYOlHFfxG40KI68Hjm1azjp1eZCbw4jv8A3Ff+YdzhDEcGoMCpo1wFwP5UmlfzlT24NlKtVv Db9saZOtkMf1C8ZYwyKnm78Q5oigldGoq6xr0mkeH6xuAKFGlUMnVObZYze5FQvhs0+9GQ7miSNs P6dYIYBlyVHb9I/xy9lBBV7smSBeCK/erOh6fbggAhuMEsJusx73SZCQ5sbMNZHfFuuxb3/P7l+Z Ce+0qBpMxMRLIBn0edNxyU7P9H13Be7rtVLx8PkIEYdWPeGq4yhnqpLncYjYwwcAzaiYW3qgraI6 rbK+N9/ZIIx4dYSEwv5TOt/21FefQr4N1o9cgiIOQBXzxJPgqz+KMAPERsb9Df6rHYuE3G/R8N8l AX4A18URtUOXg3ZGEoI05HCsWWvUN5Jp6FQSDWqb7bgxfEZY/DGSgiQN/Q/HpwtfEWIAWKqtqxzW gq3eWR2KgQnzFxrH05mrrrV+qcwTyxfGFAh3zoFvZxsFlMQNgP47hb4cx8mGkXeKz9t5VP3P3saF IRYQ7u8Vo2HtUxxvxHdWxlbYSrmAWEY8gBQeg/S18YoXWh/xhxxsWOzKBg9j9OJTzEZGHfIEIhxr JxjEe724mkQl046B1sJlUbfIAfdbLxv8RHVIIophRWvd0HxUL1v14J4yFKdP6KNWp5mUaKRQKkwu DepzkjekHS8Sv9pyucZVMxc3UkGEhvLU4e7MqEGwrX4LTdfGUR+oGJcAR3GwEhY+7c6efG2n+VIe lAHUKcsrNMZyPBbcfJHQSMeU7eaKmTbAmbmMJc3yWvQ0Wma3qt/RFwas2Gs6lnrEupRfERt3C8qD 3aX5Dzgb3SproyUi/uNXh8TO3wJJ+qMCFaikvo0P8mWY4NdJoQZ5KdZpsdn6mO09dQkVRUHl1xaJ cha++7WOiu5xX05jFu5HGyg3xo5Hv96lJxNttBv5z8/rM43KAiqKpchGQs1rZL7iufIdCazM36bJ 319XaGmnzJa1MvbaUVUjCfKM8vcFr10HamWmmKdnnmUOv2QM4MF14HeMeRldbYV/F/qdBbkw8Ufx CMtyuFHi0/piCWLb1DqJQE7G84dP1GU23G57b8laqr8QVZGPycg0lnpGV2PZzLxu7cG6DNnFEMOo Nr+74ItuAuSv64oXgRRWyCTbu0cJ+IH7CDC99KvxYFojA8XJdAGm6OVFuIfjibvg7YV3711UZWdL 418iFlIl8C3jCcw93YikAYK4R5CG8B9Nu8aK0bZ/oH3vcLYmicLYeZsok0ljJAkYoGQgnD0UELmm t9VINb7LVDU/3cqlYxIm5r6HuqfqvQI6O0fK4ChA0LFu+zUKuQIJQLwzMbi4ceXHOgsFoWz3Csg0 F/ynTC20qklZPK7eiyFBq6pFFO0LWURwtTs0KVxwtGkKlZjmhuMzgUg77eqKNB7seuqij8RJoGNf Xe+MsrFQjwxAel5DbikwsLLR8aWR/EKiqXFFPzu/RIYPTQ2cnJCmqyzL5909HguLcBvX/RJCTiTx UFVNYsHkAbHnWicJkR+z1uZmb1H31rStkj/QG7nFKf3/uK6QarhUZP135W3KgcP2tui4pUY+2XTZ dFEAd+3YXk3i7FVb3eSDWEHuohWX4233nJ2z5zxY2FLiwavMbbrutYxEArRDv8DxIJqR+61k9+wp AhjShNH2U3riwwyRocRKBunLUsw/eYK4ZOYeratwDmM+bvq1LMR2pHhcV4Cu5QR0rLgudzmhTJEC hpJZxBxWxvKpiM+4smK7Evk3YmdZqF6mxsiMXR4WWqsE7Q5+uLDWYQDy48+0gpnJZcyg9fh+qfCx Ox0q2iBHz7JhCgov0RM9vsY7z/Ou5D7PrPm1q2sctALYEJnqnMa4aAA2C1ZFjlLDVsNujfIecBMN JnMXmP47GFRopb3dD4gCcJkuVqZ61GKKTB+fG4At5ul0Sv9Y+CyEpa5+JqV7O5wOr1B3etSJrTfC 5s5rrcFbQ2xQKC/701MPj1fAQ0zVzd3YCPPo4He5penMrhcn88qBIVSMorVYdJkyC1aYchuV45QQ CoUi9F5AaFa5/MvxLnbyq/fs0QkWHtH17ePmYUiWLhbKHBdFKLBZfbUYQkNWNLSuqunVcLMR/snc P8yAMcpBzaveD20gfeqwVuu6XpRnZHemm8RJyTNoa9hkh2fDUtv1m09joOTuH9470IxeqaWa9GtP P0GupJORmudoSDojoh01j/LCGuSelmEgU6LuGO9TREKhrjaHFmujIuRT1vFi96h8ibF39Emnc5cc OpzhonL3bgd1j7xBxYgZQTb1Y+Pa1txoNfe66a70uzyGOHzdSeYBIQsXu651lMbq0RTvFH2Bg4Kl b5eQ6qogNe9aeBTnSPKlXnBHPw+xO5i4bGX7mn8iHm1IY1QAZfwMSv3zic3xFkcYh8HCGgOJhjHx OPo1/IqAUPoO6EbRCZdB7l8oz1OTih/FpNqQ4vl2dYD8sd6pRA5R1615qomOIlXV66VCGm5gEHGH Lwe9w1sgBqdPh8HFg9ZLwHRzXwFWrjiVwdB/FJDkXtEIvXkhggvzHWJ0zTehPaQv6NUB/Ix/WIgZ lSPPoSXxpPX//pI2Ajuv3Guwf6+xWRvRBgE4tKLm0cp6/I+Yk2uuZVfIuPirC0It+wovUS0KotgO +E0ZFoCgsjTxO7rTqFn69RZy5J19D+wfd/F0po5WhIL3i1Ith1Hr47I+tjCbv/ktaWk7h12BkXrm jP0VSGy3njKOnXiYA38yRWwYkzZ1kBZC8iCiKKVoQCqD3hCnHP72YmAQI0pH6bGlvvVi09GdCZ6w l99zfUICO8E1zzpSp/nAaUCWvlKmiU+gIKTLB89EsSKgBf/iA9EnaxRUSbZPWUSx+9veQBW8cE71 bqw5RDtzuzmkfEx3GqYvkNGiyOEYvhQZgoY9ujO2W0c3LyNJvanQAr1LSe/+z4Mf4tteDlVQ3FJl B8g8NNlukEQKv+s1v6HJzX4srmbQKN9WrEse724itiF4Esx8vtWtSYf5MGX/tjn3hUWpQZKku9V7 qWE3+CL/yk09tkhIkFFlUaFyHrcKFtiBZaEIS5GT7GY4eQbrZ08mY9t/l3B0923AU4vgxiV+bqKL 2eB5caKml9TF/5UluknwBrNvS4UKNoo2Q7DcQ40EVQr+sXsSr3MOEcpuZn3CW1Eq2sT/zztalvmw SY03SJuof21P52REV0y0CVPMpwWhMulH6D4VOqYV5mIf1j+PyMrMHuxhHhukxMK7VaaIRdwJDRoc 5yHlHZJQdxTAd1C9WqSSYMd/CvPGaZdwx5q9LrD4dq8huyKiK0J2yEa62tH4XRhNoLHiewXKT3Uf RBATT+fAjWZE2sy26xnaW7bKOp0QdE8/8nbZo67JM+QSGwFbYUNHqFavqdWsto0XCEEWxou3NDHf 14y6kPz+bW8Iko3FZNQs/5PtP5SiFfz7Xlbq1mkJSfVQfr5mQu0LmhRPueiXJWPzt6h8hQq0X4Mw dJipKbSleaJGkXyczZF8vd269DGQkcf8hzdKEnFv54ArbEnE61493Og2GWJZRbjK6zXU9fZKtCG6 77opF02zAlGyQyxe5dARTaPo9ROJxcs5g+dfZgHWqX4fkWwa/WNdrRslutvXxxB0xfxotsM0zr1V J6GpXLiQLRNXBUTaes4/Fm0kHPNpY4r8t/38rQ7tJ3F76ccGUAhE8/HypNRmPzYI/1oBMJiGwHQX NnWiOnzmtEo98hvWfVG4iXgpZssBuT5hPwcyXgpWAeFbMWtdzG9eMpA6z6tZs9GV4NYKLbnN2emw quWL8vrzLbTH9Glo3MYUBI7j/vOezPG4M/rSatEEUY4tW+7w1tPsT8Pz3eAAXmXDG2rmW9yQ6EQX Gi4AEhRY+RtdfuLKhUNUXSgGOXpGMZ8GYQsYmpfJWwzukbm/vrXLSNS24FT9m3ZndEJFuS8ynCfg WTJ4PDajDKZYKbfVzGNA2bun/fZ25MhT3VFl5gwV2ANAHs6UejIvLtuQhur1lRYBihEgARdAJPER 1aT4iuNM3I6PNEwysFwmu/Odb2GRxeAD1XnbosDSSCxLLhMdcWAE5q6AtyAo00fqAzpSTTUqZfGt cd/AQvWFpoUchf6CBQWkOXZJ+2BgnWZzu0xcrUjk7MHAMDu20QyVtK+VuceTWa9cdDWEraeiN7Ny 0vaPAMBiTHJ/xeBdWsIcmknkZqJfZWG3WDOgLc6+2fLWigolcnQurR16TquqbB46ZyNC892eh4zv 9t68VzkD0ANOG6yJdsxZk2zuv8hTdI4w9XAxWWiPRlQw6Y7SXjmsCbEn6tQHADM0N/wC2tQonpz5 PoxahDuO1dEmariDVdCFSeY10b2QAiqYgn+trFZ7Ai+DdXN/7AYFLEqpN5ob6o9oCz/v/PtGHMhv beyJXTJIBo8xmY5u5lU9oiWCeIwtHSL98RNzQ3D1eUz6lljYkgOFtdsAby/wu/PfKIhVITIES1fm 7iJwD6dmRFKbeEGUzJzXRZvlWnEQtC6dAN+azx1uyutcQYnqamcIRrKEfp8m5P+Ey/tazxPmnGch 8jYGuMdJrMzty502qccwKAY7Hq32rJFv8dEQ5YtO0d2jSf+eJJMY3kUD26duyxF7vNG5+tIeXaid +3GQlM8pAxVtJYBAP03lTC+8UVjdP/LfdKUFPp0qzXT3Xfcxf+zllalYNk8/lDnecXoU2oU28e8k q7tZOm+on+3Y5PiDKBd/iXt0M9hCRirUqpsmulw8Z8WC1gqH61pRAnOh+IsP1N8f698JD3BzG9Ht LHOzJsM5Y7pFczxnNfD1OKfOMbma3zoSEJ2Ho7IZxTKrnEdYo5VMOlnYzJ70CY9Lbr0/uM34gAqZ S+nVw9TTN0QUVT8uHd1bpYqp5SQ1JW/xQXSDyYoFE1fOzde5PPRI3/MiuNio6IslQuh3ircM5oQs ZjoWjDtmgy86t994gA6R7bR46miYzBVc5JSGzYQQNMrmOOTa+LTfeU7gnbwkI1ULnKXZEGRPtCZ/ 6Yob94jgEsX4T+L+yZn09Jm7euq9t1Nqegf3aTrUaaiNGIkIxcldsj50EKPr3ARnxiDAdRq5UXMO UvzUyz5wiFQRDSc9iMnoaSVYiMiT3ZabU5J87p9gQKGLQ1LGkxjzkhKNk3wKwELTVpBvftUT7byM 2CS2VPqvTOG7G3GMJji4xRwUBR8cum2ispRcbvkN1XrhJgPjGIvPdmYs0I9aoZh6/+eadw8Gs0p1 HC5AmtSf23XMWzo/D1xadJACVNqP35G+NEqVVFn+MgQDLHc62iBTPTBzWE4X0soYUmXP9/1lM0ul OyS7twAEjY6qtTeVDsCS4Onk+7fgVep+jSkpqmfk8yDTGmDMz4jFRe3Uh33Xlfzh1+odkvXZMRRC Ea2Lfa6lwyWVJjIgOVkRUgG9By3trNMpP/VW8K69UU3BfpPF8q9A2G+xFQTEFFAVAGMW8hV0bdge RbaRSLo/Pa9wOeTDeQo12eFwQ37YH2q1SlrccN8yUSIM9c68UM8wCezkLTOiGeElle/5TFOkl37K ZJeSwMAE72I02psSph0ZYBMXCmVOWoV3B5YU8QhkvmEwtPZy0cnjKUkfnw2TLXfO8JfvUftgxYrR X0I3gk0W2sWcoF8F8/NmDLLJG2+3rDgK0Ex89DCuhGLlk3qn5BdQNhHgJG1v161VP9wcuZ6/C/Hn +4UZdU2q5W/RMLQ7mK6UJPa2/CwWIMXgBiqhSq3qguM4mSf2V82hI4UUQiFsJ0ZUXc1fKylv2zZl 9QnTvR4IdvdNKeUhMPOa/NaayhdYirMy7jRrHfWZou2bLWlDDGM33jWmCFpfm+tBzzPZB/7cX8Py ldoY5yhAdwaxUz6S20biO9ZnPSp1pGrmSv7aSHDNrapG5vbi3ecfcxeQ+uQcnFm/I8Qhomg0zrrE 5WUBQ2no2/VozNOKxdnfOENEZrdxHb6sjzzmFXHFzzFNldfmZvDcwG4GcV61HhgIke+WKnADJAFn JVf++OOiNOFDzCqQNb3PySFIa8pp8g9YjHi8n2QXuCVbEEaeYhUgSog7T3XNXv1xIxE1TnM+7Oee UXJqBysjbqqABooSxhzrR0jF/wTDPIElTUSK8KqUSjNkGkA/pmA/KDq9x9XT2XsSxizmTIqblj5i ckH842d7GqFPRZd1RdMgrvvgaO/SInEexyxxXQiozYWVS8gETrsz3qqWemJ67KSn7XcGkDck6TTg dcyDmYUH7OQwHVHBquX5981u/Sp+fIuDYUDmzml4jD0OUVzEoS3sWOgYAdheRrKEK2VS6EJFC4Xj 30YHSCTuYsQ+07PXeX1TCI032ZoHBvJ7xgnA10347ZZKAFMyLqxN19uTzVKFy6W0uFOsmXLwmYVz 4l3KkDqUMWUGc6Qtxh3kAj7mgwC4D03bXXz/+y80jEaw9lDzNBtia+nKfQtPlIneKJ+spHdx/Cy2 HY/QZwfUh0IaRbyLGVaRJCjUXcMpv+IPYZL/Gf2xZhR8i+3vcD0KEjLCTY3u2f/On9PcRvo/qA13 qhAq2Kqbmsy771cE02P+wxVYxaxoREz25ejD0MYBPYk6VxABXwp7rFuSnb9KJoLBUC3MgZocpSHV tnhER7thWA5yLr9JvQAWCsOlbOmBWyY1ECRMZDqgGKkuG7I31wQjnWNLyCozSf0ILnNamms0FS9j O0BphwZxDdhVJg1Fcevi80xsxK/b68R/Ah8vax7HNX1d9z2VStwWCSy+1spl28u7DrCFPcyebiCv t4LCknE6VjQVQqwCCASlcFSBAdtx3l71oIYp30PHB27vaYw8FNPuqiTRLa+uAnZgc9U76b5V6old dIuctvmgnc448WBcNjACFlazM9qIWKlrzgS2EUk4h9+TQfKkdtecZqB6F/ZZSpqtqr/VQITWC/5M o67v4kJKviSnLwhTcqP6or8N4VKMzjeHc4CORChbha1mBE1XIllZzoRNb1rk2Ksm9kH4Jr1XkOAn S0Wk+XqmVux0CwUhvMu0HtizOjcB9kQ4qCheWVAdK8ttHSvM+pd5nty7wZoVozcx2jJ8gZ7Sj+K8 ivR3qFMQblRjj45JdZ7t7tct0iTRyVtVWNPUkbMq0zMW6e/HpPO4h40p4+YYf4UhQAuzrzG0j9ey WZcW51Cgfb/Pad1rOGmRDuNIMKeU5zglveipK+a3xYUWSwk8GMLlo/hjDyKkpeKJoqjoTzAwNdlm pO3/t/JB32rBvJDQlB5dU/EXgLpixMTo7Yx1Afi4pm4akU0a6bbyEcak4s/2D8gslDaIuQrLaM6g xzTJlaJFRbIMUFrz24GmLOKL6C8HRyhzjvSRhVNZC5rR8wBaY4j9GqMR7vsnDECCXUpnwafjs7EI /bWHbzUkkItoCFU8OecqtpPQyblGCp937tm2C4m80OfPEEstjbApP1Q/5zLVQmeb/Bw9DyNqK9cG xrBqa7B9V+u4tY4kzMxqA75Qu8mJlNSIqDOw0xQPtBq//HU1+71unwgRu6VlOxz2zFPlxAyTOfvk WsYyalRdhENoyklRO6qSzo5JNEMuil4fx2L/Z4+xUiBPlnbb9dO5mpDpD63v/LLYWyVBqm2EsVan HykCIUPFIMkU8xbKGQIVU947n0+5eAzks5JFr5b+4Kpl9v3LnxdxzD9sGH6MlJ3arSFspUNJ8un4 /4JPU4HomQZ1lWKdiTfID50AlVlrffF4ZENGID9DPU4mFb7BtPSGs+tkMlDSdbmLHjopwY3kvLev wCLjy2OWwpdJYPEwX0M8h6iOe0GBbXvXikkHRh4uAzYzbH4K8XYOZ1kxYuSXt8sE7NmOUD+LEAFD qu+4vByn6yzV6vvG6IPnltXqahlly8OD7XGiEy2AOXMfcaBEVMgjozWleBUC4fM650m9XAwV1+wK 64Qjx0uTRrNd4cY5PbAxJJ2jGl5o0vw9wd3qJNrg32oBq3urOVhyxZvxCxKHlLxbyUf5jIgg+MUI LuDd8xiiHfOSdytFCeBl2/pbBipewm/H1xJGjnrlrkjTyl9JedBAiMZ4hSejN8dibbrGAggqqw5/ t6k/xnfBBJTqcxRGS547j8h88s1ERWaWOKsd9vyUSCIfGABM/xEfwWUJdxQj8/BqCWueLHRoI3qA FwcnesIH+Appcsc6dD0h6Gyi6aRkXXiZo32BYnLXXfmPgccTSTL9PKj19oOmfPFxa2xO07C6g5Mo hfbsBsBVfd/xoMhRBUEQh6N2whSuFNGGSzULJOG8TgZ5EzKXfkOEoCO3fG/M6tm01Omh5p1mLExK ZwwMycmAGYfGrSL/8F6VHwh4j/xHh+lmnl3ceKibbGy/W8bwRb1kCJUyt1//iGI6yCqKlkobtmay 6dAYKp+dgdFUbddHvjo1KbbbQxCaV35tw9q3JgNj5JOMlAi6dRmPvWgcfxy3qVRGQn0U5HDkKprP v64GYMqmUig2KK/AwyEFUHFOwO3/LPg1ZyuLBB/sqqDgo+7/xLZnE8qCjiHxX4DJzfQuiIDkvhcM UzmMoZiLGjQuSiQb2guvjD+bWvehk7Mli2vQnX6zOi+GMZQ0AEkJVgfw3OBZb/Jbkpe6MXEPXHv4 A6/PPkRAmyqb2MvgAR1Eit2dbKWMEea/IwreSJR38I7q5FjXsN5kA1zB8e11l++36U+M1vAXaugL NXpFV5JSF6L1lEVP/ABXEBLVOy2R7Py77yq10NI535Jn34U7BGMseo9XZDkrChj9HucLrYdQxopG WbcYO7TMRromANvynYh2ccgmHRDpXqpBuJBK4figczuLdcy0r7uhHZrS43v3g/gYC4naGz1khLZn pNkTF/l1e1039MjfqjiN9VuGtu9LI54KrMkrotCNe7gF6qtM1e1U73U7v9hVHd/ytiDsizR2S5Zq sOrfKAjHxIeUyOyB9j7mzS3XYy2oGJSiyvxdEo4/fVpKtgxmYcSHuRL4VlRUpFkTJnAG43ScyfDH qRL7QJgfbZQyPsqMLUQm+2QKjZJP0I3Zj0IM1JrvqJt4asksnIHJXob+0WaIP7eLYCBXYd1prU6c b/r9MGuwmysLC+n3/ERGx2P78Na+RFkI0ZuU3FQ/yVMXq3XiJgBvueyRr6CRcQxBHgCCRsG9kEWO 6ZkX8bBLVu4xXlzOrGrHFnBxvxSsWkwD6aPHM4hR0/sY7bYXTdI1FpKw8RueVOlmx2iLQ1q3xbKI ZFPpqPT6g2vd+gjOTH+WjCrfHclooohHr4os9YQzOEgzfHXhfJo9TSsCrTf76paXSg9t/l5HJFOl YGrnauP+P+lvfgJV6gILiHJErrRiKDwMwzu3BJV5AQSyy2R6D2Zmt+qiPiRxooED4vYss8o6fWkS J81PNzFyNF5DlMXh+CvohtvCvkFviAUcJLdpyh3IpIOWhXk4k44dxytnS+ETYHrysIK4zIyEIq7c RiNzeYZpDtc+kZ5Lttuaz5pO6pa4emJ2nUma36yq3+EfmGyxs5EIK/flcPndltI+Oosoz8gcJVJr P4pYPlG91RAdYnjtRkjY8/lt9/AAE21JppnnHpX2R8xGe1o9bsHmwYFJDRF44Ijq2njAeoqJQa0Y 8s2x2fdzHLMQBf0umkStx1ty+mQaTQYF3E6KpH9bD/bU6KuHv9CyVBY5gl8LqDewjzR4EDCzUjwG X4U8NESMHIYeSNInLJmOCtbrxCjifpjpSw9IFtganAp6qUDOJc2DrABaXyuyjhVZCuN/N0TbE8Mv +cYhKDOHzBB0Ru2wmNRuQ6XWUEANmiXvgwet6IDkXKnJIbaksWVkqjCjYwYJLJ+nLKFaaCGutS3c SSNchObkfy6Yvs9wLNk9aFJ4MvcJEyc04KPooefkQQ+Dh49dMkmCVGhNh8jIwa2zgWSumGIaEqe5 h00y8Jb5lxbMWtoNDsSkU+n2kVesB6RZ7O+aku3h3QFIo4CBnbETjlvdcUuB7TAw0U0RrvKx825W x2tIuPLKNR/Lvihod8XAn3cIUism79S0Rxupv8xBCzgIbX2uCM9DxFb24eg3/OvLz8QusJYEx0qT vdfxIG+d7Dj91bBjR0wGdkqhw666C7pbUoOfBDAhMgM76IsyrV65/NUx1ICuL6YW3EreJfM4FqLY LscAB6nZIBu43+ItRkdeRFV1f+2D3+NcapNvSxbzGeWxL1bwRckBIyEwAuSBEyEeZmckUBsT0aTZ lKjdyFJl6vwVLKBs9HCZTRYv2GZzQ7+icV6PT+mD7jvXxt0cxzAaFJJv/g97gPycNNa9x/AHakxn OKrY0JbiWozE/stoBtWwLTSHzT2neBrKzns8KYNJMw0b3wyH5I8XdhZhuJPd4aUeg0Cj4k01j6d1 BZFuuSRzUrCnV/plx2RQwFCSHGh8cthaWkCU3auBqAmvUOUqBWiMQ1U6TVAeG8xmb6NoabhYpQP4 G02rzr50sbfhlhmijfBm50FU7alO5pFTFh4oV+BL0gDCDNinKuesR1eu7DZjJBN+P8pe/7+AoFh1 WFLJw4a0gOt0vK6x1zYg8Gn20O5AbSP+jGV4QxeoS4R3mZCPw9j+PhEtTIPorObhQN1DoTLXYOM7 Fx4mWizF/HkPYrP3IdefNhdk0NeipaVXupzAvCOtFwPUSpG4si3dZppXNSN5SJtW1IJCVLRDe4zT tJ3f/YgCSMW0PyTvLQp7rYKVwXw0hVbKwK/nam6044gpGOQZKzcy1ek0hKxcB105y5ZNXfz8C/nJ XtEgY3AxE8ZWF0HcSo+x9Xhjfkywk2eN3wFHFiur19F58dve0B+PajEtvi1Xw4pFzwAkbUbQJHC1 2lHT6+YZAEJaWY9wp7taoAB8So3JGqRwRYOP6lqNA5D55xJfByaObr7VuXRERtn9sLqDAcTsky68 AdlJ2YcMtV3d7eF5k7sTNnyv/GL2pBtwPFF5kFLcgvsGNkRr1w+rRpdDygnW8KvXeTo0/0uHiDdc V7o6kYjE6FY5Co98ummN/z0wMHbvR4ytVr/4/RkAGhDH677lzb47VZzNYR+NCf8CMh8Ljp2xlSRo 0i9pfz+K0eiCjSrEuyxMzX68UL/F0SO7iV0iejS0b0a0pIHiz3MvrG7lmQX9Bjm6nB5ZnuU0AgOn WvPNizHB2dvzKgbSNHNdhB128bMp7TX3J5ymJD6zdAunBkLIGt6FIUGWDyIavqJZM49mCdGLKMV7 1bVaOOAY5/rIO2mO+yK3UFjrpn5JiCPfMSI0ypVnGzxShfN1aI5jeGiDURifb513tSWB2YXFZEDV IDK93zIOf6u5h0wNVvxtl0Xf816+SWXmCC6BzUGZjIVUG9orFrMz23RUpcjCZnz+lGLTT65aGUPj 7j74zO/6sjvOuC9b+QNogI55Qk8ugKN8q7Yv7RXidBbGivq8OKa0bNEqqWMMUFS7WJAqlU95pjFq hYn44bg6htW6+DjqX0Baz5rqVEmrpIdoq+SmKwOOXFJRIz33qS+7LHLugZ8N7iincsPb0GN8rnQW 6chLbcbQlXzusR0FGaWN7qr5vpPZvABgLug4L13f9yUXcd7V564XA251NeZJQnPxtGg6FfFlnqVm Kkj2wsMuYAONf080Bpgla3WFMDWIEyl8SD8kAXQHEHX9WUEtiaoHCVzgRgMfbHr5EUH3gIUVMUWk jaM6k4GnYcSzE4daHV2uxBBD1zI4iuwC+IoVooBhj+MJhM+Tl+ZsfdxKHvGl3jJU3qHfGRToVT/Z boymolwZnGADYy53sqxvYDvB5H+V2AVOjRW1NiXj+MVQsw1FbAPT1mLhv9a1epeSvG13/79TYTFl pG9mZ9bulRgECvPI9FEbca+ZjaW0CllGymnYFw0H4gXlYvSJF1KboUFLUa/vABAiJeVs9GmiKTSH 3uSE0OmaEXNVl0tfn9Lp7mbUcp8hXxrEIan0dPGOzhwl8XSunkK2CkozcoGgxatvEDb09AE1FulS 674rQDK0vLH29rc4nI3xFWZSNAfZQ9ywQNJfr63r4L7xg/Xci2dN1IBAVzeW7DlwaeDtmwuqBPsd JZAnB+Zoi5wnY0qRxcqYKL0WfqbKVucy4Xs6wuDon56cuZDYVkvZakc45zaK5iFH8Y4q9IVj9KmL 0Ji7PcwblpQFyVD7Pe8t4FQhmiiklhEUMEGMsN7J+BWLmkMDVjAcfZGQYoDC8LKIzWalHt6dEAn9 IKSZ9AETdCJjxCg97979fmAp/NE0KYQQmOxKTGjObiUsdGUuE6KkNglwz3tKQq5C66DN091zYT7R JRB2dQiieIPyR30rfzfCSSdGYMQ1Z79/ujX8NZBbGRuvPBV/rhdlroIpH7OVVn5Z63t1CIi0ALkb nbL2B4kx4DyzAXbGjPN35HrN6d1pWaT8WsPUt/fnDniDWfkePOLAwXdYoeMGeEbvVvsrjdUfV9rh ET0mqJZ5OYmWoQwvd3VIoHe0+WcCnjIQYPT9k+JjrQRitytfABCWkzhGx1uGMqxlP5J9WP3xXSGP kGU9qOW0ONxqKfHX5o33/iZ2R2NYrG/SJ3TZm+onqSCQuBpYcobrNBBLc605OGePDvnlhEpRTp4S S/q4IY2LqPsqcJTcpV2rCxmzELoMIFoW0iugaGPhCpneYkXh7PRC5sCaAk5F28edm7y/lOb/0fqr EP86ISU9mZ+AHCEBo0ZVPRICSyVgqCIWjWFbMM0zlOO57uIC+9IBJzSCCHIGkQrfPoGS8fy1XgdS RRKVwv+r3lL8rP/zpiyNIk5nQ+ZQShwnLeN/x9RGDdWp51Vk8uBZrqzPLIO6Ak3regyCxEWartlz Q/f3ybZ6IFbIXhJ3XsFr7vrqxC2kzda9K4zTbT+TcU9O4UghnFRXqrRtiiU+6FbFdBo6XpClB3Yr UuVjGIJpoHyy4EUKd4L9uNVYJK4RJ9HdpayyydsQg6JX47XFVVuiHmxaEqu6VcbL0236uZB8zWV6 f2f9IWIjUBiXMjXVXWBA6WVOOc0NQh6tpQuIl5PvRDhQy3FDCSDdOdQANapAWQOX4rk+KYOqRpag 8shRZUMjFSiGDQa+CeCF+yw5rfM+R2HYtobJ+m0n8YTlSZNcL2NXY7rONTMTJ/kwPNqgP4vzSe2F S6eOyw2aQg6wZV27DWdmEb5fNlc4uGqh8xRImDGKr/yWmq9oD8R3yzwVWJVLKDuaqHC7eJ1WelBc CmBM7UvqGUy59TVAnO9QFNiZ+TY7T6bUkFWdkhTDkRMErtRb5VHarW/AqjNucQPYvpkTV0MmaOlY ObVyc2CcYW0SXqBQOB8gWgF76zmfANQhB1Z22A+VLqDc2E7lndduDqwd6WEptemVIaK+SbfhUAPA 0QpU3jrdYDZMz3PUKXGj9LtBrpkamz3kTuIyPTMfiAoLZup7U+sRLMdH9YrFuDzYkQcWaxzZV+82 ZenmtoTBqViQHjAtTFI0SMSG4BeXlRHRLP+oZz/m1SWr/xr9/nw7Xdhd7lvAgIfGxEbunE1SfLgB jaZuwHtay8sduukvYTCA8ZzPLfpems5TSV/GZ33TEIzc26ze/TqPXSnboEhFdVwZn69MFDVg8Ri7 krol/+eOj50BdwAc92nAKffMoc4k3cONx8izXvnuuAED27KRcw7xM/aEdMTbep4S7zbvbakOhQXn NNGXoelVN4nnBxXObtw2rsTBy553Rca+pt4KuqdeykCKil0S5BbCVB6Km/M1w22YiJ2/O04XHS+F T5ddKRWGJLM0uYT1d9rgxsKC12PsvJpnVhY2nvOJt76+H9skuVCFa/fp5wzk4zzgBg3ZKJmiH9Od Px+7zjL3jkY66eQTleuDURbef8K8iwfw9jqsj2qPxvt2p0DFqbN5MZG5ISXTZhSMbbYOcIJuwLR8 ZT4srbh+Mswah1Xc/5iFs7o/EzT++INglothIHNE7Gb3szerekCD3eOWTrsVGn50dYm9q14pNksi Al3r3aOcxx5uCEc5oS3dNTOnvQq016rpA5pKv/2ACUmIp1KJ9Nye8ohpsm/RkQR74/e2msgIg9Mb q/k7eQh6poiFrCnkfXao/2GnR7xj++HQIjUI/8HMdFUSW8yk5zrCf33g5YslwAL4yolhuol5IgpB z4X0jadJzcQMnGWRx+KX/lxSMBVV0BXm5BCL3UGq4OJhYDYZhIjEbk2JSYyCOGi6TzM0DK6BX0H6 J7y3kKK6UI8mOONQaR8dgIlxQ58HS8JkDTJMBWB8my25V+HkQFO9h5K69yJfW6OEpslEHC2kWhiL lVRf82Ze6PkEr3j/6mLQ6+5lqJ3rKxulkTnbB4mQPE0djvnUHAQ9CG4Omm97O0vtOG6hNV+LhhMl Q+tZnMKbECi3BCHJeRKsMjBUo9U4h5zHkIy+3oJBQ0gIoWmuemlkFcVjz9/FzjSy8ESxG4qg2zFf +EAJjbRu/8SdlbDquAo3BKQ0rWx3VxjwPdLCDT4xF3JfGQ0HxivHMApgeAl2EJsYS1mwYwayK+IV veW/x57GCTVID4+TTMpmT/V+bDx3Ui+qw+GVki7dckpbKEcSYo1rTojsPes2I2bG/CZYNAdjmCWw GywweaGIMkESQYaHATvnZP5oAXObj4BsRgTivR+SHsDjArp1DODqYuvtH7mRkG9Mb+u0sVfCkdQk AjKbuoQm/MGozUSKL7PlqwuBLaZAYBiq9mXbaZeeWX1fEc32hxfhQrPlMfSmTNCSUIM1YkGJ7waP p+nyPO9LZJB0tjYILOVcdcPCdaE7lPDHo6bth7gE9yFqpWdHL1FgHCT9bbW3bJdSk5CjwyVAxdeJ NdBCol7/AUJBUaLScixhmuzDrusr0NmbXir6kJMMGtKxMUj1MQ15VQqPZXnN0D2ScutFFVRGnD7J PPE5/JI5nT8E9S4bVwHvn2kPntMrxekfYVbgpyjzBywNatpXF7tsPa492pvN2yy1Lc6em4Mw5F32 527YLQxxkArrQyBkn3LRHm5HTSIO09r43+oMqBefNsGb/pMsXH3JuKKQdv85/P7Uh/qMJK/OC1yh 6t/65EOl1DpHZm0KVHruGyCUl2N7UIa//i8wahcUaC6Cpku4QJSG9KWQ58U/6qJW3QDxUISR04kX Lr0JIsvmfr/QT8om7ZbEP+rEX3ju1r+IaWbsWPjpYIfqAh8qs4vDD7YBWn585WcMYZW6Ynw7OkdI UvR0gPSMU5d/MnC1LjOg4lQ4IJ2IuGPu68FGFhTL7Z1/m1A4YPHKS6LyPy2zgAflHGjn8jY+TgXJ DtxZ7FQP5aRU9ygL7b5Ht/RtyAq3s7eo+aUCS5pDBBsT0nEiOGYrolb3Qv4TsxelrM4Z00HYd3v6 XNAhkIB5LvC6y0XKQWtwifPuqhUN9uxhIoM4pW0AZOQ8B4ww8TYQMGBEgn1mPzIOA++O08TFC0dT WBOdNCbwKo+3Ryzl4L6P1f94ZI++BBU7Kd/7Ax1GNlmXHhLIbD6MoZf2+xacDHuDpzh/w0LzMiQn 5v/wpDv+F5MrI6wewM7CAa3HdWBuKd/r4DOrdzMElcWZ1nsO2DfzBueSzJPFbPdngBof1M+ekCP+ 6xIGeQ3sx8FSyFnizB9tbMZe0A7BLZFe5YK7jcAgo84YOwpCTTjjHkU8qV5E3WOK3+j2PNs7WN+y Nnd8gV8o/KEbR74Z+zs1fbQ9i6PwCkhiipTlCT1X79asAlGnkU/OncBKhR97mOVsSwzZvUyF+NBT bMhbO2UMi82Cy40wb4Ln1c8tS4x0PUjSfI1VHKPmcV+DTVkIukmvhBYWliYv5K0fEVfhppXy34f9 SAbnnyNcnfU28tbYSeINhM3s1C3kQout/Nev0WYojZX9JO/UbUvEZKa+WSEWl0qH9i3iP6KKM4Ik DYK1c0Gf34TDFR6pXYkNz9fqiKk7sag4v7ncXEW+ULrhpzCX1m9BwToHw6W1WjagE/C8XnIfK+dp jfn0jzCtCDoei2VPHi4sfSi+hr6X8Wf9/JmjwVDw9s1tqaAfkNn6cXrurslmtAHfXU5FLVdMYOr4 XGXBzUURzko14r3ny9kDzbcO7jfK4RAWaMwl53iId3ih5zY2zN38jalPlyHs89QNsm6YPPfY36v7 W+Q8Kkixz6uoRL9C1Wx12aJlaicBgRK84P5+K2B3Ir4HjBdDbCVjwgqm7GlZuB30i0DIEhT4ppc/ 0aD8sh6gp5GqqE4obEmxxmWOcnlb++35JscTBMIabdIOuOfIMg5+5MfZUIEueR//5ohKBVLmCIUl V71JoESFC9pvxLrhcNaydQnT7+we+g8twwuxnjXQ3OlDtJp6rM8GMImee6xQXtAl7sN682LkGAlh YHgXEuQC6kCG78/iIwKQ2nXgrpty040fWjo/kxvAuGw05D8sK1iNCpNrUWUSXyp9hluRkpoyjuBJ E3pLQOAGn8Xfyq+bQWgxPuojQybpwSlXCIDZpEFCnzLQohFhJtY4YaoxJvrHsbZO1WpxqjZEg7jv GuRQkzxKWiOzc1KqLt64zNRyIoTaRRiLTZQmzsfB6PEFC4eRVT2cuHVlsGqzHAuF2fymO0eZLR2F 8gSAERTUuvPsdgFsFttBQPf0oMW05nMjcJJk/ieDX3TFiWNCld+DDe0Khtnne3cfsOMM8PSWNOul mvtAfo3YMw2ufwYdkU+y4K/Xh1WhmTmLjqHnxq9VE4XLQUWbpbys511wxcNoxSiiSsvMk65sdb0w R0lHFbmH+7XHGj4qilkAfaOKwOcY9ZyacQXbJpKqJQh+/agp7VaVy8ik1o+8aZn12kSc3/1XTc/1 4PHfqIs4pa+jYr95g8lPbYVGU5xKABe/DlxUPdvzkXaAVy7Ys/J0/COkVQbcfhkp4FYTY5oLiNG0 Ys95bB3q4QfAZJjg3K58lt1PBZ76UgrZzv8g9r0U18iI9JhMkFJRCW0PUfmS3dY22l6Z+YuVIvMq al6KWymKN5pb69JQyW+gWvIQUCNkAwlyQ44NahfDWDvXFnZdJZcMtlBS1czNw5jvWE4pARpym9fd D2llqogXKJxuFdbGJx76OgqDYwAHhYeKH+rUfjhcjIISJ/XOPWXeQ62HAbg2V40hzHkb852bg1k2 fWsB4evP1cKHW+Lj3ZDGJkl8L5hsXqbfpRtLlkWBOVLSb3kdi7sBnIofiCMe+QruaRoeU2JGg1Qc tU56/gsK1fJ3S1/EPmrp9x717lpctAqIz9ToQvvMC3TuTdEE6DvPq5Y6FD//lGZmwvY5pThmcDiO h4zfl6IdRMubiE7Q3m4NAJNXKRtfDkDml4ROoSxNGZVxfjTXpQsKq85hhTJaExqDEAkqO0I/5KDH rfBz+g4zblBgpG9rlIoK+MuHV7sBGvuCN9hfk1tCEGAFm03hxth0vi+O76ZP/WfP3xCeKmeEBGjk 0dK3w9UTuGUH0/MfpqR4C6l5DIO+kKGJyshs6JaoaYZA8q71vZ+hgdkPzoMkDo4uPKd8SUVan5++ QpMqedDHsmx+S1vbozRMkbcXM4XuVPOnj+Xt13OgW5SjdLMEYPTOgyYSeLQm9twB1tOYIkMskDF8 4nbNhohz0fOnjvwrPGuMmpZMp6qlEbEG1q5Kc1gMv9/dYIgTx/3DPFbL+H+BIhXnZyZmLiDj+Qwd CKI8SjH36u8FgQEm3NKoRX4gJWNrNvr0kT3XYFPmd9fUEbLOx3Ksu7E4yehiwu4uhQxEi3GpNOsi bIF5Ns9ivGwnDSxIyBLcPY6fMLL5ZPFpSicjQBMPl7CCOlg/9q+pb33zamuxMsligCUsnq1FI2/w yVkMntQElWeFxRrIXMPVerUZZNCCnosvmlpB6Rxg4cUEPaRnIko47m7VWf1CcHaR/KyLOKCfQnFi UlahMNjbZo+12Xg+3HMG3GqtjlPNNlYdzCsSW+mTTa0uonLbEI2mCFSWn0NFfeKrVqrzx0qWLc9m CRbL25v4AZbWXdR/PfdNw3Dj9NLKV6CzA2xSiYjYzaB09MRXOnUrF/PN9bP/5/IWh4qkqAFA8+no VLglT0bZmFltd1W/cwvOHO7T2oxaWK35CXOPTn4RnIzV0vmsEkOLifksxKPFV2Dk+QCG73dKhgKF mlCVEUoRHGX9aMSZFjOIl/rldwj2j6A+lJV6ldXf/hXsNya2kRFFHmyYILg/jrmIzpfADddWI/V2 s4XA+ABFvJUIE5IiWh0e/Mpk6obEzilAB7LiX7B5X0uZuzV8aRJw+3jIl8p5UiVxPtsqLFlgkUmx 6GRNEOZ97GU/wKnlyGXiwomyGpVkoiUHwa7ey3oHrZEXcXx8Ks875xnWNSNr `protect end_protected
------------------------------------------------------------------------------- -- Entity: rom -- Author: Waj -- Date : 11-May-13, 26-May-13 ------------------------------------------------------------------------------- -- Description: (ECS Uebung 9) -- Program memory for simple von-Neumann MCU with registerd read data output. ------------------------------------------------------------------------------- -- Total # of FFs: DW ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use work.mcu_pkg.all; entity rom is port(clk : in std_logic; -- ROM bus signals bus_in : in t_bus2ros; bus_out : out t_ros2bus ); end rom; architecture rtl of rom is type t_rom is array (0 to 2**AWL-1) of std_logic_vector(DW-1 downto 0); constant rom_table : t_rom := ( --------------------------------------------------------------------------- -- program code ----------------------------------------------------------- --------------------------------------------------------------------------- -- Opcode Rdest Rsrc1 Rsrc2 description --------------------------------------------------------------------------- -- Prepare Ctrl to set capture flag OPC(setil) & reg(0) & n2slv(16#01#, DW/2), -- setil r0, 0x01 OPC(setih) & reg(0) & n2slv(16#00#, DW/2), -- setih r0, 0x00 -- Prepare ctrl address OPC(setil) & reg(1) & n2slv(16#03#, DW/2), -- setil r1, 0x03 OPC(setih) & reg(1) & n2slv(16#03#, DW/2), -- setih r1, 0x30 -- Prepare counter address OPC(setil) & reg(2) & n2slv(16#04#, DW/2), -- setil r2, 0x04 OPC(setih) & reg(2) & n2slv(16#03#, DW/2), -- setih r2, 0x30 -- Endless loop -- set capture flag OPC(st) & reg(0) & reg(1) & "-----", -- st r0, r1 -- read counter OPC(ld) & reg(3) & reg(2) & "-----", -- st r3, r2 -- End of endless loop OPC(jmp) & "-00" & n2slv(16#06#, AW-2), -- jmp 0x006 --------------------------------------------------------------------------- others => OPC(nop) & "-----------" -- NOP ); begin ----------------------------------------------------------------------------- -- sequential process: ROM table with registerd output ----------------------------------------------------------------------------- P_rom: process(clk) begin if rising_edge(clk) then bus_out.data <= rom_table(to_integer(unsigned(bus_in.addr))); end if; end process; end rtl;
-- ------------------------------------------------------------- -- -- Generated Architecture Declaration for struct of vgca -- -- Generated -- by: wig -- on: Wed Aug 18 12:40:14 2004 -- cmd: H:/work/mix_new/MIX/mix_0.pl -strip -nodelta ../../bugver.xls -- -- !!! Do not edit this file! Autogenerated by MIX !!! -- $Author: wig $ -- $Id: vgca-struct-a.vhd,v 1.3 2005/10/06 11:16:07 wig Exp $ -- $Date: 2005/10/06 11:16:07 $ -- $Log: vgca-struct-a.vhd,v $ -- Revision 1.3 2005/10/06 11:16:07 wig -- Got testcoverage up, fixed generic problem, prepared report -- -- -- Based on Mix Architecture Template built into RCSfile: MixWriter.pm,v -- Id: MixWriter.pm,v 1.45 2004/08/09 15:48:14 wig Exp -- -- Generator: mix_0.pl Revision: 1.32 , [email protected] -- (C) 2003 Micronas GmbH -- -- -------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; -- No project specific VHDL libraries/arch -- -- -- Start of Generated Architecture struct of vgca -- architecture struct of vgca is -- Generated Constant Declarations -- -- Components -- -- Generated Components component mic32_top -- -- No Generated Generics port ( -- Generated Port for Entity mic32_top eic_int_src_alt_i : in std_ulogic_vector(60 downto 1); eic_int_src_i : in std_ulogic_vector(60 downto 1) -- End of Generated Port for Entity mic32_top ); end component; -- --------- component vgca_di -- -- No Generated Generics port ( -- Generated Port for Entity vgca_di fmdstatusm_irq_o : out std_ulogic; -- __I_AUTO_REDUCED_BUS2SIGNAL fmdstatuss_irq_o : out std_ulogic; -- __I_AUTO_REDUCED_BUS2SIGNAL lbdstatusm_irq_o : out std_ulogic; -- __I_AUTO_REDUCED_BUS2SIGNAL lbdstatuss_irq_o : out std_ulogic; -- __I_AUTO_REDUCED_BUS2SIGNAL line_stable_m_irq_o : out std_ulogic; -- __I_AUTO_REDUCED_BUS2SIGNAL line_stable_s_irq_o : out std_ulogic; -- __I_AUTO_REDUCED_BUS2SIGNAL pixel_stable_m_irq_o : out std_ulogic; -- __I_AUTO_REDUCED_BUS2SIGNAL pixel_stable_s_irq_o : out std_ulogic; -- __I_AUTO_REDUCED_BUS2SIGNAL vaqm_vsync_irq_o : out std_ulogic; -- __I_AUTO_REDUCED_BUS2SIGNAL vaqs_vsync_irq_o : out std_ulogic -- __I_AUTO_REDUCED_BUS2SIGNAL -- End of Generated Port for Entity vgca_di ); end component; -- --------- component vgca_dp -- -- No Generated Generics -- No Generated Port end component; -- --------- component vgca_fe -- -- No Generated Generics -- No Generated Port end component; -- --------- component vgca_ga -- -- No Generated Generics -- No Generated Port end component; -- --------- component vgca_peri -- -- No Generated Generics port ( -- Generated Port for Entity vgca_peri cadc_irq_o : out std_ulogic; -- __I_AUTO_REDUCED_BUS2SIGNAL crt_irq_o : out std_ulogic; -- __I_AUTO_REDUCED_BUS2SIGNAL ddc_irq_o : out std_ulogic; -- __I_AUTO_REDUCED_BUS2SIGNAL gpio_irq_o : out std_ulogic; -- __I_AUTO_REDUCED_BUS2SIGNAL gpt1_irq_o : out std_ulogic; -- __I_AUTO_REDUCED_BUS2SIGNAL gpt2_irq_o : out std_ulogic; -- __I_AUTO_REDUCED_BUS2SIGNAL gpt3_irq_o : out std_ulogic; -- __I_AUTO_REDUCED_BUS2SIGNAL gpt4_irq_o : out std_ulogic; -- __I_AUTO_REDUCED_BUS2SIGNAL i2c_irq_o : out std_ulogic; -- __I_AUTO_REDUCED_BUS2SIGNAL i2s_irq_o : out std_ulogic; -- __I_AUTO_REDUCED_BUS2SIGNAL irri_irq_o : out std_ulogic; -- __I_AUTO_REDUCED_BUS2SIGNAL nvmc_irq_o : out std_ulogic; -- __I_AUTO_REDUCED_BUS2SIGNAL rtc_irq_o : out std_ulogic; -- __I_AUTO_REDUCED_BUS2SIGNAL ssi1_rx_irq_o : out std_ulogic; -- __I_AUTO_REDUCED_BUS2SIGNAL ssi1_tx_irq_alt_o : out std_ulogic; -- __I_AUTO_REDUCED_BUS2SIGNAL ssi1_tx_irq_o : out std_ulogic; -- __I_AUTO_REDUCED_BUS2SIGNAL ssi2_rx_irq_o : out std_ulogic; -- __I_AUTO_REDUCED_BUS2SIGNAL ssi2_tx_irq_o : out std_ulogic; -- __I_AUTO_REDUCED_BUS2SIGNAL uart1_irq_o : out std_ulogic; -- __I_AUTO_REDUCED_BUS2SIGNAL uart2_irq_o : out std_ulogic; -- __I_AUTO_REDUCED_BUS2SIGNAL wdt_irq_o : out std_ulogic -- __I_AUTO_REDUCED_BUS2SIGNAL -- End of Generated Port for Entity vgca_peri ); end component; -- --------- component vgca_rc -- -- No Generated Generics -- No Generated Port end component; -- --------- -- -- Nets -- -- -- Generated Signal List -- signal eic_int_src : std_ulogic_vector(60 downto 1); signal eic_int_src_alt : std_ulogic_vector(60 downto 1); constant eic_int_src_c : std_ulogic := '0'; -- __W_SINGLE_BIT_BUS constant eic_int_src_c_1c : std_ulogic_vector(2 downto 0) := ( others => '0' ); constant eic_int_src_c_2c : std_ulogic := '0'; -- __W_SINGLE_BIT_BUS constant eic_int_src_c_3c : std_ulogic := '0'; -- __W_SINGLE_BIT_BUS constant eic_int_src_c_4c : std_ulogic := '0'; -- __W_SINGLE_BIT_BUS constant mix_const_0 : std_ulogic := '0'; -- __W_SINGLE_BIT_BUS constant mix_const_1 : std_ulogic := '0'; -- __W_SINGLE_BIT_BUS constant mix_const_2 : std_ulogic := '0'; -- __W_SINGLE_BIT_BUS constant mix_const_3 : std_ulogic := '0'; -- __W_SINGLE_BIT_BUS constant mix_const_4 : std_ulogic_vector(2 downto 0) := ( others => '0' ); -- -- End of Generated Signal List -- begin -- -- Generated Concurrent Statements -- -- Generated Signal Assignments eic_int_src(1) <= eic_int_src_c; -- __W_SINGLE_BIT_BUS -- __W_SINGLE_BIT_BUS eic_int_src(10) <= eic_int_src_c_2c; -- __W_SINGLE_BIT_BUS -- __W_SINGLE_BIT_BUS eic_int_src(19) <= eic_int_src_c_3c; -- __W_SINGLE_BIT_BUS -- __W_SINGLE_BIT_BUS eic_int_src(44) <= eic_int_src_c_4c; -- __W_SINGLE_BIT_BUS -- __W_SINGLE_BIT_BUS eic_int_src(59 downto 57) <= eic_int_src_c_1c; eic_int_src_alt(1) <= mix_const_0; -- __W_SINGLE_BIT_BUS -- __W_SINGLE_BIT_BUS eic_int_src_alt(10) <= mix_const_1; -- __W_SINGLE_BIT_BUS -- __W_SINGLE_BIT_BUS eic_int_src_alt(19) <= mix_const_2; -- __W_SINGLE_BIT_BUS -- __W_SINGLE_BIT_BUS eic_int_src_alt(44) <= mix_const_3; -- __W_SINGLE_BIT_BUS -- __W_SINGLE_BIT_BUS eic_int_src_alt(59 downto 57) <= mix_const_4; -- -- Generated Instances -- -- Generated Instances and Port Mappings -- Generated Instance Port Map for i_mic32_top i_mic32_top: mic32_top port map ( eic_int_src_alt_i => eic_int_src_alt, eic_int_src_i => eic_int_src -- Interrupt Controller (X10) ); -- End of Generated Instance Port Map for i_mic32_top -- Generated Instance Port Map for i_vgca_di i_vgca_di: vgca_di port map ( fmdstatusm_irq_o => eic_int_src(40), -- Interrupt Controller (X10) fmdstatuss_irq_o => eic_int_src(43), -- Interrupt Controller (X10) lbdstatusm_irq_o => eic_int_src(34), -- Interrupt Controller (X10) lbdstatuss_irq_o => eic_int_src(37), -- Interrupt Controller (X10) line_stable_m_irq_o => eic_int_src(2), -- Interrupt Controller (X10) line_stable_s_irq_o => eic_int_src(3), -- Interrupt Controller (X10) pixel_stable_m_irq_o => eic_int_src(46), -- Interrupt Controller (X10) pixel_stable_s_irq_o => eic_int_src(47), -- Interrupt Controller (X10) vaqm_vsync_irq_o => eic_int_src(17), -- Interrupt Controller (X10) vaqs_vsync_irq_o => eic_int_src(18) -- Interrupt Controller (X10) ); -- End of Generated Instance Port Map for i_vgca_di -- Generated Instance Port Map for i_vgca_dp i_vgca_dp: vgca_dp ; -- End of Generated Instance Port Map for i_vgca_dp -- Generated Instance Port Map for i_vgca_fe i_vgca_fe: vgca_fe ; -- End of Generated Instance Port Map for i_vgca_fe -- Generated Instance Port Map for i_vgca_ga i_vgca_ga: vgca_ga ; -- End of Generated Instance Port Map for i_vgca_ga -- Generated Instance Port Map for i_vgca_peri i_vgca_peri: vgca_peri port map ( cadc_irq_o => eic_int_src(30), -- Interrupt Controller (X10) crt_irq_o => eic_int_src(54), -- Interrupt Controller (X10) ddc_irq_o => eic_int_src(15), -- Interrupt Controller (X10) gpio_irq_o => eic_int_src(26), -- Interrupt Controller (X10) gpt1_irq_o => eic_int_src(48), -- Interrupt Controller (X10) gpt2_irq_o => eic_int_src(27), -- Interrupt Controller (X10) gpt3_irq_o => eic_int_src(28), -- Interrupt Controller (X10) gpt4_irq_o => eic_int_src(29), -- Interrupt Controller (X10) i2c_irq_o => eic_int_src(31), -- Interrupt Controller (X10) i2s_irq_o => eic_int_src(16), -- Interrupt Controller (X10) irri_irq_o => eic_int_src(51), -- Interrupt Controller (X10) nvmc_irq_o => eic_int_src(14), -- Interrupt Controller (X10) rtc_irq_o => eic_int_src(21), -- Interrupt Controller (X10) ssi1_rx_irq_o => eic_int_src(22), -- Interrupt Controller (X10) ssi1_tx_irq_alt_o => eic_int_src_alt(12), ssi1_tx_irq_o => eic_int_src(12), -- Interrupt Controller (X10) ssi2_rx_irq_o => eic_int_src(23), -- Interrupt Controller (X10) ssi2_tx_irq_o => eic_int_src(13), -- Interrupt Controller (X10) uart1_irq_o => eic_int_src(24), -- Interrupt Controller (X10) uart2_irq_o => eic_int_src(25), -- Interrupt Controller (X10) wdt_irq_o => eic_int_src(60) -- Interrupt Controller (X10) ); -- End of Generated Instance Port Map for i_vgca_peri -- Generated Instance Port Map for i_vgca_rc i_vgca_rc: vgca_rc ; -- End of Generated Instance Port Map for i_vgca_rc end struct; -- --!End of Architecture/s -- --------------------------------------------------------------
lpm_add_sub0_inst : lpm_add_sub0 PORT MAP ( datab => datab_sig, result => result_sig );
package cond1 is `if TOOL_NAME = "false" then `error "Should not be here" constant d : integer := 1; `else constant c : integer := 1; `end if `warning "this is a warning" `if TOOL_NAME = "nvc" then `warning "Using nvc" `end if `if not (TOOL_TYPE = "SIMULATION") then `error "Should not be here" `end if `if TOOL_TYPE /= "SYNTHESIS" and TOOL_NAME = "nvc" then `warning "correct" `end if `if VHDL_VERSION = "1993" then `warning "VHDL version is correct" `end if end package; package cond2 is `if FOO = "bar" then `end `if TOOL_NAME = "nvc" then -- Unterminated end package
package cond1 is `if TOOL_NAME = "false" then `error "Should not be here" constant d : integer := 1; `else constant c : integer := 1; `end if `warning "this is a warning" `if TOOL_NAME = "nvc" then `warning "Using nvc" `end if `if not (TOOL_TYPE = "SIMULATION") then `error "Should not be here" `end if `if TOOL_TYPE /= "SYNTHESIS" and TOOL_NAME = "nvc" then `warning "correct" `end if `if VHDL_VERSION = "1993" then `warning "VHDL version is correct" `end if end package; package cond2 is `if FOO = "bar" then `end `if TOOL_NAME = "nvc" then -- Unterminated end package
architecture RTL of FIFO is begin BLOCK_LABEL : block is begin end block; BLOCK_LABEL : block (guard_condition) is begin end block; -- Violations below BLOCK_LABEL : block is begin end block; BLOCK_LABEL : block (guard_condition) is begin end block; end architecture RTL;
-- /* ------------------------------------------------------------------------- -- This program is free software: you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation, either version 3 of the License, or -- any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program. If not, see <http://www.gnu.org/licenses/>. -- -- Copyright: Levent Ozturk [email protected] -- https://leventozturk.com/engineering/crc/ -- Polynomial: x128+x124+x123+x122+x114+x113+x112+x109+x106+x104+x102+x100+x99+x98+x97+x96+x94+x93+x92+x88+x85+x82+x81+x80+x79+x76+x74+x73+x72+x71+x69+x68+x67+x66+x64+x60+x59+x56+x55+x54+x53+x52+x51+x50+x46+x45+x43+x42+x40+x38+x37+x36+x34+x32+x26+x23+x22+x21+x20+x19+x16+x14+x13+x10+x9+x5+x3+x1+1 -- d63 is the first data processed -- c is internal LFSR state and the CRC output. Not needed for other modules than CRC. -- c width is always same as polynomial width. -- o is the output of all modules except CRC. Not needed for CRC. -- o width is always same as data width width -------------------------------------------------------------------------*/ -- Based on https://leventozturk.com/engineering/crc/ library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity bch_128x64 is generic ( SEED : in std_ulogic_vector(127 downto 0) := (others => '0') ); port ( clk : in std_ulogic; reset : in std_ulogic; fd : in std_ulogic; -- First data. 1: SEED is used (initialise and calculate), 0: Previous CRC is used (continue and calculate) nd : in std_ulogic; -- New Data. d input has a valid data. Calculate new CRC rdy : out std_ulogic; d : in std_ulogic_vector( 63 downto 0); -- Data in c : out std_ulogic_vector(127 downto 0); -- CRC output o : out std_ulogic_vector( 63 downto 0) -- Data output ); end entity bch_128x64; architecture bch_128x64 of bch_128x64 is signal nd_q : std_ulogic; signal fd_q : std_ulogic; signal dq : std_ulogic_vector (127 downto 0); signal ca : std_ulogic_vector(127 downto 0); signal oa : std_ulogic_vector( 63 downto 0); begin process (clk) begin if (rising_edge(clk)) then nd_q <= nd; fd_q <= fd; dq( 0) <= d( 62) xor d( 58) xor d( 56) xor d( 53) xor d( 51) xor d( 50) xor d( 48) xor d( 47) xor d( 46) xor d( 45) xor d( 43) xor d( 37) xor d( 35) xor d( 34) xor d( 33) xor d( 28) xor d( 26) xor d( 23) xor d( 22) xor d( 21) xor d( 19) xor d( 18) xor d( 17) xor d( 14) xor d( 13) xor d( 10) xor d( 8) xor d( 6) xor d( 5) xor d( 4) xor d( 0); dq( 1) <= d( 63) xor d( 62) xor d( 59) xor d( 58) xor d( 57) xor d( 56) xor d( 54) xor d( 53) xor d( 52) xor d( 50) xor d( 49) xor d( 45) xor d( 44) xor d( 43) xor d( 38) xor d( 37) xor d( 36) xor d( 33) xor d( 29) xor d( 28) xor d( 27) xor d( 26) xor d( 24) xor d( 21) xor d( 20) xor d( 17) xor d( 15) xor d( 13) xor d( 11) xor d( 10) xor d( 9) xor d( 8) xor d( 7) xor d( 4) xor d( 1) xor d( 0); dq( 2) <= d( 63) xor d( 60) xor d( 59) xor d( 58) xor d( 57) xor d( 55) xor d( 54) xor d( 53) xor d( 51) xor d( 50) xor d( 46) xor d( 45) xor d( 44) xor d( 39) xor d( 38) xor d( 37) xor d( 34) xor d( 30) xor d( 29) xor d( 28) xor d( 27) xor d( 25) xor d( 22) xor d( 21) xor d( 18) xor d( 16) xor d( 14) xor d( 12) xor d( 11) xor d( 10) xor d( 9) xor d( 8) xor d( 5) xor d( 2) xor d( 1); dq( 3) <= d( 62) xor d( 61) xor d( 60) xor d( 59) xor d( 55) xor d( 54) xor d( 53) xor d( 52) xor d( 50) xor d( 48) xor d( 43) xor d( 40) xor d( 39) xor d( 38) xor d( 37) xor d( 34) xor d( 33) xor d( 31) xor d( 30) xor d( 29) xor d( 21) xor d( 18) xor d( 15) xor d( 14) xor d( 12) xor d( 11) xor d( 9) xor d( 8) xor d( 5) xor d( 4) xor d( 3) xor d( 2) xor d( 0); dq( 4) <= d( 63) xor d( 62) xor d( 61) xor d( 60) xor d( 56) xor d( 55) xor d( 54) xor d( 53) xor d( 51) xor d( 49) xor d( 44) xor d( 41) xor d( 40) xor d( 39) xor d( 38) xor d( 35) xor d( 34) xor d( 32) xor d( 31) xor d( 30) xor d( 22) xor d( 19) xor d( 16) xor d( 15) xor d( 13) xor d( 12) xor d( 10) xor d( 9) xor d( 6) xor d( 5) xor d( 4) xor d( 3) xor d( 1); dq( 5) <= d( 63) xor d( 61) xor d( 58) xor d( 57) xor d( 55) xor d( 54) xor d( 53) xor d( 52) xor d( 51) xor d( 48) xor d( 47) xor d( 46) xor d( 43) xor d( 42) xor d( 41) xor d( 40) xor d( 39) xor d( 37) xor d( 36) xor d( 34) xor d( 32) xor d( 31) xor d( 28) xor d( 26) xor d( 22) xor d( 21) xor d( 20) xor d( 19) xor d( 18) xor d( 16) xor d( 11) xor d( 8) xor d( 7) xor d( 2) xor d( 0); dq( 6) <= d( 62) xor d( 59) xor d( 58) xor d( 56) xor d( 55) xor d( 54) xor d( 53) xor d( 52) xor d( 49) xor d( 48) xor d( 47) xor d( 44) xor d( 43) xor d( 42) xor d( 41) xor d( 40) xor d( 38) xor d( 37) xor d( 35) xor d( 33) xor d( 32) xor d( 29) xor d( 27) xor d( 23) xor d( 22) xor d( 21) xor d( 20) xor d( 19) xor d( 17) xor d( 12) xor d( 9) xor d( 8) xor d( 3) xor d( 1); dq( 7) <= d( 63) xor d( 60) xor d( 59) xor d( 57) xor d( 56) xor d( 55) xor d( 54) xor d( 53) xor d( 50) xor d( 49) xor d( 48) xor d( 45) xor d( 44) xor d( 43) xor d( 42) xor d( 41) xor d( 39) xor d( 38) xor d( 36) xor d( 34) xor d( 33) xor d( 30) xor d( 28) xor d( 24) xor d( 23) xor d( 22) xor d( 21) xor d( 20) xor d( 18) xor d( 13) xor d( 10) xor d( 9) xor d( 4) xor d( 2); dq( 8) <= d( 61) xor d( 60) xor d( 58) xor d( 57) xor d( 56) xor d( 55) xor d( 54) xor d( 51) xor d( 50) xor d( 49) xor d( 46) xor d( 45) xor d( 44) xor d( 43) xor d( 42) xor d( 40) xor d( 39) xor d( 37) xor d( 35) xor d( 34) xor d( 31) xor d( 29) xor d( 25) xor d( 24) xor d( 23) xor d( 22) xor d( 21) xor d( 19) xor d( 14) xor d( 11) xor d( 10) xor d( 5) xor d( 3); dq( 9) <= d( 61) xor d( 59) xor d( 57) xor d( 55) xor d( 53) xor d( 52) xor d( 48) xor d( 44) xor d( 41) xor d( 40) xor d( 38) xor d( 37) xor d( 36) xor d( 34) xor d( 33) xor d( 32) xor d( 30) xor d( 28) xor d( 25) xor d( 24) xor d( 21) xor d( 20) xor d( 19) xor d( 18) xor d( 17) xor d( 15) xor d( 14) xor d( 13) xor d( 12) xor d( 11) xor d( 10) xor d( 8) xor d( 5) xor d( 0); dq( 10) <= d( 60) xor d( 54) xor d( 51) xor d( 50) xor d( 49) xor d( 48) xor d( 47) xor d( 46) xor d( 43) xor d( 42) xor d( 41) xor d( 39) xor d( 38) xor d( 31) xor d( 29) xor d( 28) xor d( 25) xor d( 23) xor d( 20) xor d( 17) xor d( 16) xor d( 15) xor d( 12) xor d( 11) xor d( 10) xor d( 9) xor d( 8) xor d( 5) xor d( 4) xor d( 1) xor d( 0); dq( 11) <= d( 61) xor d( 55) xor d( 52) xor d( 51) xor d( 50) xor d( 49) xor d( 48) xor d( 47) xor d( 44) xor d( 43) xor d( 42) xor d( 40) xor d( 39) xor d( 32) xor d( 30) xor d( 29) xor d( 26) xor d( 24) xor d( 21) xor d( 18) xor d( 17) xor d( 16) xor d( 13) xor d( 12) xor d( 11) xor d( 10) xor d( 9) xor d( 6) xor d( 5) xor d( 2) xor d( 1); dq( 12) <= d( 62) xor d( 56) xor d( 53) xor d( 52) xor d( 51) xor d( 50) xor d( 49) xor d( 48) xor d( 45) xor d( 44) xor d( 43) xor d( 41) xor d( 40) xor d( 33) xor d( 31) xor d( 30) xor d( 27) xor d( 25) xor d( 22) xor d( 19) xor d( 18) xor d( 17) xor d( 14) xor d( 13) xor d( 12) xor d( 11) xor d( 10) xor d( 7) xor d( 6) xor d( 3) xor d( 2); dq( 13) <= d( 63) xor d( 62) xor d( 58) xor d( 57) xor d( 56) xor d( 54) xor d( 52) xor d( 49) xor d( 48) xor d( 47) xor d( 44) xor d( 43) xor d( 42) xor d( 41) xor d( 37) xor d( 35) xor d( 33) xor d( 32) xor d( 31) xor d( 22) xor d( 21) xor d( 20) xor d( 17) xor d( 15) xor d( 12) xor d( 11) xor d( 10) xor d( 7) xor d( 6) xor d( 5) xor d( 3) xor d( 0); dq( 14) <= d( 63) xor d( 62) xor d( 59) xor d( 57) xor d( 56) xor d( 55) xor d( 51) xor d( 49) xor d( 47) xor d( 46) xor d( 44) xor d( 42) xor d( 38) xor d( 37) xor d( 36) xor d( 35) xor d( 32) xor d( 28) xor d( 26) xor d( 19) xor d( 17) xor d( 16) xor d( 14) xor d( 12) xor d( 11) xor d( 10) xor d( 7) xor d( 5) xor d( 1) xor d( 0); dq( 15) <= d( 63) xor d( 60) xor d( 58) xor d( 57) xor d( 56) xor d( 52) xor d( 50) xor d( 48) xor d( 47) xor d( 45) xor d( 43) xor d( 39) xor d( 38) xor d( 37) xor d( 36) xor d( 33) xor d( 29) xor d( 27) xor d( 20) xor d( 18) xor d( 17) xor d( 15) xor d( 13) xor d( 12) xor d( 11) xor d( 8) xor d( 6) xor d( 2) xor d( 1); dq( 16) <= d( 62) xor d( 61) xor d( 59) xor d( 57) xor d( 56) xor d( 50) xor d( 49) xor d( 47) xor d( 45) xor d( 44) xor d( 43) xor d( 40) xor d( 39) xor d( 38) xor d( 35) xor d( 33) xor d( 30) xor d( 26) xor d( 23) xor d( 22) xor d( 17) xor d( 16) xor d( 12) xor d( 10) xor d( 9) xor d( 8) xor d( 7) xor d( 6) xor d( 5) xor d( 4) xor d( 3) xor d( 2) xor d( 0); dq( 17) <= d( 63) xor d( 62) xor d( 60) xor d( 58) xor d( 57) xor d( 51) xor d( 50) xor d( 48) xor d( 46) xor d( 45) xor d( 44) xor d( 41) xor d( 40) xor d( 39) xor d( 36) xor d( 34) xor d( 31) xor d( 27) xor d( 24) xor d( 23) xor d( 18) xor d( 17) xor d( 13) xor d( 11) xor d( 10) xor d( 9) xor d( 8) xor d( 7) xor d( 6) xor d( 5) xor d( 4) xor d( 3) xor d( 1); dq( 18) <= d( 63) xor d( 61) xor d( 59) xor d( 58) xor d( 52) xor d( 51) xor d( 49) xor d( 47) xor d( 46) xor d( 45) xor d( 42) xor d( 41) xor d( 40) xor d( 37) xor d( 35) xor d( 32) xor d( 28) xor d( 25) xor d( 24) xor d( 19) xor d( 18) xor d( 14) xor d( 12) xor d( 11) xor d( 10) xor d( 9) xor d( 8) xor d( 7) xor d( 6) xor d( 5) xor d( 4) xor d( 2); dq( 19) <= d( 60) xor d( 59) xor d( 58) xor d( 56) xor d( 52) xor d( 51) xor d( 45) xor d( 42) xor d( 41) xor d( 38) xor d( 37) xor d( 36) xor d( 35) xor d( 34) xor d( 29) xor d( 28) xor d( 25) xor d( 23) xor d( 22) xor d( 21) xor d( 20) xor d( 18) xor d( 17) xor d( 15) xor d( 14) xor d( 12) xor d( 11) xor d( 9) xor d( 7) xor d( 4) xor d( 3) xor d( 0); dq( 20) <= d( 62) xor d( 61) xor d( 60) xor d( 59) xor d( 58) xor d( 57) xor d( 56) xor d( 52) xor d( 51) xor d( 50) xor d( 48) xor d( 47) xor d( 45) xor d( 42) xor d( 39) xor d( 38) xor d( 36) xor d( 34) xor d( 33) xor d( 30) xor d( 29) xor d( 28) xor d( 24) xor d( 17) xor d( 16) xor d( 15) xor d( 14) xor d( 12) xor d( 6) xor d( 1) xor d( 0); dq( 21) <= d( 63) xor d( 61) xor d( 60) xor d( 59) xor d( 57) xor d( 56) xor d( 52) xor d( 50) xor d( 49) xor d( 47) xor d( 45) xor d( 40) xor d( 39) xor d( 33) xor d( 31) xor d( 30) xor d( 29) xor d( 28) xor d( 26) xor d( 25) xor d( 23) xor d( 22) xor d( 21) xor d( 19) xor d( 16) xor d( 15) xor d( 14) xor d( 10) xor d( 8) xor d( 7) xor d( 6) xor d( 5) xor d( 4) xor d( 2) xor d( 1) xor d( 0); dq( 22) <= d( 61) xor d( 60) xor d( 57) xor d( 56) xor d( 47) xor d( 45) xor d( 43) xor d( 41) xor d( 40) xor d( 37) xor d( 35) xor d( 33) xor d( 32) xor d( 31) xor d( 30) xor d( 29) xor d( 28) xor d( 27) xor d( 24) xor d( 21) xor d( 20) xor d( 19) xor d( 18) xor d( 16) xor d( 15) xor d( 14) xor d( 13) xor d( 11) xor d( 10) xor d( 9) xor d( 7) xor d( 4) xor d( 3) xor d( 2) xor d( 1) xor d( 0); dq( 23) <= d( 61) xor d( 57) xor d( 56) xor d( 53) xor d( 51) xor d( 50) xor d( 47) xor d( 45) xor d( 44) xor d( 43) xor d( 42) xor d( 41) xor d( 38) xor d( 37) xor d( 36) xor d( 35) xor d( 32) xor d( 31) xor d( 30) xor d( 29) xor d( 26) xor d( 25) xor d( 23) xor d( 20) xor d( 18) xor d( 16) xor d( 15) xor d( 13) xor d( 12) xor d( 11) xor d( 6) xor d( 3) xor d( 2) xor d( 1) xor d( 0); dq( 24) <= d( 62) xor d( 58) xor d( 57) xor d( 54) xor d( 52) xor d( 51) xor d( 48) xor d( 46) xor d( 45) xor d( 44) xor d( 43) xor d( 42) xor d( 39) xor d( 38) xor d( 37) xor d( 36) xor d( 33) xor d( 32) xor d( 31) xor d( 30) xor d( 27) xor d( 26) xor d( 24) xor d( 21) xor d( 19) xor d( 17) xor d( 16) xor d( 14) xor d( 13) xor d( 12) xor d( 7) xor d( 4) xor d( 3) xor d( 2) xor d( 1); dq( 25) <= d( 63) xor d( 59) xor d( 58) xor d( 55) xor d( 53) xor d( 52) xor d( 49) xor d( 47) xor d( 46) xor d( 45) xor d( 44) xor d( 43) xor d( 40) xor d( 39) xor d( 38) xor d( 37) xor d( 34) xor d( 33) xor d( 32) xor d( 31) xor d( 28) xor d( 27) xor d( 25) xor d( 22) xor d( 20) xor d( 18) xor d( 17) xor d( 15) xor d( 14) xor d( 13) xor d( 8) xor d( 5) xor d( 4) xor d( 3) xor d( 2); dq( 26) <= d( 62) xor d( 60) xor d( 59) xor d( 58) xor d( 54) xor d( 51) xor d( 44) xor d( 43) xor d( 41) xor d( 40) xor d( 39) xor d( 38) xor d( 37) xor d( 32) xor d( 29) xor d( 22) xor d( 17) xor d( 16) xor d( 15) xor d( 13) xor d( 10) xor d( 9) xor d( 8) xor d( 3) xor d( 0); dq( 27) <= d( 63) xor d( 61) xor d( 60) xor d( 59) xor d( 55) xor d( 52) xor d( 45) xor d( 44) xor d( 42) xor d( 41) xor d( 40) xor d( 39) xor d( 38) xor d( 33) xor d( 30) xor d( 23) xor d( 18) xor d( 17) xor d( 16) xor d( 14) xor d( 11) xor d( 10) xor d( 9) xor d( 4) xor d( 1); dq( 28) <= d( 62) xor d( 61) xor d( 60) xor d( 56) xor d( 53) xor d( 46) xor d( 45) xor d( 43) xor d( 42) xor d( 41) xor d( 40) xor d( 39) xor d( 34) xor d( 31) xor d( 24) xor d( 19) xor d( 18) xor d( 17) xor d( 15) xor d( 12) xor d( 11) xor d( 10) xor d( 5) xor d( 2); dq( 29) <= d( 63) xor d( 62) xor d( 61) xor d( 57) xor d( 54) xor d( 47) xor d( 46) xor d( 44) xor d( 43) xor d( 42) xor d( 41) xor d( 40) xor d( 35) xor d( 32) xor d( 25) xor d( 20) xor d( 19) xor d( 18) xor d( 16) xor d( 13) xor d( 12) xor d( 11) xor d( 6) xor d( 3); dq( 30) <= d( 63) xor d( 62) xor d( 58) xor d( 55) xor d( 48) xor d( 47) xor d( 45) xor d( 44) xor d( 43) xor d( 42) xor d( 41) xor d( 36) xor d( 33) xor d( 26) xor d( 21) xor d( 20) xor d( 19) xor d( 17) xor d( 14) xor d( 13) xor d( 12) xor d( 7) xor d( 4); dq( 31) <= d( 63) xor d( 59) xor d( 56) xor d( 49) xor d( 48) xor d( 46) xor d( 45) xor d( 44) xor d( 43) xor d( 42) xor d( 37) xor d( 34) xor d( 27) xor d( 22) xor d( 21) xor d( 20) xor d( 18) xor d( 15) xor d( 14) xor d( 13) xor d( 8) xor d( 5); dq( 32) <= d( 62) xor d( 60) xor d( 58) xor d( 57) xor d( 56) xor d( 53) xor d( 51) xor d( 49) xor d( 48) xor d( 44) xor d( 38) xor d( 37) xor d( 34) xor d( 33) xor d( 26) xor d( 18) xor d( 17) xor d( 16) xor d( 15) xor d( 13) xor d( 10) xor d( 9) xor d( 8) xor d( 5) xor d( 4) xor d( 0); dq( 33) <= d( 63) xor d( 61) xor d( 59) xor d( 58) xor d( 57) xor d( 54) xor d( 52) xor d( 50) xor d( 49) xor d( 45) xor d( 39) xor d( 38) xor d( 35) xor d( 34) xor d( 27) xor d( 19) xor d( 18) xor d( 17) xor d( 16) xor d( 14) xor d( 11) xor d( 10) xor d( 9) xor d( 6) xor d( 5) xor d( 1); dq( 34) <= d( 60) xor d( 59) xor d( 56) xor d( 55) xor d( 48) xor d( 47) xor d( 45) xor d( 43) xor d( 40) xor d( 39) xor d( 37) xor d( 36) xor d( 34) xor d( 33) xor d( 26) xor d( 23) xor d( 22) xor d( 21) xor d( 20) xor d( 15) xor d( 14) xor d( 13) xor d( 12) xor d( 11) xor d( 8) xor d( 7) xor d( 5) xor d( 4) xor d( 2) xor d( 0); dq( 35) <= d( 61) xor d( 60) xor d( 57) xor d( 56) xor d( 49) xor d( 48) xor d( 46) xor d( 44) xor d( 41) xor d( 40) xor d( 38) xor d( 37) xor d( 35) xor d( 34) xor d( 27) xor d( 24) xor d( 23) xor d( 22) xor d( 21) xor d( 16) xor d( 15) xor d( 14) xor d( 13) xor d( 12) xor d( 9) xor d( 8) xor d( 6) xor d( 5) xor d( 3) xor d( 1); dq( 36) <= d( 61) xor d( 57) xor d( 56) xor d( 53) xor d( 51) xor d( 49) xor d( 48) xor d( 46) xor d( 43) xor d( 42) xor d( 41) xor d( 39) xor d( 38) xor d( 37) xor d( 36) xor d( 34) xor d( 33) xor d( 26) xor d( 25) xor d( 24) xor d( 21) xor d( 19) xor d( 18) xor d( 16) xor d( 15) xor d( 9) xor d( 8) xor d( 7) xor d( 5) xor d( 2) xor d( 0); dq( 37) <= d( 57) xor d( 56) xor d( 54) xor d( 53) xor d( 52) xor d( 51) xor d( 49) xor d( 48) xor d( 46) xor d( 45) xor d( 44) xor d( 42) xor d( 40) xor d( 39) xor d( 38) xor d( 33) xor d( 28) xor d( 27) xor d( 25) xor d( 23) xor d( 21) xor d( 20) xor d( 18) xor d( 16) xor d( 14) xor d( 13) xor d( 9) xor d( 5) xor d( 4) xor d( 3) xor d( 1) xor d( 0); dq( 38) <= d( 62) xor d( 57) xor d( 56) xor d( 55) xor d( 54) xor d( 52) xor d( 51) xor d( 49) xor d( 48) xor d( 41) xor d( 40) xor d( 39) xor d( 37) xor d( 35) xor d( 33) xor d( 29) xor d( 24) xor d( 23) xor d( 18) xor d( 15) xor d( 13) xor d( 8) xor d( 2) xor d( 1) xor d( 0); dq( 39) <= d( 63) xor d( 58) xor d( 57) xor d( 56) xor d( 55) xor d( 53) xor d( 52) xor d( 50) xor d( 49) xor d( 42) xor d( 41) xor d( 40) xor d( 38) xor d( 36) xor d( 34) xor d( 30) xor d( 25) xor d( 24) xor d( 19) xor d( 16) xor d( 14) xor d( 9) xor d( 3) xor d( 2) xor d( 1); dq( 40) <= d( 62) xor d( 59) xor d( 57) xor d( 54) xor d( 48) xor d( 47) xor d( 46) xor d( 45) xor d( 42) xor d( 41) xor d( 39) xor d( 34) xor d( 33) xor d( 31) xor d( 28) xor d( 25) xor d( 23) xor d( 22) xor d( 21) xor d( 20) xor d( 19) xor d( 18) xor d( 15) xor d( 14) xor d( 13) xor d( 8) xor d( 6) xor d( 5) xor d( 3) xor d( 2) xor d( 0); dq( 41) <= d( 63) xor d( 60) xor d( 58) xor d( 55) xor d( 49) xor d( 48) xor d( 47) xor d( 46) xor d( 43) xor d( 42) xor d( 40) xor d( 35) xor d( 34) xor d( 32) xor d( 29) xor d( 26) xor d( 24) xor d( 23) xor d( 22) xor d( 21) xor d( 20) xor d( 19) xor d( 16) xor d( 15) xor d( 14) xor d( 9) xor d( 7) xor d( 6) xor d( 4) xor d( 3) xor d( 1); dq( 42) <= d( 62) xor d( 61) xor d( 59) xor d( 58) xor d( 53) xor d( 51) xor d( 49) xor d( 46) xor d( 45) xor d( 44) xor d( 41) xor d( 37) xor d( 36) xor d( 34) xor d( 30) xor d( 28) xor d( 27) xor d( 26) xor d( 25) xor d( 24) xor d( 20) xor d( 19) xor d( 18) xor d( 16) xor d( 15) xor d( 14) xor d( 13) xor d( 7) xor d( 6) xor d( 2) xor d( 0); dq( 43) <= d( 63) xor d( 60) xor d( 59) xor d( 58) xor d( 56) xor d( 54) xor d( 53) xor d( 52) xor d( 51) xor d( 48) xor d( 43) xor d( 42) xor d( 38) xor d( 34) xor d( 33) xor d( 31) xor d( 29) xor d( 27) xor d( 25) xor d( 23) xor d( 22) xor d( 20) xor d( 18) xor d( 16) xor d( 15) xor d( 13) xor d( 10) xor d( 7) xor d( 6) xor d( 5) xor d( 4) xor d( 3) xor d( 1) xor d( 0); dq( 44) <= d( 61) xor d( 60) xor d( 59) xor d( 57) xor d( 55) xor d( 54) xor d( 53) xor d( 52) xor d( 49) xor d( 44) xor d( 43) xor d( 39) xor d( 35) xor d( 34) xor d( 32) xor d( 30) xor d( 28) xor d( 26) xor d( 24) xor d( 23) xor d( 21) xor d( 19) xor d( 17) xor d( 16) xor d( 14) xor d( 11) xor d( 8) xor d( 7) xor d( 6) xor d( 5) xor d( 4) xor d( 2) xor d( 1); dq( 45) <= d( 61) xor d( 60) xor d( 55) xor d( 54) xor d( 51) xor d( 48) xor d( 47) xor d( 46) xor d( 44) xor d( 43) xor d( 40) xor d( 37) xor d( 36) xor d( 34) xor d( 31) xor d( 29) xor d( 28) xor d( 27) xor d( 26) xor d( 25) xor d( 24) xor d( 23) xor d( 21) xor d( 20) xor d( 19) xor d( 15) xor d( 14) xor d( 13) xor d( 12) xor d( 10) xor d( 9) xor d( 7) xor d( 4) xor d( 3) xor d( 2) xor d( 0); dq( 46) <= d( 61) xor d( 58) xor d( 55) xor d( 53) xor d( 52) xor d( 51) xor d( 50) xor d( 49) xor d( 46) xor d( 44) xor d( 43) xor d( 41) xor d( 38) xor d( 34) xor d( 33) xor d( 32) xor d( 30) xor d( 29) xor d( 27) xor d( 25) xor d( 24) xor d( 23) xor d( 20) xor d( 19) xor d( 18) xor d( 17) xor d( 16) xor d( 15) xor d( 11) xor d( 6) xor d( 3) xor d( 1) xor d( 0); dq( 47) <= d( 62) xor d( 59) xor d( 56) xor d( 54) xor d( 53) xor d( 52) xor d( 51) xor d( 50) xor d( 47) xor d( 45) xor d( 44) xor d( 42) xor d( 39) xor d( 35) xor d( 34) xor d( 33) xor d( 31) xor d( 30) xor d( 28) xor d( 26) xor d( 25) xor d( 24) xor d( 21) xor d( 20) xor d( 19) xor d( 18) xor d( 17) xor d( 16) xor d( 12) xor d( 7) xor d( 4) xor d( 2) xor d( 1); dq( 48) <= d( 63) xor d( 60) xor d( 57) xor d( 55) xor d( 54) xor d( 53) xor d( 52) xor d( 51) xor d( 48) xor d( 46) xor d( 45) xor d( 43) xor d( 40) xor d( 36) xor d( 35) xor d( 34) xor d( 32) xor d( 31) xor d( 29) xor d( 27) xor d( 26) xor d( 25) xor d( 22) xor d( 21) xor d( 20) xor d( 19) xor d( 18) xor d( 17) xor d( 13) xor d( 8) xor d( 5) xor d( 3) xor d( 2); dq( 49) <= d( 61) xor d( 58) xor d( 56) xor d( 55) xor d( 54) xor d( 53) xor d( 52) xor d( 49) xor d( 47) xor d( 46) xor d( 44) xor d( 41) xor d( 37) xor d( 36) xor d( 35) xor d( 33) xor d( 32) xor d( 30) xor d( 28) xor d( 27) xor d( 26) xor d( 23) xor d( 22) xor d( 21) xor d( 20) xor d( 19) xor d( 18) xor d( 14) xor d( 9) xor d( 6) xor d( 4) xor d( 3); dq( 50) <= d( 59) xor d( 58) xor d( 57) xor d( 55) xor d( 54) xor d( 51) xor d( 46) xor d( 43) xor d( 42) xor d( 38) xor d( 36) xor d( 35) xor d( 31) xor d( 29) xor d( 27) xor d( 26) xor d( 24) xor d( 20) xor d( 18) xor d( 17) xor d( 15) xor d( 14) xor d( 13) xor d( 8) xor d( 7) xor d( 6) xor d( 0); dq( 51) <= d( 62) xor d( 60) xor d( 59) xor d( 55) xor d( 53) xor d( 52) xor d( 51) xor d( 50) xor d( 48) xor d( 46) xor d( 45) xor d( 44) xor d( 39) xor d( 36) xor d( 35) xor d( 34) xor d( 33) xor d( 32) xor d( 30) xor d( 27) xor d( 26) xor d( 25) xor d( 23) xor d( 22) xor d( 17) xor d( 16) xor d( 15) xor d( 13) xor d( 10) xor d( 9) xor d( 7) xor d( 6) xor d( 5) xor d( 4) xor d( 1) xor d( 0); dq( 52) <= d( 63) xor d( 62) xor d( 61) xor d( 60) xor d( 58) xor d( 54) xor d( 52) xor d( 50) xor d( 49) xor d( 48) xor d( 43) xor d( 40) xor d( 36) xor d( 31) xor d( 27) xor d( 24) xor d( 22) xor d( 21) xor d( 19) xor d( 16) xor d( 13) xor d( 11) xor d( 7) xor d( 4) xor d( 2) xor d( 1) xor d( 0); dq( 53) <= d( 63) xor d( 61) xor d( 59) xor d( 58) xor d( 56) xor d( 55) xor d( 49) xor d( 48) xor d( 47) xor d( 46) xor d( 45) xor d( 44) xor d( 43) xor d( 41) xor d( 35) xor d( 34) xor d( 33) xor d( 32) xor d( 26) xor d( 25) xor d( 21) xor d( 20) xor d( 19) xor d( 18) xor d( 13) xor d( 12) xor d( 10) xor d( 6) xor d( 4) xor d( 3) xor d( 2) xor d( 1) xor d( 0); dq( 54) <= d( 60) xor d( 59) xor d( 58) xor d( 57) xor d( 53) xor d( 51) xor d( 49) xor d( 44) xor d( 43) xor d( 42) xor d( 37) xor d( 36) xor d( 28) xor d( 27) xor d( 23) xor d( 20) xor d( 18) xor d( 17) xor d( 11) xor d( 10) xor d( 8) xor d( 7) xor d( 6) xor d( 3) xor d( 2) xor d( 1) xor d( 0); dq( 55) <= d( 62) xor d( 61) xor d( 60) xor d( 59) xor d( 56) xor d( 54) xor d( 53) xor d( 52) xor d( 51) xor d( 48) xor d( 47) xor d( 46) xor d( 44) xor d( 38) xor d( 35) xor d( 34) xor d( 33) xor d( 29) xor d( 26) xor d( 24) xor d( 23) xor d( 22) xor d( 17) xor d( 14) xor d( 13) xor d( 12) xor d( 11) xor d( 10) xor d( 9) xor d( 7) xor d( 6) xor d( 5) xor d( 3) xor d( 2) xor d( 1) xor d( 0); dq( 56) <= d( 63) xor d( 61) xor d( 60) xor d( 58) xor d( 57) xor d( 56) xor d( 55) xor d( 54) xor d( 52) xor d( 51) xor d( 50) xor d( 49) xor d( 46) xor d( 43) xor d( 39) xor d( 37) xor d( 36) xor d( 33) xor d( 30) xor d( 28) xor d( 27) xor d( 26) xor d( 25) xor d( 24) xor d( 22) xor d( 21) xor d( 19) xor d( 17) xor d( 15) xor d( 12) xor d( 11) xor d( 7) xor d( 5) xor d( 3) xor d( 2) xor d( 1) xor d( 0); dq( 57) <= d( 62) xor d( 61) xor d( 59) xor d( 58) xor d( 57) xor d( 56) xor d( 55) xor d( 53) xor d( 52) xor d( 51) xor d( 50) xor d( 47) xor d( 44) xor d( 40) xor d( 38) xor d( 37) xor d( 34) xor d( 31) xor d( 29) xor d( 28) xor d( 27) xor d( 26) xor d( 25) xor d( 23) xor d( 22) xor d( 20) xor d( 18) xor d( 16) xor d( 13) xor d( 12) xor d( 8) xor d( 6) xor d( 4) xor d( 3) xor d( 2) xor d( 1); dq( 58) <= d( 63) xor d( 62) xor d( 60) xor d( 59) xor d( 58) xor d( 57) xor d( 56) xor d( 54) xor d( 53) xor d( 52) xor d( 51) xor d( 48) xor d( 45) xor d( 41) xor d( 39) xor d( 38) xor d( 35) xor d( 32) xor d( 30) xor d( 29) xor d( 28) xor d( 27) xor d( 26) xor d( 24) xor d( 23) xor d( 21) xor d( 19) xor d( 17) xor d( 14) xor d( 13) xor d( 9) xor d( 7) xor d( 5) xor d( 4) xor d( 3) xor d( 2); dq( 59) <= d( 63) xor d( 62) xor d( 61) xor d( 60) xor d( 59) xor d( 57) xor d( 56) xor d( 55) xor d( 54) xor d( 52) xor d( 51) xor d( 50) xor d( 49) xor d( 48) xor d( 47) xor d( 45) xor d( 43) xor d( 42) xor d( 40) xor d( 39) xor d( 37) xor d( 36) xor d( 35) xor d( 34) xor d( 31) xor d( 30) xor d( 29) xor d( 27) xor d( 26) xor d( 25) xor d( 24) xor d( 23) xor d( 21) xor d( 20) xor d( 19) xor d( 17) xor d( 15) xor d( 13) xor d( 3) xor d( 0); dq( 60) <= d( 63) xor d( 61) xor d( 60) xor d( 57) xor d( 55) xor d( 52) xor d( 49) xor d( 47) xor d( 45) xor d( 44) xor d( 41) xor d( 40) xor d( 38) xor d( 36) xor d( 34) xor d( 33) xor d( 32) xor d( 31) xor d( 30) xor d( 27) xor d( 25) xor d( 24) xor d( 23) xor d( 20) xor d( 19) xor d( 17) xor d( 16) xor d( 13) xor d( 10) xor d( 8) xor d( 6) xor d( 5) xor d( 1) xor d( 0); dq( 61) <= d( 62) xor d( 61) xor d( 58) xor d( 56) xor d( 53) xor d( 50) xor d( 48) xor d( 46) xor d( 45) xor d( 42) xor d( 41) xor d( 39) xor d( 37) xor d( 35) xor d( 34) xor d( 33) xor d( 32) xor d( 31) xor d( 28) xor d( 26) xor d( 25) xor d( 24) xor d( 21) xor d( 20) xor d( 18) xor d( 17) xor d( 14) xor d( 11) xor d( 9) xor d( 7) xor d( 6) xor d( 2) xor d( 1); dq( 62) <= d( 63) xor d( 62) xor d( 59) xor d( 57) xor d( 54) xor d( 51) xor d( 49) xor d( 47) xor d( 46) xor d( 43) xor d( 42) xor d( 40) xor d( 38) xor d( 36) xor d( 35) xor d( 34) xor d( 33) xor d( 32) xor d( 29) xor d( 27) xor d( 26) xor d( 25) xor d( 22) xor d( 21) xor d( 19) xor d( 18) xor d( 15) xor d( 12) xor d( 10) xor d( 8) xor d( 7) xor d( 3) xor d( 2); dq( 63) <= d( 63) xor d( 60) xor d( 58) xor d( 55) xor d( 52) xor d( 50) xor d( 48) xor d( 47) xor d( 44) xor d( 43) xor d( 41) xor d( 39) xor d( 37) xor d( 36) xor d( 35) xor d( 34) xor d( 33) xor d( 30) xor d( 28) xor d( 27) xor d( 26) xor d( 23) xor d( 22) xor d( 20) xor d( 19) xor d( 16) xor d( 13) xor d( 11) xor d( 9) xor d( 8) xor d( 4) xor d( 3); dq( 64) <= d( 62) xor d( 61) xor d( 59) xor d( 58) xor d( 50) xor d( 49) xor d( 47) xor d( 46) xor d( 44) xor d( 43) xor d( 42) xor d( 40) xor d( 38) xor d( 36) xor d( 33) xor d( 31) xor d( 29) xor d( 27) xor d( 26) xor d( 24) xor d( 22) xor d( 20) xor d( 19) xor d( 18) xor d( 13) xor d( 12) xor d( 9) xor d( 8) xor d( 6) xor d( 0); dq( 65) <= d( 63) xor d( 62) xor d( 60) xor d( 59) xor d( 51) xor d( 50) xor d( 48) xor d( 47) xor d( 45) xor d( 44) xor d( 43) xor d( 41) xor d( 39) xor d( 37) xor d( 34) xor d( 32) xor d( 30) xor d( 28) xor d( 27) xor d( 25) xor d( 23) xor d( 21) xor d( 20) xor d( 19) xor d( 14) xor d( 13) xor d( 10) xor d( 9) xor d( 7) xor d( 1); dq( 66) <= d( 63) xor d( 62) xor d( 61) xor d( 60) xor d( 58) xor d( 56) xor d( 53) xor d( 52) xor d( 50) xor d( 49) xor d( 47) xor d( 44) xor d( 43) xor d( 42) xor d( 40) xor d( 38) xor d( 37) xor d( 34) xor d( 31) xor d( 29) xor d( 24) xor d( 23) xor d( 20) xor d( 19) xor d( 18) xor d( 17) xor d( 15) xor d( 13) xor d( 11) xor d( 6) xor d( 5) xor d( 4) xor d( 2) xor d( 0); dq( 67) <= d( 63) xor d( 61) xor d( 59) xor d( 58) xor d( 57) xor d( 56) xor d( 54) xor d( 47) xor d( 46) xor d( 44) xor d( 41) xor d( 39) xor d( 38) xor d( 37) xor d( 34) xor d( 33) xor d( 32) xor d( 30) xor d( 28) xor d( 26) xor d( 25) xor d( 24) xor d( 23) xor d( 22) xor d( 20) xor d( 17) xor d( 16) xor d( 13) xor d( 12) xor d( 10) xor d( 8) xor d( 7) xor d( 4) xor d( 3) xor d( 1) xor d( 0); dq( 68) <= d( 60) xor d( 59) xor d( 57) xor d( 56) xor d( 55) xor d( 53) xor d( 51) xor d( 50) xor d( 46) xor d( 43) xor d( 42) xor d( 40) xor d( 39) xor d( 38) xor d( 37) xor d( 31) xor d( 29) xor d( 28) xor d( 27) xor d( 25) xor d( 24) xor d( 22) xor d( 19) xor d( 11) xor d( 10) xor d( 9) xor d( 6) xor d( 2) xor d( 1) xor d( 0); dq( 69) <= d( 62) xor d( 61) xor d( 60) xor d( 57) xor d( 54) xor d( 53) xor d( 52) xor d( 50) xor d( 48) xor d( 46) xor d( 45) xor d( 44) xor d( 41) xor d( 40) xor d( 39) xor d( 38) xor d( 37) xor d( 35) xor d( 34) xor d( 33) xor d( 32) xor d( 30) xor d( 29) xor d( 25) xor d( 22) xor d( 21) xor d( 20) xor d( 19) xor d( 18) xor d( 17) xor d( 14) xor d( 13) xor d( 12) xor d( 11) xor d( 8) xor d( 7) xor d( 6) xor d( 5) xor d( 4) xor d( 3) xor d( 2) xor d( 1) xor d( 0); dq( 70) <= d( 63) xor d( 62) xor d( 61) xor d( 58) xor d( 55) xor d( 54) xor d( 53) xor d( 51) xor d( 49) xor d( 47) xor d( 46) xor d( 45) xor d( 42) xor d( 41) xor d( 40) xor d( 39) xor d( 38) xor d( 36) xor d( 35) xor d( 34) xor d( 33) xor d( 31) xor d( 30) xor d( 26) xor d( 23) xor d( 22) xor d( 21) xor d( 20) xor d( 19) xor d( 18) xor d( 15) xor d( 14) xor d( 13) xor d( 12) xor d( 9) xor d( 8) xor d( 7) xor d( 6) xor d( 5) xor d( 4) xor d( 3) xor d( 2) xor d( 1); dq( 71) <= d( 63) xor d( 59) xor d( 58) xor d( 55) xor d( 54) xor d( 53) xor d( 52) xor d( 51) xor d( 45) xor d( 42) xor d( 41) xor d( 40) xor d( 39) xor d( 36) xor d( 33) xor d( 32) xor d( 31) xor d( 28) xor d( 27) xor d( 26) xor d( 24) xor d( 20) xor d( 18) xor d( 17) xor d( 16) xor d( 15) xor d( 9) xor d( 7) xor d( 3) xor d( 2) xor d( 0); dq( 72) <= d( 62) xor d( 60) xor d( 59) xor d( 58) xor d( 55) xor d( 54) xor d( 52) xor d( 51) xor d( 50) xor d( 48) xor d( 47) xor d( 45) xor d( 42) xor d( 41) xor d( 40) xor d( 35) xor d( 32) xor d( 29) xor d( 27) xor d( 26) xor d( 25) xor d( 23) xor d( 22) xor d( 16) xor d( 14) xor d( 13) xor d( 6) xor d( 5) xor d( 3) xor d( 1) xor d( 0); dq( 73) <= d( 63) xor d( 62) xor d( 61) xor d( 60) xor d( 59) xor d( 58) xor d( 55) xor d( 52) xor d( 50) xor d( 49) xor d( 47) xor d( 45) xor d( 42) xor d( 41) xor d( 37) xor d( 36) xor d( 35) xor d( 34) xor d( 30) xor d( 27) xor d( 24) xor d( 22) xor d( 21) xor d( 19) xor d( 18) xor d( 15) xor d( 13) xor d( 10) xor d( 8) xor d( 7) xor d( 5) xor d( 2) xor d( 1) xor d( 0); dq( 74) <= d( 63) xor d( 61) xor d( 60) xor d( 59) xor d( 58) xor d( 47) xor d( 45) xor d( 42) xor d( 38) xor d( 36) xor d( 34) xor d( 33) xor d( 31) xor d( 26) xor d( 25) xor d( 21) xor d( 20) xor d( 18) xor d( 17) xor d( 16) xor d( 13) xor d( 11) xor d( 10) xor d( 9) xor d( 5) xor d( 4) xor d( 3) xor d( 2) xor d( 1) xor d( 0); dq( 75) <= d( 62) xor d( 61) xor d( 60) xor d( 59) xor d( 48) xor d( 46) xor d( 43) xor d( 39) xor d( 37) xor d( 35) xor d( 34) xor d( 32) xor d( 27) xor d( 26) xor d( 22) xor d( 21) xor d( 19) xor d( 18) xor d( 17) xor d( 14) xor d( 12) xor d( 11) xor d( 10) xor d( 6) xor d( 5) xor d( 4) xor d( 3) xor d( 2) xor d( 1); dq( 76) <= d( 63) xor d( 61) xor d( 60) xor d( 58) xor d( 56) xor d( 53) xor d( 51) xor d( 50) xor d( 49) xor d( 48) xor d( 46) xor d( 45) xor d( 44) xor d( 43) xor d( 40) xor d( 38) xor d( 37) xor d( 36) xor d( 34) xor d( 27) xor d( 26) xor d( 21) xor d( 20) xor d( 17) xor d( 15) xor d( 14) xor d( 12) xor d( 11) xor d( 10) xor d( 8) xor d( 7) xor d( 3) xor d( 2) xor d( 0); dq( 77) <= d( 62) xor d( 61) xor d( 59) xor d( 57) xor d( 54) xor d( 52) xor d( 51) xor d( 50) xor d( 49) xor d( 47) xor d( 46) xor d( 45) xor d( 44) xor d( 41) xor d( 39) xor d( 38) xor d( 37) xor d( 35) xor d( 28) xor d( 27) xor d( 22) xor d( 21) xor d( 18) xor d( 16) xor d( 15) xor d( 13) xor d( 12) xor d( 11) xor d( 9) xor d( 8) xor d( 4) xor d( 3) xor d( 1); dq( 78) <= d( 63) xor d( 62) xor d( 60) xor d( 58) xor d( 55) xor d( 53) xor d( 52) xor d( 51) xor d( 50) xor d( 48) xor d( 47) xor d( 46) xor d( 45) xor d( 42) xor d( 40) xor d( 39) xor d( 38) xor d( 36) xor d( 29) xor d( 28) xor d( 23) xor d( 22) xor d( 19) xor d( 17) xor d( 16) xor d( 14) xor d( 13) xor d( 12) xor d( 10) xor d( 9) xor d( 5) xor d( 4) xor d( 2); dq( 79) <= d( 63) xor d( 62) xor d( 61) xor d( 59) xor d( 58) xor d( 54) xor d( 52) xor d( 50) xor d( 49) xor d( 45) xor d( 41) xor d( 40) xor d( 39) xor d( 35) xor d( 34) xor d( 33) xor d( 30) xor d( 29) xor d( 28) xor d( 26) xor d( 24) xor d( 22) xor d( 21) xor d( 20) xor d( 19) xor d( 15) xor d( 11) xor d( 8) xor d( 4) xor d( 3) xor d( 0); dq( 80) <= d( 63) xor d( 60) xor d( 59) xor d( 58) xor d( 56) xor d( 55) xor d( 48) xor d( 47) xor d( 45) xor d( 43) xor d( 42) xor d( 41) xor d( 40) xor d( 37) xor d( 36) xor d( 33) xor d( 31) xor d( 30) xor d( 29) xor d( 28) xor d( 27) xor d( 26) xor d( 25) xor d( 20) xor d( 19) xor d( 18) xor d( 17) xor d( 16) xor d( 14) xor d( 13) xor d( 12) xor d( 10) xor d( 9) xor d( 8) xor d( 6) xor d( 1) xor d( 0); dq( 81) <= d( 62) xor d( 61) xor d( 60) xor d( 59) xor d( 58) xor d( 57) xor d( 53) xor d( 51) xor d( 50) xor d( 49) xor d( 47) xor d( 45) xor d( 44) xor d( 42) xor d( 41) xor d( 38) xor d( 35) xor d( 33) xor d( 32) xor d( 31) xor d( 30) xor d( 29) xor d( 27) xor d( 23) xor d( 22) xor d( 20) xor d( 15) xor d( 11) xor d( 9) xor d( 8) xor d( 7) xor d( 6) xor d( 5) xor d( 4) xor d( 2) xor d( 1) xor d( 0); dq( 82) <= d( 63) xor d( 61) xor d( 60) xor d( 59) xor d( 56) xor d( 54) xor d( 53) xor d( 52) xor d( 47) xor d( 42) xor d( 39) xor d( 37) xor d( 36) xor d( 35) xor d( 32) xor d( 31) xor d( 30) xor d( 26) xor d( 24) xor d( 22) xor d( 19) xor d( 18) xor d( 17) xor d( 16) xor d( 14) xor d( 13) xor d( 12) xor d( 9) xor d( 7) xor d( 4) xor d( 3) xor d( 2) xor d( 1) xor d( 0); dq( 83) <= d( 62) xor d( 61) xor d( 60) xor d( 57) xor d( 55) xor d( 54) xor d( 53) xor d( 48) xor d( 43) xor d( 40) xor d( 38) xor d( 37) xor d( 36) xor d( 33) xor d( 32) xor d( 31) xor d( 27) xor d( 25) xor d( 23) xor d( 20) xor d( 19) xor d( 18) xor d( 17) xor d( 15) xor d( 14) xor d( 13) xor d( 10) xor d( 8) xor d( 5) xor d( 4) xor d( 3) xor d( 2) xor d( 1); dq( 84) <= d( 63) xor d( 62) xor d( 61) xor d( 58) xor d( 56) xor d( 55) xor d( 54) xor d( 49) xor d( 44) xor d( 41) xor d( 39) xor d( 38) xor d( 37) xor d( 34) xor d( 33) xor d( 32) xor d( 28) xor d( 26) xor d( 24) xor d( 21) xor d( 20) xor d( 19) xor d( 18) xor d( 16) xor d( 15) xor d( 14) xor d( 11) xor d( 9) xor d( 6) xor d( 5) xor d( 4) xor d( 3) xor d( 2); dq( 85) <= d( 63) xor d( 59) xor d( 58) xor d( 57) xor d( 55) xor d( 53) xor d( 51) xor d( 48) xor d( 47) xor d( 46) xor d( 43) xor d( 42) xor d( 40) xor d( 39) xor d( 38) xor d( 37) xor d( 29) xor d( 28) xor d( 27) xor d( 26) xor d( 25) xor d( 23) xor d( 20) xor d( 18) xor d( 16) xor d( 15) xor d( 14) xor d( 13) xor d( 12) xor d( 8) xor d( 7) xor d( 3) xor d( 0); dq( 86) <= d( 60) xor d( 59) xor d( 58) xor d( 56) xor d( 54) xor d( 52) xor d( 49) xor d( 48) xor d( 47) xor d( 44) xor d( 43) xor d( 41) xor d( 40) xor d( 39) xor d( 38) xor d( 30) xor d( 29) xor d( 28) xor d( 27) xor d( 26) xor d( 24) xor d( 21) xor d( 19) xor d( 17) xor d( 16) xor d( 15) xor d( 14) xor d( 13) xor d( 9) xor d( 8) xor d( 4) xor d( 1); dq( 87) <= d( 61) xor d( 60) xor d( 59) xor d( 57) xor d( 55) xor d( 53) xor d( 50) xor d( 49) xor d( 48) xor d( 45) xor d( 44) xor d( 42) xor d( 41) xor d( 40) xor d( 39) xor d( 31) xor d( 30) xor d( 29) xor d( 28) xor d( 27) xor d( 25) xor d( 22) xor d( 20) xor d( 18) xor d( 17) xor d( 16) xor d( 15) xor d( 14) xor d( 10) xor d( 9) xor d( 5) xor d( 2); dq( 88) <= d( 61) xor d( 60) xor d( 54) xor d( 53) xor d( 49) xor d( 48) xor d( 47) xor d( 42) xor d( 41) xor d( 40) xor d( 37) xor d( 35) xor d( 34) xor d( 33) xor d( 32) xor d( 31) xor d( 30) xor d( 29) xor d( 22) xor d( 16) xor d( 15) xor d( 14) xor d( 13) xor d( 11) xor d( 8) xor d( 5) xor d( 4) xor d( 3) xor d( 0); dq( 89) <= d( 62) xor d( 61) xor d( 55) xor d( 54) xor d( 50) xor d( 49) xor d( 48) xor d( 43) xor d( 42) xor d( 41) xor d( 38) xor d( 36) xor d( 35) xor d( 34) xor d( 33) xor d( 32) xor d( 31) xor d( 30) xor d( 23) xor d( 17) xor d( 16) xor d( 15) xor d( 14) xor d( 12) xor d( 9) xor d( 6) xor d( 5) xor d( 4) xor d( 1); dq( 90) <= d( 63) xor d( 62) xor d( 56) xor d( 55) xor d( 51) xor d( 50) xor d( 49) xor d( 44) xor d( 43) xor d( 42) xor d( 39) xor d( 37) xor d( 36) xor d( 35) xor d( 34) xor d( 33) xor d( 32) xor d( 31) xor d( 24) xor d( 18) xor d( 17) xor d( 16) xor d( 15) xor d( 13) xor d( 10) xor d( 7) xor d( 6) xor d( 5) xor d( 2); dq( 91) <= d( 63) xor d( 57) xor d( 56) xor d( 52) xor d( 51) xor d( 50) xor d( 45) xor d( 44) xor d( 43) xor d( 40) xor d( 38) xor d( 37) xor d( 36) xor d( 35) xor d( 34) xor d( 33) xor d( 32) xor d( 25) xor d( 19) xor d( 18) xor d( 17) xor d( 16) xor d( 14) xor d( 11) xor d( 8) xor d( 7) xor d( 6) xor d( 3); dq( 92) <= d( 62) xor d( 57) xor d( 56) xor d( 52) xor d( 50) xor d( 48) xor d( 47) xor d( 44) xor d( 43) xor d( 41) xor d( 39) xor d( 38) xor d( 36) xor d( 28) xor d( 23) xor d( 22) xor d( 21) xor d( 20) xor d( 15) xor d( 14) xor d( 13) xor d( 12) xor d( 10) xor d( 9) xor d( 7) xor d( 6) xor d( 5) xor d( 0); dq( 93) <= d( 63) xor d( 62) xor d( 57) xor d( 56) xor d( 50) xor d( 49) xor d( 47) xor d( 46) xor d( 44) xor d( 43) xor d( 42) xor d( 40) xor d( 39) xor d( 35) xor d( 34) xor d( 33) xor d( 29) xor d( 28) xor d( 26) xor d( 24) xor d( 19) xor d( 18) xor d( 17) xor d( 16) xor d( 15) xor d( 11) xor d( 7) xor d( 5) xor d( 4) xor d( 1) xor d( 0); dq( 94) <= d( 63) xor d( 62) xor d( 57) xor d( 56) xor d( 53) xor d( 46) xor d( 44) xor d( 41) xor d( 40) xor d( 37) xor d( 36) xor d( 33) xor d( 30) xor d( 29) xor d( 28) xor d( 27) xor d( 26) xor d( 25) xor d( 23) xor d( 22) xor d( 21) xor d( 20) xor d( 16) xor d( 14) xor d( 13) xor d( 12) xor d( 10) xor d( 4) xor d( 2) xor d( 1) xor d( 0); dq( 95) <= d( 63) xor d( 58) xor d( 57) xor d( 54) xor d( 47) xor d( 45) xor d( 42) xor d( 41) xor d( 38) xor d( 37) xor d( 34) xor d( 31) xor d( 30) xor d( 29) xor d( 28) xor d( 27) xor d( 26) xor d( 24) xor d( 23) xor d( 22) xor d( 21) xor d( 17) xor d( 15) xor d( 14) xor d( 13) xor d( 11) xor d( 5) xor d( 3) xor d( 2) xor d( 1); dq( 96) <= d( 62) xor d( 59) xor d( 56) xor d( 55) xor d( 53) xor d( 51) xor d( 50) xor d( 47) xor d( 45) xor d( 42) xor d( 39) xor d( 38) xor d( 37) xor d( 34) xor d( 33) xor d( 32) xor d( 31) xor d( 30) xor d( 29) xor d( 27) xor d( 26) xor d( 25) xor d( 24) xor d( 21) xor d( 19) xor d( 17) xor d( 16) xor d( 15) xor d( 13) xor d( 12) xor d( 10) xor d( 8) xor d( 5) xor d( 3) xor d( 2) xor d( 0); dq( 97) <= d( 63) xor d( 62) xor d( 60) xor d( 58) xor d( 57) xor d( 54) xor d( 53) xor d( 52) xor d( 50) xor d( 47) xor d( 45) xor d( 40) xor d( 39) xor d( 38) xor d( 37) xor d( 32) xor d( 31) xor d( 30) xor d( 27) xor d( 25) xor d( 23) xor d( 21) xor d( 20) xor d( 19) xor d( 16) xor d( 11) xor d( 10) xor d( 9) xor d( 8) xor d( 5) xor d( 3) xor d( 1) xor d( 0); dq( 98) <= d( 63) xor d( 62) xor d( 61) xor d( 59) xor d( 56) xor d( 55) xor d( 54) xor d( 50) xor d( 47) xor d( 45) xor d( 43) xor d( 41) xor d( 40) xor d( 39) xor d( 38) xor d( 37) xor d( 35) xor d( 34) xor d( 32) xor d( 31) xor d( 24) xor d( 23) xor d( 20) xor d( 19) xor d( 18) xor d( 14) xor d( 13) xor d( 12) xor d( 11) xor d( 9) xor d( 8) xor d( 5) xor d( 2) xor d( 1) xor d( 0); dq( 99) <= d( 63) xor d( 60) xor d( 58) xor d( 57) xor d( 55) xor d( 53) xor d( 50) xor d( 47) xor d( 45) xor d( 44) xor d( 43) xor d( 42) xor d( 41) xor d( 40) xor d( 39) xor d( 38) xor d( 37) xor d( 36) xor d( 34) xor d( 32) xor d( 28) xor d( 26) xor d( 25) xor d( 24) xor d( 23) xor d( 22) xor d( 20) xor d( 18) xor d( 17) xor d( 15) xor d( 12) xor d( 9) xor d( 8) xor d( 5) xor d( 4) xor d( 3) xor d( 2) xor d( 1) xor d( 0); dq(100) <= d( 62) xor d( 61) xor d( 59) xor d( 54) xor d( 53) xor d( 50) xor d( 47) xor d( 44) xor d( 42) xor d( 41) xor d( 40) xor d( 39) xor d( 38) xor d( 34) xor d( 29) xor d( 28) xor d( 27) xor d( 25) xor d( 24) xor d( 22) xor d( 17) xor d( 16) xor d( 14) xor d( 9) xor d( 8) xor d( 3) xor d( 2) xor d( 1) xor d( 0); dq(101) <= d( 63) xor d( 62) xor d( 60) xor d( 55) xor d( 54) xor d( 51) xor d( 48) xor d( 45) xor d( 43) xor d( 42) xor d( 41) xor d( 40) xor d( 39) xor d( 35) xor d( 30) xor d( 29) xor d( 28) xor d( 26) xor d( 25) xor d( 23) xor d( 18) xor d( 17) xor d( 15) xor d( 10) xor d( 9) xor d( 4) xor d( 3) xor d( 2) xor d( 1); dq(102) <= d( 63) xor d( 62) xor d( 61) xor d( 58) xor d( 55) xor d( 53) xor d( 52) xor d( 51) xor d( 50) xor d( 49) xor d( 48) xor d( 47) xor d( 45) xor d( 44) xor d( 42) xor d( 41) xor d( 40) xor d( 37) xor d( 36) xor d( 35) xor d( 34) xor d( 33) xor d( 31) xor d( 30) xor d( 29) xor d( 28) xor d( 27) xor d( 24) xor d( 23) xor d( 22) xor d( 21) xor d( 17) xor d( 16) xor d( 14) xor d( 13) xor d( 11) xor d( 8) xor d( 6) xor d( 3) xor d( 2) xor d( 0); dq(103) <= d( 63) xor d( 62) xor d( 59) xor d( 56) xor d( 54) xor d( 53) xor d( 52) xor d( 51) xor d( 50) xor d( 49) xor d( 48) xor d( 46) xor d( 45) xor d( 43) xor d( 42) xor d( 41) xor d( 38) xor d( 37) xor d( 36) xor d( 35) xor d( 34) xor d( 32) xor d( 31) xor d( 30) xor d( 29) xor d( 28) xor d( 25) xor d( 24) xor d( 23) xor d( 22) xor d( 18) xor d( 17) xor d( 15) xor d( 14) xor d( 12) xor d( 9) xor d( 7) xor d( 4) xor d( 3) xor d( 1); dq(104) <= d( 63) xor d( 62) xor d( 60) xor d( 58) xor d( 57) xor d( 56) xor d( 55) xor d( 54) xor d( 52) xor d( 49) xor d( 48) xor d( 45) xor d( 44) xor d( 42) xor d( 39) xor d( 38) xor d( 36) xor d( 34) xor d( 32) xor d( 31) xor d( 30) xor d( 29) xor d( 28) xor d( 25) xor d( 24) xor d( 22) xor d( 21) xor d( 17) xor d( 16) xor d( 15) xor d( 14) xor d( 6) xor d( 2) xor d( 0); dq(105) <= d( 63) xor d( 61) xor d( 59) xor d( 58) xor d( 57) xor d( 56) xor d( 55) xor d( 53) xor d( 50) xor d( 49) xor d( 46) xor d( 45) xor d( 43) xor d( 40) xor d( 39) xor d( 37) xor d( 35) xor d( 33) xor d( 32) xor d( 31) xor d( 30) xor d( 29) xor d( 26) xor d( 25) xor d( 23) xor d( 22) xor d( 18) xor d( 17) xor d( 16) xor d( 15) xor d( 7) xor d( 3) xor d( 1); dq(106) <= d( 60) xor d( 59) xor d( 57) xor d( 54) xor d( 53) xor d( 48) xor d( 45) xor d( 44) xor d( 43) xor d( 41) xor d( 40) xor d( 38) xor d( 37) xor d( 36) xor d( 35) xor d( 32) xor d( 31) xor d( 30) xor d( 28) xor d( 27) xor d( 24) xor d( 22) xor d( 21) xor d( 16) xor d( 14) xor d( 13) xor d( 10) xor d( 6) xor d( 5) xor d( 2) xor d( 0); dq(107) <= d( 61) xor d( 60) xor d( 58) xor d( 55) xor d( 54) xor d( 49) xor d( 46) xor d( 45) xor d( 44) xor d( 42) xor d( 41) xor d( 39) xor d( 38) xor d( 37) xor d( 36) xor d( 33) xor d( 32) xor d( 31) xor d( 29) xor d( 28) xor d( 25) xor d( 23) xor d( 22) xor d( 17) xor d( 15) xor d( 14) xor d( 11) xor d( 7) xor d( 6) xor d( 3) xor d( 1); dq(108) <= d( 62) xor d( 61) xor d( 59) xor d( 56) xor d( 55) xor d( 50) xor d( 47) xor d( 46) xor d( 45) xor d( 43) xor d( 42) xor d( 40) xor d( 39) xor d( 38) xor d( 37) xor d( 34) xor d( 33) xor d( 32) xor d( 30) xor d( 29) xor d( 26) xor d( 24) xor d( 23) xor d( 18) xor d( 16) xor d( 15) xor d( 12) xor d( 8) xor d( 7) xor d( 4) xor d( 2); dq(109) <= d( 63) xor d( 60) xor d( 58) xor d( 57) xor d( 53) xor d( 50) xor d( 45) xor d( 44) xor d( 41) xor d( 40) xor d( 39) xor d( 38) xor d( 37) xor d( 31) xor d( 30) xor d( 28) xor d( 27) xor d( 26) xor d( 25) xor d( 24) xor d( 23) xor d( 22) xor d( 21) xor d( 18) xor d( 16) xor d( 14) xor d( 10) xor d( 9) xor d( 6) xor d( 4) xor d( 3) xor d( 0); dq(110) <= d( 61) xor d( 59) xor d( 58) xor d( 54) xor d( 51) xor d( 46) xor d( 45) xor d( 42) xor d( 41) xor d( 40) xor d( 39) xor d( 38) xor d( 32) xor d( 31) xor d( 29) xor d( 28) xor d( 27) xor d( 26) xor d( 25) xor d( 24) xor d( 23) xor d( 22) xor d( 19) xor d( 17) xor d( 15) xor d( 11) xor d( 10) xor d( 7) xor d( 5) xor d( 4) xor d( 1); dq(111) <= d( 62) xor d( 60) xor d( 59) xor d( 55) xor d( 52) xor d( 47) xor d( 46) xor d( 43) xor d( 42) xor d( 41) xor d( 40) xor d( 39) xor d( 33) xor d( 32) xor d( 30) xor d( 29) xor d( 28) xor d( 27) xor d( 26) xor d( 25) xor d( 24) xor d( 23) xor d( 20) xor d( 18) xor d( 16) xor d( 12) xor d( 11) xor d( 8) xor d( 6) xor d( 5) xor d( 2); dq(112) <= d( 63) xor d( 62) xor d( 61) xor d( 60) xor d( 58) xor d( 51) xor d( 50) xor d( 46) xor d( 45) xor d( 44) xor d( 42) xor d( 41) xor d( 40) xor d( 37) xor d( 35) xor d( 31) xor d( 30) xor d( 29) xor d( 27) xor d( 25) xor d( 24) xor d( 23) xor d( 22) xor d( 18) xor d( 14) xor d( 12) xor d( 10) xor d( 9) xor d( 8) xor d( 7) xor d( 5) xor d( 4) xor d( 3) xor d( 0); dq(113) <= d( 63) xor d( 61) xor d( 59) xor d( 58) xor d( 56) xor d( 53) xor d( 52) xor d( 50) xor d( 48) xor d( 42) xor d( 41) xor d( 38) xor d( 37) xor d( 36) xor d( 35) xor d( 34) xor d( 33) xor d( 32) xor d( 31) xor d( 30) xor d( 25) xor d( 24) xor d( 22) xor d( 21) xor d( 18) xor d( 17) xor d( 15) xor d( 14) xor d( 11) xor d( 9) xor d( 1) xor d( 0); dq(114) <= d( 60) xor d( 59) xor d( 58) xor d( 57) xor d( 56) xor d( 54) xor d( 50) xor d( 49) xor d( 48) xor d( 47) xor d( 46) xor d( 45) xor d( 42) xor d( 39) xor d( 38) xor d( 36) xor d( 32) xor d( 31) xor d( 28) xor d( 25) xor d( 21) xor d( 17) xor d( 16) xor d( 15) xor d( 14) xor d( 13) xor d( 12) xor d( 8) xor d( 6) xor d( 5) xor d( 4) xor d( 2) xor d( 1) xor d( 0); dq(115) <= d( 61) xor d( 60) xor d( 59) xor d( 58) xor d( 57) xor d( 55) xor d( 51) xor d( 50) xor d( 49) xor d( 48) xor d( 47) xor d( 46) xor d( 43) xor d( 40) xor d( 39) xor d( 37) xor d( 33) xor d( 32) xor d( 29) xor d( 26) xor d( 22) xor d( 18) xor d( 17) xor d( 16) xor d( 15) xor d( 14) xor d( 13) xor d( 9) xor d( 7) xor d( 6) xor d( 5) xor d( 3) xor d( 2) xor d( 1); dq(116) <= d( 62) xor d( 61) xor d( 60) xor d( 59) xor d( 58) xor d( 56) xor d( 52) xor d( 51) xor d( 50) xor d( 49) xor d( 48) xor d( 47) xor d( 44) xor d( 41) xor d( 40) xor d( 38) xor d( 34) xor d( 33) xor d( 30) xor d( 27) xor d( 23) xor d( 19) xor d( 18) xor d( 17) xor d( 16) xor d( 15) xor d( 14) xor d( 10) xor d( 8) xor d( 7) xor d( 6) xor d( 4) xor d( 3) xor d( 2); dq(117) <= d( 63) xor d( 62) xor d( 61) xor d( 60) xor d( 59) xor d( 57) xor d( 53) xor d( 52) xor d( 51) xor d( 50) xor d( 49) xor d( 48) xor d( 45) xor d( 42) xor d( 41) xor d( 39) xor d( 35) xor d( 34) xor d( 31) xor d( 28) xor d( 24) xor d( 20) xor d( 19) xor d( 18) xor d( 17) xor d( 16) xor d( 15) xor d( 11) xor d( 9) xor d( 8) xor d( 7) xor d( 5) xor d( 4) xor d( 3); dq(118) <= d( 63) xor d( 62) xor d( 61) xor d( 60) xor d( 58) xor d( 54) xor d( 53) xor d( 52) xor d( 51) xor d( 50) xor d( 49) xor d( 46) xor d( 43) xor d( 42) xor d( 40) xor d( 36) xor d( 35) xor d( 32) xor d( 29) xor d( 25) xor d( 21) xor d( 20) xor d( 19) xor d( 18) xor d( 17) xor d( 16) xor d( 12) xor d( 10) xor d( 9) xor d( 8) xor d( 6) xor d( 5) xor d( 4); dq(119) <= d( 63) xor d( 62) xor d( 61) xor d( 59) xor d( 55) xor d( 54) xor d( 53) xor d( 52) xor d( 51) xor d( 50) xor d( 47) xor d( 44) xor d( 43) xor d( 41) xor d( 37) xor d( 36) xor d( 33) xor d( 30) xor d( 26) xor d( 22) xor d( 21) xor d( 20) xor d( 19) xor d( 18) xor d( 17) xor d( 13) xor d( 11) xor d( 10) xor d( 9) xor d( 7) xor d( 6) xor d( 5); dq(120) <= d( 63) xor d( 62) xor d( 60) xor d( 56) xor d( 55) xor d( 54) xor d( 53) xor d( 52) xor d( 51) xor d( 48) xor d( 45) xor d( 44) xor d( 42) xor d( 38) xor d( 37) xor d( 34) xor d( 31) xor d( 27) xor d( 23) xor d( 22) xor d( 21) xor d( 20) xor d( 19) xor d( 18) xor d( 14) xor d( 12) xor d( 11) xor d( 10) xor d( 8) xor d( 7) xor d( 6); dq(121) <= d( 63) xor d( 61) xor d( 57) xor d( 56) xor d( 55) xor d( 54) xor d( 53) xor d( 52) xor d( 49) xor d( 46) xor d( 45) xor d( 43) xor d( 39) xor d( 38) xor d( 35) xor d( 32) xor d( 28) xor d( 24) xor d( 23) xor d( 22) xor d( 21) xor d( 20) xor d( 19) xor d( 15) xor d( 13) xor d( 12) xor d( 11) xor d( 9) xor d( 8) xor d( 7); dq(122) <= d( 57) xor d( 55) xor d( 54) xor d( 51) xor d( 48) xor d( 45) xor d( 44) xor d( 43) xor d( 40) xor d( 39) xor d( 37) xor d( 36) xor d( 35) xor d( 34) xor d( 29) xor d( 28) xor d( 26) xor d( 25) xor d( 24) xor d( 20) xor d( 19) xor d( 18) xor d( 17) xor d( 16) xor d( 12) xor d( 9) xor d( 6) xor d( 5) xor d( 4) xor d( 0); dq(123) <= d( 62) xor d( 55) xor d( 53) xor d( 52) xor d( 51) xor d( 50) xor d( 49) xor d( 48) xor d( 47) xor d( 44) xor d( 43) xor d( 41) xor d( 40) xor d( 38) xor d( 36) xor d( 34) xor d( 33) xor d( 30) xor d( 29) xor d( 28) xor d( 27) xor d( 25) xor d( 23) xor d( 22) xor d( 20) xor d( 14) xor d( 8) xor d( 7) xor d( 4) xor d( 1) xor d( 0); dq(124) <= d( 63) xor d( 62) xor d( 58) xor d( 54) xor d( 52) xor d( 49) xor d( 47) xor d( 46) xor d( 44) xor d( 43) xor d( 42) xor d( 41) xor d( 39) xor d( 33) xor d( 31) xor d( 30) xor d( 29) xor d( 24) xor d( 22) xor d( 19) xor d( 18) xor d( 17) xor d( 15) xor d( 14) xor d( 13) xor d( 10) xor d( 9) xor d( 6) xor d( 4) xor d( 2) xor d( 1) xor d( 0); dq(125) <= d( 63) xor d( 59) xor d( 55) xor d( 53) xor d( 50) xor d( 48) xor d( 47) xor d( 45) xor d( 44) xor d( 43) xor d( 42) xor d( 40) xor d( 34) xor d( 32) xor d( 31) xor d( 30) xor d( 25) xor d( 23) xor d( 20) xor d( 19) xor d( 18) xor d( 16) xor d( 15) xor d( 14) xor d( 11) xor d( 10) xor d( 7) xor d( 5) xor d( 3) xor d( 2) xor d( 1); dq(126) <= d( 60) xor d( 56) xor d( 54) xor d( 51) xor d( 49) xor d( 48) xor d( 46) xor d( 45) xor d( 44) xor d( 43) xor d( 41) xor d( 35) xor d( 33) xor d( 32) xor d( 31) xor d( 26) xor d( 24) xor d( 21) xor d( 20) xor d( 19) xor d( 17) xor d( 16) xor d( 15) xor d( 12) xor d( 11) xor d( 8) xor d( 6) xor d( 4) xor d( 3) xor d( 2); dq(127) <= d( 61) xor d( 57) xor d( 55) xor d( 52) xor d( 50) xor d( 49) xor d( 47) xor d( 46) xor d( 45) xor d( 44) xor d( 42) xor d( 36) xor d( 34) xor d( 33) xor d( 32) xor d( 27) xor d( 25) xor d( 22) xor d( 21) xor d( 20) xor d( 18) xor d( 17) xor d( 16) xor d( 13) xor d( 12) xor d( 9) xor d( 7) xor d( 5) xor d( 4) xor d( 3); end if; end process; process (clk, reset) begin if (reset= '1') then ca <= SEED; rdy <= '0'; elsif (rising_edge(clk)) then rdy <= nd_q; if(nd_q= '1') then if (fd_q= '1') then ca( 0) <= SEED( 64) xor SEED( 68) xor SEED( 69) xor SEED( 70) xor SEED( 72) xor SEED( 74) xor SEED( 77) xor SEED( 78) xor SEED( 81) xor SEED( 82) xor SEED( 83) xor SEED( 85) xor SEED( 86) xor SEED( 87) xor SEED( 90) xor SEED( 92) xor SEED( 97) xor SEED( 98) xor SEED( 99) xor SEED(101) xor SEED(107) xor SEED(109) xor SEED(110) xor SEED(111) xor SEED(112) xor SEED(114) xor SEED(115) xor SEED(117) xor SEED(120) xor SEED(122) xor SEED(126) xor dq( 0); ca( 1) <= SEED( 64) xor SEED( 65) xor SEED( 68) xor SEED( 71) xor SEED( 72) xor SEED( 73) xor SEED( 74) xor SEED( 75) xor SEED( 77) xor SEED( 79) xor SEED( 81) xor SEED( 84) xor SEED( 85) xor SEED( 88) xor SEED( 90) xor SEED( 91) xor SEED( 92) xor SEED( 93) xor SEED( 97) xor SEED(100) xor SEED(101) xor SEED(102) xor SEED(107) xor SEED(108) xor SEED(109) xor SEED(113) xor SEED(114) xor SEED(116) xor SEED(117) xor SEED(118) xor SEED(120) xor SEED(121) xor SEED(122) xor SEED(123) xor SEED(126) xor SEED(127) xor dq( 1); ca( 2) <= SEED( 65) xor SEED( 66) xor SEED( 69) xor SEED( 72) xor SEED( 73) xor SEED( 74) xor SEED( 75) xor SEED( 76) xor SEED( 78) xor SEED( 80) xor SEED( 82) xor SEED( 85) xor SEED( 86) xor SEED( 89) xor SEED( 91) xor SEED( 92) xor SEED( 93) xor SEED( 94) xor SEED( 98) xor SEED(101) xor SEED(102) xor SEED(103) xor SEED(108) xor SEED(109) xor SEED(110) xor SEED(114) xor SEED(115) xor SEED(117) xor SEED(118) xor SEED(119) xor SEED(121) xor SEED(122) xor SEED(123) xor SEED(124) xor SEED(127) xor dq( 2); ca( 3) <= SEED( 64) xor SEED( 66) xor SEED( 67) xor SEED( 68) xor SEED( 69) xor SEED( 72) xor SEED( 73) xor SEED( 75) xor SEED( 76) xor SEED( 78) xor SEED( 79) xor SEED( 82) xor SEED( 85) xor SEED( 93) xor SEED( 94) xor SEED( 95) xor SEED( 97) xor SEED( 98) xor SEED(101) xor SEED(102) xor SEED(103) xor SEED(104) xor SEED(107) xor SEED(112) xor SEED(114) xor SEED(116) xor SEED(117) xor SEED(118) xor SEED(119) xor SEED(123) xor SEED(124) xor SEED(125) xor SEED(126) xor dq( 3); ca( 4) <= SEED( 65) xor SEED( 67) xor SEED( 68) xor SEED( 69) xor SEED( 70) xor SEED( 73) xor SEED( 74) xor SEED( 76) xor SEED( 77) xor SEED( 79) xor SEED( 80) xor SEED( 83) xor SEED( 86) xor SEED( 94) xor SEED( 95) xor SEED( 96) xor SEED( 98) xor SEED( 99) xor SEED(102) xor SEED(103) xor SEED(104) xor SEED(105) xor SEED(108) xor SEED(113) xor SEED(115) xor SEED(117) xor SEED(118) xor SEED(119) xor SEED(120) xor SEED(124) xor SEED(125) xor SEED(126) xor SEED(127) xor dq( 4); ca( 5) <= SEED( 64) xor SEED( 66) xor SEED( 71) xor SEED( 72) xor SEED( 75) xor SEED( 80) xor SEED( 82) xor SEED( 83) xor SEED( 84) xor SEED( 85) xor SEED( 86) xor SEED( 90) xor SEED( 92) xor SEED( 95) xor SEED( 96) xor SEED( 98) xor SEED(100) xor SEED(101) xor SEED(103) xor SEED(104) xor SEED(105) xor SEED(106) xor SEED(107) xor SEED(110) xor SEED(111) xor SEED(112) xor SEED(115) xor SEED(116) xor SEED(117) xor SEED(118) xor SEED(119) xor SEED(121) xor SEED(122) xor SEED(125) xor SEED(127) xor dq( 5); ca( 6) <= SEED( 65) xor SEED( 67) xor SEED( 72) xor SEED( 73) xor SEED( 76) xor SEED( 81) xor SEED( 83) xor SEED( 84) xor SEED( 85) xor SEED( 86) xor SEED( 87) xor SEED( 91) xor SEED( 93) xor SEED( 96) xor SEED( 97) xor SEED( 99) xor SEED(101) xor SEED(102) xor SEED(104) xor SEED(105) xor SEED(106) xor SEED(107) xor SEED(108) xor SEED(111) xor SEED(112) xor SEED(113) xor SEED(116) xor SEED(117) xor SEED(118) xor SEED(119) xor SEED(120) xor SEED(122) xor SEED(123) xor SEED(126) xor dq( 6); ca( 7) <= SEED( 66) xor SEED( 68) xor SEED( 73) xor SEED( 74) xor SEED( 77) xor SEED( 82) xor SEED( 84) xor SEED( 85) xor SEED( 86) xor SEED( 87) xor SEED( 88) xor SEED( 92) xor SEED( 94) xor SEED( 97) xor SEED( 98) xor SEED(100) xor SEED(102) xor SEED(103) xor SEED(105) xor SEED(106) xor SEED(107) xor SEED(108) xor SEED(109) xor SEED(112) xor SEED(113) xor SEED(114) xor SEED(117) xor SEED(118) xor SEED(119) xor SEED(120) xor SEED(121) xor SEED(123) xor SEED(124) xor SEED(127) xor dq( 7); ca( 8) <= SEED( 67) xor SEED( 69) xor SEED( 74) xor SEED( 75) xor SEED( 78) xor SEED( 83) xor SEED( 85) xor SEED( 86) xor SEED( 87) xor SEED( 88) xor SEED( 89) xor SEED( 93) xor SEED( 95) xor SEED( 98) xor SEED( 99) xor SEED(101) xor SEED(103) xor SEED(104) xor SEED(106) xor SEED(107) xor SEED(108) xor SEED(109) xor SEED(110) xor SEED(113) xor SEED(114) xor SEED(115) xor SEED(118) xor SEED(119) xor SEED(120) xor SEED(121) xor SEED(122) xor SEED(124) xor SEED(125) xor dq( 8); ca( 9) <= SEED( 64) xor SEED( 69) xor SEED( 72) xor SEED( 74) xor SEED( 75) xor SEED( 76) xor SEED( 77) xor SEED( 78) xor SEED( 79) xor SEED( 81) xor SEED( 82) xor SEED( 83) xor SEED( 84) xor SEED( 85) xor SEED( 88) xor SEED( 89) xor SEED( 92) xor SEED( 94) xor SEED( 96) xor SEED( 97) xor SEED( 98) xor SEED(100) xor SEED(101) xor SEED(102) xor SEED(104) xor SEED(105) xor SEED(108) xor SEED(112) xor SEED(116) xor SEED(117) xor SEED(119) xor SEED(121) xor SEED(123) xor SEED(125) xor dq( 9); ca( 10) <= SEED( 64) xor SEED( 65) xor SEED( 68) xor SEED( 69) xor SEED( 72) xor SEED( 73) xor SEED( 74) xor SEED( 75) xor SEED( 76) xor SEED( 79) xor SEED( 80) xor SEED( 81) xor SEED( 84) xor SEED( 87) xor SEED( 89) xor SEED( 92) xor SEED( 93) xor SEED( 95) xor SEED(102) xor SEED(103) xor SEED(105) xor SEED(106) xor SEED(107) xor SEED(110) xor SEED(111) xor SEED(112) xor SEED(113) xor SEED(114) xor SEED(115) xor SEED(118) xor SEED(124) xor dq( 10); ca( 11) <= SEED( 65) xor SEED( 66) xor SEED( 69) xor SEED( 70) xor SEED( 73) xor SEED( 74) xor SEED( 75) xor SEED( 76) xor SEED( 77) xor SEED( 80) xor SEED( 81) xor SEED( 82) xor SEED( 85) xor SEED( 88) xor SEED( 90) xor SEED( 93) xor SEED( 94) xor SEED( 96) xor SEED(103) xor SEED(104) xor SEED(106) xor SEED(107) xor SEED(108) xor SEED(111) xor SEED(112) xor SEED(113) xor SEED(114) xor SEED(115) xor SEED(116) xor SEED(119) xor SEED(125) xor dq( 11); ca( 12) <= SEED( 66) xor SEED( 67) xor SEED( 70) xor SEED( 71) xor SEED( 74) xor SEED( 75) xor SEED( 76) xor SEED( 77) xor SEED( 78) xor SEED( 81) xor SEED( 82) xor SEED( 83) xor SEED( 86) xor SEED( 89) xor SEED( 91) xor SEED( 94) xor SEED( 95) xor SEED( 97) xor SEED(104) xor SEED(105) xor SEED(107) xor SEED(108) xor SEED(109) xor SEED(112) xor SEED(113) xor SEED(114) xor SEED(115) xor SEED(116) xor SEED(117) xor SEED(120) xor SEED(126) xor dq( 12); ca( 13) <= SEED( 64) xor SEED( 67) xor SEED( 69) xor SEED( 70) xor SEED( 71) xor SEED( 74) xor SEED( 75) xor SEED( 76) xor SEED( 79) xor SEED( 81) xor SEED( 84) xor SEED( 85) xor SEED( 86) xor SEED( 95) xor SEED( 96) xor SEED( 97) xor SEED( 99) xor SEED(101) xor SEED(105) xor SEED(106) xor SEED(107) xor SEED(108) xor SEED(111) xor SEED(112) xor SEED(113) xor SEED(116) xor SEED(118) xor SEED(120) xor SEED(121) xor SEED(122) xor SEED(126) xor SEED(127) xor dq( 13); ca( 14) <= SEED( 64) xor SEED( 65) xor SEED( 69) xor SEED( 71) xor SEED( 74) xor SEED( 75) xor SEED( 76) xor SEED( 78) xor SEED( 80) xor SEED( 81) xor SEED( 83) xor SEED( 90) xor SEED( 92) xor SEED( 96) xor SEED( 99) xor SEED(100) xor SEED(101) xor SEED(102) xor SEED(106) xor SEED(108) xor SEED(110) xor SEED(111) xor SEED(113) xor SEED(115) xor SEED(119) xor SEED(120) xor SEED(121) xor SEED(123) xor SEED(126) xor SEED(127) xor dq( 14); ca( 15) <= SEED( 65) xor SEED( 66) xor SEED( 70) xor SEED( 72) xor SEED( 75) xor SEED( 76) xor SEED( 77) xor SEED( 79) xor SEED( 81) xor SEED( 82) xor SEED( 84) xor SEED( 91) xor SEED( 93) xor SEED( 97) xor SEED(100) xor SEED(101) xor SEED(102) xor SEED(103) xor SEED(107) xor SEED(109) xor SEED(111) xor SEED(112) xor SEED(114) xor SEED(116) xor SEED(120) xor SEED(121) xor SEED(122) xor SEED(124) xor SEED(127) xor dq( 15); ca( 16) <= SEED( 64) xor SEED( 66) xor SEED( 67) xor SEED( 68) xor SEED( 69) xor SEED( 70) xor SEED( 71) xor SEED( 72) xor SEED( 73) xor SEED( 74) xor SEED( 76) xor SEED( 80) xor SEED( 81) xor SEED( 86) xor SEED( 87) xor SEED( 90) xor SEED( 94) xor SEED( 97) xor SEED( 99) xor SEED(102) xor SEED(103) xor SEED(104) xor SEED(107) xor SEED(108) xor SEED(109) xor SEED(111) xor SEED(113) xor SEED(114) xor SEED(120) xor SEED(121) xor SEED(123) xor SEED(125) xor SEED(126) xor dq( 16); ca( 17) <= SEED( 65) xor SEED( 67) xor SEED( 68) xor SEED( 69) xor SEED( 70) xor SEED( 71) xor SEED( 72) xor SEED( 73) xor SEED( 74) xor SEED( 75) xor SEED( 77) xor SEED( 81) xor SEED( 82) xor SEED( 87) xor SEED( 88) xor SEED( 91) xor SEED( 95) xor SEED( 98) xor SEED(100) xor SEED(103) xor SEED(104) xor SEED(105) xor SEED(108) xor SEED(109) xor SEED(110) xor SEED(112) xor SEED(114) xor SEED(115) xor SEED(121) xor SEED(122) xor SEED(124) xor SEED(126) xor SEED(127) xor dq( 17); ca( 18) <= SEED( 66) xor SEED( 68) xor SEED( 69) xor SEED( 70) xor SEED( 71) xor SEED( 72) xor SEED( 73) xor SEED( 74) xor SEED( 75) xor SEED( 76) xor SEED( 78) xor SEED( 82) xor SEED( 83) xor SEED( 88) xor SEED( 89) xor SEED( 92) xor SEED( 96) xor SEED( 99) xor SEED(101) xor SEED(104) xor SEED(105) xor SEED(106) xor SEED(109) xor SEED(110) xor SEED(111) xor SEED(113) xor SEED(115) xor SEED(116) xor SEED(122) xor SEED(123) xor SEED(125) xor SEED(127) xor dq( 18); ca( 19) <= SEED( 64) xor SEED( 67) xor SEED( 68) xor SEED( 71) xor SEED( 73) xor SEED( 75) xor SEED( 76) xor SEED( 78) xor SEED( 79) xor SEED( 81) xor SEED( 82) xor SEED( 84) xor SEED( 85) xor SEED( 86) xor SEED( 87) xor SEED( 89) xor SEED( 92) xor SEED( 93) xor SEED( 98) xor SEED( 99) xor SEED(100) xor SEED(101) xor SEED(102) xor SEED(105) xor SEED(106) xor SEED(109) xor SEED(115) xor SEED(116) xor SEED(120) xor SEED(122) xor SEED(123) xor SEED(124) xor dq( 19); ca( 20) <= SEED( 64) xor SEED( 65) xor SEED( 70) xor SEED( 76) xor SEED( 78) xor SEED( 79) xor SEED( 80) xor SEED( 81) xor SEED( 88) xor SEED( 92) xor SEED( 93) xor SEED( 94) xor SEED( 97) xor SEED( 98) xor SEED(100) xor SEED(102) xor SEED(103) xor SEED(106) xor SEED(109) xor SEED(111) xor SEED(112) xor SEED(114) xor SEED(115) xor SEED(116) xor SEED(120) xor SEED(121) xor SEED(122) xor SEED(123) xor SEED(124) xor SEED(125) xor SEED(126) xor dq( 20); ca( 21) <= SEED( 64) xor SEED( 65) xor SEED( 66) xor SEED( 68) xor SEED( 69) xor SEED( 70) xor SEED( 71) xor SEED( 72) xor SEED( 74) xor SEED( 78) xor SEED( 79) xor SEED( 80) xor SEED( 83) xor SEED( 85) xor SEED( 86) xor SEED( 87) xor SEED( 89) xor SEED( 90) xor SEED( 92) xor SEED( 93) xor SEED( 94) xor SEED( 95) xor SEED( 97) xor SEED(103) xor SEED(104) xor SEED(109) xor SEED(111) xor SEED(113) xor SEED(114) xor SEED(116) xor SEED(120) xor SEED(121) xor SEED(123) xor SEED(124) xor SEED(125) xor SEED(127) xor dq( 21); ca( 22) <= SEED( 64) xor SEED( 65) xor SEED( 66) xor SEED( 67) xor SEED( 68) xor SEED( 71) xor SEED( 73) xor SEED( 74) xor SEED( 75) xor SEED( 77) xor SEED( 78) xor SEED( 79) xor SEED( 80) xor SEED( 82) xor SEED( 83) xor SEED( 84) xor SEED( 85) xor SEED( 88) xor SEED( 91) xor SEED( 92) xor SEED( 93) xor SEED( 94) xor SEED( 95) xor SEED( 96) xor SEED( 97) xor SEED( 99) xor SEED(101) xor SEED(104) xor SEED(105) xor SEED(107) xor SEED(109) xor SEED(111) xor SEED(120) xor SEED(121) xor SEED(124) xor SEED(125) xor dq( 22); ca( 23) <= SEED( 64) xor SEED( 65) xor SEED( 66) xor SEED( 67) xor SEED( 70) xor SEED( 75) xor SEED( 76) xor SEED( 77) xor SEED( 79) xor SEED( 80) xor SEED( 82) xor SEED( 84) xor SEED( 87) xor SEED( 89) xor SEED( 90) xor SEED( 93) xor SEED( 94) xor SEED( 95) xor SEED( 96) xor SEED( 99) xor SEED(100) xor SEED(101) xor SEED(102) xor SEED(105) xor SEED(106) xor SEED(107) xor SEED(108) xor SEED(109) xor SEED(111) xor SEED(114) xor SEED(115) xor SEED(117) xor SEED(120) xor SEED(121) xor SEED(125) xor dq( 23); ca( 24) <= SEED( 65) xor SEED( 66) xor SEED( 67) xor SEED( 68) xor SEED( 71) xor SEED( 76) xor SEED( 77) xor SEED( 78) xor SEED( 80) xor SEED( 81) xor SEED( 83) xor SEED( 85) xor SEED( 88) xor SEED( 90) xor SEED( 91) xor SEED( 94) xor SEED( 95) xor SEED( 96) xor SEED( 97) xor SEED(100) xor SEED(101) xor SEED(102) xor SEED(103) xor SEED(106) xor SEED(107) xor SEED(108) xor SEED(109) xor SEED(110) xor SEED(112) xor SEED(115) xor SEED(116) xor SEED(118) xor SEED(121) xor SEED(122) xor SEED(126) xor dq( 24); ca( 25) <= SEED( 66) xor SEED( 67) xor SEED( 68) xor SEED( 69) xor SEED( 72) xor SEED( 77) xor SEED( 78) xor SEED( 79) xor SEED( 81) xor SEED( 82) xor SEED( 84) xor SEED( 86) xor SEED( 89) xor SEED( 91) xor SEED( 92) xor SEED( 95) xor SEED( 96) xor SEED( 97) xor SEED( 98) xor SEED(101) xor SEED(102) xor SEED(103) xor SEED(104) xor SEED(107) xor SEED(108) xor SEED(109) xor SEED(110) xor SEED(111) xor SEED(113) xor SEED(116) xor SEED(117) xor SEED(119) xor SEED(122) xor SEED(123) xor SEED(127) xor dq( 25); ca( 26) <= SEED( 64) xor SEED( 67) xor SEED( 72) xor SEED( 73) xor SEED( 74) xor SEED( 77) xor SEED( 79) xor SEED( 80) xor SEED( 81) xor SEED( 86) xor SEED( 93) xor SEED( 96) xor SEED(101) xor SEED(102) xor SEED(103) xor SEED(104) xor SEED(105) xor SEED(107) xor SEED(108) xor SEED(115) xor SEED(118) xor SEED(122) xor SEED(123) xor SEED(124) xor SEED(126) xor dq( 26); ca( 27) <= SEED( 65) xor SEED( 68) xor SEED( 73) xor SEED( 74) xor SEED( 75) xor SEED( 78) xor SEED( 80) xor SEED( 81) xor SEED( 82) xor SEED( 87) xor SEED( 94) xor SEED( 97) xor SEED(102) xor SEED(103) xor SEED(104) xor SEED(105) xor SEED(106) xor SEED(108) xor SEED(109) xor SEED(116) xor SEED(119) xor SEED(123) xor SEED(124) xor SEED(125) xor SEED(127) xor dq( 27); ca( 28) <= SEED( 66) xor SEED( 69) xor SEED( 74) xor SEED( 75) xor SEED( 76) xor SEED( 79) xor SEED( 81) xor SEED( 82) xor SEED( 83) xor SEED( 88) xor SEED( 95) xor SEED( 98) xor SEED(103) xor SEED(104) xor SEED(105) xor SEED(106) xor SEED(107) xor SEED(109) xor SEED(110) xor SEED(117) xor SEED(120) xor SEED(124) xor SEED(125) xor SEED(126) xor dq( 28); ca( 29) <= SEED( 67) xor SEED( 70) xor SEED( 75) xor SEED( 76) xor SEED( 77) xor SEED( 80) xor SEED( 82) xor SEED( 83) xor SEED( 84) xor SEED( 89) xor SEED( 96) xor SEED( 99) xor SEED(104) xor SEED(105) xor SEED(106) xor SEED(107) xor SEED(108) xor SEED(110) xor SEED(111) xor SEED(118) xor SEED(121) xor SEED(125) xor SEED(126) xor SEED(127) xor dq( 29); ca( 30) <= SEED( 68) xor SEED( 71) xor SEED( 76) xor SEED( 77) xor SEED( 78) xor SEED( 81) xor SEED( 83) xor SEED( 84) xor SEED( 85) xor SEED( 90) xor SEED( 97) xor SEED(100) xor SEED(105) xor SEED(106) xor SEED(107) xor SEED(108) xor SEED(109) xor SEED(111) xor SEED(112) xor SEED(119) xor SEED(122) xor SEED(126) xor SEED(127) xor dq( 30); ca( 31) <= SEED( 69) xor SEED( 72) xor SEED( 77) xor SEED( 78) xor SEED( 79) xor SEED( 82) xor SEED( 84) xor SEED( 85) xor SEED( 86) xor SEED( 91) xor SEED( 98) xor SEED(101) xor SEED(106) xor SEED(107) xor SEED(108) xor SEED(109) xor SEED(110) xor SEED(112) xor SEED(113) xor SEED(120) xor SEED(123) xor SEED(127) xor dq( 31); ca( 32) <= SEED( 64) xor SEED( 68) xor SEED( 69) xor SEED( 72) xor SEED( 73) xor SEED( 74) xor SEED( 77) xor SEED( 79) xor SEED( 80) xor SEED( 81) xor SEED( 82) xor SEED( 90) xor SEED( 97) xor SEED( 98) xor SEED(101) xor SEED(102) xor SEED(108) xor SEED(112) xor SEED(113) xor SEED(115) xor SEED(117) xor SEED(120) xor SEED(121) xor SEED(122) xor SEED(124) xor SEED(126) xor dq( 32); ca( 33) <= SEED( 65) xor SEED( 69) xor SEED( 70) xor SEED( 73) xor SEED( 74) xor SEED( 75) xor SEED( 78) xor SEED( 80) xor SEED( 81) xor SEED( 82) xor SEED( 83) xor SEED( 91) xor SEED( 98) xor SEED( 99) xor SEED(102) xor SEED(103) xor SEED(109) xor SEED(113) xor SEED(114) xor SEED(116) xor SEED(118) xor SEED(121) xor SEED(122) xor SEED(123) xor SEED(125) xor SEED(127) xor dq( 33); ca( 34) <= SEED( 64) xor SEED( 66) xor SEED( 68) xor SEED( 69) xor SEED( 71) xor SEED( 72) xor SEED( 75) xor SEED( 76) xor SEED( 77) xor SEED( 78) xor SEED( 79) xor SEED( 84) xor SEED( 85) xor SEED( 86) xor SEED( 87) xor SEED( 90) xor SEED( 97) xor SEED( 98) xor SEED(100) xor SEED(101) xor SEED(103) xor SEED(104) xor SEED(107) xor SEED(109) xor SEED(111) xor SEED(112) xor SEED(119) xor SEED(120) xor SEED(123) xor SEED(124) xor dq( 34); ca( 35) <= SEED( 65) xor SEED( 67) xor SEED( 69) xor SEED( 70) xor SEED( 72) xor SEED( 73) xor SEED( 76) xor SEED( 77) xor SEED( 78) xor SEED( 79) xor SEED( 80) xor SEED( 85) xor SEED( 86) xor SEED( 87) xor SEED( 88) xor SEED( 91) xor SEED( 98) xor SEED( 99) xor SEED(101) xor SEED(102) xor SEED(104) xor SEED(105) xor SEED(108) xor SEED(110) xor SEED(112) xor SEED(113) xor SEED(120) xor SEED(121) xor SEED(124) xor SEED(125) xor dq( 35); ca( 36) <= SEED( 64) xor SEED( 66) xor SEED( 69) xor SEED( 71) xor SEED( 72) xor SEED( 73) xor SEED( 79) xor SEED( 80) xor SEED( 82) xor SEED( 83) xor SEED( 85) xor SEED( 88) xor SEED( 89) xor SEED( 90) xor SEED( 97) xor SEED( 98) xor SEED(100) xor SEED(101) xor SEED(102) xor SEED(103) xor SEED(105) xor SEED(106) xor SEED(107) xor SEED(110) xor SEED(112) xor SEED(113) xor SEED(115) xor SEED(117) xor SEED(120) xor SEED(121) xor SEED(125) xor dq( 36); ca( 37) <= SEED( 64) xor SEED( 65) xor SEED( 67) xor SEED( 68) xor SEED( 69) xor SEED( 73) xor SEED( 77) xor SEED( 78) xor SEED( 80) xor SEED( 82) xor SEED( 84) xor SEED( 85) xor SEED( 87) xor SEED( 89) xor SEED( 91) xor SEED( 92) xor SEED( 97) xor SEED(102) xor SEED(103) xor SEED(104) xor SEED(106) xor SEED(108) xor SEED(109) xor SEED(110) xor SEED(112) xor SEED(113) xor SEED(115) xor SEED(116) xor SEED(117) xor SEED(118) xor SEED(120) xor SEED(121) xor dq( 37); ca( 38) <= SEED( 64) xor SEED( 65) xor SEED( 66) xor SEED( 72) xor SEED( 77) xor SEED( 79) xor SEED( 82) xor SEED( 87) xor SEED( 88) xor SEED( 93) xor SEED( 97) xor SEED( 99) xor SEED(101) xor SEED(103) xor SEED(104) xor SEED(105) xor SEED(112) xor SEED(113) xor SEED(115) xor SEED(116) xor SEED(118) xor SEED(119) xor SEED(120) xor SEED(121) xor SEED(126) xor dq( 38); ca( 39) <= SEED( 65) xor SEED( 66) xor SEED( 67) xor SEED( 73) xor SEED( 78) xor SEED( 80) xor SEED( 83) xor SEED( 88) xor SEED( 89) xor SEED( 94) xor SEED( 98) xor SEED(100) xor SEED(102) xor SEED(104) xor SEED(105) xor SEED(106) xor SEED(113) xor SEED(114) xor SEED(116) xor SEED(117) xor SEED(119) xor SEED(120) xor SEED(121) xor SEED(122) xor SEED(127) xor dq( 39); ca( 40) <= SEED( 64) xor SEED( 66) xor SEED( 67) xor SEED( 69) xor SEED( 70) xor SEED( 72) xor SEED( 77) xor SEED( 78) xor SEED( 79) xor SEED( 82) xor SEED( 83) xor SEED( 84) xor SEED( 85) xor SEED( 86) xor SEED( 87) xor SEED( 89) xor SEED( 92) xor SEED( 95) xor SEED( 97) xor SEED( 98) xor SEED(103) xor SEED(105) xor SEED(106) xor SEED(109) xor SEED(110) xor SEED(111) xor SEED(112) xor SEED(118) xor SEED(121) xor SEED(123) xor SEED(126) xor dq( 40); ca( 41) <= SEED( 65) xor SEED( 67) xor SEED( 68) xor SEED( 70) xor SEED( 71) xor SEED( 73) xor SEED( 78) xor SEED( 79) xor SEED( 80) xor SEED( 83) xor SEED( 84) xor SEED( 85) xor SEED( 86) xor SEED( 87) xor SEED( 88) xor SEED( 90) xor SEED( 93) xor SEED( 96) xor SEED( 98) xor SEED( 99) xor SEED(104) xor SEED(106) xor SEED(107) xor SEED(110) xor SEED(111) xor SEED(112) xor SEED(113) xor SEED(119) xor SEED(122) xor SEED(124) xor SEED(127) xor dq( 41); ca( 42) <= SEED( 64) xor SEED( 66) xor SEED( 70) xor SEED( 71) xor SEED( 77) xor SEED( 78) xor SEED( 79) xor SEED( 80) xor SEED( 82) xor SEED( 83) xor SEED( 84) xor SEED( 88) xor SEED( 89) xor SEED( 90) xor SEED( 91) xor SEED( 92) xor SEED( 94) xor SEED( 98) xor SEED(100) xor SEED(101) xor SEED(105) xor SEED(108) xor SEED(109) xor SEED(110) xor SEED(113) xor SEED(115) xor SEED(117) xor SEED(122) xor SEED(123) xor SEED(125) xor SEED(126) xor dq( 42); ca( 43) <= SEED( 64) xor SEED( 65) xor SEED( 67) xor SEED( 68) xor SEED( 69) xor SEED( 70) xor SEED( 71) xor SEED( 74) xor SEED( 77) xor SEED( 79) xor SEED( 80) xor SEED( 82) xor SEED( 84) xor SEED( 86) xor SEED( 87) xor SEED( 89) xor SEED( 91) xor SEED( 93) xor SEED( 95) xor SEED( 97) xor SEED( 98) xor SEED(102) xor SEED(106) xor SEED(107) xor SEED(112) xor SEED(115) xor SEED(116) xor SEED(117) xor SEED(118) xor SEED(120) xor SEED(122) xor SEED(123) xor SEED(124) xor SEED(127) xor dq( 43); ca( 44) <= SEED( 65) xor SEED( 66) xor SEED( 68) xor SEED( 69) xor SEED( 70) xor SEED( 71) xor SEED( 72) xor SEED( 75) xor SEED( 78) xor SEED( 80) xor SEED( 81) xor SEED( 83) xor SEED( 85) xor SEED( 87) xor SEED( 88) xor SEED( 90) xor SEED( 92) xor SEED( 94) xor SEED( 96) xor SEED( 98) xor SEED( 99) xor SEED(103) xor SEED(107) xor SEED(108) xor SEED(113) xor SEED(116) xor SEED(117) xor SEED(118) xor SEED(119) xor SEED(121) xor SEED(123) xor SEED(124) xor SEED(125) xor dq( 44); ca( 45) <= SEED( 64) xor SEED( 66) xor SEED( 67) xor SEED( 68) xor SEED( 71) xor SEED( 73) xor SEED( 74) xor SEED( 76) xor SEED( 77) xor SEED( 78) xor SEED( 79) xor SEED( 83) xor SEED( 84) xor SEED( 85) xor SEED( 87) xor SEED( 88) xor SEED( 89) xor SEED( 90) xor SEED( 91) xor SEED( 92) xor SEED( 93) xor SEED( 95) xor SEED( 98) xor SEED(100) xor SEED(101) xor SEED(104) xor SEED(107) xor SEED(108) xor SEED(110) xor SEED(111) xor SEED(112) xor SEED(115) xor SEED(118) xor SEED(119) xor SEED(124) xor SEED(125) xor dq( 45); ca( 46) <= SEED( 64) xor SEED( 65) xor SEED( 67) xor SEED( 70) xor SEED( 75) xor SEED( 79) xor SEED( 80) xor SEED( 81) xor SEED( 82) xor SEED( 83) xor SEED( 84) xor SEED( 87) xor SEED( 88) xor SEED( 89) xor SEED( 91) xor SEED( 93) xor SEED( 94) xor SEED( 96) xor SEED( 97) xor SEED( 98) xor SEED(102) xor SEED(105) xor SEED(107) xor SEED(108) xor SEED(110) xor SEED(113) xor SEED(114) xor SEED(115) xor SEED(116) xor SEED(117) xor SEED(119) xor SEED(122) xor SEED(125) xor dq( 46); ca( 47) <= SEED( 65) xor SEED( 66) xor SEED( 68) xor SEED( 71) xor SEED( 76) xor SEED( 80) xor SEED( 81) xor SEED( 82) xor SEED( 83) xor SEED( 84) xor SEED( 85) xor SEED( 88) xor SEED( 89) xor SEED( 90) xor SEED( 92) xor SEED( 94) xor SEED( 95) xor SEED( 97) xor SEED( 98) xor SEED( 99) xor SEED(103) xor SEED(106) xor SEED(108) xor SEED(109) xor SEED(111) xor SEED(114) xor SEED(115) xor SEED(116) xor SEED(117) xor SEED(118) xor SEED(120) xor SEED(123) xor SEED(126) xor dq( 47); ca( 48) <= SEED( 66) xor SEED( 67) xor SEED( 69) xor SEED( 72) xor SEED( 77) xor SEED( 81) xor SEED( 82) xor SEED( 83) xor SEED( 84) xor SEED( 85) xor SEED( 86) xor SEED( 89) xor SEED( 90) xor SEED( 91) xor SEED( 93) xor SEED( 95) xor SEED( 96) xor SEED( 98) xor SEED( 99) xor SEED(100) xor SEED(104) xor SEED(107) xor SEED(109) xor SEED(110) xor SEED(112) xor SEED(115) xor SEED(116) xor SEED(117) xor SEED(118) xor SEED(119) xor SEED(121) xor SEED(124) xor SEED(127) xor dq( 48); ca( 49) <= SEED( 67) xor SEED( 68) xor SEED( 70) xor SEED( 73) xor SEED( 78) xor SEED( 82) xor SEED( 83) xor SEED( 84) xor SEED( 85) xor SEED( 86) xor SEED( 87) xor SEED( 90) xor SEED( 91) xor SEED( 92) xor SEED( 94) xor SEED( 96) xor SEED( 97) xor SEED( 99) xor SEED(100) xor SEED(101) xor SEED(105) xor SEED(108) xor SEED(110) xor SEED(111) xor SEED(113) xor SEED(116) xor SEED(117) xor SEED(118) xor SEED(119) xor SEED(120) xor SEED(122) xor SEED(125) xor dq( 49); ca( 50) <= SEED( 64) xor SEED( 70) xor SEED( 71) xor SEED( 72) xor SEED( 77) xor SEED( 78) xor SEED( 79) xor SEED( 81) xor SEED( 82) xor SEED( 84) xor SEED( 88) xor SEED( 90) xor SEED( 91) xor SEED( 93) xor SEED( 95) xor SEED( 99) xor SEED(100) xor SEED(102) xor SEED(106) xor SEED(107) xor SEED(110) xor SEED(115) xor SEED(118) xor SEED(119) xor SEED(121) xor SEED(122) xor SEED(123) xor dq( 50); ca( 51) <= SEED( 64) xor SEED( 65) xor SEED( 68) xor SEED( 69) xor SEED( 70) xor SEED( 71) xor SEED( 73) xor SEED( 74) xor SEED( 77) xor SEED( 79) xor SEED( 80) xor SEED( 81) xor SEED( 86) xor SEED( 87) xor SEED( 89) xor SEED( 90) xor SEED( 91) xor SEED( 94) xor SEED( 96) xor SEED( 97) xor SEED( 98) xor SEED( 99) xor SEED(100) xor SEED(103) xor SEED(108) xor SEED(109) xor SEED(110) xor SEED(112) xor SEED(114) xor SEED(115) xor SEED(116) xor SEED(117) xor SEED(119) xor SEED(123) xor SEED(124) xor SEED(126) xor dq( 51); ca( 52) <= SEED( 64) xor SEED( 65) xor SEED( 66) xor SEED( 68) xor SEED( 71) xor SEED( 75) xor SEED( 77) xor SEED( 80) xor SEED( 83) xor SEED( 85) xor SEED( 86) xor SEED( 88) xor SEED( 91) xor SEED( 95) xor SEED(100) xor SEED(104) xor SEED(107) xor SEED(112) xor SEED(113) xor SEED(114) xor SEED(116) xor SEED(118) xor SEED(122) xor SEED(124) xor SEED(125) xor SEED(126) xor SEED(127) xor dq( 52); ca( 53) <= SEED( 64) xor SEED( 65) xor SEED( 66) xor SEED( 67) xor SEED( 68) xor SEED( 70) xor SEED( 74) xor SEED( 76) xor SEED( 77) xor SEED( 82) xor SEED( 83) xor SEED( 84) xor SEED( 85) xor SEED( 89) xor SEED( 90) xor SEED( 96) xor SEED( 97) xor SEED( 98) xor SEED( 99) xor SEED(105) xor SEED(107) xor SEED(108) xor SEED(109) xor SEED(110) xor SEED(111) xor SEED(112) xor SEED(113) xor SEED(119) xor SEED(120) xor SEED(122) xor SEED(123) xor SEED(125) xor SEED(127) xor dq( 53); ca( 54) <= SEED( 64) xor SEED( 65) xor SEED( 66) xor SEED( 67) xor SEED( 70) xor SEED( 71) xor SEED( 72) xor SEED( 74) xor SEED( 75) xor SEED( 81) xor SEED( 82) xor SEED( 84) xor SEED( 87) xor SEED( 91) xor SEED( 92) xor SEED(100) xor SEED(101) xor SEED(106) xor SEED(107) xor SEED(108) xor SEED(113) xor SEED(115) xor SEED(117) xor SEED(121) xor SEED(122) xor SEED(123) xor SEED(124) xor dq( 54); ca( 55) <= SEED( 64) xor SEED( 65) xor SEED( 66) xor SEED( 67) xor SEED( 69) xor SEED( 70) xor SEED( 71) xor SEED( 73) xor SEED( 74) xor SEED( 75) xor SEED( 76) xor SEED( 77) xor SEED( 78) xor SEED( 81) xor SEED( 86) xor SEED( 87) xor SEED( 88) xor SEED( 90) xor SEED( 93) xor SEED( 97) xor SEED( 98) xor SEED( 99) xor SEED(102) xor SEED(108) xor SEED(110) xor SEED(111) xor SEED(112) xor SEED(115) xor SEED(116) xor SEED(117) xor SEED(118) xor SEED(120) xor SEED(123) xor SEED(124) xor SEED(125) xor SEED(126) xor dq( 55); ca( 56) <= SEED( 64) xor SEED( 65) xor SEED( 66) xor SEED( 67) xor SEED( 69) xor SEED( 71) xor SEED( 75) xor SEED( 76) xor SEED( 79) xor SEED( 81) xor SEED( 83) xor SEED( 85) xor SEED( 86) xor SEED( 88) xor SEED( 89) xor SEED( 90) xor SEED( 91) xor SEED( 92) xor SEED( 94) xor SEED( 97) xor SEED(100) xor SEED(101) xor SEED(103) xor SEED(107) xor SEED(110) xor SEED(113) xor SEED(114) xor SEED(115) xor SEED(116) xor SEED(118) xor SEED(119) xor SEED(120) xor SEED(121) xor SEED(122) xor SEED(124) xor SEED(125) xor SEED(127) xor dq( 56); ca( 57) <= SEED( 65) xor SEED( 66) xor SEED( 67) xor SEED( 68) xor SEED( 70) xor SEED( 72) xor SEED( 76) xor SEED( 77) xor SEED( 80) xor SEED( 82) xor SEED( 84) xor SEED( 86) xor SEED( 87) xor SEED( 89) xor SEED( 90) xor SEED( 91) xor SEED( 92) xor SEED( 93) xor SEED( 95) xor SEED( 98) xor SEED(101) xor SEED(102) xor SEED(104) xor SEED(108) xor SEED(111) xor SEED(114) xor SEED(115) xor SEED(116) xor SEED(117) xor SEED(119) xor SEED(120) xor SEED(121) xor SEED(122) xor SEED(123) xor SEED(125) xor SEED(126) xor dq( 57); ca( 58) <= SEED( 66) xor SEED( 67) xor SEED( 68) xor SEED( 69) xor SEED( 71) xor SEED( 73) xor SEED( 77) xor SEED( 78) xor SEED( 81) xor SEED( 83) xor SEED( 85) xor SEED( 87) xor SEED( 88) xor SEED( 90) xor SEED( 91) xor SEED( 92) xor SEED( 93) xor SEED( 94) xor SEED( 96) xor SEED( 99) xor SEED(102) xor SEED(103) xor SEED(105) xor SEED(109) xor SEED(112) xor SEED(115) xor SEED(116) xor SEED(117) xor SEED(118) xor SEED(120) xor SEED(121) xor SEED(122) xor SEED(123) xor SEED(124) xor SEED(126) xor SEED(127) xor dq( 58); ca( 59) <= SEED( 64) xor SEED( 67) xor SEED( 77) xor SEED( 79) xor SEED( 81) xor SEED( 83) xor SEED( 84) xor SEED( 85) xor SEED( 87) xor SEED( 88) xor SEED( 89) xor SEED( 90) xor SEED( 91) xor SEED( 93) xor SEED( 94) xor SEED( 95) xor SEED( 98) xor SEED( 99) xor SEED(100) xor SEED(101) xor SEED(103) xor SEED(104) xor SEED(106) xor SEED(107) xor SEED(109) xor SEED(111) xor SEED(112) xor SEED(113) xor SEED(114) xor SEED(115) xor SEED(116) xor SEED(118) xor SEED(119) xor SEED(120) xor SEED(121) xor SEED(123) xor SEED(124) xor SEED(125) xor SEED(126) xor SEED(127) xor dq( 59); ca( 60) <= SEED( 64) xor SEED( 65) xor SEED( 69) xor SEED( 70) xor SEED( 72) xor SEED( 74) xor SEED( 77) xor SEED( 80) xor SEED( 81) xor SEED( 83) xor SEED( 84) xor SEED( 87) xor SEED( 88) xor SEED( 89) xor SEED( 91) xor SEED( 94) xor SEED( 95) xor SEED( 96) xor SEED( 97) xor SEED( 98) xor SEED(100) xor SEED(102) xor SEED(104) xor SEED(105) xor SEED(108) xor SEED(109) xor SEED(111) xor SEED(113) xor SEED(116) xor SEED(119) xor SEED(121) xor SEED(124) xor SEED(125) xor SEED(127) xor dq( 60); ca( 61) <= SEED( 65) xor SEED( 66) xor SEED( 70) xor SEED( 71) xor SEED( 73) xor SEED( 75) xor SEED( 78) xor SEED( 81) xor SEED( 82) xor SEED( 84) xor SEED( 85) xor SEED( 88) xor SEED( 89) xor SEED( 90) xor SEED( 92) xor SEED( 95) xor SEED( 96) xor SEED( 97) xor SEED( 98) xor SEED( 99) xor SEED(101) xor SEED(103) xor SEED(105) xor SEED(106) xor SEED(109) xor SEED(110) xor SEED(112) xor SEED(114) xor SEED(117) xor SEED(120) xor SEED(122) xor SEED(125) xor SEED(126) xor dq( 61); ca( 62) <= SEED( 66) xor SEED( 67) xor SEED( 71) xor SEED( 72) xor SEED( 74) xor SEED( 76) xor SEED( 79) xor SEED( 82) xor SEED( 83) xor SEED( 85) xor SEED( 86) xor SEED( 89) xor SEED( 90) xor SEED( 91) xor SEED( 93) xor SEED( 96) xor SEED( 97) xor SEED( 98) xor SEED( 99) xor SEED(100) xor SEED(102) xor SEED(104) xor SEED(106) xor SEED(107) xor SEED(110) xor SEED(111) xor SEED(113) xor SEED(115) xor SEED(118) xor SEED(121) xor SEED(123) xor SEED(126) xor SEED(127) xor dq( 62); ca( 63) <= SEED( 67) xor SEED( 68) xor SEED( 72) xor SEED( 73) xor SEED( 75) xor SEED( 77) xor SEED( 80) xor SEED( 83) xor SEED( 84) xor SEED( 86) xor SEED( 87) xor SEED( 90) xor SEED( 91) xor SEED( 92) xor SEED( 94) xor SEED( 97) xor SEED( 98) xor SEED( 99) xor SEED(100) xor SEED(101) xor SEED(103) xor SEED(105) xor SEED(107) xor SEED(108) xor SEED(111) xor SEED(112) xor SEED(114) xor SEED(116) xor SEED(119) xor SEED(122) xor SEED(124) xor SEED(127) xor dq( 63); ca( 64) <= SEED( 0) xor SEED( 64) xor SEED( 70) xor SEED( 72) xor SEED( 73) xor SEED( 76) xor SEED( 77) xor SEED( 82) xor SEED( 83) xor SEED( 84) xor SEED( 86) xor SEED( 88) xor SEED( 90) xor SEED( 91) xor SEED( 93) xor SEED( 95) xor SEED( 97) xor SEED(100) xor SEED(102) xor SEED(104) xor SEED(106) xor SEED(107) xor SEED(108) xor SEED(110) xor SEED(111) xor SEED(113) xor SEED(114) xor SEED(122) xor SEED(123) xor SEED(125) xor SEED(126) xor dq( 64); ca( 65) <= SEED( 1) xor SEED( 65) xor SEED( 71) xor SEED( 73) xor SEED( 74) xor SEED( 77) xor SEED( 78) xor SEED( 83) xor SEED( 84) xor SEED( 85) xor SEED( 87) xor SEED( 89) xor SEED( 91) xor SEED( 92) xor SEED( 94) xor SEED( 96) xor SEED( 98) xor SEED(101) xor SEED(103) xor SEED(105) xor SEED(107) xor SEED(108) xor SEED(109) xor SEED(111) xor SEED(112) xor SEED(114) xor SEED(115) xor SEED(123) xor SEED(124) xor SEED(126) xor SEED(127) xor dq( 65); ca( 66) <= SEED( 2) xor SEED( 64) xor SEED( 66) xor SEED( 68) xor SEED( 69) xor SEED( 70) xor SEED( 75) xor SEED( 77) xor SEED( 79) xor SEED( 81) xor SEED( 82) xor SEED( 83) xor SEED( 84) xor SEED( 87) xor SEED( 88) xor SEED( 93) xor SEED( 95) xor SEED( 98) xor SEED(101) xor SEED(102) xor SEED(104) xor SEED(106) xor SEED(107) xor SEED(108) xor SEED(111) xor SEED(113) xor SEED(114) xor SEED(116) xor SEED(117) xor SEED(120) xor SEED(122) xor SEED(124) xor SEED(125) xor SEED(126) xor SEED(127) xor dq( 66); ca( 67) <= SEED( 3) xor SEED( 64) xor SEED( 65) xor SEED( 67) xor SEED( 68) xor SEED( 71) xor SEED( 72) xor SEED( 74) xor SEED( 76) xor SEED( 77) xor SEED( 80) xor SEED( 81) xor SEED( 84) xor SEED( 86) xor SEED( 87) xor SEED( 88) xor SEED( 89) xor SEED( 90) xor SEED( 92) xor SEED( 94) xor SEED( 96) xor SEED( 97) xor SEED( 98) xor SEED(101) xor SEED(102) xor SEED(103) xor SEED(105) xor SEED(108) xor SEED(110) xor SEED(111) xor SEED(118) xor SEED(120) xor SEED(121) xor SEED(122) xor SEED(123) xor SEED(125) xor SEED(127) xor dq( 67); ca( 68) <= SEED( 4) xor SEED( 64) xor SEED( 65) xor SEED( 66) xor SEED( 70) xor SEED( 73) xor SEED( 74) xor SEED( 75) xor SEED( 83) xor SEED( 86) xor SEED( 88) xor SEED( 89) xor SEED( 91) xor SEED( 92) xor SEED( 93) xor SEED( 95) xor SEED(101) xor SEED(102) xor SEED(103) xor SEED(104) xor SEED(106) xor SEED(107) xor SEED(110) xor SEED(114) xor SEED(115) xor SEED(117) xor SEED(119) xor SEED(120) xor SEED(121) xor SEED(123) xor SEED(124) xor dq( 68); ca( 69) <= SEED( 5) xor SEED( 64) xor SEED( 65) xor SEED( 66) xor SEED( 67) xor SEED( 68) xor SEED( 69) xor SEED( 70) xor SEED( 71) xor SEED( 72) xor SEED( 75) xor SEED( 76) xor SEED( 77) xor SEED( 78) xor SEED( 81) xor SEED( 82) xor SEED( 83) xor SEED( 84) xor SEED( 85) xor SEED( 86) xor SEED( 89) xor SEED( 93) xor SEED( 94) xor SEED( 96) xor SEED( 97) xor SEED( 98) xor SEED( 99) xor SEED(101) xor SEED(102) xor SEED(103) xor SEED(104) xor SEED(105) xor SEED(108) xor SEED(109) xor SEED(110) xor SEED(112) xor SEED(114) xor SEED(116) xor SEED(117) xor SEED(118) xor SEED(121) xor SEED(124) xor SEED(125) xor SEED(126) xor dq( 69); ca( 70) <= SEED( 6) xor SEED( 65) xor SEED( 66) xor SEED( 67) xor SEED( 68) xor SEED( 69) xor SEED( 70) xor SEED( 71) xor SEED( 72) xor SEED( 73) xor SEED( 76) xor SEED( 77) xor SEED( 78) xor SEED( 79) xor SEED( 82) xor SEED( 83) xor SEED( 84) xor SEED( 85) xor SEED( 86) xor SEED( 87) xor SEED( 90) xor SEED( 94) xor SEED( 95) xor SEED( 97) xor SEED( 98) xor SEED( 99) xor SEED(100) xor SEED(102) xor SEED(103) xor SEED(104) xor SEED(105) xor SEED(106) xor SEED(109) xor SEED(110) xor SEED(111) xor SEED(113) xor SEED(115) xor SEED(117) xor SEED(118) xor SEED(119) xor SEED(122) xor SEED(125) xor SEED(126) xor SEED(127) xor dq( 70); ca( 71) <= SEED( 7) xor SEED( 64) xor SEED( 66) xor SEED( 67) xor SEED( 71) xor SEED( 73) xor SEED( 79) xor SEED( 80) xor SEED( 81) xor SEED( 82) xor SEED( 84) xor SEED( 88) xor SEED( 90) xor SEED( 91) xor SEED( 92) xor SEED( 95) xor SEED( 96) xor SEED( 97) xor SEED(100) xor SEED(103) xor SEED(104) xor SEED(105) xor SEED(106) xor SEED(109) xor SEED(115) xor SEED(116) xor SEED(117) xor SEED(118) xor SEED(119) xor SEED(122) xor SEED(123) xor SEED(127) xor dq( 71); ca( 72) <= SEED( 8) xor SEED( 64) xor SEED( 65) xor SEED( 67) xor SEED( 69) xor SEED( 70) xor SEED( 77) xor SEED( 78) xor SEED( 80) xor SEED( 86) xor SEED( 87) xor SEED( 89) xor SEED( 90) xor SEED( 91) xor SEED( 93) xor SEED( 96) xor SEED( 99) xor SEED(104) xor SEED(105) xor SEED(106) xor SEED(109) xor SEED(111) xor SEED(112) xor SEED(114) xor SEED(115) xor SEED(116) xor SEED(118) xor SEED(119) xor SEED(122) xor SEED(123) xor SEED(124) xor SEED(126) xor dq( 72); ca( 73) <= SEED( 9) xor SEED( 64) xor SEED( 65) xor SEED( 66) xor SEED( 69) xor SEED( 71) xor SEED( 72) xor SEED( 74) xor SEED( 77) xor SEED( 79) xor SEED( 82) xor SEED( 83) xor SEED( 85) xor SEED( 86) xor SEED( 88) xor SEED( 91) xor SEED( 94) xor SEED( 98) xor SEED( 99) xor SEED(100) xor SEED(101) xor SEED(105) xor SEED(106) xor SEED(109) xor SEED(111) xor SEED(113) xor SEED(114) xor SEED(116) xor SEED(119) xor SEED(122) xor SEED(123) xor SEED(124) xor SEED(125) xor SEED(126) xor SEED(127) xor dq( 73); ca( 74) <= SEED( 10) xor SEED( 64) xor SEED( 65) xor SEED( 66) xor SEED( 67) xor SEED( 68) xor SEED( 69) xor SEED( 73) xor SEED( 74) xor SEED( 75) xor SEED( 77) xor SEED( 80) xor SEED( 81) xor SEED( 82) xor SEED( 84) xor SEED( 85) xor SEED( 89) xor SEED( 90) xor SEED( 95) xor SEED( 97) xor SEED( 98) xor SEED(100) xor SEED(102) xor SEED(106) xor SEED(109) xor SEED(111) xor SEED(122) xor SEED(123) xor SEED(124) xor SEED(125) xor SEED(127) xor dq( 74); ca( 75) <= SEED( 11) xor SEED( 65) xor SEED( 66) xor SEED( 67) xor SEED( 68) xor SEED( 69) xor SEED( 70) xor SEED( 74) xor SEED( 75) xor SEED( 76) xor SEED( 78) xor SEED( 81) xor SEED( 82) xor SEED( 83) xor SEED( 85) xor SEED( 86) xor SEED( 90) xor SEED( 91) xor SEED( 96) xor SEED( 98) xor SEED( 99) xor SEED(101) xor SEED(103) xor SEED(107) xor SEED(110) xor SEED(112) xor SEED(123) xor SEED(124) xor SEED(125) xor SEED(126) xor dq( 75); ca( 76) <= SEED( 12) xor SEED( 64) xor SEED( 66) xor SEED( 67) xor SEED( 71) xor SEED( 72) xor SEED( 74) xor SEED( 75) xor SEED( 76) xor SEED( 78) xor SEED( 79) xor SEED( 81) xor SEED( 84) xor SEED( 85) xor SEED( 90) xor SEED( 91) xor SEED( 98) xor SEED(100) xor SEED(101) xor SEED(102) xor SEED(104) xor SEED(107) xor SEED(108) xor SEED(109) xor SEED(110) xor SEED(112) xor SEED(113) xor SEED(114) xor SEED(115) xor SEED(117) xor SEED(120) xor SEED(122) xor SEED(124) xor SEED(125) xor SEED(127) xor dq( 76); ca( 77) <= SEED( 13) xor SEED( 65) xor SEED( 67) xor SEED( 68) xor SEED( 72) xor SEED( 73) xor SEED( 75) xor SEED( 76) xor SEED( 77) xor SEED( 79) xor SEED( 80) xor SEED( 82) xor SEED( 85) xor SEED( 86) xor SEED( 91) xor SEED( 92) xor SEED( 99) xor SEED(101) xor SEED(102) xor SEED(103) xor SEED(105) xor SEED(108) xor SEED(109) xor SEED(110) xor SEED(111) xor SEED(113) xor SEED(114) xor SEED(115) xor SEED(116) xor SEED(118) xor SEED(121) xor SEED(123) xor SEED(125) xor SEED(126) xor dq( 77); ca( 78) <= SEED( 14) xor SEED( 66) xor SEED( 68) xor SEED( 69) xor SEED( 73) xor SEED( 74) xor SEED( 76) xor SEED( 77) xor SEED( 78) xor SEED( 80) xor SEED( 81) xor SEED( 83) xor SEED( 86) xor SEED( 87) xor SEED( 92) xor SEED( 93) xor SEED(100) xor SEED(102) xor SEED(103) xor SEED(104) xor SEED(106) xor SEED(109) xor SEED(110) xor SEED(111) xor SEED(112) xor SEED(114) xor SEED(115) xor SEED(116) xor SEED(117) xor SEED(119) xor SEED(122) xor SEED(124) xor SEED(126) xor SEED(127) xor dq( 78); ca( 79) <= SEED( 15) xor SEED( 64) xor SEED( 67) xor SEED( 68) xor SEED( 72) xor SEED( 75) xor SEED( 79) xor SEED( 83) xor SEED( 84) xor SEED( 85) xor SEED( 86) xor SEED( 88) xor SEED( 90) xor SEED( 92) xor SEED( 93) xor SEED( 94) xor SEED( 97) xor SEED( 98) xor SEED( 99) xor SEED(103) xor SEED(104) xor SEED(105) xor SEED(109) xor SEED(113) xor SEED(114) xor SEED(116) xor SEED(118) xor SEED(122) xor SEED(123) xor SEED(125) xor SEED(126) xor SEED(127) xor dq( 79); ca( 80) <= SEED( 16) xor SEED( 64) xor SEED( 65) xor SEED( 70) xor SEED( 72) xor SEED( 73) xor SEED( 74) xor SEED( 76) xor SEED( 77) xor SEED( 78) xor SEED( 80) xor SEED( 81) xor SEED( 82) xor SEED( 83) xor SEED( 84) xor SEED( 89) xor SEED( 90) xor SEED( 91) xor SEED( 92) xor SEED( 93) xor SEED( 94) xor SEED( 95) xor SEED( 97) xor SEED(100) xor SEED(101) xor SEED(104) xor SEED(105) xor SEED(106) xor SEED(107) xor SEED(109) xor SEED(111) xor SEED(112) xor SEED(119) xor SEED(120) xor SEED(122) xor SEED(123) xor SEED(124) xor SEED(127) xor dq( 80); ca( 81) <= SEED( 17) xor SEED( 64) xor SEED( 65) xor SEED( 66) xor SEED( 68) xor SEED( 69) xor SEED( 70) xor SEED( 71) xor SEED( 72) xor SEED( 73) xor SEED( 75) xor SEED( 79) xor SEED( 84) xor SEED( 86) xor SEED( 87) xor SEED( 91) xor SEED( 93) xor SEED( 94) xor SEED( 95) xor SEED( 96) xor SEED( 97) xor SEED( 99) xor SEED(102) xor SEED(105) xor SEED(106) xor SEED(108) xor SEED(109) xor SEED(111) xor SEED(113) xor SEED(114) xor SEED(115) xor SEED(117) xor SEED(121) xor SEED(122) xor SEED(123) xor SEED(124) xor SEED(125) xor SEED(126) xor dq( 81); ca( 82) <= SEED( 18) xor SEED( 64) xor SEED( 65) xor SEED( 66) xor SEED( 67) xor SEED( 68) xor SEED( 71) xor SEED( 73) xor SEED( 76) xor SEED( 77) xor SEED( 78) xor SEED( 80) xor SEED( 81) xor SEED( 82) xor SEED( 83) xor SEED( 86) xor SEED( 88) xor SEED( 90) xor SEED( 94) xor SEED( 95) xor SEED( 96) xor SEED( 99) xor SEED(100) xor SEED(101) xor SEED(103) xor SEED(106) xor SEED(111) xor SEED(116) xor SEED(117) xor SEED(118) xor SEED(120) xor SEED(123) xor SEED(124) xor SEED(125) xor SEED(127) xor dq( 82); ca( 83) <= SEED( 19) xor SEED( 65) xor SEED( 66) xor SEED( 67) xor SEED( 68) xor SEED( 69) xor SEED( 72) xor SEED( 74) xor SEED( 77) xor SEED( 78) xor SEED( 79) xor SEED( 81) xor SEED( 82) xor SEED( 83) xor SEED( 84) xor SEED( 87) xor SEED( 89) xor SEED( 91) xor SEED( 95) xor SEED( 96) xor SEED( 97) xor SEED(100) xor SEED(101) xor SEED(102) xor SEED(104) xor SEED(107) xor SEED(112) xor SEED(117) xor SEED(118) xor SEED(119) xor SEED(121) xor SEED(124) xor SEED(125) xor SEED(126) xor dq( 83); ca( 84) <= SEED( 20) xor SEED( 66) xor SEED( 67) xor SEED( 68) xor SEED( 69) xor SEED( 70) xor SEED( 73) xor SEED( 75) xor SEED( 78) xor SEED( 79) xor SEED( 80) xor SEED( 82) xor SEED( 83) xor SEED( 84) xor SEED( 85) xor SEED( 88) xor SEED( 90) xor SEED( 92) xor SEED( 96) xor SEED( 97) xor SEED( 98) xor SEED(101) xor SEED(102) xor SEED(103) xor SEED(105) xor SEED(108) xor SEED(113) xor SEED(118) xor SEED(119) xor SEED(120) xor SEED(122) xor SEED(125) xor SEED(126) xor SEED(127) xor dq( 84); ca( 85) <= SEED( 21) xor SEED( 64) xor SEED( 67) xor SEED( 71) xor SEED( 72) xor SEED( 76) xor SEED( 77) xor SEED( 78) xor SEED( 79) xor SEED( 80) xor SEED( 82) xor SEED( 84) xor SEED( 87) xor SEED( 89) xor SEED( 90) xor SEED( 91) xor SEED( 92) xor SEED( 93) xor SEED(101) xor SEED(102) xor SEED(103) xor SEED(104) xor SEED(106) xor SEED(107) xor SEED(110) xor SEED(111) xor SEED(112) xor SEED(115) xor SEED(117) xor SEED(119) xor SEED(121) xor SEED(122) xor SEED(123) xor SEED(127) xor dq( 85); ca( 86) <= SEED( 22) xor SEED( 65) xor SEED( 68) xor SEED( 72) xor SEED( 73) xor SEED( 77) xor SEED( 78) xor SEED( 79) xor SEED( 80) xor SEED( 81) xor SEED( 83) xor SEED( 85) xor SEED( 88) xor SEED( 90) xor SEED( 91) xor SEED( 92) xor SEED( 93) xor SEED( 94) xor SEED(102) xor SEED(103) xor SEED(104) xor SEED(105) xor SEED(107) xor SEED(108) xor SEED(111) xor SEED(112) xor SEED(113) xor SEED(116) xor SEED(118) xor SEED(120) xor SEED(122) xor SEED(123) xor SEED(124) xor dq( 86); ca( 87) <= SEED( 23) xor SEED( 66) xor SEED( 69) xor SEED( 73) xor SEED( 74) xor SEED( 78) xor SEED( 79) xor SEED( 80) xor SEED( 81) xor SEED( 82) xor SEED( 84) xor SEED( 86) xor SEED( 89) xor SEED( 91) xor SEED( 92) xor SEED( 93) xor SEED( 94) xor SEED( 95) xor SEED(103) xor SEED(104) xor SEED(105) xor SEED(106) xor SEED(108) xor SEED(109) xor SEED(112) xor SEED(113) xor SEED(114) xor SEED(117) xor SEED(119) xor SEED(121) xor SEED(123) xor SEED(124) xor SEED(125) xor dq( 87); ca( 88) <= SEED( 24) xor SEED( 64) xor SEED( 67) xor SEED( 68) xor SEED( 69) xor SEED( 72) xor SEED( 75) xor SEED( 77) xor SEED( 78) xor SEED( 79) xor SEED( 80) xor SEED( 86) xor SEED( 93) xor SEED( 94) xor SEED( 95) xor SEED( 96) xor SEED( 97) xor SEED( 98) xor SEED( 99) xor SEED(101) xor SEED(104) xor SEED(105) xor SEED(106) xor SEED(111) xor SEED(112) xor SEED(113) xor SEED(117) xor SEED(118) xor SEED(124) xor SEED(125) xor dq( 88); ca( 89) <= SEED( 25) xor SEED( 65) xor SEED( 68) xor SEED( 69) xor SEED( 70) xor SEED( 73) xor SEED( 76) xor SEED( 78) xor SEED( 79) xor SEED( 80) xor SEED( 81) xor SEED( 87) xor SEED( 94) xor SEED( 95) xor SEED( 96) xor SEED( 97) xor SEED( 98) xor SEED( 99) xor SEED(100) xor SEED(102) xor SEED(105) xor SEED(106) xor SEED(107) xor SEED(112) xor SEED(113) xor SEED(114) xor SEED(118) xor SEED(119) xor SEED(125) xor SEED(126) xor dq( 89); ca( 90) <= SEED( 26) xor SEED( 66) xor SEED( 69) xor SEED( 70) xor SEED( 71) xor SEED( 74) xor SEED( 77) xor SEED( 79) xor SEED( 80) xor SEED( 81) xor SEED( 82) xor SEED( 88) xor SEED( 95) xor SEED( 96) xor SEED( 97) xor SEED( 98) xor SEED( 99) xor SEED(100) xor SEED(101) xor SEED(103) xor SEED(106) xor SEED(107) xor SEED(108) xor SEED(113) xor SEED(114) xor SEED(115) xor SEED(119) xor SEED(120) xor SEED(126) xor SEED(127) xor dq( 90); ca( 91) <= SEED( 27) xor SEED( 67) xor SEED( 70) xor SEED( 71) xor SEED( 72) xor SEED( 75) xor SEED( 78) xor SEED( 80) xor SEED( 81) xor SEED( 82) xor SEED( 83) xor SEED( 89) xor SEED( 96) xor SEED( 97) xor SEED( 98) xor SEED( 99) xor SEED(100) xor SEED(101) xor SEED(102) xor SEED(104) xor SEED(107) xor SEED(108) xor SEED(109) xor SEED(114) xor SEED(115) xor SEED(116) xor SEED(120) xor SEED(121) xor SEED(127) xor dq( 91); ca( 92) <= SEED( 28) xor SEED( 64) xor SEED( 69) xor SEED( 70) xor SEED( 71) xor SEED( 73) xor SEED( 74) xor SEED( 76) xor SEED( 77) xor SEED( 78) xor SEED( 79) xor SEED( 84) xor SEED( 85) xor SEED( 86) xor SEED( 87) xor SEED( 92) xor SEED(100) xor SEED(102) xor SEED(103) xor SEED(105) xor SEED(107) xor SEED(108) xor SEED(111) xor SEED(112) xor SEED(114) xor SEED(116) xor SEED(120) xor SEED(121) xor SEED(126) xor dq( 92); ca( 93) <= SEED( 29) xor SEED( 64) xor SEED( 65) xor SEED( 68) xor SEED( 69) xor SEED( 71) xor SEED( 75) xor SEED( 79) xor SEED( 80) xor SEED( 81) xor SEED( 82) xor SEED( 83) xor SEED( 88) xor SEED( 90) xor SEED( 92) xor SEED( 93) xor SEED( 97) xor SEED( 98) xor SEED( 99) xor SEED(103) xor SEED(104) xor SEED(106) xor SEED(107) xor SEED(108) xor SEED(110) xor SEED(111) xor SEED(113) xor SEED(114) xor SEED(120) xor SEED(121) xor SEED(126) xor SEED(127) xor dq( 93); ca( 94) <= SEED( 30) xor SEED( 64) xor SEED( 65) xor SEED( 66) xor SEED( 68) xor SEED( 74) xor SEED( 76) xor SEED( 77) xor SEED( 78) xor SEED( 80) xor SEED( 84) xor SEED( 85) xor SEED( 86) xor SEED( 87) xor SEED( 89) xor SEED( 90) xor SEED( 91) xor SEED( 92) xor SEED( 93) xor SEED( 94) xor SEED( 97) xor SEED(100) xor SEED(101) xor SEED(104) xor SEED(105) xor SEED(108) xor SEED(110) xor SEED(117) xor SEED(120) xor SEED(121) xor SEED(126) xor SEED(127) xor dq( 94); ca( 95) <= SEED( 31) xor SEED( 65) xor SEED( 66) xor SEED( 67) xor SEED( 69) xor SEED( 75) xor SEED( 77) xor SEED( 78) xor SEED( 79) xor SEED( 81) xor SEED( 85) xor SEED( 86) xor SEED( 87) xor SEED( 88) xor SEED( 90) xor SEED( 91) xor SEED( 92) xor SEED( 93) xor SEED( 94) xor SEED( 95) xor SEED( 98) xor SEED(101) xor SEED(102) xor SEED(105) xor SEED(106) xor SEED(109) xor SEED(111) xor SEED(118) xor SEED(121) xor SEED(122) xor SEED(127) xor dq( 95); ca( 96) <= SEED( 32) xor SEED( 64) xor SEED( 66) xor SEED( 67) xor SEED( 69) xor SEED( 72) xor SEED( 74) xor SEED( 76) xor SEED( 77) xor SEED( 79) xor SEED( 80) xor SEED( 81) xor SEED( 83) xor SEED( 85) xor SEED( 88) xor SEED( 89) xor SEED( 90) xor SEED( 91) xor SEED( 93) xor SEED( 94) xor SEED( 95) xor SEED( 96) xor SEED( 97) xor SEED( 98) xor SEED(101) xor SEED(102) xor SEED(103) xor SEED(106) xor SEED(109) xor SEED(111) xor SEED(114) xor SEED(115) xor SEED(117) xor SEED(119) xor SEED(120) xor SEED(123) xor SEED(126) xor dq( 96); ca( 97) <= SEED( 33) xor SEED( 64) xor SEED( 65) xor SEED( 67) xor SEED( 69) xor SEED( 72) xor SEED( 73) xor SEED( 74) xor SEED( 75) xor SEED( 80) xor SEED( 83) xor SEED( 84) xor SEED( 85) xor SEED( 87) xor SEED( 89) xor SEED( 91) xor SEED( 94) xor SEED( 95) xor SEED( 96) xor SEED(101) xor SEED(102) xor SEED(103) xor SEED(104) xor SEED(109) xor SEED(111) xor SEED(114) xor SEED(116) xor SEED(117) xor SEED(118) xor SEED(121) xor SEED(122) xor SEED(124) xor SEED(126) xor SEED(127) xor dq( 97); ca( 98) <= SEED( 34) xor SEED( 64) xor SEED( 65) xor SEED( 66) xor SEED( 69) xor SEED( 72) xor SEED( 73) xor SEED( 75) xor SEED( 76) xor SEED( 77) xor SEED( 78) xor SEED( 82) xor SEED( 83) xor SEED( 84) xor SEED( 87) xor SEED( 88) xor SEED( 95) xor SEED( 96) xor SEED( 98) xor SEED( 99) xor SEED(101) xor SEED(102) xor SEED(103) xor SEED(104) xor SEED(105) xor SEED(107) xor SEED(109) xor SEED(111) xor SEED(114) xor SEED(118) xor SEED(119) xor SEED(120) xor SEED(123) xor SEED(125) xor SEED(126) xor SEED(127) xor dq( 98); ca( 99) <= SEED( 35) xor SEED( 64) xor SEED( 65) xor SEED( 66) xor SEED( 67) xor SEED( 68) xor SEED( 69) xor SEED( 72) xor SEED( 73) xor SEED( 76) xor SEED( 79) xor SEED( 81) xor SEED( 82) xor SEED( 84) xor SEED( 86) xor SEED( 87) xor SEED( 88) xor SEED( 89) xor SEED( 90) xor SEED( 92) xor SEED( 96) xor SEED( 98) xor SEED(100) xor SEED(101) xor SEED(102) xor SEED(103) xor SEED(104) xor SEED(105) xor SEED(106) xor SEED(107) xor SEED(108) xor SEED(109) xor SEED(111) xor SEED(114) xor SEED(117) xor SEED(119) xor SEED(121) xor SEED(122) xor SEED(124) xor SEED(127) xor dq( 99); ca(100) <= SEED( 36) xor SEED( 64) xor SEED( 65) xor SEED( 66) xor SEED( 67) xor SEED( 72) xor SEED( 73) xor SEED( 78) xor SEED( 80) xor SEED( 81) xor SEED( 86) xor SEED( 88) xor SEED( 89) xor SEED( 91) xor SEED( 92) xor SEED( 93) xor SEED( 98) xor SEED(102) xor SEED(103) xor SEED(104) xor SEED(105) xor SEED(106) xor SEED(108) xor SEED(111) xor SEED(114) xor SEED(117) xor SEED(118) xor SEED(123) xor SEED(125) xor SEED(126) xor dq(100); ca(101) <= SEED( 37) xor SEED( 65) xor SEED( 66) xor SEED( 67) xor SEED( 68) xor SEED( 73) xor SEED( 74) xor SEED( 79) xor SEED( 81) xor SEED( 82) xor SEED( 87) xor SEED( 89) xor SEED( 90) xor SEED( 92) xor SEED( 93) xor SEED( 94) xor SEED( 99) xor SEED(103) xor SEED(104) xor SEED(105) xor SEED(106) xor SEED(107) xor SEED(109) xor SEED(112) xor SEED(115) xor SEED(118) xor SEED(119) xor SEED(124) xor SEED(126) xor SEED(127) xor dq(101); ca(102) <= SEED( 38) xor SEED( 64) xor SEED( 66) xor SEED( 67) xor SEED( 70) xor SEED( 72) xor SEED( 75) xor SEED( 77) xor SEED( 78) xor SEED( 80) xor SEED( 81) xor SEED( 85) xor SEED( 86) xor SEED( 87) xor SEED( 88) xor SEED( 91) xor SEED( 92) xor SEED( 93) xor SEED( 94) xor SEED( 95) xor SEED( 97) xor SEED( 98) xor SEED( 99) xor SEED(100) xor SEED(101) xor SEED(104) xor SEED(105) xor SEED(106) xor SEED(108) xor SEED(109) xor SEED(111) xor SEED(112) xor SEED(113) xor SEED(114) xor SEED(115) xor SEED(116) xor SEED(117) xor SEED(119) xor SEED(122) xor SEED(125) xor SEED(126) xor SEED(127) xor dq(102); ca(103) <= SEED( 39) xor SEED( 65) xor SEED( 67) xor SEED( 68) xor SEED( 71) xor SEED( 73) xor SEED( 76) xor SEED( 78) xor SEED( 79) xor SEED( 81) xor SEED( 82) xor SEED( 86) xor SEED( 87) xor SEED( 88) xor SEED( 89) xor SEED( 92) xor SEED( 93) xor SEED( 94) xor SEED( 95) xor SEED( 96) xor SEED( 98) xor SEED( 99) xor SEED(100) xor SEED(101) xor SEED(102) xor SEED(105) xor SEED(106) xor SEED(107) xor SEED(109) xor SEED(110) xor SEED(112) xor SEED(113) xor SEED(114) xor SEED(115) xor SEED(116) xor SEED(117) xor SEED(118) xor SEED(120) xor SEED(123) xor SEED(126) xor SEED(127) xor dq(103); ca(104) <= SEED( 40) xor SEED( 64) xor SEED( 66) xor SEED( 70) xor SEED( 78) xor SEED( 79) xor SEED( 80) xor SEED( 81) xor SEED( 85) xor SEED( 86) xor SEED( 88) xor SEED( 89) xor SEED( 92) xor SEED( 93) xor SEED( 94) xor SEED( 95) xor SEED( 96) xor SEED( 98) xor SEED(100) xor SEED(102) xor SEED(103) xor SEED(106) xor SEED(108) xor SEED(109) xor SEED(112) xor SEED(113) xor SEED(116) xor SEED(118) xor SEED(119) xor SEED(120) xor SEED(121) xor SEED(122) xor SEED(124) xor SEED(126) xor SEED(127) xor dq(104); ca(105) <= SEED( 41) xor SEED( 65) xor SEED( 67) xor SEED( 71) xor SEED( 79) xor SEED( 80) xor SEED( 81) xor SEED( 82) xor SEED( 86) xor SEED( 87) xor SEED( 89) xor SEED( 90) xor SEED( 93) xor SEED( 94) xor SEED( 95) xor SEED( 96) xor SEED( 97) xor SEED( 99) xor SEED(101) xor SEED(103) xor SEED(104) xor SEED(107) xor SEED(109) xor SEED(110) xor SEED(113) xor SEED(114) xor SEED(117) xor SEED(119) xor SEED(120) xor SEED(121) xor SEED(122) xor SEED(123) xor SEED(125) xor SEED(127) xor dq(105); ca(106) <= SEED( 42) xor SEED( 64) xor SEED( 66) xor SEED( 69) xor SEED( 70) xor SEED( 74) xor SEED( 77) xor SEED( 78) xor SEED( 80) xor SEED( 85) xor SEED( 86) xor SEED( 88) xor SEED( 91) xor SEED( 92) xor SEED( 94) xor SEED( 95) xor SEED( 96) xor SEED( 99) xor SEED(100) xor SEED(101) xor SEED(102) xor SEED(104) xor SEED(105) xor SEED(107) xor SEED(108) xor SEED(109) xor SEED(112) xor SEED(117) xor SEED(118) xor SEED(121) xor SEED(123) xor SEED(124) xor dq(106); ca(107) <= SEED( 43) xor SEED( 65) xor SEED( 67) xor SEED( 70) xor SEED( 71) xor SEED( 75) xor SEED( 78) xor SEED( 79) xor SEED( 81) xor SEED( 86) xor SEED( 87) xor SEED( 89) xor SEED( 92) xor SEED( 93) xor SEED( 95) xor SEED( 96) xor SEED( 97) xor SEED(100) xor SEED(101) xor SEED(102) xor SEED(103) xor SEED(105) xor SEED(106) xor SEED(108) xor SEED(109) xor SEED(110) xor SEED(113) xor SEED(118) xor SEED(119) xor SEED(122) xor SEED(124) xor SEED(125) xor dq(107); ca(108) <= SEED( 44) xor SEED( 66) xor SEED( 68) xor SEED( 71) xor SEED( 72) xor SEED( 76) xor SEED( 79) xor SEED( 80) xor SEED( 82) xor SEED( 87) xor SEED( 88) xor SEED( 90) xor SEED( 93) xor SEED( 94) xor SEED( 96) xor SEED( 97) xor SEED( 98) xor SEED(101) xor SEED(102) xor SEED(103) xor SEED(104) xor SEED(106) xor SEED(107) xor SEED(109) xor SEED(110) xor SEED(111) xor SEED(114) xor SEED(119) xor SEED(120) xor SEED(123) xor SEED(125) xor SEED(126) xor dq(108); ca(109) <= SEED( 45) xor SEED( 64) xor SEED( 67) xor SEED( 68) xor SEED( 70) xor SEED( 73) xor SEED( 74) xor SEED( 78) xor SEED( 80) xor SEED( 82) xor SEED( 85) xor SEED( 86) xor SEED( 87) xor SEED( 88) xor SEED( 89) xor SEED( 90) xor SEED( 91) xor SEED( 92) xor SEED( 94) xor SEED( 95) xor SEED(101) xor SEED(102) xor SEED(103) xor SEED(104) xor SEED(105) xor SEED(108) xor SEED(109) xor SEED(114) xor SEED(117) xor SEED(121) xor SEED(122) xor SEED(124) xor SEED(127) xor dq(109); ca(110) <= SEED( 46) xor SEED( 65) xor SEED( 68) xor SEED( 69) xor SEED( 71) xor SEED( 74) xor SEED( 75) xor SEED( 79) xor SEED( 81) xor SEED( 83) xor SEED( 86) xor SEED( 87) xor SEED( 88) xor SEED( 89) xor SEED( 90) xor SEED( 91) xor SEED( 92) xor SEED( 93) xor SEED( 95) xor SEED( 96) xor SEED(102) xor SEED(103) xor SEED(104) xor SEED(105) xor SEED(106) xor SEED(109) xor SEED(110) xor SEED(115) xor SEED(118) xor SEED(122) xor SEED(123) xor SEED(125) xor dq(110); ca(111) <= SEED( 47) xor SEED( 66) xor SEED( 69) xor SEED( 70) xor SEED( 72) xor SEED( 75) xor SEED( 76) xor SEED( 80) xor SEED( 82) xor SEED( 84) xor SEED( 87) xor SEED( 88) xor SEED( 89) xor SEED( 90) xor SEED( 91) xor SEED( 92) xor SEED( 93) xor SEED( 94) xor SEED( 96) xor SEED( 97) xor SEED(103) xor SEED(104) xor SEED(105) xor SEED(106) xor SEED(107) xor SEED(110) xor SEED(111) xor SEED(116) xor SEED(119) xor SEED(123) xor SEED(124) xor SEED(126) xor dq(111); ca(112) <= SEED( 48) xor SEED( 64) xor SEED( 67) xor SEED( 68) xor SEED( 69) xor SEED( 71) xor SEED( 72) xor SEED( 73) xor SEED( 74) xor SEED( 76) xor SEED( 78) xor SEED( 82) xor SEED( 86) xor SEED( 87) xor SEED( 88) xor SEED( 89) xor SEED( 91) xor SEED( 93) xor SEED( 94) xor SEED( 95) xor SEED( 99) xor SEED(101) xor SEED(104) xor SEED(105) xor SEED(106) xor SEED(108) xor SEED(109) xor SEED(110) xor SEED(114) xor SEED(115) xor SEED(122) xor SEED(124) xor SEED(125) xor SEED(126) xor SEED(127) xor dq(112); ca(113) <= SEED( 49) xor SEED( 64) xor SEED( 65) xor SEED( 73) xor SEED( 75) xor SEED( 78) xor SEED( 79) xor SEED( 81) xor SEED( 82) xor SEED( 85) xor SEED( 86) xor SEED( 88) xor SEED( 89) xor SEED( 94) xor SEED( 95) xor SEED( 96) xor SEED( 97) xor SEED( 98) xor SEED( 99) xor SEED(100) xor SEED(101) xor SEED(102) xor SEED(105) xor SEED(106) xor SEED(112) xor SEED(114) xor SEED(116) xor SEED(117) xor SEED(120) xor SEED(122) xor SEED(123) xor SEED(125) xor SEED(127) xor dq(113); ca(114) <= SEED( 50) xor SEED( 64) xor SEED( 65) xor SEED( 66) xor SEED( 68) xor SEED( 69) xor SEED( 70) xor SEED( 72) xor SEED( 76) xor SEED( 77) xor SEED( 78) xor SEED( 79) xor SEED( 80) xor SEED( 81) xor SEED( 85) xor SEED( 89) xor SEED( 92) xor SEED( 95) xor SEED( 96) xor SEED(100) xor SEED(102) xor SEED(103) xor SEED(106) xor SEED(109) xor SEED(110) xor SEED(111) xor SEED(112) xor SEED(113) xor SEED(114) xor SEED(118) xor SEED(120) xor SEED(121) xor SEED(122) xor SEED(123) xor SEED(124) xor dq(114); ca(115) <= SEED( 51) xor SEED( 65) xor SEED( 66) xor SEED( 67) xor SEED( 69) xor SEED( 70) xor SEED( 71) xor SEED( 73) xor SEED( 77) xor SEED( 78) xor SEED( 79) xor SEED( 80) xor SEED( 81) xor SEED( 82) xor SEED( 86) xor SEED( 90) xor SEED( 93) xor SEED( 96) xor SEED( 97) xor SEED(101) xor SEED(103) xor SEED(104) xor SEED(107) xor SEED(110) xor SEED(111) xor SEED(112) xor SEED(113) xor SEED(114) xor SEED(115) xor SEED(119) xor SEED(121) xor SEED(122) xor SEED(123) xor SEED(124) xor SEED(125) xor dq(115); ca(116) <= SEED( 52) xor SEED( 66) xor SEED( 67) xor SEED( 68) xor SEED( 70) xor SEED( 71) xor SEED( 72) xor SEED( 74) xor SEED( 78) xor SEED( 79) xor SEED( 80) xor SEED( 81) xor SEED( 82) xor SEED( 83) xor SEED( 87) xor SEED( 91) xor SEED( 94) xor SEED( 97) xor SEED( 98) xor SEED(102) xor SEED(104) xor SEED(105) xor SEED(108) xor SEED(111) xor SEED(112) xor SEED(113) xor SEED(114) xor SEED(115) xor SEED(116) xor SEED(120) xor SEED(122) xor SEED(123) xor SEED(124) xor SEED(125) xor SEED(126) xor dq(116); ca(117) <= SEED( 53) xor SEED( 67) xor SEED( 68) xor SEED( 69) xor SEED( 71) xor SEED( 72) xor SEED( 73) xor SEED( 75) xor SEED( 79) xor SEED( 80) xor SEED( 81) xor SEED( 82) xor SEED( 83) xor SEED( 84) xor SEED( 88) xor SEED( 92) xor SEED( 95) xor SEED( 98) xor SEED( 99) xor SEED(103) xor SEED(105) xor SEED(106) xor SEED(109) xor SEED(112) xor SEED(113) xor SEED(114) xor SEED(115) xor SEED(116) xor SEED(117) xor SEED(121) xor SEED(123) xor SEED(124) xor SEED(125) xor SEED(126) xor SEED(127) xor dq(117); ca(118) <= SEED( 54) xor SEED( 68) xor SEED( 69) xor SEED( 70) xor SEED( 72) xor SEED( 73) xor SEED( 74) xor SEED( 76) xor SEED( 80) xor SEED( 81) xor SEED( 82) xor SEED( 83) xor SEED( 84) xor SEED( 85) xor SEED( 89) xor SEED( 93) xor SEED( 96) xor SEED( 99) xor SEED(100) xor SEED(104) xor SEED(106) xor SEED(107) xor SEED(110) xor SEED(113) xor SEED(114) xor SEED(115) xor SEED(116) xor SEED(117) xor SEED(118) xor SEED(122) xor SEED(124) xor SEED(125) xor SEED(126) xor SEED(127) xor dq(118); ca(119) <= SEED( 55) xor SEED( 69) xor SEED( 70) xor SEED( 71) xor SEED( 73) xor SEED( 74) xor SEED( 75) xor SEED( 77) xor SEED( 81) xor SEED( 82) xor SEED( 83) xor SEED( 84) xor SEED( 85) xor SEED( 86) xor SEED( 90) xor SEED( 94) xor SEED( 97) xor SEED(100) xor SEED(101) xor SEED(105) xor SEED(107) xor SEED(108) xor SEED(111) xor SEED(114) xor SEED(115) xor SEED(116) xor SEED(117) xor SEED(118) xor SEED(119) xor SEED(123) xor SEED(125) xor SEED(126) xor SEED(127) xor dq(119); ca(120) <= SEED( 56) xor SEED( 70) xor SEED( 71) xor SEED( 72) xor SEED( 74) xor SEED( 75) xor SEED( 76) xor SEED( 78) xor SEED( 82) xor SEED( 83) xor SEED( 84) xor SEED( 85) xor SEED( 86) xor SEED( 87) xor SEED( 91) xor SEED( 95) xor SEED( 98) xor SEED(101) xor SEED(102) xor SEED(106) xor SEED(108) xor SEED(109) xor SEED(112) xor SEED(115) xor SEED(116) xor SEED(117) xor SEED(118) xor SEED(119) xor SEED(120) xor SEED(124) xor SEED(126) xor SEED(127) xor dq(120); ca(121) <= SEED( 57) xor SEED( 71) xor SEED( 72) xor SEED( 73) xor SEED( 75) xor SEED( 76) xor SEED( 77) xor SEED( 79) xor SEED( 83) xor SEED( 84) xor SEED( 85) xor SEED( 86) xor SEED( 87) xor SEED( 88) xor SEED( 92) xor SEED( 96) xor SEED( 99) xor SEED(102) xor SEED(103) xor SEED(107) xor SEED(109) xor SEED(110) xor SEED(113) xor SEED(116) xor SEED(117) xor SEED(118) xor SEED(119) xor SEED(120) xor SEED(121) xor SEED(125) xor SEED(127) xor dq(121); ca(122) <= SEED( 58) xor SEED( 64) xor SEED( 68) xor SEED( 69) xor SEED( 70) xor SEED( 73) xor SEED( 76) xor SEED( 80) xor SEED( 81) xor SEED( 82) xor SEED( 83) xor SEED( 84) xor SEED( 88) xor SEED( 89) xor SEED( 90) xor SEED( 92) xor SEED( 93) xor SEED( 98) xor SEED( 99) xor SEED(100) xor SEED(101) xor SEED(103) xor SEED(104) xor SEED(107) xor SEED(108) xor SEED(109) xor SEED(112) xor SEED(115) xor SEED(118) xor SEED(119) xor SEED(121) xor dq(122); ca(123) <= SEED( 59) xor SEED( 64) xor SEED( 65) xor SEED( 68) xor SEED( 71) xor SEED( 72) xor SEED( 78) xor SEED( 84) xor SEED( 86) xor SEED( 87) xor SEED( 89) xor SEED( 91) xor SEED( 92) xor SEED( 93) xor SEED( 94) xor SEED( 97) xor SEED( 98) xor SEED(100) xor SEED(102) xor SEED(104) xor SEED(105) xor SEED(107) xor SEED(108) xor SEED(111) xor SEED(112) xor SEED(113) xor SEED(114) xor SEED(115) xor SEED(116) xor SEED(117) xor SEED(119) xor SEED(126) xor dq(123); ca(124) <= SEED( 60) xor SEED( 64) xor SEED( 65) xor SEED( 66) xor SEED( 68) xor SEED( 70) xor SEED( 73) xor SEED( 74) xor SEED( 77) xor SEED( 78) xor SEED( 79) xor SEED( 81) xor SEED( 82) xor SEED( 83) xor SEED( 86) xor SEED( 88) xor SEED( 93) xor SEED( 94) xor SEED( 95) xor SEED( 97) xor SEED(103) xor SEED(105) xor SEED(106) xor SEED(107) xor SEED(108) xor SEED(110) xor SEED(111) xor SEED(113) xor SEED(116) xor SEED(118) xor SEED(122) xor SEED(126) xor SEED(127) xor dq(124); ca(125) <= SEED( 61) xor SEED( 65) xor SEED( 66) xor SEED( 67) xor SEED( 69) xor SEED( 71) xor SEED( 74) xor SEED( 75) xor SEED( 78) xor SEED( 79) xor SEED( 80) xor SEED( 82) xor SEED( 83) xor SEED( 84) xor SEED( 87) xor SEED( 89) xor SEED( 94) xor SEED( 95) xor SEED( 96) xor SEED( 98) xor SEED(104) xor SEED(106) xor SEED(107) xor SEED(108) xor SEED(109) xor SEED(111) xor SEED(112) xor SEED(114) xor SEED(117) xor SEED(119) xor SEED(123) xor SEED(127) xor dq(125); ca(126) <= SEED( 62) xor SEED( 66) xor SEED( 67) xor SEED( 68) xor SEED( 70) xor SEED( 72) xor SEED( 75) xor SEED( 76) xor SEED( 79) xor SEED( 80) xor SEED( 81) xor SEED( 83) xor SEED( 84) xor SEED( 85) xor SEED( 88) xor SEED( 90) xor SEED( 95) xor SEED( 96) xor SEED( 97) xor SEED( 99) xor SEED(105) xor SEED(107) xor SEED(108) xor SEED(109) xor SEED(110) xor SEED(112) xor SEED(113) xor SEED(115) xor SEED(118) xor SEED(120) xor SEED(124) xor dq(126); ca(127) <= SEED( 63) xor SEED( 67) xor SEED( 68) xor SEED( 69) xor SEED( 71) xor SEED( 73) xor SEED( 76) xor SEED( 77) xor SEED( 80) xor SEED( 81) xor SEED( 82) xor SEED( 84) xor SEED( 85) xor SEED( 86) xor SEED( 89) xor SEED( 91) xor SEED( 96) xor SEED( 97) xor SEED( 98) xor SEED(100) xor SEED(106) xor SEED(108) xor SEED(109) xor SEED(110) xor SEED(111) xor SEED(113) xor SEED(114) xor SEED(116) xor SEED(119) xor SEED(121) xor SEED(125) xor dq(127); oa( 63) <= SEED(127) xor dq( 0); oa( 62) <= SEED(126) xor dq( 1); oa( 61) <= SEED(125) xor dq( 2); oa( 60) <= SEED(124) xor dq( 3); oa( 59) <= SEED(123) xor SEED(127) xor dq( 4); oa( 58) <= SEED(122) xor SEED(126) xor SEED(127) xor dq( 5); oa( 57) <= SEED(121) xor SEED(125) xor SEED(126) xor SEED(127) xor dq( 6); oa( 56) <= SEED(120) xor SEED(124) xor SEED(125) xor SEED(126) xor dq( 7); oa( 55) <= SEED(119) xor SEED(123) xor SEED(124) xor SEED(125) xor SEED(127) xor dq( 8); oa( 54) <= SEED(118) xor SEED(122) xor SEED(123) xor SEED(124) xor SEED(126) xor dq( 9); oa( 53) <= SEED(117) xor SEED(121) xor SEED(122) xor SEED(123) xor SEED(125) xor SEED(127) xor dq( 10); oa( 52) <= SEED(116) xor SEED(120) xor SEED(121) xor SEED(122) xor SEED(124) xor SEED(126) xor dq( 11); oa( 51) <= SEED(115) xor SEED(119) xor SEED(120) xor SEED(121) xor SEED(123) xor SEED(125) xor dq( 12); oa( 50) <= SEED(114) xor SEED(118) xor SEED(119) xor SEED(120) xor SEED(122) xor SEED(124) xor SEED(127) xor dq( 13); oa( 49) <= SEED(113) xor SEED(117) xor SEED(118) xor SEED(119) xor SEED(121) xor SEED(123) xor SEED(126) xor SEED(127) xor dq( 14); oa( 48) <= SEED(112) xor SEED(116) xor SEED(117) xor SEED(118) xor SEED(120) xor SEED(122) xor SEED(125) xor SEED(126) xor dq( 15); oa( 47) <= SEED(111) xor SEED(115) xor SEED(116) xor SEED(117) xor SEED(119) xor SEED(121) xor SEED(124) xor SEED(125) xor dq( 16); oa( 46) <= SEED(110) xor SEED(114) xor SEED(115) xor SEED(116) xor SEED(118) xor SEED(120) xor SEED(123) xor SEED(124) xor SEED(127) xor dq( 17); oa( 45) <= SEED(109) xor SEED(113) xor SEED(114) xor SEED(115) xor SEED(117) xor SEED(119) xor SEED(122) xor SEED(123) xor SEED(126) xor SEED(127) xor dq( 18); oa( 44) <= SEED(108) xor SEED(112) xor SEED(113) xor SEED(114) xor SEED(116) xor SEED(118) xor SEED(121) xor SEED(122) xor SEED(125) xor SEED(126) xor SEED(127) xor dq( 19); oa( 43) <= SEED(107) xor SEED(111) xor SEED(112) xor SEED(113) xor SEED(115) xor SEED(117) xor SEED(120) xor SEED(121) xor SEED(124) xor SEED(125) xor SEED(126) xor dq( 20); oa( 42) <= SEED(106) xor SEED(110) xor SEED(111) xor SEED(112) xor SEED(114) xor SEED(116) xor SEED(119) xor SEED(120) xor SEED(123) xor SEED(124) xor SEED(125) xor SEED(127) xor dq( 21); oa( 41) <= SEED(105) xor SEED(109) xor SEED(110) xor SEED(111) xor SEED(113) xor SEED(115) xor SEED(118) xor SEED(119) xor SEED(122) xor SEED(123) xor SEED(124) xor SEED(126) xor SEED(127) xor dq( 22); oa( 40) <= SEED(104) xor SEED(108) xor SEED(109) xor SEED(110) xor SEED(112) xor SEED(114) xor SEED(117) xor SEED(118) xor SEED(121) xor SEED(122) xor SEED(123) xor SEED(125) xor SEED(126) xor SEED(127) xor dq( 23); oa( 39) <= SEED(103) xor SEED(107) xor SEED(108) xor SEED(109) xor SEED(111) xor SEED(113) xor SEED(116) xor SEED(117) xor SEED(120) xor SEED(121) xor SEED(122) xor SEED(124) xor SEED(125) xor SEED(126) xor dq( 24); oa( 38) <= SEED(102) xor SEED(106) xor SEED(107) xor SEED(108) xor SEED(110) xor SEED(112) xor SEED(115) xor SEED(116) xor SEED(119) xor SEED(120) xor SEED(121) xor SEED(123) xor SEED(124) xor SEED(125) xor dq( 25); oa( 37) <= SEED(101) xor SEED(105) xor SEED(106) xor SEED(107) xor SEED(109) xor SEED(111) xor SEED(114) xor SEED(115) xor SEED(118) xor SEED(119) xor SEED(120) xor SEED(122) xor SEED(123) xor SEED(124) xor SEED(127) xor dq( 26); oa( 36) <= SEED(100) xor SEED(104) xor SEED(105) xor SEED(106) xor SEED(108) xor SEED(110) xor SEED(113) xor SEED(114) xor SEED(117) xor SEED(118) xor SEED(119) xor SEED(121) xor SEED(122) xor SEED(123) xor SEED(126) xor dq( 27); oa( 35) <= SEED( 99) xor SEED(103) xor SEED(104) xor SEED(105) xor SEED(107) xor SEED(109) xor SEED(112) xor SEED(113) xor SEED(116) xor SEED(117) xor SEED(118) xor SEED(120) xor SEED(121) xor SEED(122) xor SEED(125) xor SEED(127) xor dq( 28); oa( 34) <= SEED( 98) xor SEED(102) xor SEED(103) xor SEED(104) xor SEED(106) xor SEED(108) xor SEED(111) xor SEED(112) xor SEED(115) xor SEED(116) xor SEED(117) xor SEED(119) xor SEED(120) xor SEED(121) xor SEED(124) xor SEED(126) xor dq( 29); oa( 33) <= SEED( 97) xor SEED(101) xor SEED(102) xor SEED(103) xor SEED(105) xor SEED(107) xor SEED(110) xor SEED(111) xor SEED(114) xor SEED(115) xor SEED(116) xor SEED(118) xor SEED(119) xor SEED(120) xor SEED(123) xor SEED(125) xor dq( 30); oa( 32) <= SEED( 96) xor SEED(100) xor SEED(101) xor SEED(102) xor SEED(104) xor SEED(106) xor SEED(109) xor SEED(110) xor SEED(113) xor SEED(114) xor SEED(115) xor SEED(117) xor SEED(118) xor SEED(119) xor SEED(122) xor SEED(124) xor dq( 31); oa( 31) <= SEED( 95) xor SEED( 99) xor SEED(100) xor SEED(101) xor SEED(103) xor SEED(105) xor SEED(108) xor SEED(109) xor SEED(112) xor SEED(113) xor SEED(114) xor SEED(116) xor SEED(117) xor SEED(118) xor SEED(121) xor SEED(123) xor dq( 32); oa( 30) <= SEED( 94) xor SEED( 98) xor SEED( 99) xor SEED(100) xor SEED(102) xor SEED(104) xor SEED(107) xor SEED(108) xor SEED(111) xor SEED(112) xor SEED(113) xor SEED(115) xor SEED(116) xor SEED(117) xor SEED(120) xor SEED(122) xor SEED(127) xor dq( 33); oa( 29) <= SEED( 93) xor SEED( 97) xor SEED( 98) xor SEED( 99) xor SEED(101) xor SEED(103) xor SEED(106) xor SEED(107) xor SEED(110) xor SEED(111) xor SEED(112) xor SEED(114) xor SEED(115) xor SEED(116) xor SEED(119) xor SEED(121) xor SEED(126) xor SEED(127) xor dq( 34); oa( 28) <= SEED( 92) xor SEED( 96) xor SEED( 97) xor SEED( 98) xor SEED(100) xor SEED(102) xor SEED(105) xor SEED(106) xor SEED(109) xor SEED(110) xor SEED(111) xor SEED(113) xor SEED(114) xor SEED(115) xor SEED(118) xor SEED(120) xor SEED(125) xor SEED(126) xor SEED(127) xor dq( 35); oa( 27) <= SEED( 91) xor SEED( 95) xor SEED( 96) xor SEED( 97) xor SEED( 99) xor SEED(101) xor SEED(104) xor SEED(105) xor SEED(108) xor SEED(109) xor SEED(110) xor SEED(112) xor SEED(113) xor SEED(114) xor SEED(117) xor SEED(119) xor SEED(124) xor SEED(125) xor SEED(126) xor dq( 36); oa( 26) <= SEED( 90) xor SEED( 94) xor SEED( 95) xor SEED( 96) xor SEED( 98) xor SEED(100) xor SEED(103) xor SEED(104) xor SEED(107) xor SEED(108) xor SEED(109) xor SEED(111) xor SEED(112) xor SEED(113) xor SEED(116) xor SEED(118) xor SEED(123) xor SEED(124) xor SEED(125) xor SEED(127) xor dq( 37); oa( 25) <= SEED( 89) xor SEED( 93) xor SEED( 94) xor SEED( 95) xor SEED( 97) xor SEED( 99) xor SEED(102) xor SEED(103) xor SEED(106) xor SEED(107) xor SEED(108) xor SEED(110) xor SEED(111) xor SEED(112) xor SEED(115) xor SEED(117) xor SEED(122) xor SEED(123) xor SEED(124) xor SEED(126) xor dq( 38); oa( 24) <= SEED( 88) xor SEED( 92) xor SEED( 93) xor SEED( 94) xor SEED( 96) xor SEED( 98) xor SEED(101) xor SEED(102) xor SEED(105) xor SEED(106) xor SEED(107) xor SEED(109) xor SEED(110) xor SEED(111) xor SEED(114) xor SEED(116) xor SEED(121) xor SEED(122) xor SEED(123) xor SEED(125) xor dq( 39); oa( 23) <= SEED( 87) xor SEED( 91) xor SEED( 92) xor SEED( 93) xor SEED( 95) xor SEED( 97) xor SEED(100) xor SEED(101) xor SEED(104) xor SEED(105) xor SEED(106) xor SEED(108) xor SEED(109) xor SEED(110) xor SEED(113) xor SEED(115) xor SEED(120) xor SEED(121) xor SEED(122) xor SEED(124) xor dq( 40); oa( 22) <= SEED( 86) xor SEED( 90) xor SEED( 91) xor SEED( 92) xor SEED( 94) xor SEED( 96) xor SEED( 99) xor SEED(100) xor SEED(103) xor SEED(104) xor SEED(105) xor SEED(107) xor SEED(108) xor SEED(109) xor SEED(112) xor SEED(114) xor SEED(119) xor SEED(120) xor SEED(121) xor SEED(123) xor dq( 41); oa( 21) <= SEED( 85) xor SEED( 89) xor SEED( 90) xor SEED( 91) xor SEED( 93) xor SEED( 95) xor SEED( 98) xor SEED( 99) xor SEED(102) xor SEED(103) xor SEED(104) xor SEED(106) xor SEED(107) xor SEED(108) xor SEED(111) xor SEED(113) xor SEED(118) xor SEED(119) xor SEED(120) xor SEED(122) xor dq( 42); oa( 20) <= SEED( 84) xor SEED( 88) xor SEED( 89) xor SEED( 90) xor SEED( 92) xor SEED( 94) xor SEED( 97) xor SEED( 98) xor SEED(101) xor SEED(102) xor SEED(103) xor SEED(105) xor SEED(106) xor SEED(107) xor SEED(110) xor SEED(112) xor SEED(117) xor SEED(118) xor SEED(119) xor SEED(121) xor SEED(127) xor dq( 43); oa( 19) <= SEED( 83) xor SEED( 87) xor SEED( 88) xor SEED( 89) xor SEED( 91) xor SEED( 93) xor SEED( 96) xor SEED( 97) xor SEED(100) xor SEED(101) xor SEED(102) xor SEED(104) xor SEED(105) xor SEED(106) xor SEED(109) xor SEED(111) xor SEED(116) xor SEED(117) xor SEED(118) xor SEED(120) xor SEED(126) xor dq( 44); oa( 18) <= SEED( 82) xor SEED( 86) xor SEED( 87) xor SEED( 88) xor SEED( 90) xor SEED( 92) xor SEED( 95) xor SEED( 96) xor SEED( 99) xor SEED(100) xor SEED(101) xor SEED(103) xor SEED(104) xor SEED(105) xor SEED(108) xor SEED(110) xor SEED(115) xor SEED(116) xor SEED(117) xor SEED(119) xor SEED(125) xor SEED(127) xor dq( 45); oa( 17) <= SEED( 81) xor SEED( 85) xor SEED( 86) xor SEED( 87) xor SEED( 89) xor SEED( 91) xor SEED( 94) xor SEED( 95) xor SEED( 98) xor SEED( 99) xor SEED(100) xor SEED(102) xor SEED(103) xor SEED(104) xor SEED(107) xor SEED(109) xor SEED(114) xor SEED(115) xor SEED(116) xor SEED(118) xor SEED(124) xor SEED(126) xor SEED(127) xor dq( 46); oa( 16) <= SEED( 80) xor SEED( 84) xor SEED( 85) xor SEED( 86) xor SEED( 88) xor SEED( 90) xor SEED( 93) xor SEED( 94) xor SEED( 97) xor SEED( 98) xor SEED( 99) xor SEED(101) xor SEED(102) xor SEED(103) xor SEED(106) xor SEED(108) xor SEED(113) xor SEED(114) xor SEED(115) xor SEED(117) xor SEED(123) xor SEED(125) xor SEED(126) xor SEED(127) xor dq( 47); oa( 15) <= SEED( 79) xor SEED( 83) xor SEED( 84) xor SEED( 85) xor SEED( 87) xor SEED( 89) xor SEED( 92) xor SEED( 93) xor SEED( 96) xor SEED( 97) xor SEED( 98) xor SEED(100) xor SEED(101) xor SEED(102) xor SEED(105) xor SEED(107) xor SEED(112) xor SEED(113) xor SEED(114) xor SEED(116) xor SEED(122) xor SEED(124) xor SEED(125) xor SEED(126) xor SEED(127) xor dq( 48); oa( 14) <= SEED( 78) xor SEED( 82) xor SEED( 83) xor SEED( 84) xor SEED( 86) xor SEED( 88) xor SEED( 91) xor SEED( 92) xor SEED( 95) xor SEED( 96) xor SEED( 97) xor SEED( 99) xor SEED(100) xor SEED(101) xor SEED(104) xor SEED(106) xor SEED(111) xor SEED(112) xor SEED(113) xor SEED(115) xor SEED(121) xor SEED(123) xor SEED(124) xor SEED(125) xor SEED(126) xor dq( 49); oa( 13) <= SEED( 77) xor SEED( 81) xor SEED( 82) xor SEED( 83) xor SEED( 85) xor SEED( 87) xor SEED( 90) xor SEED( 91) xor SEED( 94) xor SEED( 95) xor SEED( 96) xor SEED( 98) xor SEED( 99) xor SEED(100) xor SEED(103) xor SEED(105) xor SEED(110) xor SEED(111) xor SEED(112) xor SEED(114) xor SEED(120) xor SEED(122) xor SEED(123) xor SEED(124) xor SEED(125) xor SEED(127) xor dq( 50); oa( 12) <= SEED( 76) xor SEED( 80) xor SEED( 81) xor SEED( 82) xor SEED( 84) xor SEED( 86) xor SEED( 89) xor SEED( 90) xor SEED( 93) xor SEED( 94) xor SEED( 95) xor SEED( 97) xor SEED( 98) xor SEED( 99) xor SEED(102) xor SEED(104) xor SEED(109) xor SEED(110) xor SEED(111) xor SEED(113) xor SEED(119) xor SEED(121) xor SEED(122) xor SEED(123) xor SEED(124) xor SEED(126) xor SEED(127) xor dq( 51); oa( 11) <= SEED( 75) xor SEED( 79) xor SEED( 80) xor SEED( 81) xor SEED( 83) xor SEED( 85) xor SEED( 88) xor SEED( 89) xor SEED( 92) xor SEED( 93) xor SEED( 94) xor SEED( 96) xor SEED( 97) xor SEED( 98) xor SEED(101) xor SEED(103) xor SEED(108) xor SEED(109) xor SEED(110) xor SEED(112) xor SEED(118) xor SEED(120) xor SEED(121) xor SEED(122) xor SEED(123) xor SEED(125) xor SEED(126) xor dq( 52); oa( 10) <= SEED( 74) xor SEED( 78) xor SEED( 79) xor SEED( 80) xor SEED( 82) xor SEED( 84) xor SEED( 87) xor SEED( 88) xor SEED( 91) xor SEED( 92) xor SEED( 93) xor SEED( 95) xor SEED( 96) xor SEED( 97) xor SEED(100) xor SEED(102) xor SEED(107) xor SEED(108) xor SEED(109) xor SEED(111) xor SEED(117) xor SEED(119) xor SEED(120) xor SEED(121) xor SEED(122) xor SEED(124) xor SEED(125) xor SEED(127) xor dq( 53); oa( 9) <= SEED( 73) xor SEED( 77) xor SEED( 78) xor SEED( 79) xor SEED( 81) xor SEED( 83) xor SEED( 86) xor SEED( 87) xor SEED( 90) xor SEED( 91) xor SEED( 92) xor SEED( 94) xor SEED( 95) xor SEED( 96) xor SEED( 99) xor SEED(101) xor SEED(106) xor SEED(107) xor SEED(108) xor SEED(110) xor SEED(116) xor SEED(118) xor SEED(119) xor SEED(120) xor SEED(121) xor SEED(123) xor SEED(124) xor SEED(126) xor dq( 54); oa( 8) <= SEED( 72) xor SEED( 76) xor SEED( 77) xor SEED( 78) xor SEED( 80) xor SEED( 82) xor SEED( 85) xor SEED( 86) xor SEED( 89) xor SEED( 90) xor SEED( 91) xor SEED( 93) xor SEED( 94) xor SEED( 95) xor SEED( 98) xor SEED(100) xor SEED(105) xor SEED(106) xor SEED(107) xor SEED(109) xor SEED(115) xor SEED(117) xor SEED(118) xor SEED(119) xor SEED(120) xor SEED(122) xor SEED(123) xor SEED(125) xor dq( 55); oa( 7) <= SEED( 71) xor SEED( 75) xor SEED( 76) xor SEED( 77) xor SEED( 79) xor SEED( 81) xor SEED( 84) xor SEED( 85) xor SEED( 88) xor SEED( 89) xor SEED( 90) xor SEED( 92) xor SEED( 93) xor SEED( 94) xor SEED( 97) xor SEED( 99) xor SEED(104) xor SEED(105) xor SEED(106) xor SEED(108) xor SEED(114) xor SEED(116) xor SEED(117) xor SEED(118) xor SEED(119) xor SEED(121) xor SEED(122) xor SEED(124) xor SEED(127) xor dq( 56); oa( 6) <= SEED( 70) xor SEED( 74) xor SEED( 75) xor SEED( 76) xor SEED( 78) xor SEED( 80) xor SEED( 83) xor SEED( 84) xor SEED( 87) xor SEED( 88) xor SEED( 89) xor SEED( 91) xor SEED( 92) xor SEED( 93) xor SEED( 96) xor SEED( 98) xor SEED(103) xor SEED(104) xor SEED(105) xor SEED(107) xor SEED(113) xor SEED(115) xor SEED(116) xor SEED(117) xor SEED(118) xor SEED(120) xor SEED(121) xor SEED(123) xor SEED(126) xor dq( 57); oa( 5) <= SEED( 69) xor SEED( 73) xor SEED( 74) xor SEED( 75) xor SEED( 77) xor SEED( 79) xor SEED( 82) xor SEED( 83) xor SEED( 86) xor SEED( 87) xor SEED( 88) xor SEED( 90) xor SEED( 91) xor SEED( 92) xor SEED( 95) xor SEED( 97) xor SEED(102) xor SEED(103) xor SEED(104) xor SEED(106) xor SEED(112) xor SEED(114) xor SEED(115) xor SEED(116) xor SEED(117) xor SEED(119) xor SEED(120) xor SEED(122) xor SEED(125) xor SEED(127) xor dq( 58); oa( 4) <= SEED( 68) xor SEED( 72) xor SEED( 73) xor SEED( 74) xor SEED( 76) xor SEED( 78) xor SEED( 81) xor SEED( 82) xor SEED( 85) xor SEED( 86) xor SEED( 87) xor SEED( 89) xor SEED( 90) xor SEED( 91) xor SEED( 94) xor SEED( 96) xor SEED(101) xor SEED(102) xor SEED(103) xor SEED(105) xor SEED(111) xor SEED(113) xor SEED(114) xor SEED(115) xor SEED(116) xor SEED(118) xor SEED(119) xor SEED(121) xor SEED(124) xor SEED(126) xor dq( 59); oa( 3) <= SEED( 67) xor SEED( 71) xor SEED( 72) xor SEED( 73) xor SEED( 75) xor SEED( 77) xor SEED( 80) xor SEED( 81) xor SEED( 84) xor SEED( 85) xor SEED( 86) xor SEED( 88) xor SEED( 89) xor SEED( 90) xor SEED( 93) xor SEED( 95) xor SEED(100) xor SEED(101) xor SEED(102) xor SEED(104) xor SEED(110) xor SEED(112) xor SEED(113) xor SEED(114) xor SEED(115) xor SEED(117) xor SEED(118) xor SEED(120) xor SEED(123) xor SEED(125) xor dq( 60); oa( 2) <= SEED( 66) xor SEED( 70) xor SEED( 71) xor SEED( 72) xor SEED( 74) xor SEED( 76) xor SEED( 79) xor SEED( 80) xor SEED( 83) xor SEED( 84) xor SEED( 85) xor SEED( 87) xor SEED( 88) xor SEED( 89) xor SEED( 92) xor SEED( 94) xor SEED( 99) xor SEED(100) xor SEED(101) xor SEED(103) xor SEED(109) xor SEED(111) xor SEED(112) xor SEED(113) xor SEED(114) xor SEED(116) xor SEED(117) xor SEED(119) xor SEED(122) xor SEED(124) xor dq( 61); oa( 1) <= SEED( 65) xor SEED( 69) xor SEED( 70) xor SEED( 71) xor SEED( 73) xor SEED( 75) xor SEED( 78) xor SEED( 79) xor SEED( 82) xor SEED( 83) xor SEED( 84) xor SEED( 86) xor SEED( 87) xor SEED( 88) xor SEED( 91) xor SEED( 93) xor SEED( 98) xor SEED( 99) xor SEED(100) xor SEED(102) xor SEED(108) xor SEED(110) xor SEED(111) xor SEED(112) xor SEED(113) xor SEED(115) xor SEED(116) xor SEED(118) xor SEED(121) xor SEED(123) xor SEED(127) xor dq( 62); oa( 0) <= SEED( 64) xor SEED( 68) xor SEED( 69) xor SEED( 70) xor SEED( 72) xor SEED( 74) xor SEED( 77) xor SEED( 78) xor SEED( 81) xor SEED( 82) xor SEED( 83) xor SEED( 85) xor SEED( 86) xor SEED( 87) xor SEED( 90) xor SEED( 92) xor SEED( 97) xor SEED( 98) xor SEED( 99) xor SEED(101) xor SEED(107) xor SEED(109) xor SEED(110) xor SEED(111) xor SEED(112) xor SEED(114) xor SEED(115) xor SEED(117) xor SEED(120) xor SEED(122) xor SEED(126) xor dq( 63); else ca( 0) <= ca( 64) xor ca( 68) xor ca( 69) xor ca( 70) xor ca( 72) xor ca( 74) xor ca( 77) xor ca( 78) xor ca( 81) xor ca( 82) xor ca( 83) xor ca( 85) xor ca( 86) xor ca( 87) xor ca( 90) xor ca( 92) xor ca( 97) xor ca( 98) xor ca( 99) xor ca(101) xor ca(107) xor ca(109) xor ca(110) xor ca(111) xor ca(112) xor ca(114) xor ca(115) xor ca(117) xor ca(120) xor ca(122) xor ca(126) xor dq( 0); ca( 1) <= ca( 64) xor ca( 65) xor ca( 68) xor ca( 71) xor ca( 72) xor ca( 73) xor ca( 74) xor ca( 75) xor ca( 77) xor ca( 79) xor ca( 81) xor ca( 84) xor ca( 85) xor ca( 88) xor ca( 90) xor ca( 91) xor ca( 92) xor ca( 93) xor ca( 97) xor ca(100) xor ca(101) xor ca(102) xor ca(107) xor ca(108) xor ca(109) xor ca(113) xor ca(114) xor ca(116) xor ca(117) xor ca(118) xor ca(120) xor ca(121) xor ca(122) xor ca(123) xor ca(126) xor ca(127) xor dq( 1); ca( 2) <= ca( 65) xor ca( 66) xor ca( 69) xor ca( 72) xor ca( 73) xor ca( 74) xor ca( 75) xor ca( 76) xor ca( 78) xor ca( 80) xor ca( 82) xor ca( 85) xor ca( 86) xor ca( 89) xor ca( 91) xor ca( 92) xor ca( 93) xor ca( 94) xor ca( 98) xor ca(101) xor ca(102) xor ca(103) xor ca(108) xor ca(109) xor ca(110) xor ca(114) xor ca(115) xor ca(117) xor ca(118) xor ca(119) xor ca(121) xor ca(122) xor ca(123) xor ca(124) xor ca(127) xor dq( 2); ca( 3) <= ca( 64) xor ca( 66) xor ca( 67) xor ca( 68) xor ca( 69) xor ca( 72) xor ca( 73) xor ca( 75) xor ca( 76) xor ca( 78) xor ca( 79) xor ca( 82) xor ca( 85) xor ca( 93) xor ca( 94) xor ca( 95) xor ca( 97) xor ca( 98) xor ca(101) xor ca(102) xor ca(103) xor ca(104) xor ca(107) xor ca(112) xor ca(114) xor ca(116) xor ca(117) xor ca(118) xor ca(119) xor ca(123) xor ca(124) xor ca(125) xor ca(126) xor dq( 3); ca( 4) <= ca( 65) xor ca( 67) xor ca( 68) xor ca( 69) xor ca( 70) xor ca( 73) xor ca( 74) xor ca( 76) xor ca( 77) xor ca( 79) xor ca( 80) xor ca( 83) xor ca( 86) xor ca( 94) xor ca( 95) xor ca( 96) xor ca( 98) xor ca( 99) xor ca(102) xor ca(103) xor ca(104) xor ca(105) xor ca(108) xor ca(113) xor ca(115) xor ca(117) xor ca(118) xor ca(119) xor ca(120) xor ca(124) xor ca(125) xor ca(126) xor ca(127) xor dq( 4); ca( 5) <= ca( 64) xor ca( 66) xor ca( 71) xor ca( 72) xor ca( 75) xor ca( 80) xor ca( 82) xor ca( 83) xor ca( 84) xor ca( 85) xor ca( 86) xor ca( 90) xor ca( 92) xor ca( 95) xor ca( 96) xor ca( 98) xor ca(100) xor ca(101) xor ca(103) xor ca(104) xor ca(105) xor ca(106) xor ca(107) xor ca(110) xor ca(111) xor ca(112) xor ca(115) xor ca(116) xor ca(117) xor ca(118) xor ca(119) xor ca(121) xor ca(122) xor ca(125) xor ca(127) xor dq( 5); ca( 6) <= ca( 65) xor ca( 67) xor ca( 72) xor ca( 73) xor ca( 76) xor ca( 81) xor ca( 83) xor ca( 84) xor ca( 85) xor ca( 86) xor ca( 87) xor ca( 91) xor ca( 93) xor ca( 96) xor ca( 97) xor ca( 99) xor ca(101) xor ca(102) xor ca(104) xor ca(105) xor ca(106) xor ca(107) xor ca(108) xor ca(111) xor ca(112) xor ca(113) xor ca(116) xor ca(117) xor ca(118) xor ca(119) xor ca(120) xor ca(122) xor ca(123) xor ca(126) xor dq( 6); ca( 7) <= ca( 66) xor ca( 68) xor ca( 73) xor ca( 74) xor ca( 77) xor ca( 82) xor ca( 84) xor ca( 85) xor ca( 86) xor ca( 87) xor ca( 88) xor ca( 92) xor ca( 94) xor ca( 97) xor ca( 98) xor ca(100) xor ca(102) xor ca(103) xor ca(105) xor ca(106) xor ca(107) xor ca(108) xor ca(109) xor ca(112) xor ca(113) xor ca(114) xor ca(117) xor ca(118) xor ca(119) xor ca(120) xor ca(121) xor ca(123) xor ca(124) xor ca(127) xor dq( 7); ca( 8) <= ca( 67) xor ca( 69) xor ca( 74) xor ca( 75) xor ca( 78) xor ca( 83) xor ca( 85) xor ca( 86) xor ca( 87) xor ca( 88) xor ca( 89) xor ca( 93) xor ca( 95) xor ca( 98) xor ca( 99) xor ca(101) xor ca(103) xor ca(104) xor ca(106) xor ca(107) xor ca(108) xor ca(109) xor ca(110) xor ca(113) xor ca(114) xor ca(115) xor ca(118) xor ca(119) xor ca(120) xor ca(121) xor ca(122) xor ca(124) xor ca(125) xor dq( 8); ca( 9) <= ca( 64) xor ca( 69) xor ca( 72) xor ca( 74) xor ca( 75) xor ca( 76) xor ca( 77) xor ca( 78) xor ca( 79) xor ca( 81) xor ca( 82) xor ca( 83) xor ca( 84) xor ca( 85) xor ca( 88) xor ca( 89) xor ca( 92) xor ca( 94) xor ca( 96) xor ca( 97) xor ca( 98) xor ca(100) xor ca(101) xor ca(102) xor ca(104) xor ca(105) xor ca(108) xor ca(112) xor ca(116) xor ca(117) xor ca(119) xor ca(121) xor ca(123) xor ca(125) xor dq( 9); ca( 10) <= ca( 64) xor ca( 65) xor ca( 68) xor ca( 69) xor ca( 72) xor ca( 73) xor ca( 74) xor ca( 75) xor ca( 76) xor ca( 79) xor ca( 80) xor ca( 81) xor ca( 84) xor ca( 87) xor ca( 89) xor ca( 92) xor ca( 93) xor ca( 95) xor ca(102) xor ca(103) xor ca(105) xor ca(106) xor ca(107) xor ca(110) xor ca(111) xor ca(112) xor ca(113) xor ca(114) xor ca(115) xor ca(118) xor ca(124) xor dq( 10); ca( 11) <= ca( 65) xor ca( 66) xor ca( 69) xor ca( 70) xor ca( 73) xor ca( 74) xor ca( 75) xor ca( 76) xor ca( 77) xor ca( 80) xor ca( 81) xor ca( 82) xor ca( 85) xor ca( 88) xor ca( 90) xor ca( 93) xor ca( 94) xor ca( 96) xor ca(103) xor ca(104) xor ca(106) xor ca(107) xor ca(108) xor ca(111) xor ca(112) xor ca(113) xor ca(114) xor ca(115) xor ca(116) xor ca(119) xor ca(125) xor dq( 11); ca( 12) <= ca( 66) xor ca( 67) xor ca( 70) xor ca( 71) xor ca( 74) xor ca( 75) xor ca( 76) xor ca( 77) xor ca( 78) xor ca( 81) xor ca( 82) xor ca( 83) xor ca( 86) xor ca( 89) xor ca( 91) xor ca( 94) xor ca( 95) xor ca( 97) xor ca(104) xor ca(105) xor ca(107) xor ca(108) xor ca(109) xor ca(112) xor ca(113) xor ca(114) xor ca(115) xor ca(116) xor ca(117) xor ca(120) xor ca(126) xor dq( 12); ca( 13) <= ca( 64) xor ca( 67) xor ca( 69) xor ca( 70) xor ca( 71) xor ca( 74) xor ca( 75) xor ca( 76) xor ca( 79) xor ca( 81) xor ca( 84) xor ca( 85) xor ca( 86) xor ca( 95) xor ca( 96) xor ca( 97) xor ca( 99) xor ca(101) xor ca(105) xor ca(106) xor ca(107) xor ca(108) xor ca(111) xor ca(112) xor ca(113) xor ca(116) xor ca(118) xor ca(120) xor ca(121) xor ca(122) xor ca(126) xor ca(127) xor dq( 13); ca( 14) <= ca( 64) xor ca( 65) xor ca( 69) xor ca( 71) xor ca( 74) xor ca( 75) xor ca( 76) xor ca( 78) xor ca( 80) xor ca( 81) xor ca( 83) xor ca( 90) xor ca( 92) xor ca( 96) xor ca( 99) xor ca(100) xor ca(101) xor ca(102) xor ca(106) xor ca(108) xor ca(110) xor ca(111) xor ca(113) xor ca(115) xor ca(119) xor ca(120) xor ca(121) xor ca(123) xor ca(126) xor ca(127) xor dq( 14); ca( 15) <= ca( 65) xor ca( 66) xor ca( 70) xor ca( 72) xor ca( 75) xor ca( 76) xor ca( 77) xor ca( 79) xor ca( 81) xor ca( 82) xor ca( 84) xor ca( 91) xor ca( 93) xor ca( 97) xor ca(100) xor ca(101) xor ca(102) xor ca(103) xor ca(107) xor ca(109) xor ca(111) xor ca(112) xor ca(114) xor ca(116) xor ca(120) xor ca(121) xor ca(122) xor ca(124) xor ca(127) xor dq( 15); ca( 16) <= ca( 64) xor ca( 66) xor ca( 67) xor ca( 68) xor ca( 69) xor ca( 70) xor ca( 71) xor ca( 72) xor ca( 73) xor ca( 74) xor ca( 76) xor ca( 80) xor ca( 81) xor ca( 86) xor ca( 87) xor ca( 90) xor ca( 94) xor ca( 97) xor ca( 99) xor ca(102) xor ca(103) xor ca(104) xor ca(107) xor ca(108) xor ca(109) xor ca(111) xor ca(113) xor ca(114) xor ca(120) xor ca(121) xor ca(123) xor ca(125) xor ca(126) xor dq( 16); ca( 17) <= ca( 65) xor ca( 67) xor ca( 68) xor ca( 69) xor ca( 70) xor ca( 71) xor ca( 72) xor ca( 73) xor ca( 74) xor ca( 75) xor ca( 77) xor ca( 81) xor ca( 82) xor ca( 87) xor ca( 88) xor ca( 91) xor ca( 95) xor ca( 98) xor ca(100) xor ca(103) xor ca(104) xor ca(105) xor ca(108) xor ca(109) xor ca(110) xor ca(112) xor ca(114) xor ca(115) xor ca(121) xor ca(122) xor ca(124) xor ca(126) xor ca(127) xor dq( 17); ca( 18) <= ca( 66) xor ca( 68) xor ca( 69) xor ca( 70) xor ca( 71) xor ca( 72) xor ca( 73) xor ca( 74) xor ca( 75) xor ca( 76) xor ca( 78) xor ca( 82) xor ca( 83) xor ca( 88) xor ca( 89) xor ca( 92) xor ca( 96) xor ca( 99) xor ca(101) xor ca(104) xor ca(105) xor ca(106) xor ca(109) xor ca(110) xor ca(111) xor ca(113) xor ca(115) xor ca(116) xor ca(122) xor ca(123) xor ca(125) xor ca(127) xor dq( 18); ca( 19) <= ca( 64) xor ca( 67) xor ca( 68) xor ca( 71) xor ca( 73) xor ca( 75) xor ca( 76) xor ca( 78) xor ca( 79) xor ca( 81) xor ca( 82) xor ca( 84) xor ca( 85) xor ca( 86) xor ca( 87) xor ca( 89) xor ca( 92) xor ca( 93) xor ca( 98) xor ca( 99) xor ca(100) xor ca(101) xor ca(102) xor ca(105) xor ca(106) xor ca(109) xor ca(115) xor ca(116) xor ca(120) xor ca(122) xor ca(123) xor ca(124) xor dq( 19); ca( 20) <= ca( 64) xor ca( 65) xor ca( 70) xor ca( 76) xor ca( 78) xor ca( 79) xor ca( 80) xor ca( 81) xor ca( 88) xor ca( 92) xor ca( 93) xor ca( 94) xor ca( 97) xor ca( 98) xor ca(100) xor ca(102) xor ca(103) xor ca(106) xor ca(109) xor ca(111) xor ca(112) xor ca(114) xor ca(115) xor ca(116) xor ca(120) xor ca(121) xor ca(122) xor ca(123) xor ca(124) xor ca(125) xor ca(126) xor dq( 20); ca( 21) <= ca( 64) xor ca( 65) xor ca( 66) xor ca( 68) xor ca( 69) xor ca( 70) xor ca( 71) xor ca( 72) xor ca( 74) xor ca( 78) xor ca( 79) xor ca( 80) xor ca( 83) xor ca( 85) xor ca( 86) xor ca( 87) xor ca( 89) xor ca( 90) xor ca( 92) xor ca( 93) xor ca( 94) xor ca( 95) xor ca( 97) xor ca(103) xor ca(104) xor ca(109) xor ca(111) xor ca(113) xor ca(114) xor ca(116) xor ca(120) xor ca(121) xor ca(123) xor ca(124) xor ca(125) xor ca(127) xor dq( 21); ca( 22) <= ca( 64) xor ca( 65) xor ca( 66) xor ca( 67) xor ca( 68) xor ca( 71) xor ca( 73) xor ca( 74) xor ca( 75) xor ca( 77) xor ca( 78) xor ca( 79) xor ca( 80) xor ca( 82) xor ca( 83) xor ca( 84) xor ca( 85) xor ca( 88) xor ca( 91) xor ca( 92) xor ca( 93) xor ca( 94) xor ca( 95) xor ca( 96) xor ca( 97) xor ca( 99) xor ca(101) xor ca(104) xor ca(105) xor ca(107) xor ca(109) xor ca(111) xor ca(120) xor ca(121) xor ca(124) xor ca(125) xor dq( 22); ca( 23) <= ca( 64) xor ca( 65) xor ca( 66) xor ca( 67) xor ca( 70) xor ca( 75) xor ca( 76) xor ca( 77) xor ca( 79) xor ca( 80) xor ca( 82) xor ca( 84) xor ca( 87) xor ca( 89) xor ca( 90) xor ca( 93) xor ca( 94) xor ca( 95) xor ca( 96) xor ca( 99) xor ca(100) xor ca(101) xor ca(102) xor ca(105) xor ca(106) xor ca(107) xor ca(108) xor ca(109) xor ca(111) xor ca(114) xor ca(115) xor ca(117) xor ca(120) xor ca(121) xor ca(125) xor dq( 23); ca( 24) <= ca( 65) xor ca( 66) xor ca( 67) xor ca( 68) xor ca( 71) xor ca( 76) xor ca( 77) xor ca( 78) xor ca( 80) xor ca( 81) xor ca( 83) xor ca( 85) xor ca( 88) xor ca( 90) xor ca( 91) xor ca( 94) xor ca( 95) xor ca( 96) xor ca( 97) xor ca(100) xor ca(101) xor ca(102) xor ca(103) xor ca(106) xor ca(107) xor ca(108) xor ca(109) xor ca(110) xor ca(112) xor ca(115) xor ca(116) xor ca(118) xor ca(121) xor ca(122) xor ca(126) xor dq( 24); ca( 25) <= ca( 66) xor ca( 67) xor ca( 68) xor ca( 69) xor ca( 72) xor ca( 77) xor ca( 78) xor ca( 79) xor ca( 81) xor ca( 82) xor ca( 84) xor ca( 86) xor ca( 89) xor ca( 91) xor ca( 92) xor ca( 95) xor ca( 96) xor ca( 97) xor ca( 98) xor ca(101) xor ca(102) xor ca(103) xor ca(104) xor ca(107) xor ca(108) xor ca(109) xor ca(110) xor ca(111) xor ca(113) xor ca(116) xor ca(117) xor ca(119) xor ca(122) xor ca(123) xor ca(127) xor dq( 25); ca( 26) <= ca( 64) xor ca( 67) xor ca( 72) xor ca( 73) xor ca( 74) xor ca( 77) xor ca( 79) xor ca( 80) xor ca( 81) xor ca( 86) xor ca( 93) xor ca( 96) xor ca(101) xor ca(102) xor ca(103) xor ca(104) xor ca(105) xor ca(107) xor ca(108) xor ca(115) xor ca(118) xor ca(122) xor ca(123) xor ca(124) xor ca(126) xor dq( 26); ca( 27) <= ca( 65) xor ca( 68) xor ca( 73) xor ca( 74) xor ca( 75) xor ca( 78) xor ca( 80) xor ca( 81) xor ca( 82) xor ca( 87) xor ca( 94) xor ca( 97) xor ca(102) xor ca(103) xor ca(104) xor ca(105) xor ca(106) xor ca(108) xor ca(109) xor ca(116) xor ca(119) xor ca(123) xor ca(124) xor ca(125) xor ca(127) xor dq( 27); ca( 28) <= ca( 66) xor ca( 69) xor ca( 74) xor ca( 75) xor ca( 76) xor ca( 79) xor ca( 81) xor ca( 82) xor ca( 83) xor ca( 88) xor ca( 95) xor ca( 98) xor ca(103) xor ca(104) xor ca(105) xor ca(106) xor ca(107) xor ca(109) xor ca(110) xor ca(117) xor ca(120) xor ca(124) xor ca(125) xor ca(126) xor dq( 28); ca( 29) <= ca( 67) xor ca( 70) xor ca( 75) xor ca( 76) xor ca( 77) xor ca( 80) xor ca( 82) xor ca( 83) xor ca( 84) xor ca( 89) xor ca( 96) xor ca( 99) xor ca(104) xor ca(105) xor ca(106) xor ca(107) xor ca(108) xor ca(110) xor ca(111) xor ca(118) xor ca(121) xor ca(125) xor ca(126) xor ca(127) xor dq( 29); ca( 30) <= ca( 68) xor ca( 71) xor ca( 76) xor ca( 77) xor ca( 78) xor ca( 81) xor ca( 83) xor ca( 84) xor ca( 85) xor ca( 90) xor ca( 97) xor ca(100) xor ca(105) xor ca(106) xor ca(107) xor ca(108) xor ca(109) xor ca(111) xor ca(112) xor ca(119) xor ca(122) xor ca(126) xor ca(127) xor dq( 30); ca( 31) <= ca( 69) xor ca( 72) xor ca( 77) xor ca( 78) xor ca( 79) xor ca( 82) xor ca( 84) xor ca( 85) xor ca( 86) xor ca( 91) xor ca( 98) xor ca(101) xor ca(106) xor ca(107) xor ca(108) xor ca(109) xor ca(110) xor ca(112) xor ca(113) xor ca(120) xor ca(123) xor ca(127) xor dq( 31); ca( 32) <= ca( 64) xor ca( 68) xor ca( 69) xor ca( 72) xor ca( 73) xor ca( 74) xor ca( 77) xor ca( 79) xor ca( 80) xor ca( 81) xor ca( 82) xor ca( 90) xor ca( 97) xor ca( 98) xor ca(101) xor ca(102) xor ca(108) xor ca(112) xor ca(113) xor ca(115) xor ca(117) xor ca(120) xor ca(121) xor ca(122) xor ca(124) xor ca(126) xor dq( 32); ca( 33) <= ca( 65) xor ca( 69) xor ca( 70) xor ca( 73) xor ca( 74) xor ca( 75) xor ca( 78) xor ca( 80) xor ca( 81) xor ca( 82) xor ca( 83) xor ca( 91) xor ca( 98) xor ca( 99) xor ca(102) xor ca(103) xor ca(109) xor ca(113) xor ca(114) xor ca(116) xor ca(118) xor ca(121) xor ca(122) xor ca(123) xor ca(125) xor ca(127) xor dq( 33); ca( 34) <= ca( 64) xor ca( 66) xor ca( 68) xor ca( 69) xor ca( 71) xor ca( 72) xor ca( 75) xor ca( 76) xor ca( 77) xor ca( 78) xor ca( 79) xor ca( 84) xor ca( 85) xor ca( 86) xor ca( 87) xor ca( 90) xor ca( 97) xor ca( 98) xor ca(100) xor ca(101) xor ca(103) xor ca(104) xor ca(107) xor ca(109) xor ca(111) xor ca(112) xor ca(119) xor ca(120) xor ca(123) xor ca(124) xor dq( 34); ca( 35) <= ca( 65) xor ca( 67) xor ca( 69) xor ca( 70) xor ca( 72) xor ca( 73) xor ca( 76) xor ca( 77) xor ca( 78) xor ca( 79) xor ca( 80) xor ca( 85) xor ca( 86) xor ca( 87) xor ca( 88) xor ca( 91) xor ca( 98) xor ca( 99) xor ca(101) xor ca(102) xor ca(104) xor ca(105) xor ca(108) xor ca(110) xor ca(112) xor ca(113) xor ca(120) xor ca(121) xor ca(124) xor ca(125) xor dq( 35); ca( 36) <= ca( 64) xor ca( 66) xor ca( 69) xor ca( 71) xor ca( 72) xor ca( 73) xor ca( 79) xor ca( 80) xor ca( 82) xor ca( 83) xor ca( 85) xor ca( 88) xor ca( 89) xor ca( 90) xor ca( 97) xor ca( 98) xor ca(100) xor ca(101) xor ca(102) xor ca(103) xor ca(105) xor ca(106) xor ca(107) xor ca(110) xor ca(112) xor ca(113) xor ca(115) xor ca(117) xor ca(120) xor ca(121) xor ca(125) xor dq( 36); ca( 37) <= ca( 64) xor ca( 65) xor ca( 67) xor ca( 68) xor ca( 69) xor ca( 73) xor ca( 77) xor ca( 78) xor ca( 80) xor ca( 82) xor ca( 84) xor ca( 85) xor ca( 87) xor ca( 89) xor ca( 91) xor ca( 92) xor ca( 97) xor ca(102) xor ca(103) xor ca(104) xor ca(106) xor ca(108) xor ca(109) xor ca(110) xor ca(112) xor ca(113) xor ca(115) xor ca(116) xor ca(117) xor ca(118) xor ca(120) xor ca(121) xor dq( 37); ca( 38) <= ca( 64) xor ca( 65) xor ca( 66) xor ca( 72) xor ca( 77) xor ca( 79) xor ca( 82) xor ca( 87) xor ca( 88) xor ca( 93) xor ca( 97) xor ca( 99) xor ca(101) xor ca(103) xor ca(104) xor ca(105) xor ca(112) xor ca(113) xor ca(115) xor ca(116) xor ca(118) xor ca(119) xor ca(120) xor ca(121) xor ca(126) xor dq( 38); ca( 39) <= ca( 65) xor ca( 66) xor ca( 67) xor ca( 73) xor ca( 78) xor ca( 80) xor ca( 83) xor ca( 88) xor ca( 89) xor ca( 94) xor ca( 98) xor ca(100) xor ca(102) xor ca(104) xor ca(105) xor ca(106) xor ca(113) xor ca(114) xor ca(116) xor ca(117) xor ca(119) xor ca(120) xor ca(121) xor ca(122) xor ca(127) xor dq( 39); ca( 40) <= ca( 64) xor ca( 66) xor ca( 67) xor ca( 69) xor ca( 70) xor ca( 72) xor ca( 77) xor ca( 78) xor ca( 79) xor ca( 82) xor ca( 83) xor ca( 84) xor ca( 85) xor ca( 86) xor ca( 87) xor ca( 89) xor ca( 92) xor ca( 95) xor ca( 97) xor ca( 98) xor ca(103) xor ca(105) xor ca(106) xor ca(109) xor ca(110) xor ca(111) xor ca(112) xor ca(118) xor ca(121) xor ca(123) xor ca(126) xor dq( 40); ca( 41) <= ca( 65) xor ca( 67) xor ca( 68) xor ca( 70) xor ca( 71) xor ca( 73) xor ca( 78) xor ca( 79) xor ca( 80) xor ca( 83) xor ca( 84) xor ca( 85) xor ca( 86) xor ca( 87) xor ca( 88) xor ca( 90) xor ca( 93) xor ca( 96) xor ca( 98) xor ca( 99) xor ca(104) xor ca(106) xor ca(107) xor ca(110) xor ca(111) xor ca(112) xor ca(113) xor ca(119) xor ca(122) xor ca(124) xor ca(127) xor dq( 41); ca( 42) <= ca( 64) xor ca( 66) xor ca( 70) xor ca( 71) xor ca( 77) xor ca( 78) xor ca( 79) xor ca( 80) xor ca( 82) xor ca( 83) xor ca( 84) xor ca( 88) xor ca( 89) xor ca( 90) xor ca( 91) xor ca( 92) xor ca( 94) xor ca( 98) xor ca(100) xor ca(101) xor ca(105) xor ca(108) xor ca(109) xor ca(110) xor ca(113) xor ca(115) xor ca(117) xor ca(122) xor ca(123) xor ca(125) xor ca(126) xor dq( 42); ca( 43) <= ca( 64) xor ca( 65) xor ca( 67) xor ca( 68) xor ca( 69) xor ca( 70) xor ca( 71) xor ca( 74) xor ca( 77) xor ca( 79) xor ca( 80) xor ca( 82) xor ca( 84) xor ca( 86) xor ca( 87) xor ca( 89) xor ca( 91) xor ca( 93) xor ca( 95) xor ca( 97) xor ca( 98) xor ca(102) xor ca(106) xor ca(107) xor ca(112) xor ca(115) xor ca(116) xor ca(117) xor ca(118) xor ca(120) xor ca(122) xor ca(123) xor ca(124) xor ca(127) xor dq( 43); ca( 44) <= ca( 65) xor ca( 66) xor ca( 68) xor ca( 69) xor ca( 70) xor ca( 71) xor ca( 72) xor ca( 75) xor ca( 78) xor ca( 80) xor ca( 81) xor ca( 83) xor ca( 85) xor ca( 87) xor ca( 88) xor ca( 90) xor ca( 92) xor ca( 94) xor ca( 96) xor ca( 98) xor ca( 99) xor ca(103) xor ca(107) xor ca(108) xor ca(113) xor ca(116) xor ca(117) xor ca(118) xor ca(119) xor ca(121) xor ca(123) xor ca(124) xor ca(125) xor dq( 44); ca( 45) <= ca( 64) xor ca( 66) xor ca( 67) xor ca( 68) xor ca( 71) xor ca( 73) xor ca( 74) xor ca( 76) xor ca( 77) xor ca( 78) xor ca( 79) xor ca( 83) xor ca( 84) xor ca( 85) xor ca( 87) xor ca( 88) xor ca( 89) xor ca( 90) xor ca( 91) xor ca( 92) xor ca( 93) xor ca( 95) xor ca( 98) xor ca(100) xor ca(101) xor ca(104) xor ca(107) xor ca(108) xor ca(110) xor ca(111) xor ca(112) xor ca(115) xor ca(118) xor ca(119) xor ca(124) xor ca(125) xor dq( 45); ca( 46) <= ca( 64) xor ca( 65) xor ca( 67) xor ca( 70) xor ca( 75) xor ca( 79) xor ca( 80) xor ca( 81) xor ca( 82) xor ca( 83) xor ca( 84) xor ca( 87) xor ca( 88) xor ca( 89) xor ca( 91) xor ca( 93) xor ca( 94) xor ca( 96) xor ca( 97) xor ca( 98) xor ca(102) xor ca(105) xor ca(107) xor ca(108) xor ca(110) xor ca(113) xor ca(114) xor ca(115) xor ca(116) xor ca(117) xor ca(119) xor ca(122) xor ca(125) xor dq( 46); ca( 47) <= ca( 65) xor ca( 66) xor ca( 68) xor ca( 71) xor ca( 76) xor ca( 80) xor ca( 81) xor ca( 82) xor ca( 83) xor ca( 84) xor ca( 85) xor ca( 88) xor ca( 89) xor ca( 90) xor ca( 92) xor ca( 94) xor ca( 95) xor ca( 97) xor ca( 98) xor ca( 99) xor ca(103) xor ca(106) xor ca(108) xor ca(109) xor ca(111) xor ca(114) xor ca(115) xor ca(116) xor ca(117) xor ca(118) xor ca(120) xor ca(123) xor ca(126) xor dq( 47); ca( 48) <= ca( 66) xor ca( 67) xor ca( 69) xor ca( 72) xor ca( 77) xor ca( 81) xor ca( 82) xor ca( 83) xor ca( 84) xor ca( 85) xor ca( 86) xor ca( 89) xor ca( 90) xor ca( 91) xor ca( 93) xor ca( 95) xor ca( 96) xor ca( 98) xor ca( 99) xor ca(100) xor ca(104) xor ca(107) xor ca(109) xor ca(110) xor ca(112) xor ca(115) xor ca(116) xor ca(117) xor ca(118) xor ca(119) xor ca(121) xor ca(124) xor ca(127) xor dq( 48); ca( 49) <= ca( 67) xor ca( 68) xor ca( 70) xor ca( 73) xor ca( 78) xor ca( 82) xor ca( 83) xor ca( 84) xor ca( 85) xor ca( 86) xor ca( 87) xor ca( 90) xor ca( 91) xor ca( 92) xor ca( 94) xor ca( 96) xor ca( 97) xor ca( 99) xor ca(100) xor ca(101) xor ca(105) xor ca(108) xor ca(110) xor ca(111) xor ca(113) xor ca(116) xor ca(117) xor ca(118) xor ca(119) xor ca(120) xor ca(122) xor ca(125) xor dq( 49); ca( 50) <= ca( 64) xor ca( 70) xor ca( 71) xor ca( 72) xor ca( 77) xor ca( 78) xor ca( 79) xor ca( 81) xor ca( 82) xor ca( 84) xor ca( 88) xor ca( 90) xor ca( 91) xor ca( 93) xor ca( 95) xor ca( 99) xor ca(100) xor ca(102) xor ca(106) xor ca(107) xor ca(110) xor ca(115) xor ca(118) xor ca(119) xor ca(121) xor ca(122) xor ca(123) xor dq( 50); ca( 51) <= ca( 64) xor ca( 65) xor ca( 68) xor ca( 69) xor ca( 70) xor ca( 71) xor ca( 73) xor ca( 74) xor ca( 77) xor ca( 79) xor ca( 80) xor ca( 81) xor ca( 86) xor ca( 87) xor ca( 89) xor ca( 90) xor ca( 91) xor ca( 94) xor ca( 96) xor ca( 97) xor ca( 98) xor ca( 99) xor ca(100) xor ca(103) xor ca(108) xor ca(109) xor ca(110) xor ca(112) xor ca(114) xor ca(115) xor ca(116) xor ca(117) xor ca(119) xor ca(123) xor ca(124) xor ca(126) xor dq( 51); ca( 52) <= ca( 64) xor ca( 65) xor ca( 66) xor ca( 68) xor ca( 71) xor ca( 75) xor ca( 77) xor ca( 80) xor ca( 83) xor ca( 85) xor ca( 86) xor ca( 88) xor ca( 91) xor ca( 95) xor ca(100) xor ca(104) xor ca(107) xor ca(112) xor ca(113) xor ca(114) xor ca(116) xor ca(118) xor ca(122) xor ca(124) xor ca(125) xor ca(126) xor ca(127) xor dq( 52); ca( 53) <= ca( 64) xor ca( 65) xor ca( 66) xor ca( 67) xor ca( 68) xor ca( 70) xor ca( 74) xor ca( 76) xor ca( 77) xor ca( 82) xor ca( 83) xor ca( 84) xor ca( 85) xor ca( 89) xor ca( 90) xor ca( 96) xor ca( 97) xor ca( 98) xor ca( 99) xor ca(105) xor ca(107) xor ca(108) xor ca(109) xor ca(110) xor ca(111) xor ca(112) xor ca(113) xor ca(119) xor ca(120) xor ca(122) xor ca(123) xor ca(125) xor ca(127) xor dq( 53); ca( 54) <= ca( 64) xor ca( 65) xor ca( 66) xor ca( 67) xor ca( 70) xor ca( 71) xor ca( 72) xor ca( 74) xor ca( 75) xor ca( 81) xor ca( 82) xor ca( 84) xor ca( 87) xor ca( 91) xor ca( 92) xor ca(100) xor ca(101) xor ca(106) xor ca(107) xor ca(108) xor ca(113) xor ca(115) xor ca(117) xor ca(121) xor ca(122) xor ca(123) xor ca(124) xor dq( 54); ca( 55) <= ca( 64) xor ca( 65) xor ca( 66) xor ca( 67) xor ca( 69) xor ca( 70) xor ca( 71) xor ca( 73) xor ca( 74) xor ca( 75) xor ca( 76) xor ca( 77) xor ca( 78) xor ca( 81) xor ca( 86) xor ca( 87) xor ca( 88) xor ca( 90) xor ca( 93) xor ca( 97) xor ca( 98) xor ca( 99) xor ca(102) xor ca(108) xor ca(110) xor ca(111) xor ca(112) xor ca(115) xor ca(116) xor ca(117) xor ca(118) xor ca(120) xor ca(123) xor ca(124) xor ca(125) xor ca(126) xor dq( 55); ca( 56) <= ca( 64) xor ca( 65) xor ca( 66) xor ca( 67) xor ca( 69) xor ca( 71) xor ca( 75) xor ca( 76) xor ca( 79) xor ca( 81) xor ca( 83) xor ca( 85) xor ca( 86) xor ca( 88) xor ca( 89) xor ca( 90) xor ca( 91) xor ca( 92) xor ca( 94) xor ca( 97) xor ca(100) xor ca(101) xor ca(103) xor ca(107) xor ca(110) xor ca(113) xor ca(114) xor ca(115) xor ca(116) xor ca(118) xor ca(119) xor ca(120) xor ca(121) xor ca(122) xor ca(124) xor ca(125) xor ca(127) xor dq( 56); ca( 57) <= ca( 65) xor ca( 66) xor ca( 67) xor ca( 68) xor ca( 70) xor ca( 72) xor ca( 76) xor ca( 77) xor ca( 80) xor ca( 82) xor ca( 84) xor ca( 86) xor ca( 87) xor ca( 89) xor ca( 90) xor ca( 91) xor ca( 92) xor ca( 93) xor ca( 95) xor ca( 98) xor ca(101) xor ca(102) xor ca(104) xor ca(108) xor ca(111) xor ca(114) xor ca(115) xor ca(116) xor ca(117) xor ca(119) xor ca(120) xor ca(121) xor ca(122) xor ca(123) xor ca(125) xor ca(126) xor dq( 57); ca( 58) <= ca( 66) xor ca( 67) xor ca( 68) xor ca( 69) xor ca( 71) xor ca( 73) xor ca( 77) xor ca( 78) xor ca( 81) xor ca( 83) xor ca( 85) xor ca( 87) xor ca( 88) xor ca( 90) xor ca( 91) xor ca( 92) xor ca( 93) xor ca( 94) xor ca( 96) xor ca( 99) xor ca(102) xor ca(103) xor ca(105) xor ca(109) xor ca(112) xor ca(115) xor ca(116) xor ca(117) xor ca(118) xor ca(120) xor ca(121) xor ca(122) xor ca(123) xor ca(124) xor ca(126) xor ca(127) xor dq( 58); ca( 59) <= ca( 64) xor ca( 67) xor ca( 77) xor ca( 79) xor ca( 81) xor ca( 83) xor ca( 84) xor ca( 85) xor ca( 87) xor ca( 88) xor ca( 89) xor ca( 90) xor ca( 91) xor ca( 93) xor ca( 94) xor ca( 95) xor ca( 98) xor ca( 99) xor ca(100) xor ca(101) xor ca(103) xor ca(104) xor ca(106) xor ca(107) xor ca(109) xor ca(111) xor ca(112) xor ca(113) xor ca(114) xor ca(115) xor ca(116) xor ca(118) xor ca(119) xor ca(120) xor ca(121) xor ca(123) xor ca(124) xor ca(125) xor ca(126) xor ca(127) xor dq( 59); ca( 60) <= ca( 64) xor ca( 65) xor ca( 69) xor ca( 70) xor ca( 72) xor ca( 74) xor ca( 77) xor ca( 80) xor ca( 81) xor ca( 83) xor ca( 84) xor ca( 87) xor ca( 88) xor ca( 89) xor ca( 91) xor ca( 94) xor ca( 95) xor ca( 96) xor ca( 97) xor ca( 98) xor ca(100) xor ca(102) xor ca(104) xor ca(105) xor ca(108) xor ca(109) xor ca(111) xor ca(113) xor ca(116) xor ca(119) xor ca(121) xor ca(124) xor ca(125) xor ca(127) xor dq( 60); ca( 61) <= ca( 65) xor ca( 66) xor ca( 70) xor ca( 71) xor ca( 73) xor ca( 75) xor ca( 78) xor ca( 81) xor ca( 82) xor ca( 84) xor ca( 85) xor ca( 88) xor ca( 89) xor ca( 90) xor ca( 92) xor ca( 95) xor ca( 96) xor ca( 97) xor ca( 98) xor ca( 99) xor ca(101) xor ca(103) xor ca(105) xor ca(106) xor ca(109) xor ca(110) xor ca(112) xor ca(114) xor ca(117) xor ca(120) xor ca(122) xor ca(125) xor ca(126) xor dq( 61); ca( 62) <= ca( 66) xor ca( 67) xor ca( 71) xor ca( 72) xor ca( 74) xor ca( 76) xor ca( 79) xor ca( 82) xor ca( 83) xor ca( 85) xor ca( 86) xor ca( 89) xor ca( 90) xor ca( 91) xor ca( 93) xor ca( 96) xor ca( 97) xor ca( 98) xor ca( 99) xor ca(100) xor ca(102) xor ca(104) xor ca(106) xor ca(107) xor ca(110) xor ca(111) xor ca(113) xor ca(115) xor ca(118) xor ca(121) xor ca(123) xor ca(126) xor ca(127) xor dq( 62); ca( 63) <= ca( 67) xor ca( 68) xor ca( 72) xor ca( 73) xor ca( 75) xor ca( 77) xor ca( 80) xor ca( 83) xor ca( 84) xor ca( 86) xor ca( 87) xor ca( 90) xor ca( 91) xor ca( 92) xor ca( 94) xor ca( 97) xor ca( 98) xor ca( 99) xor ca(100) xor ca(101) xor ca(103) xor ca(105) xor ca(107) xor ca(108) xor ca(111) xor ca(112) xor ca(114) xor ca(116) xor ca(119) xor ca(122) xor ca(124) xor ca(127) xor dq( 63); ca( 64) <= ca( 0) xor ca( 64) xor ca( 70) xor ca( 72) xor ca( 73) xor ca( 76) xor ca( 77) xor ca( 82) xor ca( 83) xor ca( 84) xor ca( 86) xor ca( 88) xor ca( 90) xor ca( 91) xor ca( 93) xor ca( 95) xor ca( 97) xor ca(100) xor ca(102) xor ca(104) xor ca(106) xor ca(107) xor ca(108) xor ca(110) xor ca(111) xor ca(113) xor ca(114) xor ca(122) xor ca(123) xor ca(125) xor ca(126) xor dq( 64); ca( 65) <= ca( 1) xor ca( 65) xor ca( 71) xor ca( 73) xor ca( 74) xor ca( 77) xor ca( 78) xor ca( 83) xor ca( 84) xor ca( 85) xor ca( 87) xor ca( 89) xor ca( 91) xor ca( 92) xor ca( 94) xor ca( 96) xor ca( 98) xor ca(101) xor ca(103) xor ca(105) xor ca(107) xor ca(108) xor ca(109) xor ca(111) xor ca(112) xor ca(114) xor ca(115) xor ca(123) xor ca(124) xor ca(126) xor ca(127) xor dq( 65); ca( 66) <= ca( 2) xor ca( 64) xor ca( 66) xor ca( 68) xor ca( 69) xor ca( 70) xor ca( 75) xor ca( 77) xor ca( 79) xor ca( 81) xor ca( 82) xor ca( 83) xor ca( 84) xor ca( 87) xor ca( 88) xor ca( 93) xor ca( 95) xor ca( 98) xor ca(101) xor ca(102) xor ca(104) xor ca(106) xor ca(107) xor ca(108) xor ca(111) xor ca(113) xor ca(114) xor ca(116) xor ca(117) xor ca(120) xor ca(122) xor ca(124) xor ca(125) xor ca(126) xor ca(127) xor dq( 66); ca( 67) <= ca( 3) xor ca( 64) xor ca( 65) xor ca( 67) xor ca( 68) xor ca( 71) xor ca( 72) xor ca( 74) xor ca( 76) xor ca( 77) xor ca( 80) xor ca( 81) xor ca( 84) xor ca( 86) xor ca( 87) xor ca( 88) xor ca( 89) xor ca( 90) xor ca( 92) xor ca( 94) xor ca( 96) xor ca( 97) xor ca( 98) xor ca(101) xor ca(102) xor ca(103) xor ca(105) xor ca(108) xor ca(110) xor ca(111) xor ca(118) xor ca(120) xor ca(121) xor ca(122) xor ca(123) xor ca(125) xor ca(127) xor dq( 67); ca( 68) <= ca( 4) xor ca( 64) xor ca( 65) xor ca( 66) xor ca( 70) xor ca( 73) xor ca( 74) xor ca( 75) xor ca( 83) xor ca( 86) xor ca( 88) xor ca( 89) xor ca( 91) xor ca( 92) xor ca( 93) xor ca( 95) xor ca(101) xor ca(102) xor ca(103) xor ca(104) xor ca(106) xor ca(107) xor ca(110) xor ca(114) xor ca(115) xor ca(117) xor ca(119) xor ca(120) xor ca(121) xor ca(123) xor ca(124) xor dq( 68); ca( 69) <= ca( 5) xor ca( 64) xor ca( 65) xor ca( 66) xor ca( 67) xor ca( 68) xor ca( 69) xor ca( 70) xor ca( 71) xor ca( 72) xor ca( 75) xor ca( 76) xor ca( 77) xor ca( 78) xor ca( 81) xor ca( 82) xor ca( 83) xor ca( 84) xor ca( 85) xor ca( 86) xor ca( 89) xor ca( 93) xor ca( 94) xor ca( 96) xor ca( 97) xor ca( 98) xor ca( 99) xor ca(101) xor ca(102) xor ca(103) xor ca(104) xor ca(105) xor ca(108) xor ca(109) xor ca(110) xor ca(112) xor ca(114) xor ca(116) xor ca(117) xor ca(118) xor ca(121) xor ca(124) xor ca(125) xor ca(126) xor dq( 69); ca( 70) <= ca( 6) xor ca( 65) xor ca( 66) xor ca( 67) xor ca( 68) xor ca( 69) xor ca( 70) xor ca( 71) xor ca( 72) xor ca( 73) xor ca( 76) xor ca( 77) xor ca( 78) xor ca( 79) xor ca( 82) xor ca( 83) xor ca( 84) xor ca( 85) xor ca( 86) xor ca( 87) xor ca( 90) xor ca( 94) xor ca( 95) xor ca( 97) xor ca( 98) xor ca( 99) xor ca(100) xor ca(102) xor ca(103) xor ca(104) xor ca(105) xor ca(106) xor ca(109) xor ca(110) xor ca(111) xor ca(113) xor ca(115) xor ca(117) xor ca(118) xor ca(119) xor ca(122) xor ca(125) xor ca(126) xor ca(127) xor dq( 70); ca( 71) <= ca( 7) xor ca( 64) xor ca( 66) xor ca( 67) xor ca( 71) xor ca( 73) xor ca( 79) xor ca( 80) xor ca( 81) xor ca( 82) xor ca( 84) xor ca( 88) xor ca( 90) xor ca( 91) xor ca( 92) xor ca( 95) xor ca( 96) xor ca( 97) xor ca(100) xor ca(103) xor ca(104) xor ca(105) xor ca(106) xor ca(109) xor ca(115) xor ca(116) xor ca(117) xor ca(118) xor ca(119) xor ca(122) xor ca(123) xor ca(127) xor dq( 71); ca( 72) <= ca( 8) xor ca( 64) xor ca( 65) xor ca( 67) xor ca( 69) xor ca( 70) xor ca( 77) xor ca( 78) xor ca( 80) xor ca( 86) xor ca( 87) xor ca( 89) xor ca( 90) xor ca( 91) xor ca( 93) xor ca( 96) xor ca( 99) xor ca(104) xor ca(105) xor ca(106) xor ca(109) xor ca(111) xor ca(112) xor ca(114) xor ca(115) xor ca(116) xor ca(118) xor ca(119) xor ca(122) xor ca(123) xor ca(124) xor ca(126) xor dq( 72); ca( 73) <= ca( 9) xor ca( 64) xor ca( 65) xor ca( 66) xor ca( 69) xor ca( 71) xor ca( 72) xor ca( 74) xor ca( 77) xor ca( 79) xor ca( 82) xor ca( 83) xor ca( 85) xor ca( 86) xor ca( 88) xor ca( 91) xor ca( 94) xor ca( 98) xor ca( 99) xor ca(100) xor ca(101) xor ca(105) xor ca(106) xor ca(109) xor ca(111) xor ca(113) xor ca(114) xor ca(116) xor ca(119) xor ca(122) xor ca(123) xor ca(124) xor ca(125) xor ca(126) xor ca(127) xor dq( 73); ca( 74) <= ca( 10) xor ca( 64) xor ca( 65) xor ca( 66) xor ca( 67) xor ca( 68) xor ca( 69) xor ca( 73) xor ca( 74) xor ca( 75) xor ca( 77) xor ca( 80) xor ca( 81) xor ca( 82) xor ca( 84) xor ca( 85) xor ca( 89) xor ca( 90) xor ca( 95) xor ca( 97) xor ca( 98) xor ca(100) xor ca(102) xor ca(106) xor ca(109) xor ca(111) xor ca(122) xor ca(123) xor ca(124) xor ca(125) xor ca(127) xor dq( 74); ca( 75) <= ca( 11) xor ca( 65) xor ca( 66) xor ca( 67) xor ca( 68) xor ca( 69) xor ca( 70) xor ca( 74) xor ca( 75) xor ca( 76) xor ca( 78) xor ca( 81) xor ca( 82) xor ca( 83) xor ca( 85) xor ca( 86) xor ca( 90) xor ca( 91) xor ca( 96) xor ca( 98) xor ca( 99) xor ca(101) xor ca(103) xor ca(107) xor ca(110) xor ca(112) xor ca(123) xor ca(124) xor ca(125) xor ca(126) xor dq( 75); ca( 76) <= ca( 12) xor ca( 64) xor ca( 66) xor ca( 67) xor ca( 71) xor ca( 72) xor ca( 74) xor ca( 75) xor ca( 76) xor ca( 78) xor ca( 79) xor ca( 81) xor ca( 84) xor ca( 85) xor ca( 90) xor ca( 91) xor ca( 98) xor ca(100) xor ca(101) xor ca(102) xor ca(104) xor ca(107) xor ca(108) xor ca(109) xor ca(110) xor ca(112) xor ca(113) xor ca(114) xor ca(115) xor ca(117) xor ca(120) xor ca(122) xor ca(124) xor ca(125) xor ca(127) xor dq( 76); ca( 77) <= ca( 13) xor ca( 65) xor ca( 67) xor ca( 68) xor ca( 72) xor ca( 73) xor ca( 75) xor ca( 76) xor ca( 77) xor ca( 79) xor ca( 80) xor ca( 82) xor ca( 85) xor ca( 86) xor ca( 91) xor ca( 92) xor ca( 99) xor ca(101) xor ca(102) xor ca(103) xor ca(105) xor ca(108) xor ca(109) xor ca(110) xor ca(111) xor ca(113) xor ca(114) xor ca(115) xor ca(116) xor ca(118) xor ca(121) xor ca(123) xor ca(125) xor ca(126) xor dq( 77); ca( 78) <= ca( 14) xor ca( 66) xor ca( 68) xor ca( 69) xor ca( 73) xor ca( 74) xor ca( 76) xor ca( 77) xor ca( 78) xor ca( 80) xor ca( 81) xor ca( 83) xor ca( 86) xor ca( 87) xor ca( 92) xor ca( 93) xor ca(100) xor ca(102) xor ca(103) xor ca(104) xor ca(106) xor ca(109) xor ca(110) xor ca(111) xor ca(112) xor ca(114) xor ca(115) xor ca(116) xor ca(117) xor ca(119) xor ca(122) xor ca(124) xor ca(126) xor ca(127) xor dq( 78); ca( 79) <= ca( 15) xor ca( 64) xor ca( 67) xor ca( 68) xor ca( 72) xor ca( 75) xor ca( 79) xor ca( 83) xor ca( 84) xor ca( 85) xor ca( 86) xor ca( 88) xor ca( 90) xor ca( 92) xor ca( 93) xor ca( 94) xor ca( 97) xor ca( 98) xor ca( 99) xor ca(103) xor ca(104) xor ca(105) xor ca(109) xor ca(113) xor ca(114) xor ca(116) xor ca(118) xor ca(122) xor ca(123) xor ca(125) xor ca(126) xor ca(127) xor dq( 79); ca( 80) <= ca( 16) xor ca( 64) xor ca( 65) xor ca( 70) xor ca( 72) xor ca( 73) xor ca( 74) xor ca( 76) xor ca( 77) xor ca( 78) xor ca( 80) xor ca( 81) xor ca( 82) xor ca( 83) xor ca( 84) xor ca( 89) xor ca( 90) xor ca( 91) xor ca( 92) xor ca( 93) xor ca( 94) xor ca( 95) xor ca( 97) xor ca(100) xor ca(101) xor ca(104) xor ca(105) xor ca(106) xor ca(107) xor ca(109) xor ca(111) xor ca(112) xor ca(119) xor ca(120) xor ca(122) xor ca(123) xor ca(124) xor ca(127) xor dq( 80); ca( 81) <= ca( 17) xor ca( 64) xor ca( 65) xor ca( 66) xor ca( 68) xor ca( 69) xor ca( 70) xor ca( 71) xor ca( 72) xor ca( 73) xor ca( 75) xor ca( 79) xor ca( 84) xor ca( 86) xor ca( 87) xor ca( 91) xor ca( 93) xor ca( 94) xor ca( 95) xor ca( 96) xor ca( 97) xor ca( 99) xor ca(102) xor ca(105) xor ca(106) xor ca(108) xor ca(109) xor ca(111) xor ca(113) xor ca(114) xor ca(115) xor ca(117) xor ca(121) xor ca(122) xor ca(123) xor ca(124) xor ca(125) xor ca(126) xor dq( 81); ca( 82) <= ca( 18) xor ca( 64) xor ca( 65) xor ca( 66) xor ca( 67) xor ca( 68) xor ca( 71) xor ca( 73) xor ca( 76) xor ca( 77) xor ca( 78) xor ca( 80) xor ca( 81) xor ca( 82) xor ca( 83) xor ca( 86) xor ca( 88) xor ca( 90) xor ca( 94) xor ca( 95) xor ca( 96) xor ca( 99) xor ca(100) xor ca(101) xor ca(103) xor ca(106) xor ca(111) xor ca(116) xor ca(117) xor ca(118) xor ca(120) xor ca(123) xor ca(124) xor ca(125) xor ca(127) xor dq( 82); ca( 83) <= ca( 19) xor ca( 65) xor ca( 66) xor ca( 67) xor ca( 68) xor ca( 69) xor ca( 72) xor ca( 74) xor ca( 77) xor ca( 78) xor ca( 79) xor ca( 81) xor ca( 82) xor ca( 83) xor ca( 84) xor ca( 87) xor ca( 89) xor ca( 91) xor ca( 95) xor ca( 96) xor ca( 97) xor ca(100) xor ca(101) xor ca(102) xor ca(104) xor ca(107) xor ca(112) xor ca(117) xor ca(118) xor ca(119) xor ca(121) xor ca(124) xor ca(125) xor ca(126) xor dq( 83); ca( 84) <= ca( 20) xor ca( 66) xor ca( 67) xor ca( 68) xor ca( 69) xor ca( 70) xor ca( 73) xor ca( 75) xor ca( 78) xor ca( 79) xor ca( 80) xor ca( 82) xor ca( 83) xor ca( 84) xor ca( 85) xor ca( 88) xor ca( 90) xor ca( 92) xor ca( 96) xor ca( 97) xor ca( 98) xor ca(101) xor ca(102) xor ca(103) xor ca(105) xor ca(108) xor ca(113) xor ca(118) xor ca(119) xor ca(120) xor ca(122) xor ca(125) xor ca(126) xor ca(127) xor dq( 84); ca( 85) <= ca( 21) xor ca( 64) xor ca( 67) xor ca( 71) xor ca( 72) xor ca( 76) xor ca( 77) xor ca( 78) xor ca( 79) xor ca( 80) xor ca( 82) xor ca( 84) xor ca( 87) xor ca( 89) xor ca( 90) xor ca( 91) xor ca( 92) xor ca( 93) xor ca(101) xor ca(102) xor ca(103) xor ca(104) xor ca(106) xor ca(107) xor ca(110) xor ca(111) xor ca(112) xor ca(115) xor ca(117) xor ca(119) xor ca(121) xor ca(122) xor ca(123) xor ca(127) xor dq( 85); ca( 86) <= ca( 22) xor ca( 65) xor ca( 68) xor ca( 72) xor ca( 73) xor ca( 77) xor ca( 78) xor ca( 79) xor ca( 80) xor ca( 81) xor ca( 83) xor ca( 85) xor ca( 88) xor ca( 90) xor ca( 91) xor ca( 92) xor ca( 93) xor ca( 94) xor ca(102) xor ca(103) xor ca(104) xor ca(105) xor ca(107) xor ca(108) xor ca(111) xor ca(112) xor ca(113) xor ca(116) xor ca(118) xor ca(120) xor ca(122) xor ca(123) xor ca(124) xor dq( 86); ca( 87) <= ca( 23) xor ca( 66) xor ca( 69) xor ca( 73) xor ca( 74) xor ca( 78) xor ca( 79) xor ca( 80) xor ca( 81) xor ca( 82) xor ca( 84) xor ca( 86) xor ca( 89) xor ca( 91) xor ca( 92) xor ca( 93) xor ca( 94) xor ca( 95) xor ca(103) xor ca(104) xor ca(105) xor ca(106) xor ca(108) xor ca(109) xor ca(112) xor ca(113) xor ca(114) xor ca(117) xor ca(119) xor ca(121) xor ca(123) xor ca(124) xor ca(125) xor dq( 87); ca( 88) <= ca( 24) xor ca( 64) xor ca( 67) xor ca( 68) xor ca( 69) xor ca( 72) xor ca( 75) xor ca( 77) xor ca( 78) xor ca( 79) xor ca( 80) xor ca( 86) xor ca( 93) xor ca( 94) xor ca( 95) xor ca( 96) xor ca( 97) xor ca( 98) xor ca( 99) xor ca(101) xor ca(104) xor ca(105) xor ca(106) xor ca(111) xor ca(112) xor ca(113) xor ca(117) xor ca(118) xor ca(124) xor ca(125) xor dq( 88); ca( 89) <= ca( 25) xor ca( 65) xor ca( 68) xor ca( 69) xor ca( 70) xor ca( 73) xor ca( 76) xor ca( 78) xor ca( 79) xor ca( 80) xor ca( 81) xor ca( 87) xor ca( 94) xor ca( 95) xor ca( 96) xor ca( 97) xor ca( 98) xor ca( 99) xor ca(100) xor ca(102) xor ca(105) xor ca(106) xor ca(107) xor ca(112) xor ca(113) xor ca(114) xor ca(118) xor ca(119) xor ca(125) xor ca(126) xor dq( 89); ca( 90) <= ca( 26) xor ca( 66) xor ca( 69) xor ca( 70) xor ca( 71) xor ca( 74) xor ca( 77) xor ca( 79) xor ca( 80) xor ca( 81) xor ca( 82) xor ca( 88) xor ca( 95) xor ca( 96) xor ca( 97) xor ca( 98) xor ca( 99) xor ca(100) xor ca(101) xor ca(103) xor ca(106) xor ca(107) xor ca(108) xor ca(113) xor ca(114) xor ca(115) xor ca(119) xor ca(120) xor ca(126) xor ca(127) xor dq( 90); ca( 91) <= ca( 27) xor ca( 67) xor ca( 70) xor ca( 71) xor ca( 72) xor ca( 75) xor ca( 78) xor ca( 80) xor ca( 81) xor ca( 82) xor ca( 83) xor ca( 89) xor ca( 96) xor ca( 97) xor ca( 98) xor ca( 99) xor ca(100) xor ca(101) xor ca(102) xor ca(104) xor ca(107) xor ca(108) xor ca(109) xor ca(114) xor ca(115) xor ca(116) xor ca(120) xor ca(121) xor ca(127) xor dq( 91); ca( 92) <= ca( 28) xor ca( 64) xor ca( 69) xor ca( 70) xor ca( 71) xor ca( 73) xor ca( 74) xor ca( 76) xor ca( 77) xor ca( 78) xor ca( 79) xor ca( 84) xor ca( 85) xor ca( 86) xor ca( 87) xor ca( 92) xor ca(100) xor ca(102) xor ca(103) xor ca(105) xor ca(107) xor ca(108) xor ca(111) xor ca(112) xor ca(114) xor ca(116) xor ca(120) xor ca(121) xor ca(126) xor dq( 92); ca( 93) <= ca( 29) xor ca( 64) xor ca( 65) xor ca( 68) xor ca( 69) xor ca( 71) xor ca( 75) xor ca( 79) xor ca( 80) xor ca( 81) xor ca( 82) xor ca( 83) xor ca( 88) xor ca( 90) xor ca( 92) xor ca( 93) xor ca( 97) xor ca( 98) xor ca( 99) xor ca(103) xor ca(104) xor ca(106) xor ca(107) xor ca(108) xor ca(110) xor ca(111) xor ca(113) xor ca(114) xor ca(120) xor ca(121) xor ca(126) xor ca(127) xor dq( 93); ca( 94) <= ca( 30) xor ca( 64) xor ca( 65) xor ca( 66) xor ca( 68) xor ca( 74) xor ca( 76) xor ca( 77) xor ca( 78) xor ca( 80) xor ca( 84) xor ca( 85) xor ca( 86) xor ca( 87) xor ca( 89) xor ca( 90) xor ca( 91) xor ca( 92) xor ca( 93) xor ca( 94) xor ca( 97) xor ca(100) xor ca(101) xor ca(104) xor ca(105) xor ca(108) xor ca(110) xor ca(117) xor ca(120) xor ca(121) xor ca(126) xor ca(127) xor dq( 94); ca( 95) <= ca( 31) xor ca( 65) xor ca( 66) xor ca( 67) xor ca( 69) xor ca( 75) xor ca( 77) xor ca( 78) xor ca( 79) xor ca( 81) xor ca( 85) xor ca( 86) xor ca( 87) xor ca( 88) xor ca( 90) xor ca( 91) xor ca( 92) xor ca( 93) xor ca( 94) xor ca( 95) xor ca( 98) xor ca(101) xor ca(102) xor ca(105) xor ca(106) xor ca(109) xor ca(111) xor ca(118) xor ca(121) xor ca(122) xor ca(127) xor dq( 95); ca( 96) <= ca( 32) xor ca( 64) xor ca( 66) xor ca( 67) xor ca( 69) xor ca( 72) xor ca( 74) xor ca( 76) xor ca( 77) xor ca( 79) xor ca( 80) xor ca( 81) xor ca( 83) xor ca( 85) xor ca( 88) xor ca( 89) xor ca( 90) xor ca( 91) xor ca( 93) xor ca( 94) xor ca( 95) xor ca( 96) xor ca( 97) xor ca( 98) xor ca(101) xor ca(102) xor ca(103) xor ca(106) xor ca(109) xor ca(111) xor ca(114) xor ca(115) xor ca(117) xor ca(119) xor ca(120) xor ca(123) xor ca(126) xor dq( 96); ca( 97) <= ca( 33) xor ca( 64) xor ca( 65) xor ca( 67) xor ca( 69) xor ca( 72) xor ca( 73) xor ca( 74) xor ca( 75) xor ca( 80) xor ca( 83) xor ca( 84) xor ca( 85) xor ca( 87) xor ca( 89) xor ca( 91) xor ca( 94) xor ca( 95) xor ca( 96) xor ca(101) xor ca(102) xor ca(103) xor ca(104) xor ca(109) xor ca(111) xor ca(114) xor ca(116) xor ca(117) xor ca(118) xor ca(121) xor ca(122) xor ca(124) xor ca(126) xor ca(127) xor dq( 97); ca( 98) <= ca( 34) xor ca( 64) xor ca( 65) xor ca( 66) xor ca( 69) xor ca( 72) xor ca( 73) xor ca( 75) xor ca( 76) xor ca( 77) xor ca( 78) xor ca( 82) xor ca( 83) xor ca( 84) xor ca( 87) xor ca( 88) xor ca( 95) xor ca( 96) xor ca( 98) xor ca( 99) xor ca(101) xor ca(102) xor ca(103) xor ca(104) xor ca(105) xor ca(107) xor ca(109) xor ca(111) xor ca(114) xor ca(118) xor ca(119) xor ca(120) xor ca(123) xor ca(125) xor ca(126) xor ca(127) xor dq( 98); ca( 99) <= ca( 35) xor ca( 64) xor ca( 65) xor ca( 66) xor ca( 67) xor ca( 68) xor ca( 69) xor ca( 72) xor ca( 73) xor ca( 76) xor ca( 79) xor ca( 81) xor ca( 82) xor ca( 84) xor ca( 86) xor ca( 87) xor ca( 88) xor ca( 89) xor ca( 90) xor ca( 92) xor ca( 96) xor ca( 98) xor ca(100) xor ca(101) xor ca(102) xor ca(103) xor ca(104) xor ca(105) xor ca(106) xor ca(107) xor ca(108) xor ca(109) xor ca(111) xor ca(114) xor ca(117) xor ca(119) xor ca(121) xor ca(122) xor ca(124) xor ca(127) xor dq( 99); ca(100) <= ca( 36) xor ca( 64) xor ca( 65) xor ca( 66) xor ca( 67) xor ca( 72) xor ca( 73) xor ca( 78) xor ca( 80) xor ca( 81) xor ca( 86) xor ca( 88) xor ca( 89) xor ca( 91) xor ca( 92) xor ca( 93) xor ca( 98) xor ca(102) xor ca(103) xor ca(104) xor ca(105) xor ca(106) xor ca(108) xor ca(111) xor ca(114) xor ca(117) xor ca(118) xor ca(123) xor ca(125) xor ca(126) xor dq(100); ca(101) <= ca( 37) xor ca( 65) xor ca( 66) xor ca( 67) xor ca( 68) xor ca( 73) xor ca( 74) xor ca( 79) xor ca( 81) xor ca( 82) xor ca( 87) xor ca( 89) xor ca( 90) xor ca( 92) xor ca( 93) xor ca( 94) xor ca( 99) xor ca(103) xor ca(104) xor ca(105) xor ca(106) xor ca(107) xor ca(109) xor ca(112) xor ca(115) xor ca(118) xor ca(119) xor ca(124) xor ca(126) xor ca(127) xor dq(101); ca(102) <= ca( 38) xor ca( 64) xor ca( 66) xor ca( 67) xor ca( 70) xor ca( 72) xor ca( 75) xor ca( 77) xor ca( 78) xor ca( 80) xor ca( 81) xor ca( 85) xor ca( 86) xor ca( 87) xor ca( 88) xor ca( 91) xor ca( 92) xor ca( 93) xor ca( 94) xor ca( 95) xor ca( 97) xor ca( 98) xor ca( 99) xor ca(100) xor ca(101) xor ca(104) xor ca(105) xor ca(106) xor ca(108) xor ca(109) xor ca(111) xor ca(112) xor ca(113) xor ca(114) xor ca(115) xor ca(116) xor ca(117) xor ca(119) xor ca(122) xor ca(125) xor ca(126) xor ca(127) xor dq(102); ca(103) <= ca( 39) xor ca( 65) xor ca( 67) xor ca( 68) xor ca( 71) xor ca( 73) xor ca( 76) xor ca( 78) xor ca( 79) xor ca( 81) xor ca( 82) xor ca( 86) xor ca( 87) xor ca( 88) xor ca( 89) xor ca( 92) xor ca( 93) xor ca( 94) xor ca( 95) xor ca( 96) xor ca( 98) xor ca( 99) xor ca(100) xor ca(101) xor ca(102) xor ca(105) xor ca(106) xor ca(107) xor ca(109) xor ca(110) xor ca(112) xor ca(113) xor ca(114) xor ca(115) xor ca(116) xor ca(117) xor ca(118) xor ca(120) xor ca(123) xor ca(126) xor ca(127) xor dq(103); ca(104) <= ca( 40) xor ca( 64) xor ca( 66) xor ca( 70) xor ca( 78) xor ca( 79) xor ca( 80) xor ca( 81) xor ca( 85) xor ca( 86) xor ca( 88) xor ca( 89) xor ca( 92) xor ca( 93) xor ca( 94) xor ca( 95) xor ca( 96) xor ca( 98) xor ca(100) xor ca(102) xor ca(103) xor ca(106) xor ca(108) xor ca(109) xor ca(112) xor ca(113) xor ca(116) xor ca(118) xor ca(119) xor ca(120) xor ca(121) xor ca(122) xor ca(124) xor ca(126) xor ca(127) xor dq(104); ca(105) <= ca( 41) xor ca( 65) xor ca( 67) xor ca( 71) xor ca( 79) xor ca( 80) xor ca( 81) xor ca( 82) xor ca( 86) xor ca( 87) xor ca( 89) xor ca( 90) xor ca( 93) xor ca( 94) xor ca( 95) xor ca( 96) xor ca( 97) xor ca( 99) xor ca(101) xor ca(103) xor ca(104) xor ca(107) xor ca(109) xor ca(110) xor ca(113) xor ca(114) xor ca(117) xor ca(119) xor ca(120) xor ca(121) xor ca(122) xor ca(123) xor ca(125) xor ca(127) xor dq(105); ca(106) <= ca( 42) xor ca( 64) xor ca( 66) xor ca( 69) xor ca( 70) xor ca( 74) xor ca( 77) xor ca( 78) xor ca( 80) xor ca( 85) xor ca( 86) xor ca( 88) xor ca( 91) xor ca( 92) xor ca( 94) xor ca( 95) xor ca( 96) xor ca( 99) xor ca(100) xor ca(101) xor ca(102) xor ca(104) xor ca(105) xor ca(107) xor ca(108) xor ca(109) xor ca(112) xor ca(117) xor ca(118) xor ca(121) xor ca(123) xor ca(124) xor dq(106); ca(107) <= ca( 43) xor ca( 65) xor ca( 67) xor ca( 70) xor ca( 71) xor ca( 75) xor ca( 78) xor ca( 79) xor ca( 81) xor ca( 86) xor ca( 87) xor ca( 89) xor ca( 92) xor ca( 93) xor ca( 95) xor ca( 96) xor ca( 97) xor ca(100) xor ca(101) xor ca(102) xor ca(103) xor ca(105) xor ca(106) xor ca(108) xor ca(109) xor ca(110) xor ca(113) xor ca(118) xor ca(119) xor ca(122) xor ca(124) xor ca(125) xor dq(107); ca(108) <= ca( 44) xor ca( 66) xor ca( 68) xor ca( 71) xor ca( 72) xor ca( 76) xor ca( 79) xor ca( 80) xor ca( 82) xor ca( 87) xor ca( 88) xor ca( 90) xor ca( 93) xor ca( 94) xor ca( 96) xor ca( 97) xor ca( 98) xor ca(101) xor ca(102) xor ca(103) xor ca(104) xor ca(106) xor ca(107) xor ca(109) xor ca(110) xor ca(111) xor ca(114) xor ca(119) xor ca(120) xor ca(123) xor ca(125) xor ca(126) xor dq(108); ca(109) <= ca( 45) xor ca( 64) xor ca( 67) xor ca( 68) xor ca( 70) xor ca( 73) xor ca( 74) xor ca( 78) xor ca( 80) xor ca( 82) xor ca( 85) xor ca( 86) xor ca( 87) xor ca( 88) xor ca( 89) xor ca( 90) xor ca( 91) xor ca( 92) xor ca( 94) xor ca( 95) xor ca(101) xor ca(102) xor ca(103) xor ca(104) xor ca(105) xor ca(108) xor ca(109) xor ca(114) xor ca(117) xor ca(121) xor ca(122) xor ca(124) xor ca(127) xor dq(109); ca(110) <= ca( 46) xor ca( 65) xor ca( 68) xor ca( 69) xor ca( 71) xor ca( 74) xor ca( 75) xor ca( 79) xor ca( 81) xor ca( 83) xor ca( 86) xor ca( 87) xor ca( 88) xor ca( 89) xor ca( 90) xor ca( 91) xor ca( 92) xor ca( 93) xor ca( 95) xor ca( 96) xor ca(102) xor ca(103) xor ca(104) xor ca(105) xor ca(106) xor ca(109) xor ca(110) xor ca(115) xor ca(118) xor ca(122) xor ca(123) xor ca(125) xor dq(110); ca(111) <= ca( 47) xor ca( 66) xor ca( 69) xor ca( 70) xor ca( 72) xor ca( 75) xor ca( 76) xor ca( 80) xor ca( 82) xor ca( 84) xor ca( 87) xor ca( 88) xor ca( 89) xor ca( 90) xor ca( 91) xor ca( 92) xor ca( 93) xor ca( 94) xor ca( 96) xor ca( 97) xor ca(103) xor ca(104) xor ca(105) xor ca(106) xor ca(107) xor ca(110) xor ca(111) xor ca(116) xor ca(119) xor ca(123) xor ca(124) xor ca(126) xor dq(111); ca(112) <= ca( 48) xor ca( 64) xor ca( 67) xor ca( 68) xor ca( 69) xor ca( 71) xor ca( 72) xor ca( 73) xor ca( 74) xor ca( 76) xor ca( 78) xor ca( 82) xor ca( 86) xor ca( 87) xor ca( 88) xor ca( 89) xor ca( 91) xor ca( 93) xor ca( 94) xor ca( 95) xor ca( 99) xor ca(101) xor ca(104) xor ca(105) xor ca(106) xor ca(108) xor ca(109) xor ca(110) xor ca(114) xor ca(115) xor ca(122) xor ca(124) xor ca(125) xor ca(126) xor ca(127) xor dq(112); ca(113) <= ca( 49) xor ca( 64) xor ca( 65) xor ca( 73) xor ca( 75) xor ca( 78) xor ca( 79) xor ca( 81) xor ca( 82) xor ca( 85) xor ca( 86) xor ca( 88) xor ca( 89) xor ca( 94) xor ca( 95) xor ca( 96) xor ca( 97) xor ca( 98) xor ca( 99) xor ca(100) xor ca(101) xor ca(102) xor ca(105) xor ca(106) xor ca(112) xor ca(114) xor ca(116) xor ca(117) xor ca(120) xor ca(122) xor ca(123) xor ca(125) xor ca(127) xor dq(113); ca(114) <= ca( 50) xor ca( 64) xor ca( 65) xor ca( 66) xor ca( 68) xor ca( 69) xor ca( 70) xor ca( 72) xor ca( 76) xor ca( 77) xor ca( 78) xor ca( 79) xor ca( 80) xor ca( 81) xor ca( 85) xor ca( 89) xor ca( 92) xor ca( 95) xor ca( 96) xor ca(100) xor ca(102) xor ca(103) xor ca(106) xor ca(109) xor ca(110) xor ca(111) xor ca(112) xor ca(113) xor ca(114) xor ca(118) xor ca(120) xor ca(121) xor ca(122) xor ca(123) xor ca(124) xor dq(114); ca(115) <= ca( 51) xor ca( 65) xor ca( 66) xor ca( 67) xor ca( 69) xor ca( 70) xor ca( 71) xor ca( 73) xor ca( 77) xor ca( 78) xor ca( 79) xor ca( 80) xor ca( 81) xor ca( 82) xor ca( 86) xor ca( 90) xor ca( 93) xor ca( 96) xor ca( 97) xor ca(101) xor ca(103) xor ca(104) xor ca(107) xor ca(110) xor ca(111) xor ca(112) xor ca(113) xor ca(114) xor ca(115) xor ca(119) xor ca(121) xor ca(122) xor ca(123) xor ca(124) xor ca(125) xor dq(115); ca(116) <= ca( 52) xor ca( 66) xor ca( 67) xor ca( 68) xor ca( 70) xor ca( 71) xor ca( 72) xor ca( 74) xor ca( 78) xor ca( 79) xor ca( 80) xor ca( 81) xor ca( 82) xor ca( 83) xor ca( 87) xor ca( 91) xor ca( 94) xor ca( 97) xor ca( 98) xor ca(102) xor ca(104) xor ca(105) xor ca(108) xor ca(111) xor ca(112) xor ca(113) xor ca(114) xor ca(115) xor ca(116) xor ca(120) xor ca(122) xor ca(123) xor ca(124) xor ca(125) xor ca(126) xor dq(116); ca(117) <= ca( 53) xor ca( 67) xor ca( 68) xor ca( 69) xor ca( 71) xor ca( 72) xor ca( 73) xor ca( 75) xor ca( 79) xor ca( 80) xor ca( 81) xor ca( 82) xor ca( 83) xor ca( 84) xor ca( 88) xor ca( 92) xor ca( 95) xor ca( 98) xor ca( 99) xor ca(103) xor ca(105) xor ca(106) xor ca(109) xor ca(112) xor ca(113) xor ca(114) xor ca(115) xor ca(116) xor ca(117) xor ca(121) xor ca(123) xor ca(124) xor ca(125) xor ca(126) xor ca(127) xor dq(117); ca(118) <= ca( 54) xor ca( 68) xor ca( 69) xor ca( 70) xor ca( 72) xor ca( 73) xor ca( 74) xor ca( 76) xor ca( 80) xor ca( 81) xor ca( 82) xor ca( 83) xor ca( 84) xor ca( 85) xor ca( 89) xor ca( 93) xor ca( 96) xor ca( 99) xor ca(100) xor ca(104) xor ca(106) xor ca(107) xor ca(110) xor ca(113) xor ca(114) xor ca(115) xor ca(116) xor ca(117) xor ca(118) xor ca(122) xor ca(124) xor ca(125) xor ca(126) xor ca(127) xor dq(118); ca(119) <= ca( 55) xor ca( 69) xor ca( 70) xor ca( 71) xor ca( 73) xor ca( 74) xor ca( 75) xor ca( 77) xor ca( 81) xor ca( 82) xor ca( 83) xor ca( 84) xor ca( 85) xor ca( 86) xor ca( 90) xor ca( 94) xor ca( 97) xor ca(100) xor ca(101) xor ca(105) xor ca(107) xor ca(108) xor ca(111) xor ca(114) xor ca(115) xor ca(116) xor ca(117) xor ca(118) xor ca(119) xor ca(123) xor ca(125) xor ca(126) xor ca(127) xor dq(119); ca(120) <= ca( 56) xor ca( 70) xor ca( 71) xor ca( 72) xor ca( 74) xor ca( 75) xor ca( 76) xor ca( 78) xor ca( 82) xor ca( 83) xor ca( 84) xor ca( 85) xor ca( 86) xor ca( 87) xor ca( 91) xor ca( 95) xor ca( 98) xor ca(101) xor ca(102) xor ca(106) xor ca(108) xor ca(109) xor ca(112) xor ca(115) xor ca(116) xor ca(117) xor ca(118) xor ca(119) xor ca(120) xor ca(124) xor ca(126) xor ca(127) xor dq(120); ca(121) <= ca( 57) xor ca( 71) xor ca( 72) xor ca( 73) xor ca( 75) xor ca( 76) xor ca( 77) xor ca( 79) xor ca( 83) xor ca( 84) xor ca( 85) xor ca( 86) xor ca( 87) xor ca( 88) xor ca( 92) xor ca( 96) xor ca( 99) xor ca(102) xor ca(103) xor ca(107) xor ca(109) xor ca(110) xor ca(113) xor ca(116) xor ca(117) xor ca(118) xor ca(119) xor ca(120) xor ca(121) xor ca(125) xor ca(127) xor dq(121); ca(122) <= ca( 58) xor ca( 64) xor ca( 68) xor ca( 69) xor ca( 70) xor ca( 73) xor ca( 76) xor ca( 80) xor ca( 81) xor ca( 82) xor ca( 83) xor ca( 84) xor ca( 88) xor ca( 89) xor ca( 90) xor ca( 92) xor ca( 93) xor ca( 98) xor ca( 99) xor ca(100) xor ca(101) xor ca(103) xor ca(104) xor ca(107) xor ca(108) xor ca(109) xor ca(112) xor ca(115) xor ca(118) xor ca(119) xor ca(121) xor dq(122); ca(123) <= ca( 59) xor ca( 64) xor ca( 65) xor ca( 68) xor ca( 71) xor ca( 72) xor ca( 78) xor ca( 84) xor ca( 86) xor ca( 87) xor ca( 89) xor ca( 91) xor ca( 92) xor ca( 93) xor ca( 94) xor ca( 97) xor ca( 98) xor ca(100) xor ca(102) xor ca(104) xor ca(105) xor ca(107) xor ca(108) xor ca(111) xor ca(112) xor ca(113) xor ca(114) xor ca(115) xor ca(116) xor ca(117) xor ca(119) xor ca(126) xor dq(123); ca(124) <= ca( 60) xor ca( 64) xor ca( 65) xor ca( 66) xor ca( 68) xor ca( 70) xor ca( 73) xor ca( 74) xor ca( 77) xor ca( 78) xor ca( 79) xor ca( 81) xor ca( 82) xor ca( 83) xor ca( 86) xor ca( 88) xor ca( 93) xor ca( 94) xor ca( 95) xor ca( 97) xor ca(103) xor ca(105) xor ca(106) xor ca(107) xor ca(108) xor ca(110) xor ca(111) xor ca(113) xor ca(116) xor ca(118) xor ca(122) xor ca(126) xor ca(127) xor dq(124); ca(125) <= ca( 61) xor ca( 65) xor ca( 66) xor ca( 67) xor ca( 69) xor ca( 71) xor ca( 74) xor ca( 75) xor ca( 78) xor ca( 79) xor ca( 80) xor ca( 82) xor ca( 83) xor ca( 84) xor ca( 87) xor ca( 89) xor ca( 94) xor ca( 95) xor ca( 96) xor ca( 98) xor ca(104) xor ca(106) xor ca(107) xor ca(108) xor ca(109) xor ca(111) xor ca(112) xor ca(114) xor ca(117) xor ca(119) xor ca(123) xor ca(127) xor dq(125); ca(126) <= ca( 62) xor ca( 66) xor ca( 67) xor ca( 68) xor ca( 70) xor ca( 72) xor ca( 75) xor ca( 76) xor ca( 79) xor ca( 80) xor ca( 81) xor ca( 83) xor ca( 84) xor ca( 85) xor ca( 88) xor ca( 90) xor ca( 95) xor ca( 96) xor ca( 97) xor ca( 99) xor ca(105) xor ca(107) xor ca(108) xor ca(109) xor ca(110) xor ca(112) xor ca(113) xor ca(115) xor ca(118) xor ca(120) xor ca(124) xor dq(126); ca(127) <= ca( 63) xor ca( 67) xor ca( 68) xor ca( 69) xor ca( 71) xor ca( 73) xor ca( 76) xor ca( 77) xor ca( 80) xor ca( 81) xor ca( 82) xor ca( 84) xor ca( 85) xor ca( 86) xor ca( 89) xor ca( 91) xor ca( 96) xor ca( 97) xor ca( 98) xor ca(100) xor ca(106) xor ca(108) xor ca(109) xor ca(110) xor ca(111) xor ca(113) xor ca(114) xor ca(116) xor ca(119) xor ca(121) xor ca(125) xor dq(127); oa( 63) <= ca(127) xor dq( 0); oa( 62) <= ca(126) xor dq( 1); oa( 61) <= ca(125) xor dq( 2); oa( 60) <= ca(124) xor dq( 3); oa( 59) <= ca(123) xor ca(127) xor dq( 4); oa( 58) <= ca(122) xor ca(126) xor ca(127) xor dq( 5); oa( 57) <= ca(121) xor ca(125) xor ca(126) xor ca(127) xor dq( 6); oa( 56) <= ca(120) xor ca(124) xor ca(125) xor ca(126) xor dq( 7); oa( 55) <= ca(119) xor ca(123) xor ca(124) xor ca(125) xor ca(127) xor dq( 8); oa( 54) <= ca(118) xor ca(122) xor ca(123) xor ca(124) xor ca(126) xor dq( 9); oa( 53) <= ca(117) xor ca(121) xor ca(122) xor ca(123) xor ca(125) xor ca(127) xor dq( 10); oa( 52) <= ca(116) xor ca(120) xor ca(121) xor ca(122) xor ca(124) xor ca(126) xor dq( 11); oa( 51) <= ca(115) xor ca(119) xor ca(120) xor ca(121) xor ca(123) xor ca(125) xor dq( 12); oa( 50) <= ca(114) xor ca(118) xor ca(119) xor ca(120) xor ca(122) xor ca(124) xor ca(127) xor dq( 13); oa( 49) <= ca(113) xor ca(117) xor ca(118) xor ca(119) xor ca(121) xor ca(123) xor ca(126) xor ca(127) xor dq( 14); oa( 48) <= ca(112) xor ca(116) xor ca(117) xor ca(118) xor ca(120) xor ca(122) xor ca(125) xor ca(126) xor dq( 15); oa( 47) <= ca(111) xor ca(115) xor ca(116) xor ca(117) xor ca(119) xor ca(121) xor ca(124) xor ca(125) xor dq( 16); oa( 46) <= ca(110) xor ca(114) xor ca(115) xor ca(116) xor ca(118) xor ca(120) xor ca(123) xor ca(124) xor ca(127) xor dq( 17); oa( 45) <= ca(109) xor ca(113) xor ca(114) xor ca(115) xor ca(117) xor ca(119) xor ca(122) xor ca(123) xor ca(126) xor ca(127) xor dq( 18); oa( 44) <= ca(108) xor ca(112) xor ca(113) xor ca(114) xor ca(116) xor ca(118) xor ca(121) xor ca(122) xor ca(125) xor ca(126) xor ca(127) xor dq( 19); oa( 43) <= ca(107) xor ca(111) xor ca(112) xor ca(113) xor ca(115) xor ca(117) xor ca(120) xor ca(121) xor ca(124) xor ca(125) xor ca(126) xor dq( 20); oa( 42) <= ca(106) xor ca(110) xor ca(111) xor ca(112) xor ca(114) xor ca(116) xor ca(119) xor ca(120) xor ca(123) xor ca(124) xor ca(125) xor ca(127) xor dq( 21); oa( 41) <= ca(105) xor ca(109) xor ca(110) xor ca(111) xor ca(113) xor ca(115) xor ca(118) xor ca(119) xor ca(122) xor ca(123) xor ca(124) xor ca(126) xor ca(127) xor dq( 22); oa( 40) <= ca(104) xor ca(108) xor ca(109) xor ca(110) xor ca(112) xor ca(114) xor ca(117) xor ca(118) xor ca(121) xor ca(122) xor ca(123) xor ca(125) xor ca(126) xor ca(127) xor dq( 23); oa( 39) <= ca(103) xor ca(107) xor ca(108) xor ca(109) xor ca(111) xor ca(113) xor ca(116) xor ca(117) xor ca(120) xor ca(121) xor ca(122) xor ca(124) xor ca(125) xor ca(126) xor dq( 24); oa( 38) <= ca(102) xor ca(106) xor ca(107) xor ca(108) xor ca(110) xor ca(112) xor ca(115) xor ca(116) xor ca(119) xor ca(120) xor ca(121) xor ca(123) xor ca(124) xor ca(125) xor dq( 25); oa( 37) <= ca(101) xor ca(105) xor ca(106) xor ca(107) xor ca(109) xor ca(111) xor ca(114) xor ca(115) xor ca(118) xor ca(119) xor ca(120) xor ca(122) xor ca(123) xor ca(124) xor ca(127) xor dq( 26); oa( 36) <= ca(100) xor ca(104) xor ca(105) xor ca(106) xor ca(108) xor ca(110) xor ca(113) xor ca(114) xor ca(117) xor ca(118) xor ca(119) xor ca(121) xor ca(122) xor ca(123) xor ca(126) xor dq( 27); oa( 35) <= ca( 99) xor ca(103) xor ca(104) xor ca(105) xor ca(107) xor ca(109) xor ca(112) xor ca(113) xor ca(116) xor ca(117) xor ca(118) xor ca(120) xor ca(121) xor ca(122) xor ca(125) xor ca(127) xor dq( 28); oa( 34) <= ca( 98) xor ca(102) xor ca(103) xor ca(104) xor ca(106) xor ca(108) xor ca(111) xor ca(112) xor ca(115) xor ca(116) xor ca(117) xor ca(119) xor ca(120) xor ca(121) xor ca(124) xor ca(126) xor dq( 29); oa( 33) <= ca( 97) xor ca(101) xor ca(102) xor ca(103) xor ca(105) xor ca(107) xor ca(110) xor ca(111) xor ca(114) xor ca(115) xor ca(116) xor ca(118) xor ca(119) xor ca(120) xor ca(123) xor ca(125) xor dq( 30); oa( 32) <= ca( 96) xor ca(100) xor ca(101) xor ca(102) xor ca(104) xor ca(106) xor ca(109) xor ca(110) xor ca(113) xor ca(114) xor ca(115) xor ca(117) xor ca(118) xor ca(119) xor ca(122) xor ca(124) xor dq( 31); oa( 31) <= ca( 95) xor ca( 99) xor ca(100) xor ca(101) xor ca(103) xor ca(105) xor ca(108) xor ca(109) xor ca(112) xor ca(113) xor ca(114) xor ca(116) xor ca(117) xor ca(118) xor ca(121) xor ca(123) xor dq( 32); oa( 30) <= ca( 94) xor ca( 98) xor ca( 99) xor ca(100) xor ca(102) xor ca(104) xor ca(107) xor ca(108) xor ca(111) xor ca(112) xor ca(113) xor ca(115) xor ca(116) xor ca(117) xor ca(120) xor ca(122) xor ca(127) xor dq( 33); oa( 29) <= ca( 93) xor ca( 97) xor ca( 98) xor ca( 99) xor ca(101) xor ca(103) xor ca(106) xor ca(107) xor ca(110) xor ca(111) xor ca(112) xor ca(114) xor ca(115) xor ca(116) xor ca(119) xor ca(121) xor ca(126) xor ca(127) xor dq( 34); oa( 28) <= ca( 92) xor ca( 96) xor ca( 97) xor ca( 98) xor ca(100) xor ca(102) xor ca(105) xor ca(106) xor ca(109) xor ca(110) xor ca(111) xor ca(113) xor ca(114) xor ca(115) xor ca(118) xor ca(120) xor ca(125) xor ca(126) xor ca(127) xor dq( 35); oa( 27) <= ca( 91) xor ca( 95) xor ca( 96) xor ca( 97) xor ca( 99) xor ca(101) xor ca(104) xor ca(105) xor ca(108) xor ca(109) xor ca(110) xor ca(112) xor ca(113) xor ca(114) xor ca(117) xor ca(119) xor ca(124) xor ca(125) xor ca(126) xor dq( 36); oa( 26) <= ca( 90) xor ca( 94) xor ca( 95) xor ca( 96) xor ca( 98) xor ca(100) xor ca(103) xor ca(104) xor ca(107) xor ca(108) xor ca(109) xor ca(111) xor ca(112) xor ca(113) xor ca(116) xor ca(118) xor ca(123) xor ca(124) xor ca(125) xor ca(127) xor dq( 37); oa( 25) <= ca( 89) xor ca( 93) xor ca( 94) xor ca( 95) xor ca( 97) xor ca( 99) xor ca(102) xor ca(103) xor ca(106) xor ca(107) xor ca(108) xor ca(110) xor ca(111) xor ca(112) xor ca(115) xor ca(117) xor ca(122) xor ca(123) xor ca(124) xor ca(126) xor dq( 38); oa( 24) <= ca( 88) xor ca( 92) xor ca( 93) xor ca( 94) xor ca( 96) xor ca( 98) xor ca(101) xor ca(102) xor ca(105) xor ca(106) xor ca(107) xor ca(109) xor ca(110) xor ca(111) xor ca(114) xor ca(116) xor ca(121) xor ca(122) xor ca(123) xor ca(125) xor dq( 39); oa( 23) <= ca( 87) xor ca( 91) xor ca( 92) xor ca( 93) xor ca( 95) xor ca( 97) xor ca(100) xor ca(101) xor ca(104) xor ca(105) xor ca(106) xor ca(108) xor ca(109) xor ca(110) xor ca(113) xor ca(115) xor ca(120) xor ca(121) xor ca(122) xor ca(124) xor dq( 40); oa( 22) <= ca( 86) xor ca( 90) xor ca( 91) xor ca( 92) xor ca( 94) xor ca( 96) xor ca( 99) xor ca(100) xor ca(103) xor ca(104) xor ca(105) xor ca(107) xor ca(108) xor ca(109) xor ca(112) xor ca(114) xor ca(119) xor ca(120) xor ca(121) xor ca(123) xor dq( 41); oa( 21) <= ca( 85) xor ca( 89) xor ca( 90) xor ca( 91) xor ca( 93) xor ca( 95) xor ca( 98) xor ca( 99) xor ca(102) xor ca(103) xor ca(104) xor ca(106) xor ca(107) xor ca(108) xor ca(111) xor ca(113) xor ca(118) xor ca(119) xor ca(120) xor ca(122) xor dq( 42); oa( 20) <= ca( 84) xor ca( 88) xor ca( 89) xor ca( 90) xor ca( 92) xor ca( 94) xor ca( 97) xor ca( 98) xor ca(101) xor ca(102) xor ca(103) xor ca(105) xor ca(106) xor ca(107) xor ca(110) xor ca(112) xor ca(117) xor ca(118) xor ca(119) xor ca(121) xor ca(127) xor dq( 43); oa( 19) <= ca( 83) xor ca( 87) xor ca( 88) xor ca( 89) xor ca( 91) xor ca( 93) xor ca( 96) xor ca( 97) xor ca(100) xor ca(101) xor ca(102) xor ca(104) xor ca(105) xor ca(106) xor ca(109) xor ca(111) xor ca(116) xor ca(117) xor ca(118) xor ca(120) xor ca(126) xor dq( 44); oa( 18) <= ca( 82) xor ca( 86) xor ca( 87) xor ca( 88) xor ca( 90) xor ca( 92) xor ca( 95) xor ca( 96) xor ca( 99) xor ca(100) xor ca(101) xor ca(103) xor ca(104) xor ca(105) xor ca(108) xor ca(110) xor ca(115) xor ca(116) xor ca(117) xor ca(119) xor ca(125) xor ca(127) xor dq( 45); oa( 17) <= ca( 81) xor ca( 85) xor ca( 86) xor ca( 87) xor ca( 89) xor ca( 91) xor ca( 94) xor ca( 95) xor ca( 98) xor ca( 99) xor ca(100) xor ca(102) xor ca(103) xor ca(104) xor ca(107) xor ca(109) xor ca(114) xor ca(115) xor ca(116) xor ca(118) xor ca(124) xor ca(126) xor ca(127) xor dq( 46); oa( 16) <= ca( 80) xor ca( 84) xor ca( 85) xor ca( 86) xor ca( 88) xor ca( 90) xor ca( 93) xor ca( 94) xor ca( 97) xor ca( 98) xor ca( 99) xor ca(101) xor ca(102) xor ca(103) xor ca(106) xor ca(108) xor ca(113) xor ca(114) xor ca(115) xor ca(117) xor ca(123) xor ca(125) xor ca(126) xor ca(127) xor dq( 47); oa( 15) <= ca( 79) xor ca( 83) xor ca( 84) xor ca( 85) xor ca( 87) xor ca( 89) xor ca( 92) xor ca( 93) xor ca( 96) xor ca( 97) xor ca( 98) xor ca(100) xor ca(101) xor ca(102) xor ca(105) xor ca(107) xor ca(112) xor ca(113) xor ca(114) xor ca(116) xor ca(122) xor ca(124) xor ca(125) xor ca(126) xor ca(127) xor dq( 48); oa( 14) <= ca( 78) xor ca( 82) xor ca( 83) xor ca( 84) xor ca( 86) xor ca( 88) xor ca( 91) xor ca( 92) xor ca( 95) xor ca( 96) xor ca( 97) xor ca( 99) xor ca(100) xor ca(101) xor ca(104) xor ca(106) xor ca(111) xor ca(112) xor ca(113) xor ca(115) xor ca(121) xor ca(123) xor ca(124) xor ca(125) xor ca(126) xor dq( 49); oa( 13) <= ca( 77) xor ca( 81) xor ca( 82) xor ca( 83) xor ca( 85) xor ca( 87) xor ca( 90) xor ca( 91) xor ca( 94) xor ca( 95) xor ca( 96) xor ca( 98) xor ca( 99) xor ca(100) xor ca(103) xor ca(105) xor ca(110) xor ca(111) xor ca(112) xor ca(114) xor ca(120) xor ca(122) xor ca(123) xor ca(124) xor ca(125) xor ca(127) xor dq( 50); oa( 12) <= ca( 76) xor ca( 80) xor ca( 81) xor ca( 82) xor ca( 84) xor ca( 86) xor ca( 89) xor ca( 90) xor ca( 93) xor ca( 94) xor ca( 95) xor ca( 97) xor ca( 98) xor ca( 99) xor ca(102) xor ca(104) xor ca(109) xor ca(110) xor ca(111) xor ca(113) xor ca(119) xor ca(121) xor ca(122) xor ca(123) xor ca(124) xor ca(126) xor ca(127) xor dq( 51); oa( 11) <= ca( 75) xor ca( 79) xor ca( 80) xor ca( 81) xor ca( 83) xor ca( 85) xor ca( 88) xor ca( 89) xor ca( 92) xor ca( 93) xor ca( 94) xor ca( 96) xor ca( 97) xor ca( 98) xor ca(101) xor ca(103) xor ca(108) xor ca(109) xor ca(110) xor ca(112) xor ca(118) xor ca(120) xor ca(121) xor ca(122) xor ca(123) xor ca(125) xor ca(126) xor dq( 52); oa( 10) <= ca( 74) xor ca( 78) xor ca( 79) xor ca( 80) xor ca( 82) xor ca( 84) xor ca( 87) xor ca( 88) xor ca( 91) xor ca( 92) xor ca( 93) xor ca( 95) xor ca( 96) xor ca( 97) xor ca(100) xor ca(102) xor ca(107) xor ca(108) xor ca(109) xor ca(111) xor ca(117) xor ca(119) xor ca(120) xor ca(121) xor ca(122) xor ca(124) xor ca(125) xor ca(127) xor dq( 53); oa( 9) <= ca( 73) xor ca( 77) xor ca( 78) xor ca( 79) xor ca( 81) xor ca( 83) xor ca( 86) xor ca( 87) xor ca( 90) xor ca( 91) xor ca( 92) xor ca( 94) xor ca( 95) xor ca( 96) xor ca( 99) xor ca(101) xor ca(106) xor ca(107) xor ca(108) xor ca(110) xor ca(116) xor ca(118) xor ca(119) xor ca(120) xor ca(121) xor ca(123) xor ca(124) xor ca(126) xor dq( 54); oa( 8) <= ca( 72) xor ca( 76) xor ca( 77) xor ca( 78) xor ca( 80) xor ca( 82) xor ca( 85) xor ca( 86) xor ca( 89) xor ca( 90) xor ca( 91) xor ca( 93) xor ca( 94) xor ca( 95) xor ca( 98) xor ca(100) xor ca(105) xor ca(106) xor ca(107) xor ca(109) xor ca(115) xor ca(117) xor ca(118) xor ca(119) xor ca(120) xor ca(122) xor ca(123) xor ca(125) xor dq( 55); oa( 7) <= ca( 71) xor ca( 75) xor ca( 76) xor ca( 77) xor ca( 79) xor ca( 81) xor ca( 84) xor ca( 85) xor ca( 88) xor ca( 89) xor ca( 90) xor ca( 92) xor ca( 93) xor ca( 94) xor ca( 97) xor ca( 99) xor ca(104) xor ca(105) xor ca(106) xor ca(108) xor ca(114) xor ca(116) xor ca(117) xor ca(118) xor ca(119) xor ca(121) xor ca(122) xor ca(124) xor ca(127) xor dq( 56); oa( 6) <= ca( 70) xor ca( 74) xor ca( 75) xor ca( 76) xor ca( 78) xor ca( 80) xor ca( 83) xor ca( 84) xor ca( 87) xor ca( 88) xor ca( 89) xor ca( 91) xor ca( 92) xor ca( 93) xor ca( 96) xor ca( 98) xor ca(103) xor ca(104) xor ca(105) xor ca(107) xor ca(113) xor ca(115) xor ca(116) xor ca(117) xor ca(118) xor ca(120) xor ca(121) xor ca(123) xor ca(126) xor dq( 57); oa( 5) <= ca( 69) xor ca( 73) xor ca( 74) xor ca( 75) xor ca( 77) xor ca( 79) xor ca( 82) xor ca( 83) xor ca( 86) xor ca( 87) xor ca( 88) xor ca( 90) xor ca( 91) xor ca( 92) xor ca( 95) xor ca( 97) xor ca(102) xor ca(103) xor ca(104) xor ca(106) xor ca(112) xor ca(114) xor ca(115) xor ca(116) xor ca(117) xor ca(119) xor ca(120) xor ca(122) xor ca(125) xor ca(127) xor dq( 58); oa( 4) <= ca( 68) xor ca( 72) xor ca( 73) xor ca( 74) xor ca( 76) xor ca( 78) xor ca( 81) xor ca( 82) xor ca( 85) xor ca( 86) xor ca( 87) xor ca( 89) xor ca( 90) xor ca( 91) xor ca( 94) xor ca( 96) xor ca(101) xor ca(102) xor ca(103) xor ca(105) xor ca(111) xor ca(113) xor ca(114) xor ca(115) xor ca(116) xor ca(118) xor ca(119) xor ca(121) xor ca(124) xor ca(126) xor dq( 59); oa( 3) <= ca( 67) xor ca( 71) xor ca( 72) xor ca( 73) xor ca( 75) xor ca( 77) xor ca( 80) xor ca( 81) xor ca( 84) xor ca( 85) xor ca( 86) xor ca( 88) xor ca( 89) xor ca( 90) xor ca( 93) xor ca( 95) xor ca(100) xor ca(101) xor ca(102) xor ca(104) xor ca(110) xor ca(112) xor ca(113) xor ca(114) xor ca(115) xor ca(117) xor ca(118) xor ca(120) xor ca(123) xor ca(125) xor dq( 60); oa( 2) <= ca( 66) xor ca( 70) xor ca( 71) xor ca( 72) xor ca( 74) xor ca( 76) xor ca( 79) xor ca( 80) xor ca( 83) xor ca( 84) xor ca( 85) xor ca( 87) xor ca( 88) xor ca( 89) xor ca( 92) xor ca( 94) xor ca( 99) xor ca(100) xor ca(101) xor ca(103) xor ca(109) xor ca(111) xor ca(112) xor ca(113) xor ca(114) xor ca(116) xor ca(117) xor ca(119) xor ca(122) xor ca(124) xor dq( 61); oa( 1) <= ca( 65) xor ca( 69) xor ca( 70) xor ca( 71) xor ca( 73) xor ca( 75) xor ca( 78) xor ca( 79) xor ca( 82) xor ca( 83) xor ca( 84) xor ca( 86) xor ca( 87) xor ca( 88) xor ca( 91) xor ca( 93) xor ca( 98) xor ca( 99) xor ca(100) xor ca(102) xor ca(108) xor ca(110) xor ca(111) xor ca(112) xor ca(113) xor ca(115) xor ca(116) xor ca(118) xor ca(121) xor ca(123) xor ca(127) xor dq( 62); oa( 0) <= ca( 64) xor ca( 68) xor ca( 69) xor ca( 70) xor ca( 72) xor ca( 74) xor ca( 77) xor ca( 78) xor ca( 81) xor ca( 82) xor ca( 83) xor ca( 85) xor ca( 86) xor ca( 87) xor ca( 90) xor ca( 92) xor ca( 97) xor ca( 98) xor ca( 99) xor ca(101) xor ca(107) xor ca(109) xor ca(110) xor ca(111) xor ca(112) xor ca(114) xor ca(115) xor ca(117) xor ca(120) xor ca(122) xor ca(126) xor dq( 63); end if; end if; end if; end process; c <= ca; o <= oa; end bch_128x64;
library ieee; use ieee.std_logic_1164.all; entity ent is port ( clk : in std_logic; o : out std_logic ); end; architecture a of ent is function inv(s : std_logic) return std_logic is begin return not s; end inv; signal test : std_logic; begin process(clk) begin if rising_edge(clk) then test <= inv(test); end if; end process; o <= test; end;
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block mCrsxRE6nhDHtIA66tXIkDnFUUsjrPQ2Pmhf5b99NO9SM+W+0wcl7fYtMMg2BKsYfbEjsVwZISYM cj/YNiJQcw== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block HMruE4S4JFOdvDiLBufGOVRzUBeh1wMoCgc8NLReXmsLizz4FqJD4qwUKK3FxXismJw4lrPotBvD BtITNCn1CV50Fb0UrrUrvgMx8+tR7Xu7G2VBa3+3VaPZ22eQVdYU+U5LgTnE5VZCU38BMChMVVf1 VRfXfIGIvd6s9w3YH8Q= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block qBYo9DkAr8ZfIQJ2ylmr1ZMPLFH3U/RL65nlT7p/YHH3XfUV2cihgn0+bmsxuQyNobA9OK/13SYm Cp9/3rs1HCkUsM6+3W75DaILvVntVLYvHG0BSL7toLCPMa9SsRmUTi2ZARWNomqih/Ct+WS2tarA kN/bPVvVIcsxvRLN2rVf2HlipSIKpcW0C6sFT5UxuIgRhSWP08uKTYH+FPlZY9u4SevCRqDoUU2h 4xtjN2UuECQfSvpOifgkW2zbODbFzXiyDxXf5zmFzklfdXKAn3tpQJVKGy3uJpsUhIXOb3FzHS/y AIL9CqEB9trvslLUCkaJoujdY7bMSkAVsGTTJA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block DoXO9wBfEQFqwFTgf80ZwLF3pKSoeEos3GvhP9cMChWwhvDeydkIBaMNe/DsM74++zfzwieBVc03 onX9ATZkPL5T49n6S5ls79EzPpHaieo+RpKu0a2nKqPyPQ9IcVkttpzph8ZSPtyxTkYQRYZq2yKC 2DI3TP9tmKksB9vNwmE= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block DgDiv0q85jnhhPU9ABU+8C11xJExM/PfcuxEl1C7Eruj6DU6z4JGHzqipq/L/nqliscs3Zxc3gdF D23Pj8poMJB6yNos1x9wWg7wgyEWmhfSd9//kYRrBLAgmlLxyzA6pTDjQwxrMyg49cYKHIBx6dIc SySzNbPcYDQmZX4UdIQe+qJ+lTCrdpLlYEOr1VXXlJsH2TaCNaEsO3BVMAfIq7HrKYshDgiN3BuS DB1dOGfNd3S0D91wRUkFbyxoVk4tG+kKn54jcsEGJYUeEWcLn1phFTEVc/bomlT3hmnTgLH19/Ci /pOCmftTtdv1wJkNRgs9wIryNZ1GrMd/IkmYUA== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 54240) `protect data_block zW2XEhQTonn+8GFQOMpjd9VOCOA4RLqZj3aK1W7mwu9s1GXLwjxUkRsIh9xCnKA8ntaJunOWt2Bc DxAa6lavV9h6tOGvlWeiPCKANtNp5tfrC89sze6qxsz62ENIpMjLowzZooclXpL3YUMnZx8CnK/s UvmUjKTgfuDmglFmf+9aSu8BD6qn8gCvuRoTqZOogjozEhtllWHKQ8mCnQpN1w/YzUTVD3aFB0Dx GwtB/VlfmMcPg3K5RRUCEvHPGinpWdH6mnPME1apVNbuPum+EXsqMQWHCiIXbQVogsrCq+U0BZLv ydQqy7O3NrMm7+Ea1QB+QstoOrl1ZFtn0hPMLcT2JEoieG67vikrG3FrEPhTzmdvaidq/1Ch1uWl TgnLyZFPieuAKCivzhrbgVvsYUi4XILnA6WfoNLYCu0yqTFyUIkaqTF/CJC36MfH7ryPaLZ2CeRV 822vNGUPwqVBtEoFdjRIbneWY5pycmLaA/PbjwgHuZRPPiMNFB7l6ErU3Da46Dhcx+2Cssc5fDDc xBhbKLpQ2nZWJr4pVYF3jxZztIHPMmjTJIy1gXQddPOvsT+R5GpTBnztN3tGtuxCkiddXinbgKPu HIOsyeXTOlpBKEp+ZbXQnEs5JzhDlRVWkwzeryhXh99sGJQqsIaaxEbByzkAYxwGfTlgycgJpANA Uji7wcox6QgepHQwbwNwvYRQDHlAP+VUOWdRIGHLnfEgJ83EyAlvIFDmdiVoVHYBeQb6Wg6gHmL4 7BdpQSzCDYXT8Bc6dRVT5cYsfjcmdoA7ciilEQQy5qv7gxVax9KEOwjKyxo+IJvnWuzKbIAJKYzM m6pQtDBxuvFdDrK2wHiGjAj3nigg2PsEMH9cU/kNUVMHeCfTu9qoZatLSvpAoeOj0mSW2aYy86jr OqhuFIWPu3WSfr6qlg+M0U+OnyDqddkmvnlqsFqo+YgNzsG76EHCJ6xWmpplutAXQuIeFVUAZeIn 3WLBr5T1mdFsueoamqwpE5rF+jQbOlfmz2Y/cJuDK0igHXueg3+S9n0VegHcM5dBF6FWGQsmRyAO Q0qXnRM+3L7JPoNgPt8iyhXGtXFtuRg15w7Q5I3A/3enjpk68cmsNCeQRJiKMUHrpjGN/0hYXNWw xERiwZtneytPPJ3OHrFwk6IOwRNv2Shb7R3RAbfod5FtC3cThcgz73zDSmhnk0Xm/Y7wtgVEEpDq 8Z4k5mJ030d0GOVOG/NCBb25sMGbEqjTwRyfJUbyo/xGhDHNfiJIHUfjivdmqJnsgIc+Lx5r6eZ7 Ha1j6BAIPgNnDpbGxfCp7GYkHnrSDmtSMfNpjvRiDQYqrxqwyoXfIh3Dz94E9JHzAhXCoD188D5f N72YsTewpSDWt01XQ+K2I1rQxr42ehPY7MxHfOnpVZ7yrGY2B6wZD6Pd3fZk1F0CKfFZ+RmPO/l1 FQOtYsVj6PUm0+ff0SpgFULGehf+AVPUnx8XhW7s5gImRYa/kG6fXne6digsNgs1P+QcYOCubMDD tQcn/gyJrw90QFmyCbwEvcQ+lhhL+jIv+kDFyDZX5e4899PKJqMQs8X57a2hN0OV1Ahlg6v/9uBQ SjDT3iaA8lI7EiMBo1OHwMhAva+revFyHHjspXGkWU3wzud/tIVsK3wBr9mFtcTqzOd1YAVdysVS OL6dVDxMOtGAz5k+f0MSpXHTPinOPJj3G2eFVVy3mKToukYONTdSRhRD0wnDTVOeklRIwisPfgEl YINZHL9Jz4bVajS6jtWlwwgcsLfIRBglK6QIWVJxwCXtHRFZzZuOfgKH/6b/Ix6YPPj+uecIZpzF 5FyHFJKVQnIdaBuEBYCVjssIi3JgWUXHLUFui5yjX5MhbVdfxOLs8V7hwzMazvms/f58fF3Iykgf 7N86OxQI0WW1Awd7GcHZGkz5F3hYS3pAsX1MuQyaIAeK6dfQbXKqa0cHhuhNel0hpB6bJUGb1BhG yg73RV/oYBklzQm2Rh72bV9+1EiTLpuMZwFf8z82Mwu9bzGLlNA+jZDyclUBGD4Ql6apnojRz8qB HMCwZSuxNzOM7ZXr1vFh5IRhzY4ZY0Kvsqob8/e9PmmBYHgHzjEETuXqIoW2jZ5c47IF1j4/XrC+ 4SMl7eRbzs5hk6fXab//Kxnbkmce3iX5TQtwMGPpb5UteDYpSf9iMtuWjZhAnyW5jUBJIh6PW/yQ RLtWnzsEhvsQeIq9pUU1o6jHUkx3KR8AEn44FIePGJro7TKN9HZW7NK+kpwx5OA+finmjehqPOQ7 WNLCmbjwvjEiPqV+CXikzT/d7EVljJuV1yUf856WZzjclC1dGmeULZlX/Z76ujhOFzN+m/E+g+Ko r8jh3qKxlToRgUbDhnvMMe+Uxp928odXmMMuL8ooUBkE6ZQ4F2Q/h9ZDhQtQhXN5LzJ6gtRS0ZEu T28puQGfQ7+8Ky4/SbaT9bo7ABX8Enma1wCALBinYWNlFriTIE/6hJV8UIbqxd03y68r14YmKD4c ZTADZRH/wdsS8rLJ5ewZRV6a0+ULjR7zveHPfgQSZCe4Zy+CXLd+EH0WcCGMS6yHnA9KiRjB7Uqs 1oIGLITjD1frevDlYLwNpkZUWc87XEyKTJAcZc1UTUFvYY5CP4P/ecfX5iGoQU+3NbtIrSpieUfZ Bi20gy1uTEZAIZ1olz327MIU2hSS3PQu1RpNO4UHbu1Whsz9uyPKvMW8tdhsgThqetLAQEg0cb6y /deXEw9ksZkiBW8kmwxD4E32Fiwxlk1A+MURlu+Za+HoiZxvyrUunuBO9ftmikdkwNzyFQu/6gbB 4A5GW7aTIfnf/3JN6YYwQutUvUecyIT8gftxEWS2zuAdIZihlIFGsSpG6+ZdZ1rO9XEjFHdTjzzd 4u1Bl1nbpTDrRMAqAsMTwkL0EFNza9SotezPIFKJ6gemJHrIMNkNws1ttnh1XybDjH3etPFxcKsw j6lTOzrvBlYhZatVHThMqqGvhZCNDpwr4AH5dLNBZhJ5KBA5h2wos1rwcm+WzZ1K+1yq+Vrabxzu 6c8pudraCLrhnaYuvBTMh0ZSmB/w31CMJZnNGrCQK+l1q0BfmuI+tr3EcBC1Onaam6phATwC7NXV VkGBeRsQKoI8mQOBgO8selHLiQsI8q/0ZJuaFpdUx0CFLC3wLrIfeQuIxKPynZ6oUOQCJRH8Mee3 6ZOXFJ2fi0CQ7HDZ/vkbhQPDICTZwuB7+1i3oCXWtwVbCbGyeKmrKHCVjmgw9CzaXwQyq+OIau0M llstnw7BaEY1sIjCtsC8OgTtqX9QI/NqxvHG2orWsv5TotYVqQ/mEqRwJNYjjHAAmFuqdM9pxyQ/ PT5OAXXiV2ZFTjdC13hfmijDiB1QbvYN1K6VPMY4/GiiRvehlyTwE8kfiTcJJCmyjVpXSSxHnl/7 6pfQ1x6kVYvEjEP4tzBg9tkQrjmj/UDk6jvUQpQTBAoeil6Ub106u6pY9jS7bXpL7k4s50fwM2Yj taRIkIrUGLH5Oa6qCabWOikNUEcDz+4opmzPb0s9kETOKn/9Q8e9LtxkFlB0MM1Fyxg9AnjZ8iv7 lD8I6DPlcNG1ypTFpzXBvUkLTViUzFFupPBPYkr7Hw3w4S4ujoRs/Pnmb071j2TS2Iw0sXgouw7e QrJ22SgysYanqdkGeXcUFxXWIDGab7+gSblJN0U2vUHhFzmsD+REZJVZ+dqv5LA0b3c5pi2XtGcN +iWkdkvL/wjzI8Poy6hMcIfUYYHbkGH4VePTBNLg/6UP4/tHg8eOlUAdbIQVF5w/EsQJHYt6PM1Z kmDvVs6v9BTPD/aDEoWFXKcxEHokeB6SPI4tR5gfGhiHf1K+YfAaeO4rv96EOU5JipK3rpjuER5n QWRxYCpJlPdfq1JUk5LmnCEpkqarRUbPWaKVCGoJeczNDxxkMKkhRzyajv/cp+mOt1yeB4mWoMdm WDkidH3ISF76pX9FuB/2IdANFE/q4iY6PmHD0PzOOl2HGzaDMb5tY4WQD3sgdnvFd7pNlYLFUITQ 4QO4s7XDaS0pUd8svDl0QtgsixnjNuT2toSMppWvtZWb+pxwqK2/qOIC71vBnNMtoTYUdXBy8RBE LOQGq0hwYloN0/hlE8DQhvdoQDPmpuk8Wn2LVAuxXM/wxILAmkLi3pIERd5bDPFRXpNwgdLZfMr9 nSYsv5y0zNSthXq/K6S+zfAGPoNMpis6gqVfpSk1BV9VNuPHrigVtEFUnW6bVJHtMN9pGQozXsOx 64HOtZzKAYONtJxrJzsoC1c0zIoVEUhpK6Ves8+HAq++4I77QgInZ7hBzqgOXQAdLW7ctLjTG5rc 6Yl9b7YmEeKtMIzJUMtBbQlVbZjWe1QpOe+Iz+qrCWXlRD3eN/PJqFogsoZ8g0JXC7nhfN/I/Dqu EmcnloHovH1hnnC7gs0iXaOrphQjqe7xTeugBE0iu0puyMk1Onz80W38vlICqwzm4aDte3tDKbsK V9bpt2EuvfDqNpQkT6d7h0v7IDf9TBSogtO1dhGeNsPfeZADAEbLwUCbQodlFhoN7i1UM+WzJl6E Gbpw59vGO7/IJPHWOQohtnpHuy27pmdwKFZbYx4MJAC2FuUBHL/Fnil2j6i4IQ8YpaiziyXW8QTJ v11xmF9eOj/+tp4Yie7Nk7omBWk/nKuL0iX1dI22fGW3m5WbczvQl7f9Oy/zOubVGoeowhKqzo6c dnV9xrdRcD1NuGgOdM1guegKswXHFeOCWfWYwMFYXrZheDQ/Ac+92sEGWesz0hNRAS697JvjlqNP AM5IoJlUCN7LAe3rkEP9rTNv6AajbOdUQ3rKAjH/tYCWEB2w5WGb6h7GboOsAA0as778MPqussD2 OH+d1UEeByPXekAXDJaGbbUZc29h9FoVg6UVdvnkGnTGCGXZY62QGh6N30pXtmj6xdwMPHk4laMG 7S043V2q7iqhV0G/sOLeo6kNrjmQHReaxh7f6/vhyRSXhTXbDfNNokfNfGAvEUBwvaQCxohO+wif nyHjrc/Uf+BUrXR8klG1ZCEO9TRgQZQB+4dS+CHhTZmI/cVIx5TxPn60/u2zkjpr3gFzojJe+pRj H0oF+oNEzGuCcrWTmgxVlxUS1AVi1u/E7rFkO8hUvMgQOifpf7IChGQU5O6fVZHzyaQRm5k4Bd9j Lg9GdNNUeyBEiNyLuLoO2hdPOCMoeESfdOrfmMijBfE9hwpkfDtuqgzq7uy3qrJJpG+VSk2s3LZF nQIxWBvvixKd3MCpY/BpbxoDmVDg2SaTUQzu/tDtlCdSH8h9t/8ugWDHlJQDX6UBZe+59K7LUfr3 7UsINe0b0hJSaeabOX/NVwOhNG453HwDAKcvsE+0tCleyFXAAdMe1BVWh+8AMnGX8DUOzGTwDEF8 R7N6C2H+Mvpmo9DCymQTF3guIYcjVl76tKbWSVHHuN+w9rikTFQ4GPKEY2wAJIqmEv+uPE+/GwrB C7gMAJtnIkSvzTjlTeIz0ddhO/W6bWfTc1qiLOmhqP2QXpcDvzkEZcjx5g+W6yUF7Yn1kwVKeQZK 5TXxWVh0fFCwhMgh1NBooNGIZfwhq6HgGIJIAUhRe5FqDXhD7amQNRy+Yn45VrzsCOgs30lDbyG7 J6u7HRTHI6njwdSbqcLFrhjuCcG1I3k0TRo+01Hr3OeeLI8neCpDh1Qg+Tp/+9Ay1LcMmAQpTgUN uGMPpjKwV4b9YXImA3UnhDzPJ2MBuB8AzVwCpC8EhBny9cQUPHlHS+yiNAcvQcKkDhFd68obRj+A f3yp0gpZdswMKCQL5b14w3w4HlctirKO5KrrhQt5lzejjXxomVZAiKaNqjWmqOZwvt9IBZz4RNTY nbnzHEZuAWIo2UgBmfOPtd9vZ8EFYkPPyzCy7DXnoqxwtKqEHHbkSQYHjyFibr6O/CJi5MoLvcFi 2hypCIVn6Ga4JFZEvFWHG3cn4/bXRheeZwEfN6kcnl2ydOmbd3obaVHuX7Y7+oJzrHHZoeAEd+ME v5Hs/aNtHH0ft14U+LXJzp9Kdj54pH3F3Bs9xfOPDtKqfpuKZyYEptTB/tdR528Z7RsvDDQ2CIN/ oM6FNqFELqB9LrqCBqYg+seocDoRLEILoIF/SxdRWKHHnQJzwDjiKQWt1A4LYfzbMXUtw6CjHM7r jaRZKYNL7l/Kgb636IKYEdc3cBny0C+neBx+Sm5DqJmBoLdPC6ks2KIOX926s+e79ZWR87jBzRb5 gkTy//tZSuiZJ0V74ksN/K9rcHdxvNw9fXZD0ud22r8FG0ABMGRtbPWZCbZm83+8ileBB3bx9YmN oGaRTnC32680KpCKds9gOj+z8eCIGgZvW7XR/J8UatWUNDJFK0HruI5+2txlBSZ7SsnGruRrBOXW GKRuDxUcorq0ar18LjppUsGcE44fFJraKyNoOyVZdpyC+Cvdv0d65bpczwGXh0h0Qe03f/Kj95Nb Khp2Rz0XGdoa3Ikly5fndxrBqKkZIHNILpmG5620q8lafuhhoxqYDuHr+TNLNjl0B9c3UlG6Ix2e 8XHwqsjlp3AweAEwlhgzl9AIhcXqfvJO2EUf4usTjBO1gY71HR7MlsjhZpF1CcAKkIUKoeyC/FdP GN8nMsYecOEUtXkmSZ7ag97wVyoLdwROw1sogSrF/y5qRUVzbTdufDpFHuPXOPAw8t7QAvhl39+u 4wGRQIDt2aUfqevkQAawrBuYTg8eNgtnxTjSZx4r25iZwNPqNXoypDaqsNTsuq/tHq214eKQt9a1 IXHdSXka4TsRjkvBB4TmUT4b2qfk5nRMvAhq5d4MNjoonNW/meLhVRht8huAudVlbifD8YcQe0z8 AtOwLIWNRPRP9Ep0k7/HEOb2KHPmKs2x1+Zoi9owedDWIGXg6JXUM+yESEbBjjs15qlirm3g5sxx LPIdbaZ1qNA2biF8Ya2SigUkn6FWr5zJ7L7DK/hg7vIn2b9pb50mTD64LR3gtLha11V3N5yGKJAJ +hczI4exRv5zhIICJURzLCZJABbL8abbakeGRl/Mijd+U8A3P2lMoedlonhgpR/nkiocrZyKpFDH GkmJpQS1QfKJrQvNA3ABzNikFd07GsqKQtnf7RwI94v+fKzSqaSQFJtxpEbMxwefLs+VNxumxi7+ 8L9iejQjxoeyh6ng9Fu7w+Knnmd4pde7U4itGDTpr+cS6R2TTkcmrAZwQ4YqkkW3iQ4I8IgeIF1G Jq6U57XiSwSiHd2aPd+4a2G4zL1Kih5jJDXZAY64GYuITHvEWgrX8VtlJxS9hSY3pp8z5voZ0Ssh 9xUoyn/hatqNKqO51a8sKcnvBvtiSp3BzEVDM18ZeoiZ7ssmPwVN1VkuMJhjccEhrRqQ8XAzds1G +jK520F0eDsMEiWFZPnlC5nxsbXsuH3InSU6AeJhKMQYAxFvJjscCrcs0wPo/NbUgP6OlWiX60xr npAkcaVqGOr0jnCyTvfCz5+m0VfVk+PakDSVnNW+B0W9vU7CATYGgtBcMKBLxmD+LMyQZzfHpmcn pTVkx8sNsvQ546b6d0xc6yV5ha7SxPSh7hb/Olyqnwe9cIS2dVLa2TxDjpyec0F2LlRyXhFtF+KM p/CXxkuGTVnJcOsdR+mAlBpNwYrnSeYZM8wfglXQP4fyrDcd1zI+dhxQfikv8Vks07l3biWy9ut4 rMSHWAwe/TlyLrySwIKZN06u+qWkzoVQ5TIuqjgGkkrPC0nxlylMYJt8x4KYU9288oRmqnXn9a2b sb+zsJT6OEDWpMq6wkJzHyFLrbKNepSbp+/kU136uRxkLxzum18b2Y563SE13h+3u2Ke58eXRNET FDRy8zMcA//jp0ZIltFo3ZaZOF0L/5SncTurFLKNpCBpV9dFF5Hu7uu/16cBJK0E+AgMmStBHq9a o6LSFJr+hXGLsYDibay+Lw/ziYqF4ShhWgbimj51cluCJItEZeDUq2+OXHZxv/TKNUtUihPRXGye h7xAz8sc7h5FEeaT39Y7jACnfHOVZzHKfLwI9Sz+I5aXsmRxbJutkNlKIJWWSKcsKvIvqM4Ib6zf XF1D8tBRoZ5CNXmc7a68cz22uno81KqtKFd4rFzd+DF+/6RedarQk1pRfg5Tl2/qA4DUzs79wt1l 10q5XLlhcOaH/m0Fstw165IR8vJbxKr8CcFZgJqWIMqL8fsYb+D171ZY0oMIq2Enn/78YDGc5OPE B6OzfZ+mdkKCY1ug7Sgqx/02rc/8UuwL52oyEo8Tlek2XclcL6AxlRkNgx/0chUVJKVBa8mqF/3Q USjGsdGff6xrgJ3JlRjGdBTx18UswgSyHx+Wx7h1qy94avzVrtX0cJyKsJz4SlJDliB147XWvQnk cvkxyTDxCZB7YUJhj3w9ZnbZIMsMCEwg7lAH2IP8DJV8Hb3LLFiwpzJf65zTu7Ba40xMeAThtln3 PpXZm+TUTp/36yi+axRjeKa+DDGsLvVcgqmgWYCVO7jqjvlQIx2k5q6zMtnYgRs0h5vBmO1PWXfR D2IZ27/9a1Yi30UDS/NoYB4iF2ulQiSiZviGEICcnZLu9qFebksqKgqnX7IFWnyiwL1+mYo2B2QU Sl+XArvshznh+m097igNAWXx3MPArbYQeKbx5SiQrebE0MbYlGLyyVAvUDButjAc1n6vjBTOQOUi wR5AwhEm22l0g0Z13WnDywkiw6cXNjOh34gowyUaJj7urYPC54Gdjxp2bmT+lznKxIsejQuw9CVw dgyft8y5MGD4Dj9SHMmNO0xuE0EYOx//5m1s6uhIUJzzPsBuk1tizF0Mvbg9KZ6M6rxlH2WlrQRH SGbfCMCMSws/Fe+cKJHcxrND8k++ZIyYk2xRwsV4F0DD4ejfOOUpHtxHibjhjJmrFsSR/pypOCp/ gkgG4omVOj3JeKfX8RMakdhQ65vFKj0aqgRN7ClcgKLPtVmKCuH/0TjH6+Kns/SGfPBwoK3bj1sx 2ue6cXWNOAG5W58K9Xd5N5+m6c2rWOgLo4zIk9EEQuG/pZHbX9zm6FBoVrahQMfak22rkSi3K6Xm +qCWOK/aphR5ckN27lt+EhK5tnRW2QHLWuPGeIOVUdgffTKyS3BvIOMF+/VHOUcyj1sJjUEV1W06 7BQWUj91VvZ6+sB6hNNJa37aMDh3vv8yHFfSnnwhFrk+mAkHJj3RWXmaHA5o7q6/EioI5ivkUuSZ iPhMFxxKeclbhgI5OX263Cxjfoupa5g8w7U+GWid1eD4o+4XrR9YpjgRNhEFBxEwANZU+AysuF1+ vqcYhUROAUeBGDN4uacCMHjQdLo5j5B1kIzayCsIQT/SCK61Jn66GZ6RceyX/rPM7UlHjsNR8a6e iuoOvZBsfhRyZS8W01aokQZFLzOUaZjTsqDU+av8eyYg9QDP+yT2mWUgqRXKvKgkyMp2dlPNgHeX rkCp68ACE2fTQLCoo3GEkp/65kk2CRNgsmATmWt125Fh9mozzxF8+0pAzuPTuivbaoK/uYDh55J4 1t85ucb/wjXk9iK4s/kAhFJLeizUMc0y99poP/BDItN51NG2WCTa0l6z3cDYwoSekgHs4XJdPxW1 qUNfyAsGouJk4HvFxeoeyvxr0oBNqcyu/N+/ZcsgM7cTAdT9WPGUkOjin/yIQDbggOO78u7Kdw7J OvHT/9Zer9LdoAO55xCxQDVuIG9YLQB+oPXbZe2L7IE7r39X1LSRXNBcu1QyvQ/LjokPq3bu9Ybw 07rMvhPpc0d6NSq7sQJ2S4FJTjAyyh60N2sXUHdGQk2Lzoxs0FDqFbfkqOSB1DaLbiTfWdmb13FG M0JsOLv3vo+QBkzm2MME8dHugng3aE0of1FH+5TqXSio7qrMhx/in6uUVQ4T2zgij8iNMj+WM+58 /EUB5pxKqwdnv4m5oRseHRZJghzeylNLpmd1isiGV59hQyfX5C3ule1RgGRCCTCHIJRnsyOypoE1 v2z2xsC39xVJdqUKsuNsTe6ik4SAntmQllf7c6hlngwtlcV8y23bVpWZL8urSGjCSb1I9O7Anb98 d6OfxYqz69O81vPnlM+WodC/WOo8y4xKf37aUc9U1MnlwwiCQ85+iqloujKUO0UYJyw4QRbB8dwV F2qEghExbAbSELDmV+3YJB/eIBzh2l4UxrKhYoh3RjcgfrSk/9N0Ze8VQ1VGUeLxmiAnT04kh7zR GODLo4hNIpcp640So4AFSdv/mRfZkrvsRSqvv+8e2Esgi5yiouXzMmuklEGtTPS/yEfA5Q0sIabK rW50TMbJ6k9SaNlxkX84TOO4vTqFfQap2H+Svje5AKWU2nTSHcij5YDoBbup0xd+ZoiwkHYTiDW4 r2kOKHM2gQMN/+wuGLhXw/t8fEkrpU27zx07vnGy7ZMnuVi+2TzQIKsSpeZlJ2A+Ecc32Ywzfehm 50TJVt1Yaz8IWGnHF+As+DvAm3gdujgXZWrDhvLgxUwj4euzEp9T8LU+VILCdTJ21MrAVfBQ3ZcP UPzwMpDJXewtuwk0a+7ZOf1lHeQLl6jhufKv85w5PPJ3/T4vGy8EnfRWCqc1emZwxySPDGJwh2Km YhOI2gSQ3rxgo3MSdtDnUAWIXt97GfmrEyZENZ2RnAiHpFAPEvmDGzUi57aMoHnc5OrA2D3ASs3W ozDA0slodeulQ3s2izR2ABO0aNxr2cFgsIKYpoOvOhvoM0MztK8v+kakPtw/KXjtfEnraUYONvkK 8uMJ2nTMr49Yo/LQWx1N50qyyws9YY78g0m0OeBQ/ROapB+ptiJ17LI9fPwh7EUl8DEnLDQ0slRO H5ucQzgtBl2b9Nr0ORcrUeXMC2dX7d5aYGEalss0QzqXTcZUHhtD1+Q7jPU3NzTrX4EooDhm83Jw swlk75kwuan4O7Um0zkiL5EazSymzH3TgZO+8lUEMWt2Red2NSRpgZHJ1a0W5xx7na3XyeM6/7KY mlJahTNDZWa0UiRwQGwwGmuYzGXhQidky5yZvHSBo0RcLwrJvMAFZ4D7s28eaFslxAn+ZMs6HY3Y UrVjl2Bmfzh6P1csb6XT4eCrmKNE/crFbcUtIWUt0NQ0dXpjMEQ6aM23NFFJn2CQGuMrUILERa6C SzXaHiMQsxaAVCDr0sPbiaqiLayWDaoE1HLlEVL3QiiDlC4ZaxQe3D1357XbppirDM9IiS71jCMO Io759dWezy6KP1R8cX4JZqs82yTwc6vGtWxsaNMtK5aW6IGER0wQI8pdBIRAJ9eMQRbkzkaYKwr0 UGfyH4LFtklwdCKyJiBIkMLeB5BBhsPN9yQpPeSf6JKOEIUH812zi9B4xvU7r9ORma7NTYTHfhwa FdpM8fVmhNN8SOoNdYT1T7dNeM3DmoBxUSHhG45k1bOLDmh3uGHbcmrAcdOWp7++D+V8FrfnSqaw VHMXCBXNS47rcBvVaklADcCWhu8BjRxppR9/R0Cly4eyDGYP1ZKQS/sn1zFbMwEFffiG0XFwtP7Q xwkm1U/8IEsO2kfEC72TpTtiZWxFqVmL0661zUoUsDfr/Xa9LHNeG7Pya/2LaNEwaQA1OOfgH5uJ MuQ3PpH/3rkxKjTy/GfjtRBdhcDlRoTh7k+7434ryB0bFvmWaUcOhQrh9qWsfCZpy+b0jrt0xEBS LmjuinwaMKM9MThmKMFFpsAUaXcMwZ9s1xeyyw9t/ekfgzH7p/iSnIPScEatcE87y0HrYRC79wbB 4TnYQA2P4jisIKo6s+TLe3qwqett2OwhcTmCn+tiUDbYQ6lSVavE2svvLkscCxr0QGOL6u5XgoRb U77J/dqXEgImBREcghoPAmwYvADjJvpOagqg07u5hFk0SwHIRg0VjcdnNSMt63KHGkAjUKyOTDHt yVhUlhwW7y7AdrfEJ0ALEf+dqUsfb3lltZNxowWyPpmOmP9fpMe7wzf+DWZoqadwFp9GH5tGpAcA ge+m5YA8q3Z9ImS72EyjPXdnhtfcp3ScSVbNRfiuJEUVpY95eD9PupbU8/GU1BCYltN7Ifma9VlA a6gtvO2bxCOC3UhLSvSNOh9x+7s+7HWOyrKwCVGhm43YG1//3Fm26iZdTX9BLSokK+xwp36u+Jg1 tzrqaRwAH7hXXaX8PZRJpGjFMN/RCq1is1ZOpyZ/nz+JuWph07RYzezp192S5j8xEyzPmeTlm60j cswFfdfY+CwTpXtpCU0U7ZGuu/fHbFc9eHQwpjw1i9SuIUkwO+h5jyqL+xEi/wflGacd1Gla6Rqk 39PClV1bCeeeEYo1WSEgg/yf46JyPo6eQObGgs0cMZXu5sx8P1rB8KSKCeDcvC0PpHuwx2Yw/tng OUaWzgKAhf06JblZ7wY4g/DR+2mjb9L1fKee8WmkNIhlizLJawmFbfNFtMygGV+Fwb4Yf8AYkB+p Z09B97g9QoWwsvCWwS/6i51ZOwCoUj0jR6jvMojIEPrhqAM2OHucoOq3wScfBc1ypCuU/b+fR9Tq gVKNJCAYF/l8GOB9w6dD7gv1XUSv8UnIdomKP9BptyROaJG+mjAD3yFbKg0vIxxDdka21gMVy1WE DLlopz/R8xZgnwJ5MXrAGXE4ftGAoihXDmxHLaMg/cEmR8JD9ZtDwRl0sAqLiwtKn6O6qVHrPpOP cMkFsDCyQpqMOun1iRdflROEEppqsFqKIMMMobVvis2ePXPyFfLYc86Te3EwZRAHTmBpRxfjR6fB vb4aWu+AZBhj7CaoHC9HHqvJU1R7Ix9waP0G7fs6r6hncTOO1rzCwHyjq8b53VIuaAZeCWTc5XQY /2GbOIPXUAvKgG2Qk3Bucj7bADOd4OHZlXbDBOjxr/9oAyy99tbxMbZvrEaa4+N+bqtcBfRY/dnN r7A5lF5QU/iMBm32QsXLW6hS9KR3l7OAj5EXu/PoCdBmqdlCmR88rRL+jpyw9U5XzotRlKESm3vr hVvPaYbJarbWE7n5ni9OetKWJhDuC+4lcDKDEvDksGofe6mG8vltUSyywoAssGxvusblT7vpuZrr 17ffk2cBn967rv8Mk6VKtUltQXzoi3ckivilJnWsJ36YBYsMXMBYvbwP2A3xjF96v+Wbu5FxdgVu truTSrEEaWpg1yrxv5deZudrYV+iMbItGtuxAKo2GTMijYGFFJ2jRa51zmdqfZ6YS89ZFvWpKjjP NbIidj6SepubYFhvJbrV157fHma1lDVbHW3ZN9LrCj/YnrRKKvV3uK2ghb3rmVs9ML5MMyXXVzkD i3OBqdStGzztB4h3zG2arddgw3Lmi+FX3g3PETtcaRFiiJbSHdKXqU8Qw2uq+/gLfdHwkjtWHPIE qCnePY66m6T286WJwn1gWzvMq9SqshhOo1DxQmoTMqHGtBAPNqbDJJ3K7YbnaRb/H+XH335SlTsJ CQ2I3tQujfRxBnJAT5HtGQkpEQ2+gI/t13aVdLS3Bn62uM7r3sDqygCM6el+tNoqgIUNPdkLl+7G TEz5ieK1tAk8+MkRWwWjKarb5CAL73GERY+U6IJauXpKuk7V1dgWXg1NaafndMR4vNtghFlT8d0p PuE/KE1+OzGvlowLXEWtUg9vdfGmmm6whCNMBcVjM1+AvoRxxYogoZg9wrDPfXBi4yCelSqaRCWp 3GYeQDCepu3n1LmJpSedsHEEglDqK8Y8I1V1gh5+gcDR3rAWAGgblBfQoHWys5auiFnhh6X+AvwG kCusYqHu3lzB9ggph8xeCtc+cA7AmXO6ZccQFVtiQNlnIY/SV8TIqoCH4Mke1cbBcj8alehHuWKd LxXTLz76hxo1VpSlZ9z6mSJKvjcMosyKvBK5aJnwDNpkOL9frk32X2Ov/uKTqNtpAUn4RuR3F2j9 WYeWV0XyTfse0x9UmIbMRbPpHyWKe5LcecFs/DAq8hCjyWF5PNhS+zJo4/leSPVnkHd94Cr5gZaw nWPRxawH98mbAz13h/rwbd3Hjyk49SUAfROIanu8J9gx345sjkVenOUVgRynhUKTA2QCHPA0m73Q sGr8xesEJ/hnlzINzQ09XHc1GxG6x9RchpzVxqBAr+DfTqkNYGYqVCBmOrWHg/NI+MhDyUvQfdey WPyooncTMcMJSoYDgKDi9yFnj+z4f8WcvavZZphOXH5/BJch0g+d00LpDQhd3TT2WnXbYldE0NKN 4exLAVV3Xftd9Y3jwb5sDm0lBfCjIsMCXIE1m4zd2H6I8m8ENrwS5A+Wg7sxqUIdoxqUMDalE4la DeRiZZbsmTuwKoh2u8ekI/8/g1r8ShBiV2AvOH6idF4z7hEs4YYhfqwWSMdT1otedxY+aYFXFinT FD6/VyOej5qDEHfYBVArEjwwsOptvg6eb1SEhcMHYC+nqxJIAgUpo4t5Wa/+4FeBCWtp+xEHJ26z 4lYWNDswWCUtGbq0mepZoExMi4muM/DqEl/z3dfnY/Xd2MyxblwcKqtAvLEVLEKIKDJwWegohdRw 3zuPZ94VLxSi6twPLakWfvqra52/uvuMyqJpDXxJ6xuwmcDlPAaCKyxyGTOm/PgK3m2b0/iVnvv0 VBkF8ySj4IqRW6UH40F3Zrkmvc+8/pD/r6k9tXLAx+QfLBFPV2w6chEPpXqLFYe6fBzANgAUQFpp JZvpq6YZZTQZJ6KsOxztIxsFU24QFk84kGp09/qbPuGKdCGoMI2hSF8F6AD4uMhA1If2sFgUytgs wnMPed6jfO2c4XR9eJdEyQMaFAAQ0cgTU93jZXzqOGB2mjhiVgu0puO9qr6vK1D3lXba4JB/qXPS gxr9CrIjAuOltIBeh9UxBToEaDTRUgK+WFyCPY74cyf/rt7DDOXTy+brOiOA6RlTdUwemrqKZMsm 04R+9oyhiTcqcyl3S/bVwqBuP8QS1X702VL7uiNjZMZyjdHgM45u0ykv4YIoCQdDk97WKmX4m6P3 215HYgkNro5Sie/gftuvqY6x6HI5w5tWh4ejFAGIE2Oexgn/KxkZi4je6++em6biBUssXGoFKkrz +kvUD4y4HD9DGQEnrpvJEs9HE3TvnzzxpjxM0GsOuGm/v/pFiya/XEnpz4Y41YhHL6HXfMDNJAUg njYgQIGtESK++KkCZlm6KB9HpSrd/iMaRMmRSePVamGpXsE0PQ/6EGU61Yt08mhnEfUFdESsKhsC d07nZyMIPgk6OcFWjmAepczROop2gmj125IeYm/voshR58X+DMENTcgawoc4UMwxIFaO7kO38hRF G8wdQIJPqXB8oZfuFoeg2rztOkCf0RaFGMH0e24f+DfNGoozKnOFJ6ghhVHYjcQHDEnmrRouzdy8 JkMNB3X6+/N3x2PHZWEhd3BCjLva1IkCfsb2KvoBYG2eTv42jbniyT19iC17B0ZahUEOT5bBUtKw h5GDyeDL3JaXzUYxt0smPfa17ccbz8g/l1v+hoDipaRotBAZUcWI/V+C8WiEquSLjrSRNuShh8tq 50DplB2XNQZG5qF/abwHXOfB5IVeFKP/P2u8Aa7GatSeVjl6WIlWrWNAhb077RV/toZV4kZxbco+ s7q1sZtvkgSLKdfBweqwcT+gKe0X41Z/CaYwWyaLH6bC1oBnRCWdlpJ+lS4Ci/Qv2UVARKQ5PjgJ l2LZBIUrXdDUwtaQntXVdoHhl+rdH3mAMXSjsMBR74j2WMkzlaCU0wDg8OCTqpx+8+NzMm25Z2bG q/smS/hWBiaPRwzmSLkkIIs0vnhS0Su9J0Cd7BCYzHgO4Ib6vNoYLAvC58lWmifqLHBJDbqz3CF9 mdUGfgKdRgIHYmBgBT4oeLuXpgCL+ceDbUMOaIOLAosW1JrCJc6h8WemqPugsxki7ited8hRcwv5 pzwLiHM7xA4v8wYag55n6fGStL6ibPK4gN4AO/RMr2mmAePZLF9xAB+nEP4QeBghnMsesqo66gZB vcpJQcNovLUGvdBQPIVdCuTGMOLLcrJRSZkgBJJJFc6Vg340AoiStG1o5vjQROrHA4foRSDzA9dd Dp4yPIXtmqdeJebcL4kKbHyLhZQxmIrQPIlTrRTuSiKTYelXv2ZmTFY5jEi3xSyZDzwXY+m0OGDJ +GPUIYtvQjZxmtMi8t2pyN1GTosl2m+nAuWe+EOm6/Ah3AigO8NH/CzWR+kDWmaP/FyBFqb5dRfd q9XkuiqqWmjUdDTuI9WWPx73QLr9sfFAMDkhkIxDWlWD34gu8QGprcdrvYsMxLbxmrjsEjWoK/XO dYF/+uYb2kR808/fjuLRvyM9rzBW5gS3kD0cieB9NIQnF1GNsTJ7YyKhj5vYUUl3Ri65s9N1Jmyc Kij1yF8jvThfF2aaYlOHD2gH+vYA2i9jefYOi0piU4T84VdOFqHkDMr0NtVpBu+NTfZZBWaDw2ke WszNPvzg3Ov+E6NrH4w2dEVuni0DforVOYqk8eWCaX9SeIlzOxCIUevbwIZ9/VQ6rYGbe773jRQw 7zyVrjbNyWwx9HCqmFyARXTBZ3QEkzja7ulGyRP6h0CR6LV292Dm/DpPWL0FvJnexfrPRx9V9JhP yODMc4DVZKwI3vLbw1APcDXvCCcexw1wJV+W8LDiXhfebSPYs2iniOSwxh4yJ2uZqW4safOvQwvf 535wnJlvSylCGEIEqKTrHvrZvW6mEWrGXeuXx5APy5X61bxDZwI5uENd+RASCi4ZSH0wBfE9pOMh Oy0TUwzcVURDQcvnhkdsY60m8iEXw9P89Suzrfat4HM9qIeF/79IDTodGtmIaIPgoC4SAaX3uHfm zpFj93TEbSOdftJuh5jLJylZiMCqDdam/9+6oVRrlJxGWPsNOCXusGG8QkYOKN5+/K3p8kHs60dy sJCVHaRuskF3+rjBUkUV4W0eupFITbTAxczWRSWc+BR+c74fpl3bi125f9QchRpYl+Fjk7vKuSxc duMON9xQu8DdqCCZH3PWrSl5Cqmm8T1yl3vtbo3tzzbN037WWqcpqxAbWbx/JhEJP514VcTxJfyz 7WDjzzZ0ax85Xqh95K/ABztUXt3PdkpzgnJsuAkYMp5GkzbqLxeplklJvw9739a8Npj15Rv/aGx2 29Y/ZmGbjDcmH+snn4lABZA9qX51/A9JELgzGurBv/XSYaeK7ccu+0JgV9z0gIhh7IyDnA5qcvl9 i5QHdEx9Bmn+2RfxibMO/uqyRv9J13FzK7X/UH2Ze7Px4sDz0ZpPJpCnQL4jjBc//tg2WctzxRrz 98xxDmCkFbwbXDTPevEku9u4DIT1t0Ga/1aAutRxXpm0I4OBv+YOIj23i52weNk9rFJxunhrdapf bOlsRIp8oTW95kznBfumWdiWHYAjvSiMyhjb3ljuvint6d+iYyf4j0G679vb84ajxkpV8km6M2MW q5YUsQYTbwKui0WgO9ZFM4UFNiUkzDTU4BYs5mpYBaV3GC/hLraNqw7fmuk63jN0IOvHWuLFI8S1 T6psTOTDdwJu7QKF8LQF0ahg2FBbgEWyJzu8SkzckHBKaPQznMwS4nr9gQHjOuSvn5qJC6BL5X9d zVxIQaPdxM9yOZQ+/Lkz1xYoObLm525J7la5VI/xXa45MjqcMme7bFBgDjDQHBENznpJmfuLautk WV10KV1r5H7BeGGdVvC9Y5+odg9+7La5FOadMlb7vfgC85jgHRoCmhosD0U0eTMK3c+kPPDrPwOf Mxc7QK0Oh8id6c+yy/ua1AtW+ahNKxiFwiVeSLBmjPg+X3PRcFvEEZEK7WS5BlfG50ul8oyhW90g yGyJ+Sp4uDyIahDYxjg0go37nC4uu7DxpLKKs+T0ax0GMgYSW39PtP9ZJGwHGTf0h/o6NW3lzaDR cYb5SsGOpSOYSi+15GwXfAXkbXvE6HGxARYt2xiNYSbsgk1fndChZ0MxxKNHsSZ13tnKp7r9K58T AfEXnBSISJmrU24F71mIp3ovj6tjqgC+Iy0A3k7P7Xh3A7s7E+g6rdr6PxErfWjFNsz1QR4dFWnF qScmfWZVuQdNFTvcpeFnxoKNXlwY4uVY2vlrldFOGdNSybsL77XMdht2Jbr19TXijQGyTeF15VHi DmPg9L/1+qaAZ8JjytrOMIq4Ay81oKjMHHkuOPj2MK2ln/Qvq+sg2Wu2G4iF8GRfYwhI9rWHeC/l m6yAikH/GykvPWYnGhlmkz9HX/nVhy8rWhLZqYTkEqF6xl0qIKMJH6hFKICvDejZdbCtgwWLsQs4 5Zwy4oa2eeeXb5yNufBMxpfW1LkAHSjTjWkpX6t78/T7aG4e3SHhpTXdnARqLV61nficjyasnR4X zpsLhEOmrrsJ8SQyJrXZye7jIrNxA8NY9GzFir3qXypGFMfqZ4E8XqB2E0s/JOTVysPBYWpYhXpf OKkYzuBqCJCWoP/kD3CO8qjY/HYJSJAMuKTKlUchkOyW6VMTnicO/FWEGw9eij806etGCleBCwu9 8LJOHjvaBo80lqdhmfQq06IvndrFAtj73CwXMGTLXL7wSxavCzmoTgaH9ER0Og0gzrrovtaRxV9h f5nNwgaz59jcjUEAvW7Yf51IHxr2L3XDCJbJWiKwb1jYafH1UappQuens6bTC5mUp5nwrIi7QIBI vaaj4Tub8Cb9Py5PJQtLvcl6V4OlQqpHeABEnZiHaG5j1adEoROfaCzOzA/94OpQjAxbnMYic0j3 E2NK0do3DhIZH410zXDzTQNjkmH9tPEgoRRXTLbiONB3xvV3hcU51aTMQHToyvyPHcZE9dN+5dVZ Y3aPFQcWGsIIvz7fnBtkqbjWVwfyPU4iZQZDDSA1AJWiX3zzsMAX+zgrqKo4Zy0Q6hEHeO/XSiuC Nm0l0BJdXF5I0/mD4Lm/54FEraSVHPAo5+xE8pMChvOrry4/Pul5czf6hHddzmmLWuryrHs/toz2 EMG8xYJpQGtVYANa3jy4w3i4LnpDIOBY/7M4dy9G3986Z0EEMp9P/YhhH6knUfzH1VH7N/1GdlGp nookdgfbThgSJtAd7zzCm/8CwOVbp0ZsdsccOcs3YbvR+pooPsYRyLM5SbG5Hzwk9a/1Y0fDqh0W 81M5jG/rY+Q/+3NmhIKEqeH7BgCVBvFOVNtPLERgTME+P5XleSkQvw7kp8ANrawYP7ucNIJw6NBc O6f3oUsR8VypIf5SKF12GGuFULXT+tbC7bKpvhPn7HrtWTRCP9x8MAF0IzHQzn2lsFO3vtotypZA +igM6NnIghwbVYOW6rg+OKcx4Ry86gmHiYV+uC5Rh8MPv+r2zUw/o77jousWJotBueBPPcKbpkuC 4OzfCNJzOLy/kuXMjXgrGoFZO9r+HwOY/XWh2Ew4JQiE7BpCBt1pZLZhW084CG5w6qQ/uxIrKZpZ kBp5K5/Yng5fcxqfzb/Px4HVIA+lD1ug+XDJPkB6ldAW6CKd24oAkuz+FpYoIlEase7HG+4p2ng1 iGL9027a6Ww+iMgWh3jQK5xg8GXVhjPCAzaypst2qK5DTZFkqHmsrnLVmxvqAqXaIhEYiRrizrnT FJ/02siNb5PfhcJz3oN9dAvI5U/KR4GJDvmnVbi6oxtACBIoxetjzzWopX49AA36x3d/565NsjG6 FUjg5EslZGtARxbnPEJBqrALXFvTfa0Qj0MpprZnUEB8kwh1+/fH4yL9mPVyvPAFi1sJJ+xXLzYm M9c+Mm0SjTaKNlEcaA2acSBSOr0irFMQGiphtFD3uStyFi39BeACO7PjwHVS/ofugekYNxgoTPDJ US10hm1gEVJkP/vGOXVtKSq0G6caQcztJwDy7my6mCPhLrGeUROfg7imfEdLrFM4DQVzS8xIA7M9 H6K9kDbl8lUjv75fe4qKgz5kpNqUFHtM/kgcrsJnmUlgydkz42i4wKfBwi7bSYyiMCZaJ5HOcCLq t6wAm4J+M09tkbm5+sMMpsT52j6fux8buqiq2/itZO6CxYBcP10HVr+n7/5w/YPl0G4u5BKjgky7 Pgaxt6Xc5nqIOv2NTS3z9kz920wrgkaciGC0opUXmOUrZ4SH5+2Z+Zf67w3uAISJWdyrmTCqadtp u38WXCRDuTl6RPdvxORqH0tLTEZA5HJeNEjIUds7huX5lPL1YJVgT1bKWk3H2+5ZBY763Fh2C/Pw ngSuiP0SsboWi0Bjg+wNgFeIXo1zayfVlEdVRZv2IuruyHwoEEnb6P9gJ8pGwZy0VA6YyR5V2/fN wPHVlFNpr7TbCuJS60tCbjG3ejmalijCKIFm/kg+LHYQOtxYVLZuaVu46mO3gvvXvck8YNlgT4lH D7QMv3exAXSfH3njnzT1fmhXzHGB7asI/WTtXm1k7OKReYZMVdrA0oXbtvHL20S/CfsP1FcLuoCQ GahDQic/n2MXHDaftT7TEyJb7QBUDxoygVdWiM3EX1I7fUcBcID4SYJlVTN7JvAm4yk67zNyiHTv QXGnVhTWRKZDXABFTWzKiqh68MWl/IyzCOzFG/dYX+97LEj2kUndRlrrOOHC0l0yoWoW6Hq1em6q vrduwllXBjomath0cz4yfOee6m1iFl5OrtIuh7uHuN4ydx/TgDa/j6qJcjRtTVDbhRrH/nkGKwvd QrpjtRG5AOZJWEthRIRDHeFVuIUTbKrBBNVrCH5aaw0U8khWg2PbAZLNKN27GaT5oIovxfCGj/uo OTMS485dh0BmJH77pXijb1pFNjf4F6MHIf+3YwcC6pDkyKS5fKXVhlmf8k22aR2igU0KLM9eFWto k3p2eNZKwQ1KdjVLnPTLwpZLRAftY7jJCXn8bMJl8jB6aGsLvoRG+aLmxJYJ3iv/aoxu/GocPfj/ lpEg4ZTLziKlx3ECriLyxq1fZ5SiIsQolk5C+IUQxFK7+WVgTMqcIsEbjhPrGiDmJfevalN3kIkj x35I9N105fuJknaKmFM9ZDgX9x4kZT1ATt8qQwtTvb8725foq7ybq/EqlonpoNJJtZpeQFuhNHK/ 8N8y8r08EtXoFYrDaw1RMGCBABZEZbnW1iFDqVBca5L7Dw5ncCbKNDJ3slmyLAsY2X5vIs33BeuD pFEEu0qQp0oZZa2n9E+XmthDbLT7zadXiSY/ujaSnYelRBrdy21lEMDA5f/GNqXZ2KxB8ifx/czC R4uYJbIhPkr92yuE4HzVk1bSDn8wfhbDhrR2MKR6OxOleI/4JTh+Qd7QH7jnmDMPk2/eEsV0xShY M621dQGqHsl5xzYQGe57gPO2jFmnxjKzt6lusxQzDT2c4E1DjDfhAIgHxUvMwNGQGYSP+fYlbuOX Dj8l5TgoWJzws30FE5+QijSytmR9vZVzkCXNsqXcNEOrG0EIeK+J258wxR2TKK0HaeSlyIbmaH0T OuZRcl4K2Jxd3gGST8y28FvoIE13wKvsqNDyYVp5j5BSLGZGIfrJvCVJw+vg9zis8CP7pPAr7wx3 qoBvdtDM2X5ZRKuUhhRjz4Huih1OOLPhd03Op3yyA71V5rzU5t0JzAK6x8kT2G9g+MvIGUGgDCiI RJs5mbgYUIaZ8Bfs+p5zefq2IiGIRSYxz+C0toERk06U6+6wMP0C/4VBTtUN5UUTXnMQBD/n+tTI p2XozK+5qDTbpsf6raCKpgT87qGG/MzsAdkp8q60RYoVB0dT5nZVVHOEwjKfj0lEZRru1GwbywbU GfyyF+mK6S2polBXdSGvT0CFRavNfAwplIHMd2FGn2WaxWFhPNiHCTJ32kZP1EvShg74Ojn9gPAe aoBoELiTC+REQrGGqTBgtl2+oyH7yHe9JD6YNG2CJqU1px67Cc9BoQLJ+pMvjlbNgE0sCE+EvckC P6s95DuqYzA4TtfmPChGeFvCPMHz8XvLFN41ILTXv428ShZykAyVVh9SX/TWSJ7yvy3+gYLBF4cU SkZvHMP/1joOWxorayhw1Q0ftt6MzqNahxF4L4mtW/3p6JqGWqeNkRu+CzPpH5+1Ii6k/dkH1WJy p4Xt+tZgtdadOuQpZ4chopgIeRGYLcXlhVlS12RR2LzT/ca+1XLjlrY9kGH3Och24jxgWRgFWtXX cG4dm/BqQElw/VmYnNl3iOY+HNuwljcs0/YXaT6hspx5bGcGVJjgxC4mG9dsn8ygzUu9n7bZw/e6 uTjradGQxC7NZ1AwtiHMZyiKUJYfzos8cwpaGcAh5EyUBU5wTTGVJjFW2DJ/BoHaNxXk4D9UmctG M9B3RetHQ9xardHsAywg2nNa4GTd5FUXsUTm6OC7lyV/Ts8ftrybreTHKnG7WB9uv3MTAccZunXl /gfAH6M6wOZRCVowkRsR8j92ARA9/5evY5JK2pgZzt5wtQu5iIfWnTYs+omDOSt9w2S3Eh+IYX/W rB96FiTqcWunTRqxvie9Z2npxn9L4KSzKYO9vnocy78mfQ2GOchL2Wbeu3hvi5hp0Fxuxfp5Hv9l 6rn0Rcavgl+K7TwZ69GToLDMcPYvr3AW2BTiGRW3s09JoSQgaY+rySIOSP2lwGZKd1xnnPwJ1i+Q 38VTlxfyptrIsbVMt9j5+3qEjGJnQwaSlXx0mX57gmqbryUq1f63JsWQ8duzVcs9LOyCzIiT9OPs b733NW/bL5QTIWMc02Xbnspb4WoTE8BHr+EBlTT5AdPbQnS/ls9p36qQhuqxmc8xeNSV4G+NI4Ek 8W5ou8twXeoskanMANLs94EBX+KbQTrpsUEs1szr29r7kq6V2Gxr16CaAQoecmDnf37q0y6jwGSZ +qEsqmcoGyfw7EAEow4Vp8oa1rf4sUurX0FE50Q/I5YOQLTvm3KUKB5YFc5UkMXU02iVKZ71UWve +Hx6GxJQ4W7e115G2YrFRYcYlUPjYJipn+xflHi0J4S9eJ3J4ymZktRM3HNWjQiAjNhyZZ+Z0gz/ Emx63eu5EJQruiI/TbwAvQW2jIIlTOZp4fptBu09qYDyAVRJ0YooZCERNY8bJGJmDtqk+V9u9Riy 4OKcnuFoJt6XCEsjwSSgFjhsICpYxOW89EtOuzD1FKtP6AIf5qZAOcKszxHpiAc9OoKUO0O+0gjx KgRIz/9Yxmt+DLNibqqMmPUkxk+pYBoakl19Bvc4j6FjutUJbe75d3IM8Ul++vULSTMbkz9zpGWB 8rv0guwP7CgMIBodcYueewQzsjYNgCi9oMV+2M/7CAPRc52uo5bQI15U6Pq9bclHi/sdkYqiZuCk iuE1tMQlCB88a8r3GQcoIcEpGLVGSFTnLv74UEGhY5hQVL41yzcOvJqdogFtV50Mn68qbNS7PFka jLgiDMxrhR30TksxMcTBBB07XV64OsSmUK270tWVsjIy4PjzyO9kEwNZp9H7YTu3Sfg5E0KOZhXV w59VmahFobEFw6qS7l8uJl4z2XIjSlSBH+auJlKwYD2KUg231YJjquxeFGfmKyvZgeOJU197APFg 65RISM4muclhrlJQdMyuuwhlOMdsfYPH8oBjaAtTB0DLRVgtV1pS/RTaX+Nv+ona764E0e7SOjpW nW3Yd8nWU3gObhrdrX2O2Q5OT7rujEuuIf62vOv8UddXrL7pCzPUNwuMBXV1njIEnWzoojbAMtbR umBSOiCSzAD4jakN7/FOndEIvwSdG1sKxAe13q4oK2yN6vKo5E/3MYfbgI4pElvoRkrU7E2i7oAr XHJxqIJGnSiF+LEx9WUS2/Wkty9xak64Nf1dxjyDH1LewYjkskbYnEA6vT3OHbHkVkTc/lQR5JvC ZMZopvyMitPjAdOxYoSWXuZjBNOcYPz6ahxUg7d+ijty9GZ6bSrWmYap5ytWjlsjQLeLcF9N2BcM /Xd1RFgbWnMkpBqBwv9Xeu2gj44hfn4H8n/oTxLc+tIyaiCxSmU8ACTQyeZyqyVEG3d9/LVGmCy1 OPtJRwrld8o2qth5MOsYMsnJoQm1gZ9ENag6sP9D11GgVdCqWX6s7tdSdsOvD33DDcAX/MBPvUUV 8RvdjfciFdKXjRUn3jaiKfBg8AvVgzWR0d1q00D6oF/hLj8rcqiEEzKFIx1jtVY/ZiklM6og8v14 UqoqZz2F4Qx7W+yKs4NgDKO2EYI8Rb6MdH78OvCrkgOCJCmOjDh6+BJWo97nff/6q43Teu1CHVtR f5PhEi0W/1kLuwi7jPnSx1spG01iQ+2ZSa9d+qkeBXn2vMRUMo19A5HXPx0ZZ+XDI2jrEOClJhTT QivfScvblTmmyasEcSssrmzcmlKWoM4qFuJKb5wdIqc4Z4/FpCCYgQTg2yuZxHigDhfLaF7CktQR EdcXm8ieGbZ/xbtg7buzzjfoxKOB+8JogaWkHptmNIlmZUBecuAGCgpI9OUfSHrcxTT8XlLmruXp 95sEX1wzs0inDH10vw79o10qxDMLBAjAqwT1zyEOeQGmhzb/H8Iz7TyW4xY6GOE6TNNPgzWbYX2/ emxB95juVxwI4m7WcJqOxJ6A4KcH4oW6GUMSR+jy13n9vC4YppaFnNv3iqb7KgEqJM/tVPN/s2BJ luRX5uUw3aAhtRDFEwSnLizZDEIr+6+BaFxTAtuHnl8ew0Q2DxJ6Juo41fnhJBAXysgcWBd579vo vu4BjRI8x2QkuIvTWoBA8LTSN47IxDAKmzjDWaWCh5RRz53MaqzOU7n94aYiMupfFQMc9PEBjA60 49aoqcubpx/jXblTJgerjvOr/8gIg57A5sIql20mTsA/iv3zEFC2PgeJcbS5GJHmIa7zjESTgU6g sqCJcG1K118hK+V3eutkt2PlrnehH4A6ci4mmx49PvbPBaYVNV3ILKhorUzoExq5ZRdA/C9Bljqx UtK2xDCuQSH155M6seJZpk+rkk6pBd7Zk0dR7A5GIe3JS7DUEIF3ultzlGkYUiyDyWqmQKjR0pEL 4U/fVYuOAoUA3MkzSkZHdK+Ifxi6QdKqq6ZSIp4vGe7DpeJ/00vYaJfLTODDRyMa8V7kd9z3SEfH QePac7QRooXXlhYtioJX3wwcP8E/iXjiJ0XURfCz64jjmIj0kgdb/Tq70S/jIwjqoEygtdjrseAv vsAJl/CLw3K2oa139XACowOC/Zsq/gDYZ89FkiSaIpdk62Dcjg3U5O+HeODTxNrmlWRNtts4eqW7 DFFzgJN8dN6FbmbP50NSt9XLLfwdYp72zewkx0uG7IfUT8aN/8AQ/JP2R1E4ToM56qbK9JH34ejg hFAYITnIIj09yaxuzNPKlezypjnvjsRmvep1XRJcyNBxWtnZBWZdGlIU7tfOQ0Ze3lgh8q/YLUVI BbNORRwP/ekdjx4xlvdTtGUepRa0oJOr7EjfGwp6ulXM0Gs2N/2KUTXzcTmd2RMZQrNbAu5P5II3 M3cLP9i0PMqMFv9vfqsEyuEYnzf4/lYELrhQXXzJUMxb1dKmB++y5mSTj8mbEDCA8esHTwMSD0ok iRcsfoi3vRybFDBCeaSTvgqyKVQ0NpTc7nerLGNtHOqtMB/2vMBSaFWGSNR4yuqfqC06m1RyGELD hQg5Mpgfs3OUc0hQOFdo0ACHc05e3/4qcQmFPCHtX8Vh5dRyIYsLjhRfW09i9zcS5MkVun/0OOeY S18CaDV0hBsJvplfq8DLTA5T9BjUSC4L4750lpTwoe7Et5wuEKfKnm66Dbe3vVzF4UtPvsWvRRfs Cd7CP5mZfMqOomVTYzfHuYzt+qonA3OCfmr/gjAPEUBxelRcXry1FRaFXM5x7Y5YbOnpRn6oC3Wq 1dCkA9E/SMMTlvdK9e1k2CMWW1yOeFi/l1FX6eKVGNFp/LKVGYtFncauU7zsVoANfsnX/56mmxX0 C8ko7qzHO3oBdqP2DgqbMT4Ay16gTqewBpwxRumJQDUa7djPAECFPdz1OKuAg0BAN/qgThd+/5hm hS8zLljrNdzP0XKl77/cQlPFMCUqioQT6sxHj4MsIf9SqVylFDvQoeKW6et2UapfckJWRVjh7KAk mUMEPqbV8keqZkMVUDNv3NM8KOIP5/HVvVcCzauKDiOxGhY+K2AuBp50WHFU9Ouio/UQqDVxf/l6 XyXZ/ifxEnCdiMt61ZS9hrUT3cYNFuQiXgczvY1xIA57iYUBDzh522vbjrG6WpbrF/kfkK8b4YxE vafCRRBOwBN068OB8PWkH2KpsMNlmzgZo96vxcipNEYLAGTizbxa3msXZAcCF5belVuSRMvDD6mF iPyOllnj6lKtDEJr8IlgQnXRCNs4HMSf8TxngDwTizVLZpuIJMJ9Mq8G7kekm6O944XrEVvAvaIG i0gAkqLi/8Wy0cw5OwzXSv1DNV5P83Ub9oy5yA2TiCgTxEyT5Qx99CDov3TzvR8a+3EgqF2jqfCL zUpLRQe0lA1J+pU+1gUNGzYbFdjru3tJ+nW/yremzl7VnCmp8Jeo9/s39sNeK/wGxTGWFHoSwDXY cDBsihO5IB53pSKGkikJqMiRqNqDEUCmNT7bQihuHiSR1drI5VAxw7+LDyQ2ch20uZhDirkrJtQS dFsJXdLZoi/c0/BoPy3DeJTFww5P54mTmpKR3zl/IjtrBy0aD6l40zvNB3uVRHk4PlmqQqFLMtEB 0jQv0a6FMc+3X8ooNAW1RzCGfyTPEy45T7MEu6gInQeI0pFA0TJteZ7YLZyxT1zLPjkI65/5N31B rX+tRH21g1jJ8nb1QTx9Tl9AAIROpFyTuYitEPXigARE0L5flcniSv55dRB8afWDT4wojLvY7JJ3 jEw8XAk1GIH+m+zUqwQRMVEF1XjmbTWYTwAEN7Y0456yqz1Ii3yeZezBuM2yXu5BIvT6Y+ve3i3J bEHNnRFfLrdSzbgD8+DXHRZSK21ierkBYrCYZjXQ6DrCh6WkOInYJ4sceHeaoCITuUB7p0yAGwaJ cSSBMCp/aItK6KmZf6FOHL4xdmiRKEsCVB82VWt8UCNncIlFkBh/lTW7uZzX9wjt9c1XNB6HUxe/ 9jwk1W01HWc0bwQROS1ExJilpRHCQ/dmE+XT1PWwVZjmkgFD7Y6mT9uo9ONxCuXQn/xVbxAAWIf8 +zQBUeTJpnCSxvM2XgohZD3N14PbcIlPAnkZxvxRLQ68hu1C/xflGKqxvQ0a9pAjVlO0eE2/dXvH 18QlnQBPzZyv0dHHxYhDbdJhdkQzJq7m832aXLSdbv4YDPqYWeXUCB2W1aZ2FNrXR/A7q8/xWQq2 YIhIjF4VesIygNTy0ZHArMtubwuDLAIxdRIay8ZMebjsgq+w1d2oOcEoXgzMBj2hZ4jNjtpfVTCW mot7/tGUpf3Vrj5lYuAEQBRJblpEccurSzq4l5aQktKp2eqiQmehzK/wAdKC7W/8zhDEj8ldd+Pi tYBgD8+GRZjruhJLb4O66EB5uo5kAX8POfqfSndC3AAdVP51mQjRyY9jIxGu1hz2VxzKFHeDqg99 BLn+yQTnN3hMd/m/1P12yIHQUZTECgyWyYnyvzgtA9WckjsgKP/l+6FZugOCwh0Hfmm2DQQNsvsU 181OsC34HD4sdyUBi45z2Rr3TLJh/wUWReO8OhBIjyS7H6/01qct0U6BRkYiBuj8qnflMUV6suFK 3Fi4jU/82CSIuPKE1y5D0hZnKxB71c3P7TvXwqYv4qBFihF5DBnO3AHZ+XWc658SKTplMqfbITzs Ff8RNLbjVXm2tiaXwS/TKefIwgkIJVAqQPEYMp09fNHsflq0mXLQ5Wm5WpcTmfMUZ3xyFmYKhICp 8cpKsWVvnSv663WDAfmp0WeizNxxP5u6Jmac8wXG+zM7mVE3ZvJH4KMch2A/aCUw9fm7Gnhrn8pu Q374/DHpwgvya1qRZCjML3nNml3Cfe8WZvuhzFDB+upEOZIRpG+8oiJQr0gDbIyjQt82lWhaTS+4 aPvU3tDowxZH3gmUJTksGNVxJeAwxewRAwYtqyZdf0joOLIuMDH/iPynloHjl61lqgdve2bTRBpB TmB81nBjB3UUJUfp3+aXQwoxF6st+Web/4a8PkukCzzNyJK8TaL5fl1PvDGdW9kvFc+j+LLmx+ud gCDkSX+0HhaJXIZuUg7hvTBeILXJrR0T+GaD7lWJfOoVKb+vGj98DTdpv+AnVT3aWRuzo6VUJ+H6 N/GMucI5bp5JJ4ZZyRzdo5lig+lvvenzaKXSChXutPtFYTOCNVyYcsk/NF1KxIk/UvugS1eL/ae2 Kqo9J1rGFdZnaTl6pswARLPTVnjfQ8aDo//rWc8puaTy0x8NYhBiX8ngCI61redfmhHsLmmaPyS8 zT4WEa2yXW2TUhna4KFpQubJOgu/l7hb3TSbzrrO/V1QlMssjAAg4PZXsCLw9uA7Tv4Zn1v7V4Wx bAq89pWnesaYXVZ6Z7LiPfLGZL4qJRp11snPWFl8qH7l92qz/e/SL2sURoNkP7jP+y5Iv0qcWAfl 5NFicL2lVGUo4EIFHApM8J3ALJ7xKNhs6kASgEj87V5spNrw+s33UoTvRjqHp0JFifARsN47DRGw x9D9nvEzaWpvWTRqfY6E4QzYCZwhRhBlFHaSNZQHObSStUkh92N6aZlWJbl5irEEORtd7PPyzb4N PDNscjOeI7k7drrTwqPF4u3z280tvhzws7+AYkbZ18YpRHCsZlt3qlS7ECwUwQa28x2Yw05b8yEE kih27N5RfdH2d+AQ+i3icsH8IY1Upm9gLQP1x+Ibm0LdQOWLIaVgHX0GmkSRyfWZ+VeiB0MS5K0U lU9rfCLS6f+92R4Ady9U0FsjF77PEXhkdUv9qSZej/FbS8VKti/8CVXHp4TxbShmgCdIItIY8rux TIg/TDJjuazJ3/GA20NFluBofyq+YucDu/Hyoz3fd/gJbhe+eJ/abCqcjsK1YFGGJkimbk7tco3O hc612A4xrQuvA+GD0dLxTTUjqD9Iw8EZrUcXYKLafW010sAVwKEytG5dv8c7Jfuq0Q78wqC1w/7D zKYQ+2vZsDfi9Y4PYNj3ZwUypEVMTeVCqMN4didR8Ahqzg3fCqR0lLxJRdWFkAATpR1pSPmkw8GP P9waTheyoQfOzR2SsKy6KFB8iHxXZevLwJkdyCZDxFK0bfYHZTKjjV6wj1Z8leVdvqo9p9+R2bzC 7DeJH3Z/4xroPsUxPqo5qZpLZQLVSfSCPNMFjv+g3Y83O/lroWQCVSdRpPWq8ZiwagS/tHFS0ZnL Rsd46+NuyktdKfeNFuaPt8Tc6dVr8dBPqeuHqr0bMY0entcfif3m/KoW0dkRpiC4JYpPRF6yN3F+ 86hKSIFt81RbD58DguNO0LSCeqyuO/itWeVhMX1L3gjp/8eIM3lI40K4DUmzPZUubZvcEEosrp1y H7jF97oaN9e+j1sDqUVjYMdyGQv8FnTVc4+J7/8iEO+lYGsQQ66dywNtrxQSX+S3RZ/BpoJkUMMt 3082unRFxUE9y2kGV3RMEwsa/3RikT1VjcXeAhTa7VUs5wwQNxMll1bJ+3e579k4XZAcHlYAG6XR 7hGXVwTr8VIIPvcgfSQJ9JGiNL5JYUwHV1M/glLaAZ6XbmMkveD2t3R44uATChkhr9/IwbdqIjpV zEz/KDni/FDeJwy/2kf9RQ5wdxwcpWSRwz6UFnjElN+yHlYivoliGaDOVKUDTpzgNKSy6TqDgW9i gUQhYredC82ZOVfjKKCzzDSPhU0zRyYqBYU+nPYgX7imZlyXsGi26xReju+2vIeibtbUdroXwkHd gMCUSUQXCCz3wyx1xUffP30FS4S/8zikxTQI4/C7MEWleFh2nB2FnTvCiQhRy80LcoS0OUbKpI0i ZiRlJ8CrLVJ7mUi4ENnh2nFCfDezZk0Ui0hQD7Z9VLcoxTcrdac285eL0a+thSPnOxDjrpgG1apj ZPgK7aU/shIZ7TzLdYufEOKVuuKW58KMy/ZpxMtyihWUik3jbQ8vr/4GEtXqCQq9HYWbgNFIBa5A iAkjnES0xNI1dHKkDIB042wWAn7y0MXTca1LOymElfJTDdSbN8RQ33VBpg9yQ8SR7ADUsM8UEkoe nzdOMdJp7qAg2LLAr53MQT1JWPv8msj3uZxuUw8M1KhQmBHbpsfDcvSe/Sv+JUr3+7SaNQUSlsWW uQ5aJ7a5uOem/pRiMM3BCdKBdyyQR9aySR1awlWfs5n5dwB9MwsWubjkNb/e7GwDGxMZyxpejQ6J bN4LQhAhiiFk61Ew3XXO9ae2h1mu7z2y5M5jt9yHZQFgoxhRjdbRc7Y9H02jCwdWCJCFamU0/LoR UIakCHQXFXMaH4/2fyTo3SRZgXWfnOa8FdXxCt5WDoqOb38KWK9//2zAXa4E18tb1CCy8mXmt2Qx UI11sC8QBC107ctqNVSskvcS4VrtwozdDRoBiBXTRbWtUWCgxyDhr2tB8rQKm7sHJ3nJoMPc9HrV RHcciBQ3JlFRWTfUy2VxKMZPBQmzxNqopnOCSpnkaUIhjQSuEFm9M2NF4pUFC+ySFsNw7/w2RGlI XhTaOKL8OjbLj4rayvwIQSj70H1ab1cud90e3cBalOeUnY6ZEJblHpfz9RJHWaz7x2OBJT1GVx95 TVv84YSIE7UqNFrJiVGZMasaWBDSdoIAsJ3gOaM/SmUVS32dVrPnrGsS8mN/64WgOX0aZiyCFmZI D91a7xPZxsSdFFMA3bEzXv1yvNbeTFdwudHZCkvjoNOWxyP+Xf3U8T+EmC2fMxn2mVuPWuxwQCoJ ovotIr9evNzIiYKQXR2NAA0RG7teZAtsYCre/iyHtWToGLjT1O7sDbGx6wdUdBh+xXp0W0xl0FGh OeOiTLl0QLJwkN2S+1QZJzCCsRwRKtouwtx/wE96lvN5uDhtVkoLmwd4oE/lctH68bbgYRUmg0dm hcXeERnq4BXD8PvwfiLrkz96ehdskA01m2NKLvxlBBF4zkxX/4ZKoxoijRkywQkxwjBP/R+PwOyW 68v5STs68bz/TwkTUjxr4sA6weua1BfuN/uZ0bTwWLLCx1sfVESQV6mUs/dnTGq0naeUd+ioL26R FJxK7rdrcMGKvFm/uWWV3QN9qqM6KmViL0MGJWQT2R/V4zsU7BFky+iQo7Lh0zHd3GZn81olPvtn 6Vd8MnAMX7ZpUZ/4Nn+LXIJR7FzXQrcLnGzYwiRkhKK319nTBQKhqqsR0Qjvp3F0Ijiyq1mAxovv VOo7xbarBDXK4OdtAATMpuX9UfF/al1pQ8FVhkqYk3GW+fk8Z2o4K6zsW1Lmp5EFcekPoIxlCl2d nFq33mtX98KC0KzbgCEGYoSijZnoTAIF+qftWjt9/0n/F/MJNrkbRpLlsuiHW6JMmSDA03GDDfs3 zoyWYU98gBdqGeHI6NuzVJTbkQeXAaIWS/HMKQD2b1FGCABYF8Xx5MCyEzUwnaGnYmiJTdRkTtL7 /2S2fFDW8/fuH+9J8n51PxSRagx3bMV0WKxQywVtECEbvQ+1F2yV7Lxu5rGiFkpA7LH85EGPqn6w jVG7wG+4TOWarcxGJBicSafLtsbUZlE7Qi+2PADFRvKnDGZiRbjiEHqj7oa+LLY7RaW9xLGK1PYF GMkeJecQrMuP54pQBC5T9idmWBfpBzc5wmJQX8f3lEfY3Depa1ymVRhQQ01Y1yBVXa39JagerNda 6qVXFfW300GrxlLmsCfPL16ZqOrcdRFbQtYn/LKzq31MSoXK6sNmD8MR0Tbssts312JYv9H98Vgq 2qowWQJ/uTHJ2bSvwlhEU0qU4rFEBUf65E/Lh5jGFv3DRetC2Hf3aWa8pkpzcubfi+39gxcIl7bL 2VPNmCnnAMfbAf4JoIzGJ5oc+OIdqng8Wk90lsR6zPC9U3cme6G0Ru86dyLzL53iESLOKz/Yhv7/ ZucIkJjz1VdXvn8JOeMrdPeh9a+G7vWP6Y2+SnWYNpqC0JXjrjdjzRuFPcYwv3Cz+q9/njxFgUIE Y/uKtvx5Twrm8h53dL9EE1pwM1JWUOmyAsG0GmrdZa/1AQhX0Up+H9Z6IDtFA38tnY4xFKIBgbov WRwfheHtLx1pQQsN/x9YOInawY+t1EjFYtFj5PHd5l5AXYzT95ibXyk3QxSIsj7IYWECCRnVlW5e Av0/YAi2jJjWo5hhozIVN0PBuYlVgkWlFOyrj8F9684qwTaY4tExSdWf/0VfCPJ6l7fcdruB/0gG pydzLw4LrTPlTBuSdZYdThGqIDj8MBItcRU1Yg8MVY3OtR9Av4V83Xp09B9Df5pBDA0HSXgjFxiv xh0HsnXqB+93bV+eS6GmjGEeLdFULDnebiTRLquVidMqClDmyHU5gXF+hb3xiJUqAK1GBEBeNO7R 6lCeHfLEWmyoW4fMxA4cDxk7hfoRwlEiZLOZZAaKQsyzc/eO6kKyBUZLVmfgHz0NBwoWeFHpxPJ8 uM5H1Fvkk27fdkxlWhQmmWvYuK+MqjMWj9TcKiwDqbH0FF+ahJ5fIg0l29u04+im8fffUet/xTVA G95zQohTqa4k1r7We4QDZ819VucNO+9wZIxysuuJ1nC9GRiw+xnNW4jNuuTruCiJxB3ZoJ0kmEut Njnuk3BxgAADnv21Lc6ZfnzJxo4IW6T2gDRHfLTUVt74I8mqg6GvbAbCkqdnWk2K2Fvm493Cs0/0 Vwcpq548HF7ux9f67W3r42B49Ogyd6Vh/jIggD4RV4w/8sPV/uCriQmo6L+3ADNLN+4Q3wlxXD2o cY3BodrH7sO8n/yTUfvsaf/q2fHX6VSJgyEx9CKEqj5tWewQHNXQ0PkgIau5hMG99SXNytl8ZHjT u6JLozFpx1Wf8J+kiNSd5gssu0cTF5iG+JBuuF56U8TJeQcolxYH9fTxRzko9km9kbJmJTWMAVhz zZShFLagtgum3jfDPg6CNNnops3jes+2K8hLkap5OuxELtHQWuKGPQ+U0b5AOQvy14ueXZ60JqxJ Wqrc4JpjOko0e9pYkMYkcw30pRquNztYFHzqAqUC80WaE/Cz/RF04bPRjyx334VAuPheC33gKI6c A0I2YtNiWYWcHYaK5jfs3mUJSmlpPK0v9FeDbRBGj41aOHCc4vMP8IqBD/LuKysuXZ4LE+5s4Ich tk/KiSD4MNwgZHLHa76eJ3PomjgD9FdM4Uo8culWZGNITt3AkJCyUw29tSiVDZPws8Oe+5eMROY4 DUy17F0LRfnX2aSRjNULEf0zyCXIh7oeuGZqpluwwdjCgbXIJraHuSAkUQvEMmG4SiC61uJi1jrz oBjdBeJsw9EDjycllWsFrGXbW7zIoSiCd05SDzogiHa+W9VqOoteboDxAB+XKix9BZWq6DAdOjbx hmTZhKhdDw6IvO5RbMN2mUXiBAJloAGsTLpjvO4mg+SYOhNqwcRXXPmkKxWLfvNM9LrtFp2IP2NR b+riko8bDTAYyCK9fOXS7DHngok1aNm6yRUnv+0bqKPF2CG+qDKoE9QljzSb/5SSsB13Pmnh1VDW RinElGp2lswEjySnbiGVv/TkkR2+PEzi53+sWFcAThOhlvzBiGRN5ZVcpLG/MrJswtpmiCC41Ke8 Fq1HYTLVwpIgeskh7Y2uM49aqfFxAXq00eXedTl9ckeDdwRE3Y66crHGlY55iDJcYJligQUdQxRZ hs2BYpx4/A5gxwFhhzH/o9LipfTNVLBILE251RyOGFfJJpvIPQSlM5qP+aJvOFRbR1G6sOKfSkHF HkWxif6jqPvM23LhU2Pd+UmKUBLGqEDQO0HresHmW2KsLVV/CnpKsoTtmuWWAb+fts1wBk3LJsyp rLr4t1HMitVvFLehASrTsVmm8niucH60cAORR0beuD5FZfPtPLoDGuHSHGrARktHEMTQy3x+9KoL 7giUD4zud57tLFllez3phQ45VCROn9/LgOUsluyJ/S+0CHJ+6+5iTZiGHvsLT9jFAl8Qpi7y+RvT YSFbicKTKUjG37fUSFODo8hVQJA4W75r1TyxrMBIJ0OQuXX+rirkwMHUHP3SwO3q0o9xTijhol/X KqcWeY1VUps9LRJgIHpLFIH5L/G2h8uIzjjd7p5QQkWZ62dxE3tNImyl8dF7LIdQqj9iBcdIdQJx 3qn4WAfcNJbgsZar26YVagvCOpaiGmjGCnUUcI8vHRgoD4r8nWnUkru6b1wmSyWFqfZ8bhqfb12t HJpppbCCqB1tRvPeV2+0HA6a8izESUhtARE92dqJXM9S9iTqxh3g627fHJT3XCRhNt5WVfefaDz7 /DqMdsVHlQaZFMXxsua4OP3jM2szdTIuT3/FbvrZbWQ2TWKW1lLqnkuCUXnYiku4bWb7dFi0b/Uq HCx5eiDqsm+6wqhz3aflw5zMwNsiPG/Kp+08lwnbGxcrqOAx4zZxWXbsWsv05cGxPFOviHKaSlkg +qnz+FkNrmt5XZ95I97DK7p47CKtyusj9OJh09X99hcEWyeZ2CY1UBtKYXL7YCoHcvfeby3DbPfJ tHUUypF1ScM/+/los5rE5YSCqMcDhy15faqm0JPLGlHLRFtM85QvWm68PRKDNR05eIBRkg7nOA/R Fq3si221mSIIBTbNxOBS60TsL+0fwAu71CPWg++fD1D6BBLSoqZlKimbKphM+AiKXW02jMTMqWGC bnbG7T9KYqgPPYV1mvPpa9tra5+PK3gz9L5ZfkqYkMkEvlciJoCFG35siRCk2NkFPX0MbTm3NLBr yndY26GAKPga3MyPrdSrFsEy6ebXztoOW44i7adYKsJIgCmGnjRmyO7BB37JMA2mnWh7DJQTonKA MJ1ep7KXt770qJSdUV3P7hXeT+Dl3lnYc7CRC/7EiGN3JBFmDpoC4AVazd2O8PCLP5oKJDO4iimh /bZZfk/j3jE7i65bEsXMRyj89V3Hkb5Jl0z5bCfJCMu/rbnyEZhLUHTLmojDLbCcn9M4l90pV9UT Qj1I+qqtjmsOchPvxOCqmXj/RZT8Gmr611Z6KxF12XhmzpbUPLFR2LDTPKVsvRYph2utsTQqDJxJ SlFzh7jWH4VCp87xiaoenKz3k7w7EWb0rhchenEja3YIV+7FjIzg4x4nu2Xd2wRcrnx2pR0wAw7v Jlb6Y6FAvOilwfCEIH9a/L4Pch67Etz7t7p6F4l1ZX/jxvy/qGGWojbE7lniW8i9sxM6QVXt3Zdc Xy7IyzLfEq8CELlxDDaL7by11oGSHTLjX11tLVpGo0HW1ViTMRp8EyYJwGc87Lxj3AumWEq/Xg56 1nKqjrK7gXNp6yK1Lfd1M9nbhKuKhZQBEmFpJnI9qPkSwY6PYo8oNnYvby6N9KhisBMEFqINTQ4j awsfuhRxhKqHIzVth5YE2oFWkqvC7tFx6asEmvRp7GKshwrPQWPqf2yvY5mnJ1irAespjgCh4yDa 3azoBlmHTZ8Cw9PckIC7Yve1O9hQA/emfGPYuG4xdA1Nys9ACETPa6el6cmGNtexUSceQy3LOCtG fXxloSjL28nkjbrWoPOJa0YBtNbjeLu424HLEUvTDk35x1x/27K1AqElLT0jhnppnCncwbz5K0Bz Eg7P0zFT8p5ROPPK06oZM3Pofby4MPGwo2bee0rrvKVBKwlPg7oGom2RrUkpiy9FXVD8ImQ890U5 PpkbGgT5N2+lWjY3czRyBoB/7amouu+CbEG6nRIdlgHC2npj4JERfTRjSxUGQ0bOSR7MVYNaZtte MWRm6OUMajwZoZKQ4n4eXT9e8o8hvVwuS/4yAPooE3aniP+ES2MCgbQD8aj8jWP3dQjdH0X9CYrL de2sqiTrOMjaiFpkBw4oQo3kl43bE3apdim9tcZTEFJwle8Mjo00/eFjTdx3W14yra4XTFHVXrG+ fWiC3Nm2JyzMzEPv0uT5VnvJEhZANtyVrJSwluGSFg0Q95q/rmAhb6TQ1hLYD9w0dXgvuERGDxFf vEqKavNmWAN1qVyrkPl3ap+eM6Xs37Hjapb2BBfrsvVy2N2sQcIseSV/3nI6Kpj4WiEKfYb156A4 JJVFkFz+GKn35gpTHVMfWp29rNgtQCHBo/zdE03I0ur8sfH7F2KCDn3wV6DLiV5+61D3ZR6oe3o2 aZmGr1sYlAWP6Px+6w3HUWPEeTxg1ZTR8DIWFN9BzTlQeuU/rSYnGTRIHHtWeYFcj949MqAAUtwc BCn8Ij7ggIABQ94JUaQWTjt5tbB5yci+dXeUVfoXSi0O0UF71UKzODcJ33PTjHaW0C0DAI263QkH Sl8CbSepGfioGlR3PXtzYOqmwgLs9HkCvbhkCb9Esb95c/uEo5jlJt6X9dD2TuLRaR4tFrHEBb+t fALfwWj6yaYmyhoe6bp9XMdoE2a+2R+1BnS1upn5iDfQ3aym6NeVgPTsKRjCWFUOhjYZUyDDLraU toCCtZyJgxNDPp7soXywcCzklwouNhWKBxxmq8mISgdIDjuCDl0XCXjvwe1VKPQ7PL9RQawRnTBr jdYuHX+Kh96cGkhAbCpgQqq97Rh0qg9spbekCIphYw2AhUd7JUwDeA+MLouc82Ycsivm72i8iIJX 5Dtlh7xhsRN/6S/f870x9QRxwJWM89/dEFgDXefGMyRJ4RBonKWFNK06ic9XN8ruSdfKxyjNQAb5 fHD+mbbr3J4OjPde4Gc5iv3zp5EQle57x9J1Y3gpzvsuwNFET9BfUjiiQTjWBTG1r26UQ8Pm9B7z KTbhImSpGZUDwFd8bV2fER4qA0d08aRs/CWcPjZfGrvk8LIffEMU8ZsDZZGZqEkMOb1MDgF0SHVF Imoyw4DK256DeVAC/mZOiNqTKAXGzYYvsjxXR6qqED3JnevJjU5TQ8e3JbQ6z8a7TOX52jPb1Rpk ZvoXnmXAV/4Q0bsPJ01/yZ2MJT6x9fsKUFJx3qM0LTDxDrZ3vOMw5NdjXJCswA6THTa7142T2b/1 21xeuGfMvz5jKaewmHoxlIHDVGX1oGUK9KD2zMpBGImMzLQ1MZQ51uLO7zxl32lBsTegNISsNYLl 417wHZK1VlNyCRkD1fG7LO3vZDmSYr9H/Jtj7hJ1Q4lGMwtzoC9Gylg1ruBizXgVxx+KhoMp0uAL GLP9LTLBPYJD9UjiVt63AzK2gImSCKbxkIHqNrYUtYuJ7jbN1DnspYkEgFiuDY+MTOTEi69G/Pph DpIq9SQOeC8IGm/n7pL7vhZ5ROibrsXvqgNCJNk6X4yI1bPgOuE2IQN5w30gXLlF+hPZ10T9dmwz d3rdP5JTq/6bc+oRXVJ/XmDa0VmJbfPve2AxH2a1wdib6kRPRdi0uCmLLB9gzJX1CUgLlSjB1ucT KWC5I3q0YMNCfmhA1G9nINCfPIicc1BgrzC2959fLNr90y+gxQiPKLCjL4LZv/E99wgcIKIfpp94 TNMwLf7NmAO3ovg2xFGzq4C5ARv52OnBwHMzbxgJw2wRDHZ1584oQavSYNqOChhou528NJPuMycH YMuUCp9qiW514K68Si2m85rzy94H1yEmdMqTGGipPfZyP76yYr+f6c7n5zr4+o4fS+uKJsYtGzwS 0+KiX7B20zHhAYzXF7DAIHN1rxEP3Xc+Gtclx+FG7kp17QySIXMhhvzlcUpm7fotpxnxQCJKnvFf na/ob91yJDe9F2l16W/525bjb47XZ4vVvgdLkKqOGgcaZx9qvSthIfJSxo4g2A9KhT0p3bHAZ4oR 8Ha7hjFUxoU26j7buRWmlRcJNl/pSFvPIwwb+PgSIqC7dMbiB33FL0GQjhJi49674oknT7c3GdDA Plqn+dfeV00xy2vE+8Jl71LvVWPNJDRD8nVG31C/F9dCXUwX2CAt/a3Q4RhgZeHg5G0HauRc7a7g iJxhFmkqt1Z+DJoFxic+nZz3Rt7NzU3YvtRFpzcRcAfVjLNn3YWkFUF3EyiqCYoqn8wzSPztcKFc +QWzd0ruxgJRzNOrTZQpwp6QwRU347voxDmDzcWBpzM5TERSepulnt/7SyWY9uS6+Ju8CpYyEPso 8zRyHcG80NnnwUy/ElgqcabXWwAqj33TlBFqcFj8/wtfa8WAvTtsTQH5W4f06Qt3MAmLYsagYmiG vAgikAZhXC4Ka9QqDTOfkCKBg1VwVmWYFvJp2E0pS15IZX1/Ka5NaUthOBZNTMfelEonQaOXnz4x XTDyEBoqHtH5dtB5u1bkflcqfK1enI2w7WNmGJUpEa7jrYyDpztrvcgMahDKoiKDyCnS9sQ/+PTR hGQQ5ikJBOQUo7YqhS4CP4BdWzFIw8yO4UJ2XEXvJdt1Aza3UJ97E31OxXSDKqZ24Sb+Lr9S8lIr +uXuHFbsjlxim20LRq8otq94mq2Jyp9AlDkLsh9XFa5ON4m3hYHxeJm2U2zNEAcbuz1JvwkiEbNT Vco3nDa2X55/6ZcA/Ektg9YLX+6Bvm8EOiAKUhFO2LQQwmzz80z4t0ge0naALRJIEaSo7fdDexW8 61+LoB+p+t9nsu4UYxpHJdkVjoo2XC3bQeNZcsuOoA4Ki5Z85Lxc6k9bzbZPl2y1fgpyt9/WzuUj 6CNuVboBt3EOyVcCrdzqIm71YjJ8ct5sg0mmyfUi/riTMrN0tZb0sxjw/fttIjhlhCAshY8gfa6C o4HIbHpxTP8rMvyABmEoC0RFU/KT+orS2XT9Xl52Vx1PAo4pog1tdSioKc21hWAlVlZ/M9+iqAlG amIa2uxiSi5XfTrLf39sTVr7gjyYzpso/kTTJiPhYPsB6xabxOQwdIOVUoSr3Z/ZTNPotVJRt3SF sBe4rIY2tE684wkKs/qPa01CTnkXdD/W0lsmw8xpcNqxNRFDMHmgrU2Ln5uDq4HIHtFd05PwKZwg If4VS7nxTH8YhG+F9pEM6uPxJuBdyz6s3/r9xwWBaVNqYk7oNvJuoVXkN65awVxPZYnJCNYyEelD mpCrF8yN8E5xM391o69dtsZkAkdBK2UPVXbHqLU3lgQGEorm/II1OFkeiWBD34yBDb1KIs27goFn bjcL+6AofdO+v2tZ9rtAsMl/xx/s7fWKxfQW5+TduxLTYJLnZHHnbz0g0fUwZLx3dKW6yUo3MzPr 3sSLyJdgbRldEwXHau5vRtKQpIOFhjYR2+yxmyFGb0PMfQ69MDDZGmBun4/v++R70amfieFgaSCE 8L4Y3I2Z+kP2qvNFcyPfSuxrySyuDRyjEp6y1V2MSJAyRSNIEek5dm3iskQRKR8Q26ihKF9d5XCd 6x1aSyJM4mUHyv3GL25//Q0aBg25m6js3ebfex6nE3bZ+au90CjHKMXzffN6BxgBnPXaZqLXn0I5 jaVWHC2UCzc8dJSO92IToQRDTG4g6xCgcts/Matys4hRGrFi7RWop/0tRr+wSbBfsKf0//NZAfZd TnhxwaxHAWaf/+zOWmaylDMg2edZrFKY7A8V3I1r6TOPulLv1Kj4amh4AwVEaFv6sELzQHbHH4fQ fEm39riN0D5GyUE5Pt+/IVpJZZJkEX9ojA5krOdK7glD69yDCOfx3oj9IvKhybca/hTyLD7sVscP KURhPpo8wnS1P6Kf9eYp7fnHU1YTSYdCKu9qdJT1wcCMO2iiC3VbHUSUSbnlsa3cAbu2cmIVTg6q gMFdJXpes7Hfod5BagRaHhg4pZoOVedlObn1bHXiR1f6otL7P69R3jruW/k9rPV1z5rcbHNxbxN9 F74y1k5QuZnMGk2Kp5+aLftTTaAIMzDWUins9CRFLBnj6XVvK3fOOSLLZI9SWlFN5olnLwCwvcVD vKxfyNfU4XBRtnkj5Q+JKUJgFFavJ7JfN/xZhX1RSgMm7Ei4/G31nNUI9/UkGG5NMIJacipwebBF B+M24dYXT4J14AYaBmRs6Oa0kGMv7rgdwpNp1yHJr9A8vlJkFWqwXwI688Oz/93agtWoTbiPyZyw Tq72uP4IfY8XJRXmXhkYzu23GUPlFSJo9pb4RziuzzrLW0xVRxXihvfN3vsNebh1+JUPDdsVmatV Jl0LlL7re6AlMDrYefW9eSO4JXEdDPpc7a/0AdJBSs2forxO4wRg7+cpBplqVgwKcmZXhT9tWn9N hIFm7F4rzit51szz77j9s06Jb87FUkusUJ3Epfp/EC8HZ4XZ78iSb86NPgPoCHv/zPrDBPw96zde bk4me9B4IpideebN1IaR07bW4LhOUi7erppq1lqflSl4jUxl5167jWIuGCiVHO66l4TzBWj5+4u4 5keMf02gBm4hnzSiChoy8opdS7tIQNCATIsluFGZzFQTA9buAFuDrKO/VYgZQxJ2O4K/e+rYmfW8 XODZXejUzz/LuVPVOMNQ9dX53b+mi/FzLU4UwOGDUR6uWeoU+hKlixHD7wp/briA1Bf7zakSfa9M lzR38PCtag72Gp5/c9kEkEVJWfTxmBKO864j0AzNfEUQ3IjZZZHXPsuKDOwQA3wHsjGcXW39pWE4 kkxHdcmUTGoAtnmx2khOGR2AaY1TXny3u9Eper/w2xTLt7jAvfpm5RNUhSz8EGoA5f7dj3icngbq yW5xK1WWLvKOnktX8TZrDh1eVgGhJlwBfGgDRcUFT78uMfhgAe8tIDSaSNIXsEFMLV0K7fBw85/h MJyBL+LgqzuJ3PX1sDzCn0dbxAlKfpen7ys1DgRrp/5KnSwYUdC/smAgZcMtSOzNbkZcFS7+VHEb a8WNJf7xH/ojMcVV0IGp1zs3n3y1gzgQETPvMFAo+faGO6ssBjBg+52n109vYXEmb/6FUqpft/D1 gRzAXtU+oEbU/6EYg3l7B894TWPeSK8gZ41971LEIhm9qXiq2aUs0OOej72pxidrqEHdSN5JaBeu 1eUaI5KU7eSaaaRgcLMa14ghNd+8IZ/o9NDFaYNe9Ijza/8FDi/mBPFhxyIEZL8nKaNvYLJAdc2m 2RtS5zRd7kgJwoxTIgf6Zut+Q4zaECTmu4KOMOLrSUdznptZvnieqwuq0nPfcIT0KpKDn1oOfmgl 6M7vCjFWEQyNCYxldwwR8oGl+bPvvPYi/c8DRLBHFxPm5NJKEx63umdfJ8Iql2iwlMLEqMx6QLeA p6GeUoebay61udvtU8flXKEhrEAbktmxdxJpdI+PqlKAtZr0EPKVy0NVmKDqmzWZopn414LYEKpg O/B6Wo7DFvnOZdG+w/W2Pai/P8jf1XD8D4QObnOy2RKhiVeUs5wHbLgm4hIiaXx5b3Y8LFCk4VAv GO4S4/8f8mlY7QMm9rYpu8BwjiLTU1KhrF7ITLl6clLyDS7RuvvDf/cvWZrrLXuZX0jOPMKDfEWh pusJptRKwbzzxOI43JHLXS3tyJPXVErzvOKoWhEaS7/pRLCrNMozSZ4zQiN3VaL8qe+QhXaaep1W uRVTpbLQ+nBIaHoioqqMtt5YTpuGu3/SS847r0aNGwrhMmTnvcxM8SMSC+TIXdcljGK5Az8tr1IP 1hc1AeOkdWOEicSmuVQ5Nal/2wCW6dFac4kG9zDA6EzlzxVCgdK6KESqUV6kOf9d4solhWXJI8s2 2Jl87VpXXAQyRekb8qIWUlvl63pMvmQTLiZgReSCMicZw3jv3+kKyhJxD9WCZ+QwRNIoaqrtB9Fd iWSuIjhR43KwBYlrnlsFNRlP/uB2/Wh/xHMLYIc0epN43wgGusr9D/En8nmLIclCOu/NNQ6fx+Eh IrfA8XHCWmHgNc6NJPNm+boNRDb2g8T0ByoOKmrATPS7W8/46H2x7vO8c/9tI1Z39yW++fPI18xD TwjI3gqOFYXSs0BaJymwzZskBuWHJiwFaYgoXLq0SU3Kg1uVvDPYNtlT8Yo/ek+cdzRspVVXImXk noqWHdwuAFxCRElBbW+VNwb+8six7HKfiyk+oFgImpKcDJieUaFuNlTWrMqxIYxYPLHh4/2VwXvI q6M33/knDzFp2/9AWBJmgXp8TmO4yDIwbulF56F+Q/ZJ1N7jxa8mDMvHSS5lY9tHIMxo7Xqwzwn7 KP/9dMGZJQ46OhisV2Y/k7cycAwx6aAJCZ4l5B5yMeyC+ZpD+HQVqI7CN56jxP2owW68F54ndi9B XJEtaFFJSkGOFrBQ+Khmc47NFUtr43xRUdRMIFAkiynLdY5mMvQtfe9lVbBn8BcpLwY4/EMQEZk3 Csq3GpS6lOCDt5zf6Cpf2tRHxvM8xStZxCsL3I/rkBi8W3ePaP5n0xQKxBSemt2JO0d3Vq31WwO+ EU7mNph2UL7W6/7mBS1yzbLckasl/bc2hLgHfDLnGeL/dRKgphw1jzXnozuTiG5mLSTW/nke83F1 LD2E62QivokGsE1PU+l4z4ghQKvzMnRpZo5EMUayrlKYhxhSiv5TjljXyUste9h1ZdgQQelPE/pB agM4kpTOkg4VPJ5JUrrb7f08+LXUinWqW+I/aZtg0/CMG40qBsoFtqqTqG3o3xafozjRbLp4+Yhs f+fLqHlUPW+nJVDT0Fmoe5MBbhGA+1USySEVri7sKvMjmOMpEq3ZI+17A02JEdOvqkW6iiiermQa /SkR40gvhmpYLJ/vUg89+xqKN0dU24c3q8QRAKCDvqOh/HduJlhPEPuM/MDB9F7amgzicnU6xAVq Q8Z3w6o8Dyt66lWRcp+9TKRUWBo+QmOb5XUrWQHZSzMQ+a3RJfDcE5dG74Cs1ccUJjjd4Qy4pYvT nZtvhs9LZM+Lbr/r00p9M4Ist01v4jH7oMkLRIccl2HuXaCoGEOfjuF046WIym3x3UOVg574qH5r BOqEGGtEl9bU/9z3EIvgaCvDlCsmAgfHxQ4tgY/fN70E5Ph2335pLJK5hD/XJxQPcaijTX6qY/cm inXgF3WdnuVKyVjpNVSwaijm4ejXQ4DE62zvT7GjHaruWyvF2RcZ+cux8mih+W/oyMC3XbEBS5f5 cXJItu3nkbpMmn4MGUTIAtCZ/U6HrPDU+9WrfCL24y4Znl5FVbbQWnn/s1Bf/iwfq5gUhorUEIdA UOswUmk0U5HNCJn2609XXfucriplIWNot4fyn/W6NbfPP/LAz5gYivAtCuAmjY083T8Kp0F7KGOA mPnUucUPGpMg7kcnSAnDY9tlAYUBo/+4Veamt0EeJ1ypDY2af6rwom72NoppJYNoXj5skvI2bEDd biHoHvdZBU+tcrrJrsj8wjMluwc61gSGLRuLkJclGHAKSeCY1hfWIkX6/mSagUkCQykDTgOuUBiP 12eam5datL+hZEmu2LczswzlxrRKijs9K3uOHYIS5EYtejH5bM9VfnQK48PIEVvbioyxD0IOlv0n vHqfhJEmSCWZIektGRw8SBfno47ufD+yPdNsub1W0vDyGJz/skFK5/WPBFNhIBfmd1szLVNzMR6V TC51BbPuDQtj8kATgO+4duwg3LxhTm9+5kO7D7YkJdbqXLR4H2ABICPfiDCgsrE9z/ekXhVumtov v5qfBwuzFuGEqCONPyjzyd8e7MekuzGVSVHEAx6KklGCAO2AloW/xPlspHF6YoYv1Be/q1uFl/l9 OcPwGmx4VV3VaVUBQ/He9ozTwdBGPlfc4uc8l2JtK4afxSZly4r6F77Yshjebvf2EdwE5t9JuGam /joQFlnHxUkgei9/Uzd+1LNQR0zKxQkwVXdeJNpelj/5jU7+Ij1KEYFJ8mgzGeEqVFKuAbaXc5Cp AnME1YrBIYdkp0/tWQXu6y7BmoN5IYcba1lHKdSdZTWL4RYzPb+KH97tNxEI2Ibxog/OwjzpUpc1 LwpyrxhiUeBl7lGBFO4ck/LVTQAKUZtWljE9oEYSQsdamee2pLPIl9a7Vgw4EkBcujS6Ugl33+7n bnm6qxdMWfzLF5KwVqY2NEBr5eFrweUZGn4cwAoDcXQl/cUEfUys08wJMD7WAPsOEsOTi3514m8K pvsk7C9BWTVXl3g3UiJXMnrxkkzXvUrXZR/jd0SUhQE9Rcz57V7m3b0ti60YA3roEP6/U1CppQY6 5s/ql4ICbIsIzn7OQbdrBit4M0OMdQCJTFt5XL7bRYId0PNMZhk9DirULLLUw/DIon1wJaJSjY9z zc/niNOtdTkDZBhHSooJ4FPgH3NL4KG3AyudmKjROw9VJ/6nifn5ReKTCDXiYZM/o8LAHwP1wutN lZkPvmO5R5FILZ0TPHxcc+X6aZ9abEGy8c0XSV30UxANNLykBudLPxaEuh5RCYlkPcfLnH5EWN4e WpnJPrQy6/AFRBAEVE7RP2Ct6LioSys3KlE5GzQjaWfRqZ70R7ukb5QPUdDZ+15IFVB54TGMocfJ ty06agdTAL+w+P0qZrUEuHe2iRTTNscugVYppueMcgz6hp7oBMrIUjre0OwGcLiZke1rH71WTTJg 0FKXzCuCV9YaYqwj80Rk6vW7nwB6VTEe9JywDX0IUHVp5fekoJdPusqW6WJZIaTgmELeVIBXoh8D jhVMxKD+usSDYtmbSDer4vEaZmYOXg8VAj6XqLLXFEXvn5qnAJEtYRSKgr2mW7BOl4qwsGYZnFw1 hwZ9dB+A299gk4ELeYTdMzNjWpyq2am1PevBHisK1KYKMeG1YuFQ1NOLgvY+wzso2xTMIATeQTKx f5rV9ALIRyMCewfoGmXSWP9OL6ofMYbhpv6dj/qA0Y35yqFu2Tybv5Tf/okkh8jH0Nv3+IwrVFQ7 aCUSK26xiTS0md2ztlXhMvTRuNdkJuHN/q7O7rxfMfi6ZG5ZFCKhP02f0FejLHplOT3IwivcucMa XFdgao3JFP3ZS+JNge5KVfwFTxMyAL/IIKWTldJdxNnk9DrnR7BviVHL4EKGobXIcmQl9JBJmYs3 Ib94WwlfeiMIuGXm6XLCOd7/P2IcIjdcBWKJp3BLvgaiMAwJhoTov2khEfWc9KIzKIy+0zoztvl3 V03Rav7Tgxso2Y0e8YPE0dQG0KLPMX3x1VppRozDyjNadUhoRza+JepyAOW+OrnV3Q+l0RfUlHIY VVktLT33YpaktXh0ekcxpFg8Jtlh0HJ3KWCxDlw3Utzm7lcxbO3VbZU2BrP6kzWIB4MNtiN0ZdjL skcZwAtYYYXlFLIaOxUkXDArMKDWiGUxtAorxPVJ77MXF6HLrbjuivDZRLM1d3TxAqkmYXKc5LXD uWP4yxbxH7G9KkCIZmLHeN0hHgWwp8DJ7NgMch3by4j5IiSyq1lyz2q3KE0zaE8zWXeT61+vYmDm FDav4zv58Pj3uI1JmZnvgDA+9j3rP4Fq+jGl868KgWiAxp9kLbkPV1y4XiVuUeUVfmw9G6v8upw8 UuuFq52C8e++pNKt0LHKBti3ObW3OcUxL+72p1htt4fl652SG70I4vMK9haXcnWmLfVgRPN/BIhW fF/1TDebGN4DP8zpMzwUTQky5KDNDy+QeOE38Dz4sqovQGKhtqGGQGy03HiM7GxzLp4DdbiWY0jg sWuiS7L5b0NaVuolw4LJoO1c3ATFFlwvbRyK0lOPZiMJ0L0YWvkoNLkYXm3Ktc/GZkLlTo5PTnrg h140YpmuLF6eOPpnutroumtI66YUg8rzICDfZejetZiZqREEkq7l6u2DZ1BXeLjurUYJFpBFPFnW EypTwsnrYOu4PQrnYDb4Leo/bLPw9a6dKTxrXosD6ZLi57o/kuvG7IJ6kwa07RlpfXQBIgemPxG0 OOVyOLHOhUj2whPGU/cYLLKavCa763VzUbmlDIFPlQ1Jk7A41IkZ54oQyqxc9NviGa5zCzNoI+k1 55P/2OwKV4ue1PxnUC0u78BS1BzQTxQz4bBWnpymXNc8dUjztH6Sskkrjti7bafbeyk/r3UkwzWH howx9Kwci/XWlujOk9fBVIjrcH6VErkZRg0j989TUdquFHQw/JANavezHaUegCmJ72y3pyclmln9 XDBQJ5FmCXVg9hFOHxWwPmYbuxXWkwj2Zw3NNkDoWKlu1XMLHjVCReUY2XBJRki1oLwEKIMV9b1j AOqRCR3uS1l0iJaOJqRYFOxCGiNKdKFEJ8VKoI/WHT6agmM8OJqPim4VU4cF6AOiQv+6TKuP5c+7 7uEhipRoiZfq7w8iemB1tlhrdCSi/NPK1l7/Bk6n9s0dJOFG1YiDP02kvKZNVZv52PWK8Wog/kL3 V1iRZOvAdjG3cA2FsoULucjIP1aSa2HBIPSnGyPYLAE9y9QchU8UhlaGiy+dlZEFs6Tv/sPjMWkf NUhsOn0bo1N2lcRfHY+s3DdAO9lupPvtgwj3htyUla1yAxDyKtV2KOKoPv56EBIqb/3ZpWDD687n S+24unYEL16PuDrjF/aT6hjYVzMgFYDbGv8XTyKANJx6eRCIRPkie+Va/ZOVQxAhfb8WoHYgayUs X8mg4ldQa0U2llTQ3PnScYjYzI2zRM4E0axu/mDYDQXgI9u2HDR7dktK1pbKs5PHJ4ZOVQiGGl1P lhMT5Rz+dpXlu9F+S0Fhe5SSW7cLJ3F0xVP2gsWlRlBmZkgY/tUz55XxjLFmdJrQvKgugGg1gNIN jhEf4FeuX20cCuEoIbUCFHQTx8nWom15EyopNXl4QvFcDXslCjCCMk06q1CV9on2n2Af69bNNusA aMUNGVCzhKBDkXrs+nAMQQkMU3ZJnH/lBIBF308zeut/bPuLG25U6urxe9P/UdseR6z6kOYrUew1 BbDKzX9dZ/xhkt8DDuBClH0oVYFOxjuiGJ4kEROXXymU8GQjeaZYElHksmt0mT9WJQB7yhFCU3OS stTPNxnV3JQMC+D57gy0jb7QfxDKQTvnKaP2ZOn/0zkLhCaQyfPYLxjjR/xhtoiz/C9T9m6V27A9 j4BMltS+kr2L6jPCL9mSGMRi7xrYC31a3OscESUvqq3y1KdKKPJctfMUnrzDBx6T/JpfJzJEoGUq YKO2SZblx2D1GRaLO46i8JOhiIzPiX3Ljd+aYgPXsnsvkX9cay1TYAMROAexc/zvCBsFXdWGwix7 yik7gwCy718mSLPzQBMQJllHrj6I1Q4gp2P3vwEmJyY9A2RsSylQLEtFVzBHgCvQUjv6300tN4b7 8AxeViyVjNFZ7+14cEo7fbPXFX0ieOaXrR6HFJnrZobOX8+jQqydGnRPJbCqdNhksFWoXW9cR10x OBPxLxmySFlgnva8ACJDfHrwr2iVHncidLCJxcJJMb2KnNDzaO8ugiDbKDnxwRS6xcK2Ho334A54 oGob05xr6hyLoFVqaogBB7W832EJSegjgsTS2QiGf6Kp2eP5rFfOjAn0vVXTezP3MlwwrBqMWo67 NoAxkVI9irbppPf55zqjZu5vlikUUgqVc0TuYgM7YbuXx2nQ/W+vNCY0PjUBFkaWGSAuZwoBKkCE SMkVWhS9GnClzldEIJLWSSA07U+8dSPptEZDEzPYSgQ3iGvWX9rSElAw4x2ExbhzZEdMz5eJQpeA IVjK885JWcAsg24h3CpAU8AUhaR4Y/e73ZcwOFI7SgETt0RTFuPnL+xF3d487JHtz+yIWPZNCSFT 2jdwXaLA9AFYbVd1bMqKWvyOQTFyIL3dAF9sgD4Y9autbNkW1F/4317OO/aH7ceznHFuuNodFZpt QEX1xn+OCpxffWuMd60Z23erIrIi/Sk4gn26b1GmiRO9F1IBCG5fL2A8Gqd2TVYRWJKSHDXL+KBj 3W/mb7uFfk8wtsn/nQZv2V+3pANRRvtQD60vQNZklZDf3lpk2Al5l20TwAcOHCYAtOXPnVH7PP6r 2kW9hXkyvXSJYFi4WC6cPH9l6BV7BvweL07L4NUlJgWBimXk58Az9ZRxXtgO/HGTm7POgT6hdsE9 2Auj5VjW7VVdCtfUfcbO9SBNC6EUhou9VoO03NRN+oNG5/Wf24fZzsHOBrtjSBiEVn08x0N+3cRZ H+1+QjvK2qql8JEIOybcrm4A1gbGo8U3S1Q6X76Ktk0rJw2IdSCoWvvFUlVazzS14PDeIe0am6Jg JGc0eBvM8lSUQctGMrPHoyp3xwwxCAl3N5rGIm1wfBoJvBy3cwn5EHR/06c5TFfHXRhR9L37bHl7 BpI6GAtz42BipqN6VIQGflXdWT4FBIfk8m0EMQYGy6NKcy+RU6h4MIcpSjJCS9oYC1m831cGEro+ VHFutYwHGurkUFQy82SgdvkcUpxrESYiPIto2AZpYYGJzAVif3iriigZYqV8BNr9DKolNcJj/Er5 4jgDpkyQD65uPACC8J/rz9UcLsQt/UfEq4Kk7/6X0/r4aC6ThTQnqJVVKtukEVeN44HYzgYccgBE 80Vb9ehFn0q2F/DvYfkWwJL4WWcMu5THnJ0FiIDs89bfTM8fH9JH10LpEobpVs2YaBXQQABbu9Ud zQowQuc0qs0ZIUoYTo47stwOu5bXjdEb0d4JkiVcE6K0LfhztwqhCIF3KMHMNg5So1avwvKXS2J2 UKBbvoq3Y5IKtfyZ5pNoShric1+vppH3wwHccbKe1itYRD4Fz3dzZKIuvGpPAZQFqXkzeu8LgWxf xxij7c2Mi1yZXz98SQlkVLzzWuCQzCYin5sFM+YF6k4R9CDoLe69NQQGJT9E0XnQYo3v51CU8/Hb KbYYWcLelFIPv7zbi3999ICAG9OW6e+ZTERTDiZpMe9ZLhTU03tTbVq2qldwEHGZaOQ18MTpkaV5 0Rfs4lqWHB6F8VIO8BTRyQ/Cc3rB1GtyGKMKbX63iJNZwpXVNtNpkHnvechrt2SehqGBFbqGSgxN SBYF2mk804wU7qrnjwW0EcRZOEaGAQ1JWJG/ezYxlxk8TTs+wTURJzlOJj/j2iAiC6bS9SCuDjrj A6ye4zk9mfbALdfmkVp66kACe21T3t4KVrBt3jRek1biQ4vKHOFDXk7Rccj2JYpQtKs/7MjJHVm5 V7fSWaRnAhFdV7k352O0FWVJ/nyLdpVo1HRxGo4iQJvFObGQLaeyKY1b1/jybUEW3FtYnRK3XVyA pvBnwf4mZTXOk22wpamej+KSjMoMCwMGodQ6XV1atX/hoTN99mvbcwR8Wedm7edrn2V1h+Y0Q0xB d1oUZq3sgdcVnt7zOaoUi05n4AS21jFtbaNbxpif63kgP8feA7EzO8o71a206r/DVcD3gTCRxsl0 E/NGs1Ot02kokyruF/uCf8/rPYcnAuMDT57UC7DfnVA87JD30sjT0OuU2sTEOMjNuf7gtiHJDrKu rcUDMJ9pa1g6Y1V2RkhJg4wB8Hob3ayN9xa0GzfLwE5ckcrqYR21ewOOMzqMBcDM/8nqmD2AWFof 1DaXrIoTGhNGDhSW0Dg1rQ3zKav+2GCGx3fJWh9Vyz6p12m88hBG5lCIe8sCcNA1RTbHg6kTAvsA 50I1BfDGPgWhYfP3u1r1pieNm+ePBG6ykbDkS+2xPjXqSjQ0L8GDxKzb1bJgEsUsbn8pseI5w0mR x719jgF9vC+J5xG5d/wVjQ6tkPqb1SiYLsq2IujuNVps0bE6oXrWIhoJYxIQykNsnpqS+o65sH9y ST3RlzKB+7vZC7JZCkdXRXDU3D2M2XckvRi9A9YHfnglOSQ+8JuLgjlbHlZue08G4oG+MGW7434s DblnrwKhqAQtG1oa4P0nWLw1hje7OMCu7CPome9MNK4Jy+FMcSO/3cuhV/ww2G5GCgAIG4NZOI6y VYa5n2pfAUYAnmnxFnpFcHtSq/QGddzBbuoEkOrBHfajLEYiFUYNIK1pTweVgO7I1HDFaiP8ECXP jAiEIZ2uUhm/W7LH/wu57DcEjQBdHu3uWBtQ494hPLhnMcNRSocomvR4XRUgii79f9Weunj3DIDV GSKtaCLGAC8aauZxy7EaPsVrqEDFPa5lcgJDzW23Apq0qsONxCz4y6q2mWkZCFqjchyWKvZoRfpm /s5cNY/L2tw7zke/6vp6OIQGgzoDskmBv0WrSmKfKoG9cW3YNFrvbfnZOLiTgbayIaAY23F2wyXq DKWbqyDH6HX/93uJrz0aFYGNs2BzNnXNqc2aRtULsjpx09axTTwLZC90aztTCj9rwRhlXH/EwYQB krfiuLk+m2SdKqLIS+K0dVfQuvHaueOWHlqg4k4k9dGJmVLv6tpTf+2AaRYuqPbwWi4A9b9dk8EI Nk5Ckq+7RsrsyEvfYKabElTt2i8kKPgQzx+5iVh+hJoAf2EC5KXry4dE5ND1oCnHJXrrwQ6XB9zm nnG4BvJlXTQ1Rz7D2oygsA2Op6fsmgGekpClmgBm/L0Q/ZSgCurwMYsmrZ/sRV6msWkrRerm6H9f tbKlCjQSbZPDCCoqxgC6O34Q9fFFQ5Zmp3bKA2tnAdIIqyqXoIS8etpSpElBvyJLkyfA5MKMdgek T7FYrI0P3Qqj1YMzRHVd/4O8N8LZIzC0AlcrOyRSMkWTjarET2qxyw2iUMUvK7jae7dHGp5YLmZk 0LZUBT66zDArgKtMiv6IP0lymOlZD7oMlxUZaUgG9eaC/SrgFMSkohMceD9EjoTnFWFNExWTRCqT U4vS1cT/zsMrVWFJfytat++JI6mGjTzJ2ZA5ekhnfg6DEDdb+wviYqMOb4jU2SRf7xbm4Wr+Lvll KgN8DnopY971ekE3lroY5mVVyn2f+1BZKBnLKmTPltlXrMEtAje8b+eyYNULqQm9Vc+GbqKQ5mSa yo3IoFjWHZ8aGmTqh1UDbivNhifTpf9JY4H3l1osClmpHAQY0+K5kjYfDhV0YTrKWC3GF9x0qhgl JS0cf2BX+9jg/DWqozQcmqKN/R8l9mOPUkJAeDiZPU73ii8X6hV0S/48NDK9YAVQmWGggtu6tUbR aQ6xZrZn9N7dIZZmlrRDFljMvjyWGa5xX/aMMQeZ0CAF7PZCUzjpJKsjgsor2bvs/EWMMQTElDS1 BCElbiYc9/CpfL+TD/dopFxtwh2n1bYSXqTl3eATbbhwaHCNJmFpEMYa8XuWJp/IHPHByhH1r8Ti oT/XQfRGDMPazJn63CtG8W1/lfczPGoIsH6tEfj3bfYdzcrzUbTU5xZheNoQ5opN5DabZyd6bFK4 a+n+92QR4SGeFQZCXG2z3egwyeRKUz1+2lDYBbQhrDX+9ela2ItCPthF5OUtlLy0WmAv3wpnHleS ZDEdLF/LR5JYCxuLcB4/5523+SWr/FAY03Z4TZKzz0yb4IHy6ePvi0lSvZmzHbZpQpn7/61lQuZu QPGID89Lci35exG2GaiV9feKQUTrYl4pDQKial1mUqnzOKzKtU9XDjd2iOjpRXXqa89slB19HUDn AnFV2Dh0PxamINbam2CkSQU/tmUKEx4irpJlDeqds1vyQ9AZVzSx753+vPjDE1RVfVYYeGiLP127 /ZUleu1ePif2iHz93HSwIZVWle+E+wqRPEc/BTG5/GBqtE1vl323x9/YiXanj44GAT3DUIgFk4sa k31J/tPhRPtE3vThvCav66P6/yZW51Ra1dAFEcMgfobfH290PLJstQr+BYIoCFZ7Qy+vWrdud5Vd SHYkgssU5F/71mkb2Yus6hTrhAVn6Tix0zQF+yhliV61dbSrpnOuknVU+uVIT4z0QpsxUSPafjHF kW3bVcwJaToVH2kXSj4jBHYuF0pzmxOmeb6ja/G9Yw+hL23FdFnQlNQK6nG6PR6DyukVt3caEw+D +VhZ11jI0XMNp8NJ2tNMlqSshifmgayptgHMpSQro18Ww2KYrtCtHNUlPvr3AgwyInTHDTWtbu+k r9rN+YWMvuUL84zYOaLLflIgw/x7Zy1SYWpco9RIXAdsaJQ0aXmWvhn6gPNz7jOAdGMTkQim1p1X dabJU617QGJLGDFe84QOg8/o2Dl+1bmnKw8X3kRGMyCtdT8AhcpyC2MHqyxgckg4Aw5cdI0WPWA0 mJS+SEPf36mHyecHK/PEmDQdnCKIClmWVnYNR1KfTBIPnUa4is1eiWRucDQhg32aymCpkltoDZXG yMcmOM18wrOTwyKFoUmTGs4vm54Jz/LUgMx+VGjwaZVsIp8yXHEpn61FhAOqK/h+/39pKQrPRmgJ MpUJbtQyHpdvGwzc4W5+53SFfLIVecFK5m1ORrcblBOzTBFzxrFHJu5K7pxQLSgKn5YCK4jpU3Ai ktoWxj1CBG1Kb4Tmz6STOCPPMsNCbJ36KhB5Z1TqpvDIoTq4bYMpd3TYOKUTPQxrygB/0TshbtZz vrl10LqA1NSe7c1rBRP0P4Fse3g2RLtLGzhgR/alVkIukR+gVnB7GEg+FUaKo51VmfiPrmkhsFBG ZBitwXPWorGWtZBVEQiom1szZW9/zCoBEtj1J0vo3pWbW/R9b0tOZUkT3hA8XwQhGJ3ogJ6pXDtp TjaHowQMhoQUCKU2jimMZsVffcBsI/su5Xi5tfcrz9as/RK8ea/iWfXOEZt5FHeIsDS+Q250cnc0 sCviwPcDQ8Ty4IUcn/YdIc56DJJLwSR8Xjpe3fEuQ2BW8uSHfPU/h3/TgX1ScpEGdT43PHBTnMiB w9yjSpfwYxkaUgsN0AiR/afyrNbmsg6Z3L4WAx7oer3evLGaG9G6XjsD1Qz+WQVhl7hJ9zsLtqo+ e+ZmefG3f11zZ+k/oUVqFgRdb5yGg+pABWYuFHLEslyAudjTm1pGhrEcWvY4EZtNla7yruOkyw8n bRdSs3WhUhW8Jvp3FHQq2wi38IOmAN37gIM9Nchp7MxG2gDN7FrbN6FPDPl6cOXlomjhCsMLZn4U pKuxeRSuS0+pEHk84+ti7x1lbxrjPOyJcloil6e8YicZWNwi0ofywxoWozhQ8bswfQ+kKFZo/EbQ kAvNctiNDkVM0W842EG24Q49/+wo6TdQKR2AKRvrDP17+pA40x1M8D92q7MPB5XRCaNvE3+Ge72j WFhFAb7E9dmksmdj+ZtVAjX+vwK3rts4/pjFqbacIl//4jdzeT2r0dO54L8x1owAQxZJofCjFzY9 P4/r/eSAA3Eiawd6/6ij8BhxLyPP70kB5Ya5XAL4qeMc0Q8U2SnlR72nmtNOqZ0ed9qdqyE00Rkc LObD/xB6sHwT20MOydpSZD98IjKVCXtsmM5qXUWVBrLKOD6j/xxQC8uXPiHOaCBMs83+RPWTHVUp hbn0BrhTv6LZCi+I1sl8zK9n5jjs/ZlwsM67SwkPbgzcfO7si4qEiHE7LuJdiXGRmSndG+YwQK9M kzMUt2vfMJoOytWiOzMYJD5zzArqjluWSKIHXbsCOhmB/Peu8txJO/TgybfTrare7ka/rIlP4f9V eyjck1Nr0vvsbPjbv2X7U1Q2qt/0/QS0n4Ecrg2BOsMArfmxvulECd4XtMCtQvO8mUBo32fl3yRr nwNy70VUFY1jelZIZ+hmXWQVcx3EZO+a19Dl9QbI1sX+D9d2yPDWVOR7gEoiWJbFYuoozEZP+COK E+z8QfiRN/vgiGZXopUPR0tmW4SrRGRNRZVhw0AnptzWHbopAY/3V+lP33dfe5MGGdLACK3otdWn p0d2+TasByJCbMJ8DiM0ci/F/BpBw2FEFDyyBMzH/Xd3MkBRcwtlUGAomlk4fSqiHZkUu2lwW0Af Nc9R8PujO6wVfkg9EzcSAQ1OTGXpWXSvqNirak5WTOo3OFn3QnUAPGNe3obkdmFdPbM4TxN1kI4n x8g5m5cHEzOa+SqPePCMwivxOt2G4yaWH9KBq8IbHXuMPMLXL1KB3SgLQofAao3WK/Fnmoj+OMuj p54JUz7MtSeelOYJIPLMjBqOrX+0NWpgoRm6H5b8uXBf8B+soG50HE0Yw6/gYcMZQsXCBv+BUbwx mibgP6sGNNQwiqcZ9GZ7VMzHYxGQPkyM5f6lf9RNm1gFT6NALL/VugtLSumJwHR31gN+KNEtDvOB +XmoIDz6O0VQJIf863+7et8kHbPcpgAjrzG151scw8ZUgJPiaeLRnktOcxV+9RnkHM/reSYd7a5q AxpHPEP37tgyUSogZe1sBVP6fJXTPU/N6vvRz+ZMQHRiVWgHalneHrfbfN+s+Wqr1tMxwYuc1rzP 0+wgayt4KMpLB5iR7QdzvklumeBOLrGnDjjjUxe+urH/Z3KtGhd242rUolnCbRyAyaE5sIumb6AH 4shCun6CH0dC8eRRsvYz4pZ/G2YbNkL6GvwMndMdGdnBVNEf4EDatWJDsN1ObJOMWtkSUQWErMmW VBJ/W35/crGOQhHw0ibn/ttt7LKZwxOpjC5Wm4hNV4SKHEfUn8xoGAqoXP8Hfbpqz2vOk6aMsqaX oJbdYbgp7L6S0zCoMmCjoQpe/xlbY3vqoa9gqn78tUMBVNYyNmTsqH8cdyJyD4LVH/F1F/9k//Kk ocoktztKsV2Es5ofJOvMM/cxaX3hDHeovKiL2/VPrE3L6O0cLfB18KNjT39wvukuY8rXfjHCKIa2 643ze9pMhBfjdDQCoLp2ZALLf3o7beMb0sfNnNTDbpWHa8XarEMtKxgcPx87GyDU2MIUcMi2tual VPH1s0r4HqmNDF4YCaJa03sq2iAfLX/3cBMBNNr8kJxXeLd7NF3i0W3OtpkACzU58dxqlqpHW9cE pW+OWJMBYYOp8JxkV+9VeSfpMs6meUib5kqa3O2m8mXFG/7z9QJ8GAc2ufrCm8BHDA3sJoIgUu1w bcgvCeRe06lH9iTmLynrvD8TukkKxsvT2Wc9OHPr5jbNosoi6NAY7MMqVx1NhZRxrqjkAsaMg+Yp v9Py3awvuGuP92qeKf4V8b5shw1dM1yoCsryNO9Jyf77XI/4ysjAJV/txbwJHYYiXfH2I/uwecwD kqnEkmL8j7Z54vfKL46YlR14y36iIedsrDXU2WxhWAY2TbZUJrAPi8ohcXJGMe0AcXkmVGL9+AIT g+vNb3NJ7RO3xhboAbyAOrFkeC+x687ryLFXcrmN+kpXVhqSKYPD3Kk2LfRK0mEubyKEgM3rt5/d vF52tKtFRSforRrSYBFsZjffHSZadtp/14Yh73AqKW3XZxsWRywJy2UoqWkHrUkl0ZthjIyGN3Bc En9LtsX90JWfiHzzmhAFqQxgjK0Qa1YK8hu691GI7AixEAltelf7eFauAlMk47vCQTETCOeeG29H 9txuFrd6Qqr1Fr7MspWercqgKWCNEibRok/F+wETRbvtoFnw7lua7Cq9T7GQabu6Fb6c1k7rUrMl 5JRJmp9MbouWTkjPtylJ8vRQ4RTNT7SIIeI8ysUDgQH3/FDhecNjHvYOBwUOnGuRb2it8K+Em9YJ 8AeA8xTsPSTNvavamlz79SAveiG53hKrTHNvqnnninOk8vzEG45608XoJ2U4g8/lUrUrQpJ4tQWM ji0PVJavWO/2j5gWmSZ5BI9PayA62jj9xW0Kf9QwYJ7EAs/MAv45L0QtfJvFSXtggYAJGZxPABD5 mKCqbAS6fSqwAQkwFjgohRNKR8EDg2cV7dNof362KumMtrWbldLA4I9MeftFlXZ5SqYgDuvwUjpB axvqkKYC8lwaiL/q8z7qDLBlwvBOzWW32PKHdijcSztpCpmj1cCzTPLgbdFu2myFpRkf4aTOQkAF ykB8+zxYx0CRwYLjvxXZBNqPtlO1gm6mBNtnMcw6gHdAx5Rv9BautkfzZwVlhZzxp23zghfUu1YE LxkXc7sOHNcn4f2EgVf0IBV6RIZ2awcMFbsZH032MUUo6u3IgUSQeEEgSERPvWwUofc/Bo6Wa6g4 ps6Kp5F1SSjjzKnNiUnwlimR298sh1jPjXt2/rGReRobjbmvPS2+Ke+LC+9L9Ve3k1GPxCiQZZlS lV1XuyhaATpuCRcqaBOfJ0iafPNCfqPOCkmMzgT9JYvsCIcLEGjlyWlwUmVCmYZ4LeX7qGEsAyKY gMBzEjKh4v1i7g63yC+ZH8nalVSxsHm10TE2MGw8ohrZ9rCuTG+Kh3qRe080XO1FuBfui++RHGeO XaaSQYlcBicyxseUInxlmL1VIrK/Sdq8BaCgwe6iNUIaWGZ3nKVBB+MgztAesvIBMKzAyMsIXXVm SYmR8E5fHkOehVtKuiYS8WYBxXW2ToeKcchR6POK0NIukH6sD5LBxSrPatGs96QRzEGUjN+0bq0Z G9CUkp+Jd3Y/i7tL0oVihMxCn2qqW0QKPrQI53gSXslA6PSDyN6lXXvDk9sETtWBxrg+TofacFpd honi+CuGhpqD9pRJiBH0r9pmsd5rccZ2Syc77tIpOXYhFKvmAzZN6y5ZIxcx2r3wM70VlgHTyu+9 y1SC8FN1ESmS9b+iwWtPmM05RtT0fg+OxEUgFr9jetD1NQa15ne5FPzwkGd5w8bZvEdw6GtMuIzg 7hZ5svYzIWK447hWYz1m721C3LASMWVUhEGBv2BdhOnba9a8IANSlCPBUJstyMWn6mNwB7G4EFos 9+vQ7VEDk35ugsvgs+WONNzMleE1h2bxPnOumhGwpSvgpZWsjIzA3qtv//blow2whwpB9OrsKd6L SZfgOM1IKHdFUkfsces8IaIUyBg3pIkrbExUNjToyLDjNOsdPuu4LdUcprkaPNrrUXcWHp4TfmtT fHf9p9JmRiBouGtakM1/K7ssuMg7FZjGflwVErKSa+5a6Iaq9cBdNv+yz8ti3xOWQxdDZ5ebalKk qAmT+oQJQsv07tUtenlZ3qwAulst6Nlg8HMqGiLS8Sm2CyCC8IRtVNq7pKLE0MuFMnrVU6GOBR2U CCHrGN/lp5N+qFAWMZtygLfLZYk/f52qh3KTiQdMzTV1ovlziYGQAQyBnQc+9snAEmEn68B0AvU3 MsMjdCsPIi2SjPno/wO2GtgYQoQfHFnHMLOATw1OrHimLQMj1jK6ocTWt55ZrPBXbDR+fzbuEAeH vUveLI6RWsIQduw16D13dcVsFCzFo6xM11So2muTv7DWiHGMFuQcATag1Pdmp3BGhrUoyB3FzeL0 aJBAjMl97YGGY4NT9AGqsBgnG3zDbUuAKPp5M35XorPF1Rjv3cTov/6Ndfp5MFeMrczi4/AnDmxl haku0sf8LmKNcIyflEhdjVlN2voThlJkxKLCog+fYBHGcL3tPTD9ZJTUlxWkpGfwfFmiyaSbmBS1 yCl+a3/G73Xch58kGcmwMjIMbtIoADgpAJ/5XGZDoaPzVE7sdsOQ3XqDrrnbd1Zmk8J7XxwRS2di vGYYnkoZbOkPdn0UEIOn61Vkq1n8x08cpsSZNh1b1ghE0IAIiQgawZBn1L3dKbvq9nwww9rhWK9A QpgMb8r6de9RhWguOuTQSdxKyQ2kjvWitpnxGIzaGJW6xqijv7ADAHAaKWO23VHA7RkiNOH+Ai8e w5BsCkegalj0FFUMMAK7XXIlgiFGdBPexFSrSC4ryc2eWgl6N6W/nCOged+pbxlAo6+ywoRnyRRn WMRuvVabw7W8SlDoifEzbyJz7A5RiDvvhvMoIxjPxKo1ThhRa3oCrFsxzp9BiGCo6d4z2s5yHsi+ 05K6G5N8OhgvMJQxvv6hr0b/VbC0RjQbgfRUvU3gKRCuVMFHTxmsbvfGkFjmijVtu+3FGq+nW+i7 zKi7g96tSSuu7+h6dZ9y0FPsEQFm7PRf6heNnxVdS8+A2UYzXoZaaoRl02cRSSrKZgqPn9Ci8es/ D7Fci9Wo6o2KElwkxLneq5VLKNeiXTEvJw8vAQbNJ+wqxEIhurRvUcTwcSrZ3DgDYjHpOkeXGewT +Z9MmlTyZdbVhzuKWmbgmKnu12YbcHBCsfDsOqPWckdI18JGmDKHCLZ7D/PNe/Svk5Ki4qoH0kMq QnQb7GuagH0Mx8i4UanvcHWvay1B/Ylz7sVJOwC6wpbzXS8/bgEalk7NGafpAsSI7+kQkkU6oryv 3crCus7Krmrr72NHKK6ao0ipkKsUGzde0z77N+Kv7KW5TbI/oSQQ5K8bC8CNpCFdxtEJd/AUwoVI EpEua6c48KZBXO9nfhj8Kl7TfBD84/4FLk4fMGXN2fikDv2MemUe57KrkTD0Bip5vbpn2YHhPCey YzyiU10H+ZCUgT91tI8/BE9Lyk1wnMfEuMGGS3QGbG5eiHM0f9YUQOeR5lwXFTi6Qg+wjmlywPtT tmNVAROFBpIllra802M5zftIc/pCfcqtddPCBnz6Ccv8ZyKohG3Xd8xYRvT50UQl1dvN9Dt/xnoG JVfOCl5EqdQQYju00oxZ1ADAZB+RiQOMvPQfwJ29Ho4BgUMzG3wJI5aZb3uWFdP0T2UpMlP1IpSz 3aaTqTO9U02rVEs8PgI9NQ5wCgcXOU379oE+NmcmWPSVv/0EqBgQjN7gZBZoh7nmnKxAgZuaGjSB gP+3SgmRlFHrVW874UJCTFcWLvrl6KxUtE62rJkvuaioE1RZ+AY18fimvwU4qMpeGBFdTm2OQqQV jEpdcqGE5MC79JM/3Y6AvYdpeZrvBYZX9Nd12UPPo4WhpX/9WCN7wcex/FCB/wTI8gxVQ6iITIyU 2Q57Lp1Xr3SnQf3ZLEHELKUYOeZn5Cg6mV548x/hHweulT1Wcx0wuWbkEuGcBEpjVEiATHtWPLDU uX/qoURo/WnYsjrvZ7iSHfwBHO5NPa+PQeL3ixiJderPSwtwu5L6QEbQCKNBkEg237y1cYAdDkZ5 tl8tGpzpi8oZqQAWdhYWsQBvKQ7RZZJ6dcdY4ZdHXP6CqwKw1vgW83etidipTAwORxbAxtjjmdNM Y/zyJ5aXGzTEHZaIR0cFn+gNCb+1tYQuWmSfRWokfy7WS7vCuvHVX/CGZjdC5iBbJUEXGo3lwhQz tLL+vaptTlwibez8/Xp+QcYzsk3OahIwCmnmCWSjSF6eejfrapDSUgK666PWko/qirG+ygzcGhbP nOJENzi1kRNcylWyUgQpIdFZOwaw6yUGazVgag1o447kOi3Asb97FMpZgC0Ehr2XBKtpOMOG/iG3 ZVaZeSG1NXZjLcSK92wYWAH//YNKtfzpf678xJrg4n3rdBVF2XSvw+hpEye9P4ffLu698UidMNGZ Em9mqgf6IzY5az9hAChQDITLNVH4+YR/Yag5M4f+mS3fdwfKmp5H88dJtuFJ54PRMf1xFq3HZwx/ ipVUDI1mPpd72ACo7m8sbs9C1Bzv2G4Usjco2GW8KIv1frvO/2G2bzVfStUQZidX/qD4f//RVnOg 1tYlbrPWyg/FAzDWbdrrSoAwjc6ShErk+MKAGkB7ThlSG8d9NRNjcUH7fSmYs0rhRv5wpIGp5TPR Csgj6Kvu6pl8ncu9DdLZvoLfhFZp67CQC/yzZA4dt9y6jlZvCRWgQ5SyYQI+lMFD3f88Y09q+VBu n42L4bGMSJfnCsyMqwtfsilkxUdy8FpSvJAW8iJLLr8cp4Gcu0+bsVOFFIH7BR1QZXKqg8WUwvJN RoDVrkVV96c4N4RNztZ27z9WV7WYtRz6HeiWDF/BtyS8E+qk9+z+788OdmxlfZOQKes7EMoA1wMN 9PJXm6tp3xh2S6FrdrEkblTfkbmF9jjf+2KTtkv3FjFID9CRs3uL8LJl44qU6yhFUL5crReQYFLW T6qj6toMkXZhuqKngOl5vq/og+k3VXcLvIEbkAKxcMdjb6MaviZpJEpWz24PKfv1Bz0tKVIqui30 vBQTydpvynU9V4060ynRUzfKb9um7GhERfsRuc672p4WiAArv9iyHbY+vjTDKZW7s2GdkVHBJCyB dKfO5q1eQzkwQd8DxRaO46el05Ky8Kg2KQe26TdTAKRtH5nALXiLTMmDDXStUdgS4GSr3WqbVOzC kZDq0Y3hJeO1ChfFLYUaxjbmCiffHpFxT5juOrUtJle9oqCzUJopWyzVRFwWyoCdrIJpHjcwjda3 nAOfuMYHdIHvRAUo0dXo0/nyTwRWeH+7D62UOC3fgD0gqpmtesvE0AlhXkezs5z6K9MTsYR+DwXZ J7ysdN91p5y3xOvG6temQ7WD6JPJxaANmmosQyiWD2r5GeyDqRly9rEeNrEzBXVHTMP7ho2VUXzm nS9xdYDT96GfGncKLs0yeZNSG4oCIpddRs2TTU5Lwi4rrUIl17WbMBzKzMvb3aLkMBQC0pJwh3lP VBP5kj3azgarvOc0B1oL0N9WoXfiNX1/tA9oTWXBfD7ANqTij6gPH8m2i/Fy56JDhId2Kp2yiR54 AArGDA+DwF2dar9E5B8Xkj8HfvMN0CcPW442nDLkzriUlbkwIgVP8bVlnmS7goqRMdyR0DTlKocc wGPDSr9rLT++IuIudl2/n8wLv59zk50m10Xg/a527J0eTpwHrN1k2/Fo3Qrcd15jLmTpwMILlw6V UdNQLFXYyJzcjakAXcXmjC8IHPGQwrbYCQ0yQCzXR0wamiZpmgTQ9V8RtzwwwFfxZjzw5TWa2J6b rgL4HTCfVrmsi65TUt280VP6Stp/BuevscCaLYJI6tMbhw1uxEqDP3dZSDyrRB9K2+iVAgepkv6l MqbznpGlNN1u5HAp4Mvw6c2Q8bF5Brg67GX/dDHGsSB4xTbsJx1abKYH+8QDiCwwbu1UtUz3BuAO HaqVPotKVm8tVn8vqzH/c7Eoom11V3jYwx8B/U0sbLuPF36VKcLM8RIY7FcfWe8xIxwJO/yjabhF pGERgtUiFeMldPGe6qTgC18IddvcGqhnNEQoRDAQmxfGFzDAKvHk/n4vahvmS4LkHaYYwGSzlACS 5b6ECJySyrmbwJmgVVI2puPlhDsEWGLjPvSI47jFMu8V5jWTF6bKRzvphp69TZLcR3IZd40/h6cj 5475m6fa/u7tWWD4S0SZ7Z2LHiZCEblSFmFDJE+c8b6nZD+AbBST996MceznrS5qt3Mzmbt2Mq4t bSM4SLca6a/kPUmOyO7esptuNFfx4Wk2GGm3GodPnNAF7sy2+eSc5zT+qBx9+fco7TZMni8J6mUw xyyFEXGdE7uIo+9W26VzqsAwOkCDTZAEdV3kZC8meaKG7o0xVXaoY8KZISl6BEeRYpYIp6OsmGY2 sliUA2/Z5mDiQ+V8gNzWKiFM6Mz9UdXWLcYy9bnDmKO+/KLZ/PvxFBfC2j3qGMW7+VBtjgq5KdTU ncc2Ltcp/Hj5IiAC0/o9VIkl0CaS+KWi1iGA1kixsow3D14kOqYvxF3js6dbv7Uxedj4S3B2Bc5n LySRVRbUK0bWCWk2c0udj8+0KF6zjMIsu0uwnxKxzJh1Wk/vrCgDQiLSGAcIxSt0xyEM+7LTQUhI ijZPqVMTdDa+ucbdfUGyn3B6Dz1ddckeK0AcgIAjf3xhZKMiebeK++o4BcEpHsBYv0EcbE1T5wkJ mIHHUpy01lBAgEnpkblNBTvmRLQFlr8D0h/zU+1VwOv5/DiIc8pzzgRBmxS7fC7yKLVC2P19Qiyr MjBjmmPEv22xq3y/AfEYdU/AVc7BmuLjXL3EZ/VEqyp99uyluq+65hntXMzy/UHkVzsy8A+g8UKN YgJnuGogeSS1Q6/zNYPzJr7kx0drHglbWBJS07Kbb/uqsOSzjEGUnNZgy0dkxFH6NcqACif0QyaL wegmw1rdyjjD3RbDCnVnwrRVzSFu5zFZ6qeuZbutC05wdXqITwyPTUUOWtE4UU5+uO2ZMUDuTn20 /SwPSHExobBJ9m3X3yUBpPshd7AqZyY5WKKdxA/t2el7RjIvvvl5IoZ5nAWX8oxa4kiz7RopUBmB amPovNO7+23eMmmTaU20oa7qwcmB2xYI/XQkllTmAKnWYriuExCr1HLr5HD50fkV+W7sQJMXcFpX AA5OTlAS3dtiKtAHHLv2peQI9ZL9M+5p1anJ65C5PyPc427o5LB3Tfx+5et3GNgXHu4ZD/widYl+ r8brRJsBTz/LzizAQY41+3+zx+Az1U7g5t6CnDj1YYP6d3IfNhUoLmCy9VZPCZTcb9gegu/vOQ49 tGCjqn4gcsXn3+IWvyOQWr88irAVyeZgtmf30im5W7EEaYvUhbUgjj+iiplfQmmAbNahLKcxRXCg UpPpw4xhcEnA2OVClZs895mXSYhdBxDxsDTL6NByGu4tZn1JB/chDkQy3KhHwdjxmSLoxJksoBiH J9lXKH/N6QYLylzV+oxw/Brg2esr1v+sP6iBMXHXScI4zWxxjrXcoCB+xP4KYoQOGPGoS2eRyES6 KIaBInbKhfKTCnBFbnj+U+gKtdREjyfpFVadmlgKhhdvt8ClAPmY82zDd+7t+heclQrxzGNhIV4B eF306SUm5R42LMwW+RbqgBCf0UnyquB9GdYU9XC0bDvLVDnk31g3lndnP1IK8OYKyHh6CxX/mLH8 0TvhwW48JzdetCxLkMPKblYjPrV79UaG4FzGcsbBLDkxJ2CnljudKt3wylYcBrKwvIY1+XROsSiQ M2Dg572i9dtNJ6YtrVpZDvWTu5g4VysF7SsUwEhhxTVf/QCnQ7MG5limucvQqALgEHrlp8CbV5c0 NcrnDbDXGCzDc+a11Zh0GtsxMFpjWELHCF1folyi1dLM+hhrrpPRr9eYKQd3bIbVAYPYN8hboeEP dxM/eT+QYu5cseKE38/L8ONhk/66BHoJFT98XB4w1h8a4hDh9uKHVLt+8QuJM3wK9L0kVSwty91Z atBBrb1P3tpCkikcKjOF7aZ1zrIrniXsA4SWakHIosWb0wwIik3zpXDvUwIVEhHObuBTOJwxT/h4 vVaNY9E6Iwd/OqFy7/bmdU9KULfDBCgK/auWY7gTQvAkv9bAetoEVWeLSoBWhPeF+b2U+nuX//Y1 CMYy7cEDVQad6JJA2Pap4FluWmzLq3z8wS1xAwhQfGNuBL/CQxNGbocKEyNKIUJafrj7WRbAHOri x9SKpE9NAPFlpUwoVIb8WSv2G3aJnvSffAb/JgEKTAOEAgQHCIrqy2v9vcZdK5h6jidcYEyeO6Il HIvmOpZzBhnAIrE3Ga/xlQyXUFCEqF0ZIo2hgCJzH2Wv6A7IapDEWRfQzrXJEE2oi1OWSbv3HMN1 nNntqVoREt/hF9o976Nz0O24xc6hA3MOkMVzLFNyDKt1nnMOLG20kk/pjK4pDz1gZVK21CUTnBFP bbnMRP2fIwQaopLQ6NE6skxaZcT5wij3pSELFsKgNWSNg8jDcWzvoAV/0Yx5uV6T4ZxFit5PitjJ xp1uNXNccBa6AYolx12V8nUrK6SfxpTi6zOLV+jtyafbvQ0Lu/M+zxD4rqNjEOY/GQAyXqKfKImx /REyCaenSKb+IeABZq1ZbMiwBPD6Br03kEMQH/0tlpwy7g3UXpi1U5kija56rXA7PXYmG5dwm9VS DDxul2UDVeeEZr1lBq9MqnHuL1n3+qAIPrrx5va6yZAGavuXlZCAZod9HZmvrrIT5Js/6vuGGZmd drgfqpvDJDkB7Z8kt3ME3Tpbk2Yu2tUTor+9+IRkdQp3r8B+11x8o/FbmcfmWavxFCnbtk6ApniP MBg/q3z3piwbnCKQ6n705ol4zSIN3e4O/hLzQqJnej5yNcMjCJokNwSSB6EKmrLgkGymOGxreHdA S8DGuUrqRmhG7p8OxIWh+XEQUPBJpDa55lYH2AWevZOlyRpEd8LR2UqOoQTUiEsUapQdf3rXR4wH pA1rfp/S7ELSUn5z3uy5C+C9WxheQLn12Y3O64ycvmTCbklJdIVv0I5U7ljURDgsqM9zuNecwiU9 tSpQfIyRh4EDrtAHVhNpTi7akGWIguQsTe6nprPqRr+7fluyegZHSxlwe3Cb2LYjPRcGUWrV6NbK K2uPeXajd+2Zg2QE7lyBlCF+F8TG8Ir1J67RtSFBqLsZl5me2gMMMR5x9tMCnADydmdoVzZCEEEM GHH+7EXZ7l/PLN0CLIbjXKIW3dRLuwlq3xEU6p4nOKMNDvZSndMFPQDH7VEreIij/czdFzoB68dt 7L4nXMMgHZNgTz7vOylI8wi+77LUFXoouX+n+gwt/we2u34C9S/EdvGv9Ch3zKpB/GaW722nGv8P M02AS2Xf/uZ6qRll0AGPD9z0JmTxu7MuoSLpOFs5fgrupZudhpGGFQ21x2MNsIbzvw9rCGVn7wia LbodDITWjmtWwCXHcjiNMGssZ492VH8PqqVfoW8QtfW4vl84Utl/VfFSDq+S7b7qi2SzYJOmHzSN F2h6hoa6/7r0LRTtoBzo8ZqHJaTJpXcuw8izHpu790X5lHPZ+/tWKiJYl/oZOqDzeUuBSDWCzJve 05jsLttWuud+qIw4iqwEabQvTA6oVt8EGTOscKi5M6PWo3FD/vojB5jBb+z+M+BXiioUVLUF1pf1 95f0CONGKCU4NBWAW57N/FPY9E3Ll6+/rZEfUzb231kaHuSNMDVHvozEnUoqX/ZmNZ3/zn6+OeE6 D8U8T363+wurYVQEt1nHZ/gzZQ/EZHl166D2Mfue3CZQpizvbNVSe5YzVQoK7htDTqYBtd8LZmVg mbK2VCfAchJV179O6o8jwsL+4LzMjj3oirqmVuK4PjpN3+fTMU7FFnb461sqoq9/z0xH8bOjs1ls J3h3GfFPcdkEezp77GHEN/UcqC0PBLhrA0TtKfcPFXg6fbvORI2xHres2i4wkwCRphSdldPm9DB4 lzInxTAaIinfflJ67HKSPdox2Ho+GMbA4kiIGOPILeoIjJ2AA9DpvRG6EfQ8BHB1Mqg0gBVO2kgI k0MjeW/l1ZOutv8IZN8sAuWqL/53z9XTqEw8/izNAqxyLBeys8yyCys0WQKlUmY8N6oedRZyB5XF 6u82KlXpvxw3n90uHsH6PUXrd4V04IVtmzsoataIsoPSHbg8zYbHvw6hp9frVCE7iIDO7pTCsxLt 8aldjFcVhf7j7/HfzWjIG1xoKzoJZkzz8LMVAt5ZSkSHrhTg5uiUzdrdtRY7RJOLOgHs6lCZvOYP /d/IRnbxZrwLNTBREHD33ge1poctf608TBTK2hoGrnwEq2usOiIv92/rg++WkCErKmQg16KbuANg mxMHUh+NWr0W61BRSfN2gD4VQejkX953IX8sjWpdXM4ANbE6UQhtapkegCHoGQgRutx7ezdM7sWR WT5aMnDPyCR3PDZ0o4/qfhDFfJkLDrORxXVl/8fPjWYMf9MmB9WrIt9u0keMSc2I0fK0bEsj3NnA kBHnQw/ORY04zZKl6gamSK59fsHorjNVGiEStC1rFvIaN87FmtZqSh/BAuIE/vlCrib6iqWzFurt U45fFqrMtohnKYcO/ANP+XhjZPCwUSBMrkPzU4AV4QeSfcTmOfX2mDNKBhFsPKHOhPpAVc583zku 0gOfk1r2/rOMrpmsvsIC4Orf0PbOvVg3dgk0IPAmm82eEOL6SCx1YuJ1TPfeiQ+raLb6k+b6fw/A 5Y2VccTFn3j4UJgSFHOu/jdspblax48V6UgdnIE1RQZnkF/ItH/kU92DpdQ9z9u+pKec0eOMAeiR K6eznXznxVxoxDfoZ/c3gvkWLwboquRmJ2mvl7nsIZU6iRFzYZeNPQghpKV+i/oFaXdtuAFBMv/3 HrheqS8nJD9N1Sa177haf90p+bJVvmlOmUVHRBm4rGnpdgQbpp/i/5yvqx6ZeLP5DQwtxG7qEMFY KKDurktX3KLtF54JtrHmUzom0AgoGwvKYe1J7FinvmpXQexqEz02GznpL1fPLPkEGqSLHFMf9UBn W+ddTKgl5+9g9EBupQCul5VzYW9FyKum37g5k0DzhF60GEpe/6klJuT+Tp2IYLeFaMFAPSdp4gKp skJW99EtNWLcu0QQS1RH/OW58+INa2vQSQySULDWpnGMynxxYMJwu3WNxn8nCKwikOeGglKfXgx/ 8nIrskWohrcmFS9Sf7sYHm3xemfP673Xb1Ujv/dQrjLUjCO05FcpP/lkAP/uK569Hc+pKBAwFh8F RnF81+mp3tjkiQlIWZB3Q2r6OaInV6oEpKYkRBo3D69EUE4rTIQID1bY2HO4csKp+UtT3NMh4MeF zhOgnYKnpHfvW5X5FR7ErmaV4tErVyfFJvnq1MUHoIHBSbFqoTlRfpj2RI5HYNuX/Fb6irIizMck 7FtwYPP2Y+01z0c6R4UQB2QELLjdYM033n0wLwO3d30Q1lJDLJwRBUoFoFpDALSKgyMBTzPMRixF vhKuqel2aQSJMbZRhgba1ihe1F3wKAxbcTAjCTrclY6MTsKAzvbQcXZ5eX68i9FFcDQbApRnJ0Xx sCKiQXk6NDM5RRPMF4UUuJsfvLHOPRx8yM/AcdVWONcmWhRL1wnaHFOWZJfVSaL+9HLQglptznlG oHlEVa+LsmDXG5U9hCnvVx9BwmjZj38cSiom4quHCqYA+QuSbpWBmfn4RjrRxCzmhY3hJILBm0X+ RVzo79ey/ki6yyzvheJvql8GNexnat/NcCwKYlDVRiFOSL/xcYsEMC+obhJrTF091daiIrkFLXfe T3/O20qBbA8b9Y66qfReOc+6vojMzP2sH8OWMuHowZ+psjA1+voq+8BR6ynu7zRgDN1Mi3aToZzR 73zSSsVW7NNFTmLMKVOONM1tt5yKJvQ8fOBXflZ+SzORdXGkQPyfefHhOvtlmom8+Zh7VdGzX1zB mUqzQmyc6dS8asRr8haFP38LTiKfEeRRWykajOsRVfB2quE+mJ3C/S/6WCvxHS+1J3mgbNypASky +WVOIgBVbopomVDvjygKdeuGB/EIjWg3aCkDxUje/mf23o2hKAgxgYV0yem0Gxurrs1adva2uJmS tXzY90U3CqBFyMLb01c8l2iE4GBN/8UNN6rAdXiNV8opYtd+v8i2WMlTOK3LHJLrlo8SVe7r5aVw 52H2CYMSdvCffCKwa8NuyUGB1lrR3UPpeUHoszaXSVp7H/qVR2aRo7Jvgkl9y909T1Q9TxAPcbzb jEsh/zGHRKudpe+p46ZNDzlxQBgVV1LyIwAf8DxLKfVdDhlxUGUMml/5vLNRTn3zn7H1Dd8lUAV5 /ddDHKP05cPZ16lF7jyGvaUM+So0kJQtxkU5OMhdaak2fYmY/06A39KN/sOo8NfyHZKrJUFeYrcm mn/K8hWBr/PGo2NN9K6+k0Pp92H8789Syuku2u0iHb9nI7wLutOmNftBp1OHFO4rEojJlxJelz6x RLNYXLl+sy4zY2CSUrTYNvZesVLFB+Pae+LNzP0aWN9xy9Zj9S9nLVLEZRJlW62Ygpab9oQFYWsw rLeSByWjG0Xe6k9XaGg/XziOmrFRQmPWTmrN74LGC8a3uu0vD3QHGX21HTqr/r2mnKz4+QVYyTUG kHOCDQk/NeIyZtafOHmwOF0oBV29BjZjQE2hSvuKwpkE2IYB2eijRav+ZPeCFNApIqmBOvwCiUZW gzlhi1upI3v52ZlgucdTTSMlJwi5RjRB1eYhr4KSSf0/t4j+FPeTEL1ZTqHWeUhYB+4CcWMAShm6 QsnXCU6fWWmaeWnbvHAR1oVrsqVopvwS0n52mPfpvUHUhOOcCKKco9dHWJft0/4oaCyU4Z6gB1qZ H1QPFqSpGXL1MP0+5APXk8XQxIqSPjnE3Fs9YWzplY4BCJV5g49AixHMp0SJfnWCbkHgEJC50duY TofumSiaJCoWQIl3NWrc1KRieL02+Ndc/nL+cPR04aKUn+NaNe7wlvpDrYKguLL0/QkFoN6cRwJm kU4t+cpVqgp1qIEQZMCPM0hNZ2Ch4LOeeN18v2sA3ZLWGqPT+54dJtQp77c9el5Fs5qbnYkbsfRb AgXDdBOd310PYzGKJTYyMHr7TRQzddaps8c/ZVNmWVIxszJx/vJ7El6CFc3rRRMVye6xO3HagbgQ 38W7OvR3kaXkwJyZexo1jLBbX/BnFpDp4btK+uwwt/NN9YxqP3xIeuRxJXSbjlk5hQf0cdlewrPs RvHbAS3ee0GMIT1LYfT82FNS36LU9l0pV6AXmNreicuzvXxsVpVCALARMCL0+8sguEyzVuKeXm8R 4F/PMojKoJ4Ctvr3h+zj7k6ajRN7RxS60dNHIneiz8qO1ZXX3KxTi9kwb/LCCHmMGjdf1xW8NJ/u rJ2ymXnIfWqcXxJlQqLN/KQnrdO+1dJoGCAsndEs7hDLHrK7h3o17pwSq5tVJoKgC7Bgl8f7CC50 kE+khy5+c8YEU0IhhxTfgSQP4Q6KxOoBAPkSB0nmvVGl/tF0R5b4wr20RcR+0bjwWIqTh+ZDF/ON onqUZS9gTTxKDbYqsiP4Y4yklYPOmvEVXAohYRWDBw35cKuFuQGDcZuyZrMGlYog1h2CPHIB3bXb QJMJZPMDl5C8ZKU29Ct7SF0AOXSGdBvvRa6hGrSF1R7lMgZ6NBa5gpQGk3cFVzEmHgr/MxMl8uXj o9nXb8xAwO8AWKGzbwz2TUN7mzpfeqQD+dhfg6PANuXAv//ss2jpTAOLgNTTZQ2MgyvPkST0Eaa+ MA/IGbQ838ZcgVAga6+iIpHBICtFxu3gSQiB0g0U/sXcifPj+xwG0OVqd4BpVTC7ebRyNg+BT0K7 A9xkOBd4/mtwSWRyNJAbL3P3Unjh7KCRwOpye65v2+RF51t1WE4nXoWOXnNfiF10URn/jVZKZuXr oTQXdzEH/5sRIxJbcdsgwFcIMEb7R+lBKS95+79F5pegYH4cczN/S/6Ibad8dm91pZbHxLsTQrzM 5q5+8PkvHpKD8x78TvTHyg8Vp+0d81VyZCbXnkXwWRV8sS85AF6ozDN3B0PGr7cVCVS6euPqbHzR Umwfkb88IPlTWwgsNMn9IoQ15FQ9RJqsaq6nexA4Qz1uoALFsffXzQSE/J9EuvM2phlGuEkJ1MN4 h4bTXmczBB7sA/yY+8eAmdrGs1pavbZB8wjkNCgwr71jfO4eb9TgLfQ6KwM3MIUbZo4jZJCMehHv hu0nnbzWgNNuXs9aTD3MLCO4qPkR2OO067kmMERFDMzXJrEhwHlV5G2FGEMAxu08rxZRWdTmWOcI YAVVBnW97Re+TWmCY0+nzGB4TLQnTTynwgA1ufQ3arb21AODy2LNIegxwYsafaYU/MEYu1RYeK/D Vdza1s2wuqOjjXJQyjyxo4JLf0Cqu/UmkKuz5CHZYAYiu2DYV51+3ddqdgXsdGkW5jt401cWfCRE 1oiBqbsPOyBnTi1IBDuF57ncf6l+VFtlZdFYCjr47i+jNWJjvz9pcmgrbT5dHsEJiLdu8YT3/KVZ rBvrG0E8PcY+GvDlwcEhBdwvA5DIBcDmw7A37SIr4x5wRQ8sbVshnh1+7Jz5/OxIg+GDN2YbJM/u AKHw7bYtijCQOpecYvSElYANUJHqPtFkT4iVLfM12DX/PCIwJWU9pgEY52q5ybaLPI+3FXKQTRif fVxlpGcQcMRcWluAQQSU0W6ben82DP/ovCYXXRLxhjPk2rfEtDB3/IXcPCHVUHTphRJ4ltYi4eQU CQoCDbp/X+Bn0bIdwBzkZMOi2IfYPPcMVP4ibCtdK3Loqg96RXcv1XsFne6iZJQzs+oC4MuE05vW 4YwBKDrOhLrn2rjNei1KQtHryJnC+uomN4m+UFHhg40ROKQvGpmwLjy40DoCm1F3BKKX7v1OtFYx pNnNx+yPB7h6GMHqJBgPzcLvtvwPqntAnzs3ta2+G3lkBtvYu72R/QuNjtvRUNLPgJL/HLf1LjK4 jmA0eSMt9giNKDW0NovWdR1CNlol/frO2iCr7KXwjiWiGs0fojCgsDs0fRxR5+YcgXxDpWnXAc0R JW4n1/fjMn74mrirmyRLzUjun2iTIBSwTYQ14uqdYxbgMq/PZ6/ASEQu2bu8+cY2WZp2Qxi7LcCP Ns29dWrcqAjD6rpJnQNwtFNOGWnbImjFgEfYM17uBMTgrVJn5g6UKhRq9a35sDSOPQor7iB57rgi 3lHd18Uevx8yUQABxsCd6HGgRT1BoekOC1vj1XntuJ8y1HcpFy1XnfeouImZv3PYKKEnVDm9LOjm U8kGvWy0YU7LWGpJtKFsXE5Z2Lneh84QZRtNI3vP9nE4F8edal9jJQ2A31+HxjXzNQe3enZwRkZj 19Ijhn0f9uRbw5pmhGRoVLnmdNviAT+SmcVTHAX83WQef1myKev1KhvcW+Q7b1yyg+d57x05RnQT amrO+MtVjIPYve6GlNBKrzwnF1NQJPFi/P75+T/mzgwmtc2LBtA01Kr+nkxqViLwDjjGIFHel+Ej CF+RMogG8m5HgGmljLz2/qipebtqKgxrCks2AOyuQ3pBbceS0yurDE4KkBZAniMRvKpHhUGUuKjs QjKuMvugE8W/rfZ13WWbeliP3Ow6+CM7FfW5ToKmoVd1yzh10kLMEeSWd4GK9SlrJ/LezmhGLNLf ZfAuWMmQ3Kwbji0NBWNcskc4zB0ZRcWg8vwiNFtBwu3cy/2gBJsihbd0gyZT4eq9NN9g6j8kwt2t cUdyf67WRjby0PNjeCTYoN1uWfu5785S89M80ggqdYtnKHmXSdOW2tiuo7HwirDAdNhaZxSksnkU p6vaY3sPNjp3+80mWsnhmNw78bN3cavhUHXRg9HPOqfC16Vk6/v4Mmkp1R0MM4XLZ4jLIiQPjsAC w/ryn1N98cwoVDf2WMZIi2LaoHNM/wPpq4Oo0sfcR2wbRrKa9a+eqCeeorUysSeU8FzzAu6TgLXn fkrYQPVE0fFxpFg/GZ/sHarr7YwUm90Bt7nS4GQQmrR20dxJquc/gGVJ6Jj6n/sK47KTARjB2ppX ARI4mzAy9rrR0u4YDq/XEtva5aw8p+Dcp8i4Pakys5Ij8q/n5rJ6YsE0flC0F1hoXVdbHJDuSF8O YaQyzQraW021HQe1eLRktv46kQ3R2jA1JwHJCPlD/170uSotXs49jXhFTcLkgIPdfGF+wMc/1OkQ /iwQzUeXiaUJqo3nGZddb642aUKuN+gU0rvHwPsYZXM+rvl2hiQSHE2Kec0FKuxjs8mYVB83KFwX UC0c9I5QQKOur3qtgeXEr/M1B5eT1zHn7uwsBTtzMEqVrh75WAhwKY6vKuYVBDJIgFqMPuwqC4TA 9lFr2WLUanDio0vcxz4o/QIT3/ztg0dbsUE3rKVmcl+Hluy83FPc1DWwmYStOqXsthTpod+iX9SO jjENI/pekI6jRn1wexikRzcrUtO/VP6/wE7JccdonEnZ0L6fKT03mcd7jIBqxYTvOm8jXA97x7Ig 9yeeEXwxxWe1mtzPjWfLZ6qzXwhYcAnzfiEA1QWv7xxktOGCkLCGrpEOsP2DA78LLJX5OxxqgDwI roq/YIm9bEV6VUcRz/K6Y+ie+hKT3JzH6fw09do5j33duz2BBpZkfS5WD6bUBEqA+z2vLIht79fw fggJSFytwQEQERde53iu82Q5a8trrhMAR0/6XOjGwlAsvFOb8IrV94OKGAwUdCCYWxOjIvhPXczO zAXv8ui9XUhi84U8xh90T18UWKxfwld1BpLDg8bkJ1EM89GRV3LUzPioeIJAU1x+z4jnHEmwPq8L arfgSaUmiJmkIDEuH90NyIYazGDDIVszdOrqRRYsxzx5D68g6X3wyBFCYxHJnxEPr8dw89lKCezG 9sUhzQuwAJdxgpNlrH16P2ibMmCuMMXE/+zcBiV34AoECYy1id+7fyGtB/+JQ2d8GXoPWUw0RXO9 rUygDtgOqztVwCyO42vSm6+hnxt1wW5Fg4H+bnfQmqsjKvZqZFsccNmtc1+R9Z3MAzEmPVZ3Wu8k ZFjnT7V0DC8PJx2osXDXzJTCgeYzitODTtScHSh7w7PsajLng6FzppeczVMzic1MEPbd9SLfmxSl 2obSK7dEptOjdgaJ0grJcYFmdYcR3GkoHCmepOvjxM1N3LTlVwvYUCUlo+y3EPabHqopJNd/6UBk UvdpmfaKisMecmWfoSIStOwot8lBoP1jic8H0+PaFvde2puu3f3jGO2NxH8Ql+XCBdBNJTG+CO/g mBEjOdxOtXAFOJeAEjvC8EsGQD3HzKKH+j7CJeP8gkkriQQQ1fOHUzEAriXYSetlLcsaq5YV0iWT rZuOo1C9T0Vost3r2R3EjWLfEfyWwi0atrf2pmDbgICuH/HGFl876WIV0yNmSn0tOjV1MgMqGS7H G9ExU6ruw2V3KvpDLazp3GsEriLhJmAJn1VAhOdT9igvcT2u6N0S2zuBFvFiC1EamBScq4OGu24s EnzjItiWFy71OpDzbICmNcLF7wamZR4hzK47iYu1yK+7BV4oL1LfV3D3LK+Xd4ZdKxvkUR1cTm/Q DyKMz70RCAJNxoar5r1zHQ5t9dekBzYBewuJSSal3PPFq1tEKQpHKlH00WGg6QLGwwBkUwCkgG6J rGpuQiBlnV8O7X2VmJECyzXRIIMqElSUc0EYszZ5KPUMGCtLrNvTieXtt/sXyjVtitxlm/Ttcpz0 kFBTrq+AH03Hb3Q2Xhluq7YFo4zXlS7w/wsqISYneRPSRBLVRTdDLwgMYZZ/K1SDqMpzzidkx9+y 2gmhoHFRB4/Iaw0K/CNVjqtq3ILDVxCzAXwvjfTbfQHjarlXqV/GYbEN2Tm9JpxDHfldP+hammmy 5h8ikWOID1HhGcnUJN1MUQZd3CzyoeGdjy4X//6cuIFwKx8IAkAIa0jVBujtERjEPGtKmSOBBCIQ DT+uD/5xfAdufDNnx/h1h5pMhFULSTWubOZ2s7SC4Xh79Yu2m+cdG0qYyOES3thtmzvkqI9FTXxm bcNJ3DZbTf4Q3FyqFX0N/lZRkz9bALt0DnMA5FThztFaUD0vvYS01MBDDz6U5F3S/FJFKs6FVX+V vF5ESHP/NECM32D6Y5T8ITOW0oAFKFRRlgq2S9ZmFgbF `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block mCrsxRE6nhDHtIA66tXIkDnFUUsjrPQ2Pmhf5b99NO9SM+W+0wcl7fYtMMg2BKsYfbEjsVwZISYM cj/YNiJQcw== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block HMruE4S4JFOdvDiLBufGOVRzUBeh1wMoCgc8NLReXmsLizz4FqJD4qwUKK3FxXismJw4lrPotBvD BtITNCn1CV50Fb0UrrUrvgMx8+tR7Xu7G2VBa3+3VaPZ22eQVdYU+U5LgTnE5VZCU38BMChMVVf1 VRfXfIGIvd6s9w3YH8Q= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block qBYo9DkAr8ZfIQJ2ylmr1ZMPLFH3U/RL65nlT7p/YHH3XfUV2cihgn0+bmsxuQyNobA9OK/13SYm Cp9/3rs1HCkUsM6+3W75DaILvVntVLYvHG0BSL7toLCPMa9SsRmUTi2ZARWNomqih/Ct+WS2tarA kN/bPVvVIcsxvRLN2rVf2HlipSIKpcW0C6sFT5UxuIgRhSWP08uKTYH+FPlZY9u4SevCRqDoUU2h 4xtjN2UuECQfSvpOifgkW2zbODbFzXiyDxXf5zmFzklfdXKAn3tpQJVKGy3uJpsUhIXOb3FzHS/y AIL9CqEB9trvslLUCkaJoujdY7bMSkAVsGTTJA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block DoXO9wBfEQFqwFTgf80ZwLF3pKSoeEos3GvhP9cMChWwhvDeydkIBaMNe/DsM74++zfzwieBVc03 onX9ATZkPL5T49n6S5ls79EzPpHaieo+RpKu0a2nKqPyPQ9IcVkttpzph8ZSPtyxTkYQRYZq2yKC 2DI3TP9tmKksB9vNwmE= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block DgDiv0q85jnhhPU9ABU+8C11xJExM/PfcuxEl1C7Eruj6DU6z4JGHzqipq/L/nqliscs3Zxc3gdF D23Pj8poMJB6yNos1x9wWg7wgyEWmhfSd9//kYRrBLAgmlLxyzA6pTDjQwxrMyg49cYKHIBx6dIc SySzNbPcYDQmZX4UdIQe+qJ+lTCrdpLlYEOr1VXXlJsH2TaCNaEsO3BVMAfIq7HrKYshDgiN3BuS DB1dOGfNd3S0D91wRUkFbyxoVk4tG+kKn54jcsEGJYUeEWcLn1phFTEVc/bomlT3hmnTgLH19/Ci /pOCmftTtdv1wJkNRgs9wIryNZ1GrMd/IkmYUA== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 54240) `protect data_block zW2XEhQTonn+8GFQOMpjd9VOCOA4RLqZj3aK1W7mwu9s1GXLwjxUkRsIh9xCnKA8ntaJunOWt2Bc DxAa6lavV9h6tOGvlWeiPCKANtNp5tfrC89sze6qxsz62ENIpMjLowzZooclXpL3YUMnZx8CnK/s UvmUjKTgfuDmglFmf+9aSu8BD6qn8gCvuRoTqZOogjozEhtllWHKQ8mCnQpN1w/YzUTVD3aFB0Dx GwtB/VlfmMcPg3K5RRUCEvHPGinpWdH6mnPME1apVNbuPum+EXsqMQWHCiIXbQVogsrCq+U0BZLv ydQqy7O3NrMm7+Ea1QB+QstoOrl1ZFtn0hPMLcT2JEoieG67vikrG3FrEPhTzmdvaidq/1Ch1uWl TgnLyZFPieuAKCivzhrbgVvsYUi4XILnA6WfoNLYCu0yqTFyUIkaqTF/CJC36MfH7ryPaLZ2CeRV 822vNGUPwqVBtEoFdjRIbneWY5pycmLaA/PbjwgHuZRPPiMNFB7l6ErU3Da46Dhcx+2Cssc5fDDc xBhbKLpQ2nZWJr4pVYF3jxZztIHPMmjTJIy1gXQddPOvsT+R5GpTBnztN3tGtuxCkiddXinbgKPu HIOsyeXTOlpBKEp+ZbXQnEs5JzhDlRVWkwzeryhXh99sGJQqsIaaxEbByzkAYxwGfTlgycgJpANA Uji7wcox6QgepHQwbwNwvYRQDHlAP+VUOWdRIGHLnfEgJ83EyAlvIFDmdiVoVHYBeQb6Wg6gHmL4 7BdpQSzCDYXT8Bc6dRVT5cYsfjcmdoA7ciilEQQy5qv7gxVax9KEOwjKyxo+IJvnWuzKbIAJKYzM m6pQtDBxuvFdDrK2wHiGjAj3nigg2PsEMH9cU/kNUVMHeCfTu9qoZatLSvpAoeOj0mSW2aYy86jr OqhuFIWPu3WSfr6qlg+M0U+OnyDqddkmvnlqsFqo+YgNzsG76EHCJ6xWmpplutAXQuIeFVUAZeIn 3WLBr5T1mdFsueoamqwpE5rF+jQbOlfmz2Y/cJuDK0igHXueg3+S9n0VegHcM5dBF6FWGQsmRyAO Q0qXnRM+3L7JPoNgPt8iyhXGtXFtuRg15w7Q5I3A/3enjpk68cmsNCeQRJiKMUHrpjGN/0hYXNWw xERiwZtneytPPJ3OHrFwk6IOwRNv2Shb7R3RAbfod5FtC3cThcgz73zDSmhnk0Xm/Y7wtgVEEpDq 8Z4k5mJ030d0GOVOG/NCBb25sMGbEqjTwRyfJUbyo/xGhDHNfiJIHUfjivdmqJnsgIc+Lx5r6eZ7 Ha1j6BAIPgNnDpbGxfCp7GYkHnrSDmtSMfNpjvRiDQYqrxqwyoXfIh3Dz94E9JHzAhXCoD188D5f N72YsTewpSDWt01XQ+K2I1rQxr42ehPY7MxHfOnpVZ7yrGY2B6wZD6Pd3fZk1F0CKfFZ+RmPO/l1 FQOtYsVj6PUm0+ff0SpgFULGehf+AVPUnx8XhW7s5gImRYa/kG6fXne6digsNgs1P+QcYOCubMDD tQcn/gyJrw90QFmyCbwEvcQ+lhhL+jIv+kDFyDZX5e4899PKJqMQs8X57a2hN0OV1Ahlg6v/9uBQ SjDT3iaA8lI7EiMBo1OHwMhAva+revFyHHjspXGkWU3wzud/tIVsK3wBr9mFtcTqzOd1YAVdysVS OL6dVDxMOtGAz5k+f0MSpXHTPinOPJj3G2eFVVy3mKToukYONTdSRhRD0wnDTVOeklRIwisPfgEl YINZHL9Jz4bVajS6jtWlwwgcsLfIRBglK6QIWVJxwCXtHRFZzZuOfgKH/6b/Ix6YPPj+uecIZpzF 5FyHFJKVQnIdaBuEBYCVjssIi3JgWUXHLUFui5yjX5MhbVdfxOLs8V7hwzMazvms/f58fF3Iykgf 7N86OxQI0WW1Awd7GcHZGkz5F3hYS3pAsX1MuQyaIAeK6dfQbXKqa0cHhuhNel0hpB6bJUGb1BhG yg73RV/oYBklzQm2Rh72bV9+1EiTLpuMZwFf8z82Mwu9bzGLlNA+jZDyclUBGD4Ql6apnojRz8qB HMCwZSuxNzOM7ZXr1vFh5IRhzY4ZY0Kvsqob8/e9PmmBYHgHzjEETuXqIoW2jZ5c47IF1j4/XrC+ 4SMl7eRbzs5hk6fXab//Kxnbkmce3iX5TQtwMGPpb5UteDYpSf9iMtuWjZhAnyW5jUBJIh6PW/yQ RLtWnzsEhvsQeIq9pUU1o6jHUkx3KR8AEn44FIePGJro7TKN9HZW7NK+kpwx5OA+finmjehqPOQ7 WNLCmbjwvjEiPqV+CXikzT/d7EVljJuV1yUf856WZzjclC1dGmeULZlX/Z76ujhOFzN+m/E+g+Ko r8jh3qKxlToRgUbDhnvMMe+Uxp928odXmMMuL8ooUBkE6ZQ4F2Q/h9ZDhQtQhXN5LzJ6gtRS0ZEu T28puQGfQ7+8Ky4/SbaT9bo7ABX8Enma1wCALBinYWNlFriTIE/6hJV8UIbqxd03y68r14YmKD4c ZTADZRH/wdsS8rLJ5ewZRV6a0+ULjR7zveHPfgQSZCe4Zy+CXLd+EH0WcCGMS6yHnA9KiRjB7Uqs 1oIGLITjD1frevDlYLwNpkZUWc87XEyKTJAcZc1UTUFvYY5CP4P/ecfX5iGoQU+3NbtIrSpieUfZ Bi20gy1uTEZAIZ1olz327MIU2hSS3PQu1RpNO4UHbu1Whsz9uyPKvMW8tdhsgThqetLAQEg0cb6y /deXEw9ksZkiBW8kmwxD4E32Fiwxlk1A+MURlu+Za+HoiZxvyrUunuBO9ftmikdkwNzyFQu/6gbB 4A5GW7aTIfnf/3JN6YYwQutUvUecyIT8gftxEWS2zuAdIZihlIFGsSpG6+ZdZ1rO9XEjFHdTjzzd 4u1Bl1nbpTDrRMAqAsMTwkL0EFNza9SotezPIFKJ6gemJHrIMNkNws1ttnh1XybDjH3etPFxcKsw j6lTOzrvBlYhZatVHThMqqGvhZCNDpwr4AH5dLNBZhJ5KBA5h2wos1rwcm+WzZ1K+1yq+Vrabxzu 6c8pudraCLrhnaYuvBTMh0ZSmB/w31CMJZnNGrCQK+l1q0BfmuI+tr3EcBC1Onaam6phATwC7NXV VkGBeRsQKoI8mQOBgO8selHLiQsI8q/0ZJuaFpdUx0CFLC3wLrIfeQuIxKPynZ6oUOQCJRH8Mee3 6ZOXFJ2fi0CQ7HDZ/vkbhQPDICTZwuB7+1i3oCXWtwVbCbGyeKmrKHCVjmgw9CzaXwQyq+OIau0M llstnw7BaEY1sIjCtsC8OgTtqX9QI/NqxvHG2orWsv5TotYVqQ/mEqRwJNYjjHAAmFuqdM9pxyQ/ PT5OAXXiV2ZFTjdC13hfmijDiB1QbvYN1K6VPMY4/GiiRvehlyTwE8kfiTcJJCmyjVpXSSxHnl/7 6pfQ1x6kVYvEjEP4tzBg9tkQrjmj/UDk6jvUQpQTBAoeil6Ub106u6pY9jS7bXpL7k4s50fwM2Yj taRIkIrUGLH5Oa6qCabWOikNUEcDz+4opmzPb0s9kETOKn/9Q8e9LtxkFlB0MM1Fyxg9AnjZ8iv7 lD8I6DPlcNG1ypTFpzXBvUkLTViUzFFupPBPYkr7Hw3w4S4ujoRs/Pnmb071j2TS2Iw0sXgouw7e QrJ22SgysYanqdkGeXcUFxXWIDGab7+gSblJN0U2vUHhFzmsD+REZJVZ+dqv5LA0b3c5pi2XtGcN +iWkdkvL/wjzI8Poy6hMcIfUYYHbkGH4VePTBNLg/6UP4/tHg8eOlUAdbIQVF5w/EsQJHYt6PM1Z kmDvVs6v9BTPD/aDEoWFXKcxEHokeB6SPI4tR5gfGhiHf1K+YfAaeO4rv96EOU5JipK3rpjuER5n QWRxYCpJlPdfq1JUk5LmnCEpkqarRUbPWaKVCGoJeczNDxxkMKkhRzyajv/cp+mOt1yeB4mWoMdm WDkidH3ISF76pX9FuB/2IdANFE/q4iY6PmHD0PzOOl2HGzaDMb5tY4WQD3sgdnvFd7pNlYLFUITQ 4QO4s7XDaS0pUd8svDl0QtgsixnjNuT2toSMppWvtZWb+pxwqK2/qOIC71vBnNMtoTYUdXBy8RBE LOQGq0hwYloN0/hlE8DQhvdoQDPmpuk8Wn2LVAuxXM/wxILAmkLi3pIERd5bDPFRXpNwgdLZfMr9 nSYsv5y0zNSthXq/K6S+zfAGPoNMpis6gqVfpSk1BV9VNuPHrigVtEFUnW6bVJHtMN9pGQozXsOx 64HOtZzKAYONtJxrJzsoC1c0zIoVEUhpK6Ves8+HAq++4I77QgInZ7hBzqgOXQAdLW7ctLjTG5rc 6Yl9b7YmEeKtMIzJUMtBbQlVbZjWe1QpOe+Iz+qrCWXlRD3eN/PJqFogsoZ8g0JXC7nhfN/I/Dqu EmcnloHovH1hnnC7gs0iXaOrphQjqe7xTeugBE0iu0puyMk1Onz80W38vlICqwzm4aDte3tDKbsK V9bpt2EuvfDqNpQkT6d7h0v7IDf9TBSogtO1dhGeNsPfeZADAEbLwUCbQodlFhoN7i1UM+WzJl6E Gbpw59vGO7/IJPHWOQohtnpHuy27pmdwKFZbYx4MJAC2FuUBHL/Fnil2j6i4IQ8YpaiziyXW8QTJ v11xmF9eOj/+tp4Yie7Nk7omBWk/nKuL0iX1dI22fGW3m5WbczvQl7f9Oy/zOubVGoeowhKqzo6c dnV9xrdRcD1NuGgOdM1guegKswXHFeOCWfWYwMFYXrZheDQ/Ac+92sEGWesz0hNRAS697JvjlqNP AM5IoJlUCN7LAe3rkEP9rTNv6AajbOdUQ3rKAjH/tYCWEB2w5WGb6h7GboOsAA0as778MPqussD2 OH+d1UEeByPXekAXDJaGbbUZc29h9FoVg6UVdvnkGnTGCGXZY62QGh6N30pXtmj6xdwMPHk4laMG 7S043V2q7iqhV0G/sOLeo6kNrjmQHReaxh7f6/vhyRSXhTXbDfNNokfNfGAvEUBwvaQCxohO+wif nyHjrc/Uf+BUrXR8klG1ZCEO9TRgQZQB+4dS+CHhTZmI/cVIx5TxPn60/u2zkjpr3gFzojJe+pRj H0oF+oNEzGuCcrWTmgxVlxUS1AVi1u/E7rFkO8hUvMgQOifpf7IChGQU5O6fVZHzyaQRm5k4Bd9j Lg9GdNNUeyBEiNyLuLoO2hdPOCMoeESfdOrfmMijBfE9hwpkfDtuqgzq7uy3qrJJpG+VSk2s3LZF nQIxWBvvixKd3MCpY/BpbxoDmVDg2SaTUQzu/tDtlCdSH8h9t/8ugWDHlJQDX6UBZe+59K7LUfr3 7UsINe0b0hJSaeabOX/NVwOhNG453HwDAKcvsE+0tCleyFXAAdMe1BVWh+8AMnGX8DUOzGTwDEF8 R7N6C2H+Mvpmo9DCymQTF3guIYcjVl76tKbWSVHHuN+w9rikTFQ4GPKEY2wAJIqmEv+uPE+/GwrB C7gMAJtnIkSvzTjlTeIz0ddhO/W6bWfTc1qiLOmhqP2QXpcDvzkEZcjx5g+W6yUF7Yn1kwVKeQZK 5TXxWVh0fFCwhMgh1NBooNGIZfwhq6HgGIJIAUhRe5FqDXhD7amQNRy+Yn45VrzsCOgs30lDbyG7 J6u7HRTHI6njwdSbqcLFrhjuCcG1I3k0TRo+01Hr3OeeLI8neCpDh1Qg+Tp/+9Ay1LcMmAQpTgUN uGMPpjKwV4b9YXImA3UnhDzPJ2MBuB8AzVwCpC8EhBny9cQUPHlHS+yiNAcvQcKkDhFd68obRj+A f3yp0gpZdswMKCQL5b14w3w4HlctirKO5KrrhQt5lzejjXxomVZAiKaNqjWmqOZwvt9IBZz4RNTY nbnzHEZuAWIo2UgBmfOPtd9vZ8EFYkPPyzCy7DXnoqxwtKqEHHbkSQYHjyFibr6O/CJi5MoLvcFi 2hypCIVn6Ga4JFZEvFWHG3cn4/bXRheeZwEfN6kcnl2ydOmbd3obaVHuX7Y7+oJzrHHZoeAEd+ME v5Hs/aNtHH0ft14U+LXJzp9Kdj54pH3F3Bs9xfOPDtKqfpuKZyYEptTB/tdR528Z7RsvDDQ2CIN/ oM6FNqFELqB9LrqCBqYg+seocDoRLEILoIF/SxdRWKHHnQJzwDjiKQWt1A4LYfzbMXUtw6CjHM7r jaRZKYNL7l/Kgb636IKYEdc3cBny0C+neBx+Sm5DqJmBoLdPC6ks2KIOX926s+e79ZWR87jBzRb5 gkTy//tZSuiZJ0V74ksN/K9rcHdxvNw9fXZD0ud22r8FG0ABMGRtbPWZCbZm83+8ileBB3bx9YmN oGaRTnC32680KpCKds9gOj+z8eCIGgZvW7XR/J8UatWUNDJFK0HruI5+2txlBSZ7SsnGruRrBOXW GKRuDxUcorq0ar18LjppUsGcE44fFJraKyNoOyVZdpyC+Cvdv0d65bpczwGXh0h0Qe03f/Kj95Nb Khp2Rz0XGdoa3Ikly5fndxrBqKkZIHNILpmG5620q8lafuhhoxqYDuHr+TNLNjl0B9c3UlG6Ix2e 8XHwqsjlp3AweAEwlhgzl9AIhcXqfvJO2EUf4usTjBO1gY71HR7MlsjhZpF1CcAKkIUKoeyC/FdP GN8nMsYecOEUtXkmSZ7ag97wVyoLdwROw1sogSrF/y5qRUVzbTdufDpFHuPXOPAw8t7QAvhl39+u 4wGRQIDt2aUfqevkQAawrBuYTg8eNgtnxTjSZx4r25iZwNPqNXoypDaqsNTsuq/tHq214eKQt9a1 IXHdSXka4TsRjkvBB4TmUT4b2qfk5nRMvAhq5d4MNjoonNW/meLhVRht8huAudVlbifD8YcQe0z8 AtOwLIWNRPRP9Ep0k7/HEOb2KHPmKs2x1+Zoi9owedDWIGXg6JXUM+yESEbBjjs15qlirm3g5sxx LPIdbaZ1qNA2biF8Ya2SigUkn6FWr5zJ7L7DK/hg7vIn2b9pb50mTD64LR3gtLha11V3N5yGKJAJ +hczI4exRv5zhIICJURzLCZJABbL8abbakeGRl/Mijd+U8A3P2lMoedlonhgpR/nkiocrZyKpFDH GkmJpQS1QfKJrQvNA3ABzNikFd07GsqKQtnf7RwI94v+fKzSqaSQFJtxpEbMxwefLs+VNxumxi7+ 8L9iejQjxoeyh6ng9Fu7w+Knnmd4pde7U4itGDTpr+cS6R2TTkcmrAZwQ4YqkkW3iQ4I8IgeIF1G Jq6U57XiSwSiHd2aPd+4a2G4zL1Kih5jJDXZAY64GYuITHvEWgrX8VtlJxS9hSY3pp8z5voZ0Ssh 9xUoyn/hatqNKqO51a8sKcnvBvtiSp3BzEVDM18ZeoiZ7ssmPwVN1VkuMJhjccEhrRqQ8XAzds1G +jK520F0eDsMEiWFZPnlC5nxsbXsuH3InSU6AeJhKMQYAxFvJjscCrcs0wPo/NbUgP6OlWiX60xr npAkcaVqGOr0jnCyTvfCz5+m0VfVk+PakDSVnNW+B0W9vU7CATYGgtBcMKBLxmD+LMyQZzfHpmcn pTVkx8sNsvQ546b6d0xc6yV5ha7SxPSh7hb/Olyqnwe9cIS2dVLa2TxDjpyec0F2LlRyXhFtF+KM p/CXxkuGTVnJcOsdR+mAlBpNwYrnSeYZM8wfglXQP4fyrDcd1zI+dhxQfikv8Vks07l3biWy9ut4 rMSHWAwe/TlyLrySwIKZN06u+qWkzoVQ5TIuqjgGkkrPC0nxlylMYJt8x4KYU9288oRmqnXn9a2b sb+zsJT6OEDWpMq6wkJzHyFLrbKNepSbp+/kU136uRxkLxzum18b2Y563SE13h+3u2Ke58eXRNET FDRy8zMcA//jp0ZIltFo3ZaZOF0L/5SncTurFLKNpCBpV9dFF5Hu7uu/16cBJK0E+AgMmStBHq9a o6LSFJr+hXGLsYDibay+Lw/ziYqF4ShhWgbimj51cluCJItEZeDUq2+OXHZxv/TKNUtUihPRXGye h7xAz8sc7h5FEeaT39Y7jACnfHOVZzHKfLwI9Sz+I5aXsmRxbJutkNlKIJWWSKcsKvIvqM4Ib6zf XF1D8tBRoZ5CNXmc7a68cz22uno81KqtKFd4rFzd+DF+/6RedarQk1pRfg5Tl2/qA4DUzs79wt1l 10q5XLlhcOaH/m0Fstw165IR8vJbxKr8CcFZgJqWIMqL8fsYb+D171ZY0oMIq2Enn/78YDGc5OPE B6OzfZ+mdkKCY1ug7Sgqx/02rc/8UuwL52oyEo8Tlek2XclcL6AxlRkNgx/0chUVJKVBa8mqF/3Q USjGsdGff6xrgJ3JlRjGdBTx18UswgSyHx+Wx7h1qy94avzVrtX0cJyKsJz4SlJDliB147XWvQnk cvkxyTDxCZB7YUJhj3w9ZnbZIMsMCEwg7lAH2IP8DJV8Hb3LLFiwpzJf65zTu7Ba40xMeAThtln3 PpXZm+TUTp/36yi+axRjeKa+DDGsLvVcgqmgWYCVO7jqjvlQIx2k5q6zMtnYgRs0h5vBmO1PWXfR D2IZ27/9a1Yi30UDS/NoYB4iF2ulQiSiZviGEICcnZLu9qFebksqKgqnX7IFWnyiwL1+mYo2B2QU Sl+XArvshznh+m097igNAWXx3MPArbYQeKbx5SiQrebE0MbYlGLyyVAvUDButjAc1n6vjBTOQOUi wR5AwhEm22l0g0Z13WnDywkiw6cXNjOh34gowyUaJj7urYPC54Gdjxp2bmT+lznKxIsejQuw9CVw dgyft8y5MGD4Dj9SHMmNO0xuE0EYOx//5m1s6uhIUJzzPsBuk1tizF0Mvbg9KZ6M6rxlH2WlrQRH SGbfCMCMSws/Fe+cKJHcxrND8k++ZIyYk2xRwsV4F0DD4ejfOOUpHtxHibjhjJmrFsSR/pypOCp/ gkgG4omVOj3JeKfX8RMakdhQ65vFKj0aqgRN7ClcgKLPtVmKCuH/0TjH6+Kns/SGfPBwoK3bj1sx 2ue6cXWNOAG5W58K9Xd5N5+m6c2rWOgLo4zIk9EEQuG/pZHbX9zm6FBoVrahQMfak22rkSi3K6Xm +qCWOK/aphR5ckN27lt+EhK5tnRW2QHLWuPGeIOVUdgffTKyS3BvIOMF+/VHOUcyj1sJjUEV1W06 7BQWUj91VvZ6+sB6hNNJa37aMDh3vv8yHFfSnnwhFrk+mAkHJj3RWXmaHA5o7q6/EioI5ivkUuSZ iPhMFxxKeclbhgI5OX263Cxjfoupa5g8w7U+GWid1eD4o+4XrR9YpjgRNhEFBxEwANZU+AysuF1+ vqcYhUROAUeBGDN4uacCMHjQdLo5j5B1kIzayCsIQT/SCK61Jn66GZ6RceyX/rPM7UlHjsNR8a6e iuoOvZBsfhRyZS8W01aokQZFLzOUaZjTsqDU+av8eyYg9QDP+yT2mWUgqRXKvKgkyMp2dlPNgHeX rkCp68ACE2fTQLCoo3GEkp/65kk2CRNgsmATmWt125Fh9mozzxF8+0pAzuPTuivbaoK/uYDh55J4 1t85ucb/wjXk9iK4s/kAhFJLeizUMc0y99poP/BDItN51NG2WCTa0l6z3cDYwoSekgHs4XJdPxW1 qUNfyAsGouJk4HvFxeoeyvxr0oBNqcyu/N+/ZcsgM7cTAdT9WPGUkOjin/yIQDbggOO78u7Kdw7J OvHT/9Zer9LdoAO55xCxQDVuIG9YLQB+oPXbZe2L7IE7r39X1LSRXNBcu1QyvQ/LjokPq3bu9Ybw 07rMvhPpc0d6NSq7sQJ2S4FJTjAyyh60N2sXUHdGQk2Lzoxs0FDqFbfkqOSB1DaLbiTfWdmb13FG M0JsOLv3vo+QBkzm2MME8dHugng3aE0of1FH+5TqXSio7qrMhx/in6uUVQ4T2zgij8iNMj+WM+58 /EUB5pxKqwdnv4m5oRseHRZJghzeylNLpmd1isiGV59hQyfX5C3ule1RgGRCCTCHIJRnsyOypoE1 v2z2xsC39xVJdqUKsuNsTe6ik4SAntmQllf7c6hlngwtlcV8y23bVpWZL8urSGjCSb1I9O7Anb98 d6OfxYqz69O81vPnlM+WodC/WOo8y4xKf37aUc9U1MnlwwiCQ85+iqloujKUO0UYJyw4QRbB8dwV F2qEghExbAbSELDmV+3YJB/eIBzh2l4UxrKhYoh3RjcgfrSk/9N0Ze8VQ1VGUeLxmiAnT04kh7zR GODLo4hNIpcp640So4AFSdv/mRfZkrvsRSqvv+8e2Esgi5yiouXzMmuklEGtTPS/yEfA5Q0sIabK rW50TMbJ6k9SaNlxkX84TOO4vTqFfQap2H+Svje5AKWU2nTSHcij5YDoBbup0xd+ZoiwkHYTiDW4 r2kOKHM2gQMN/+wuGLhXw/t8fEkrpU27zx07vnGy7ZMnuVi+2TzQIKsSpeZlJ2A+Ecc32Ywzfehm 50TJVt1Yaz8IWGnHF+As+DvAm3gdujgXZWrDhvLgxUwj4euzEp9T8LU+VILCdTJ21MrAVfBQ3ZcP UPzwMpDJXewtuwk0a+7ZOf1lHeQLl6jhufKv85w5PPJ3/T4vGy8EnfRWCqc1emZwxySPDGJwh2Km YhOI2gSQ3rxgo3MSdtDnUAWIXt97GfmrEyZENZ2RnAiHpFAPEvmDGzUi57aMoHnc5OrA2D3ASs3W ozDA0slodeulQ3s2izR2ABO0aNxr2cFgsIKYpoOvOhvoM0MztK8v+kakPtw/KXjtfEnraUYONvkK 8uMJ2nTMr49Yo/LQWx1N50qyyws9YY78g0m0OeBQ/ROapB+ptiJ17LI9fPwh7EUl8DEnLDQ0slRO H5ucQzgtBl2b9Nr0ORcrUeXMC2dX7d5aYGEalss0QzqXTcZUHhtD1+Q7jPU3NzTrX4EooDhm83Jw swlk75kwuan4O7Um0zkiL5EazSymzH3TgZO+8lUEMWt2Red2NSRpgZHJ1a0W5xx7na3XyeM6/7KY mlJahTNDZWa0UiRwQGwwGmuYzGXhQidky5yZvHSBo0RcLwrJvMAFZ4D7s28eaFslxAn+ZMs6HY3Y UrVjl2Bmfzh6P1csb6XT4eCrmKNE/crFbcUtIWUt0NQ0dXpjMEQ6aM23NFFJn2CQGuMrUILERa6C SzXaHiMQsxaAVCDr0sPbiaqiLayWDaoE1HLlEVL3QiiDlC4ZaxQe3D1357XbppirDM9IiS71jCMO Io759dWezy6KP1R8cX4JZqs82yTwc6vGtWxsaNMtK5aW6IGER0wQI8pdBIRAJ9eMQRbkzkaYKwr0 UGfyH4LFtklwdCKyJiBIkMLeB5BBhsPN9yQpPeSf6JKOEIUH812zi9B4xvU7r9ORma7NTYTHfhwa FdpM8fVmhNN8SOoNdYT1T7dNeM3DmoBxUSHhG45k1bOLDmh3uGHbcmrAcdOWp7++D+V8FrfnSqaw VHMXCBXNS47rcBvVaklADcCWhu8BjRxppR9/R0Cly4eyDGYP1ZKQS/sn1zFbMwEFffiG0XFwtP7Q xwkm1U/8IEsO2kfEC72TpTtiZWxFqVmL0661zUoUsDfr/Xa9LHNeG7Pya/2LaNEwaQA1OOfgH5uJ MuQ3PpH/3rkxKjTy/GfjtRBdhcDlRoTh7k+7434ryB0bFvmWaUcOhQrh9qWsfCZpy+b0jrt0xEBS LmjuinwaMKM9MThmKMFFpsAUaXcMwZ9s1xeyyw9t/ekfgzH7p/iSnIPScEatcE87y0HrYRC79wbB 4TnYQA2P4jisIKo6s+TLe3qwqett2OwhcTmCn+tiUDbYQ6lSVavE2svvLkscCxr0QGOL6u5XgoRb U77J/dqXEgImBREcghoPAmwYvADjJvpOagqg07u5hFk0SwHIRg0VjcdnNSMt63KHGkAjUKyOTDHt yVhUlhwW7y7AdrfEJ0ALEf+dqUsfb3lltZNxowWyPpmOmP9fpMe7wzf+DWZoqadwFp9GH5tGpAcA ge+m5YA8q3Z9ImS72EyjPXdnhtfcp3ScSVbNRfiuJEUVpY95eD9PupbU8/GU1BCYltN7Ifma9VlA a6gtvO2bxCOC3UhLSvSNOh9x+7s+7HWOyrKwCVGhm43YG1//3Fm26iZdTX9BLSokK+xwp36u+Jg1 tzrqaRwAH7hXXaX8PZRJpGjFMN/RCq1is1ZOpyZ/nz+JuWph07RYzezp192S5j8xEyzPmeTlm60j cswFfdfY+CwTpXtpCU0U7ZGuu/fHbFc9eHQwpjw1i9SuIUkwO+h5jyqL+xEi/wflGacd1Gla6Rqk 39PClV1bCeeeEYo1WSEgg/yf46JyPo6eQObGgs0cMZXu5sx8P1rB8KSKCeDcvC0PpHuwx2Yw/tng OUaWzgKAhf06JblZ7wY4g/DR+2mjb9L1fKee8WmkNIhlizLJawmFbfNFtMygGV+Fwb4Yf8AYkB+p Z09B97g9QoWwsvCWwS/6i51ZOwCoUj0jR6jvMojIEPrhqAM2OHucoOq3wScfBc1ypCuU/b+fR9Tq gVKNJCAYF/l8GOB9w6dD7gv1XUSv8UnIdomKP9BptyROaJG+mjAD3yFbKg0vIxxDdka21gMVy1WE DLlopz/R8xZgnwJ5MXrAGXE4ftGAoihXDmxHLaMg/cEmR8JD9ZtDwRl0sAqLiwtKn6O6qVHrPpOP cMkFsDCyQpqMOun1iRdflROEEppqsFqKIMMMobVvis2ePXPyFfLYc86Te3EwZRAHTmBpRxfjR6fB vb4aWu+AZBhj7CaoHC9HHqvJU1R7Ix9waP0G7fs6r6hncTOO1rzCwHyjq8b53VIuaAZeCWTc5XQY /2GbOIPXUAvKgG2Qk3Bucj7bADOd4OHZlXbDBOjxr/9oAyy99tbxMbZvrEaa4+N+bqtcBfRY/dnN r7A5lF5QU/iMBm32QsXLW6hS9KR3l7OAj5EXu/PoCdBmqdlCmR88rRL+jpyw9U5XzotRlKESm3vr hVvPaYbJarbWE7n5ni9OetKWJhDuC+4lcDKDEvDksGofe6mG8vltUSyywoAssGxvusblT7vpuZrr 17ffk2cBn967rv8Mk6VKtUltQXzoi3ckivilJnWsJ36YBYsMXMBYvbwP2A3xjF96v+Wbu5FxdgVu truTSrEEaWpg1yrxv5deZudrYV+iMbItGtuxAKo2GTMijYGFFJ2jRa51zmdqfZ6YS89ZFvWpKjjP NbIidj6SepubYFhvJbrV157fHma1lDVbHW3ZN9LrCj/YnrRKKvV3uK2ghb3rmVs9ML5MMyXXVzkD i3OBqdStGzztB4h3zG2arddgw3Lmi+FX3g3PETtcaRFiiJbSHdKXqU8Qw2uq+/gLfdHwkjtWHPIE qCnePY66m6T286WJwn1gWzvMq9SqshhOo1DxQmoTMqHGtBAPNqbDJJ3K7YbnaRb/H+XH335SlTsJ CQ2I3tQujfRxBnJAT5HtGQkpEQ2+gI/t13aVdLS3Bn62uM7r3sDqygCM6el+tNoqgIUNPdkLl+7G TEz5ieK1tAk8+MkRWwWjKarb5CAL73GERY+U6IJauXpKuk7V1dgWXg1NaafndMR4vNtghFlT8d0p PuE/KE1+OzGvlowLXEWtUg9vdfGmmm6whCNMBcVjM1+AvoRxxYogoZg9wrDPfXBi4yCelSqaRCWp 3GYeQDCepu3n1LmJpSedsHEEglDqK8Y8I1V1gh5+gcDR3rAWAGgblBfQoHWys5auiFnhh6X+AvwG kCusYqHu3lzB9ggph8xeCtc+cA7AmXO6ZccQFVtiQNlnIY/SV8TIqoCH4Mke1cbBcj8alehHuWKd LxXTLz76hxo1VpSlZ9z6mSJKvjcMosyKvBK5aJnwDNpkOL9frk32X2Ov/uKTqNtpAUn4RuR3F2j9 WYeWV0XyTfse0x9UmIbMRbPpHyWKe5LcecFs/DAq8hCjyWF5PNhS+zJo4/leSPVnkHd94Cr5gZaw nWPRxawH98mbAz13h/rwbd3Hjyk49SUAfROIanu8J9gx345sjkVenOUVgRynhUKTA2QCHPA0m73Q sGr8xesEJ/hnlzINzQ09XHc1GxG6x9RchpzVxqBAr+DfTqkNYGYqVCBmOrWHg/NI+MhDyUvQfdey WPyooncTMcMJSoYDgKDi9yFnj+z4f8WcvavZZphOXH5/BJch0g+d00LpDQhd3TT2WnXbYldE0NKN 4exLAVV3Xftd9Y3jwb5sDm0lBfCjIsMCXIE1m4zd2H6I8m8ENrwS5A+Wg7sxqUIdoxqUMDalE4la DeRiZZbsmTuwKoh2u8ekI/8/g1r8ShBiV2AvOH6idF4z7hEs4YYhfqwWSMdT1otedxY+aYFXFinT FD6/VyOej5qDEHfYBVArEjwwsOptvg6eb1SEhcMHYC+nqxJIAgUpo4t5Wa/+4FeBCWtp+xEHJ26z 4lYWNDswWCUtGbq0mepZoExMi4muM/DqEl/z3dfnY/Xd2MyxblwcKqtAvLEVLEKIKDJwWegohdRw 3zuPZ94VLxSi6twPLakWfvqra52/uvuMyqJpDXxJ6xuwmcDlPAaCKyxyGTOm/PgK3m2b0/iVnvv0 VBkF8ySj4IqRW6UH40F3Zrkmvc+8/pD/r6k9tXLAx+QfLBFPV2w6chEPpXqLFYe6fBzANgAUQFpp JZvpq6YZZTQZJ6KsOxztIxsFU24QFk84kGp09/qbPuGKdCGoMI2hSF8F6AD4uMhA1If2sFgUytgs wnMPed6jfO2c4XR9eJdEyQMaFAAQ0cgTU93jZXzqOGB2mjhiVgu0puO9qr6vK1D3lXba4JB/qXPS gxr9CrIjAuOltIBeh9UxBToEaDTRUgK+WFyCPY74cyf/rt7DDOXTy+brOiOA6RlTdUwemrqKZMsm 04R+9oyhiTcqcyl3S/bVwqBuP8QS1X702VL7uiNjZMZyjdHgM45u0ykv4YIoCQdDk97WKmX4m6P3 215HYgkNro5Sie/gftuvqY6x6HI5w5tWh4ejFAGIE2Oexgn/KxkZi4je6++em6biBUssXGoFKkrz +kvUD4y4HD9DGQEnrpvJEs9HE3TvnzzxpjxM0GsOuGm/v/pFiya/XEnpz4Y41YhHL6HXfMDNJAUg njYgQIGtESK++KkCZlm6KB9HpSrd/iMaRMmRSePVamGpXsE0PQ/6EGU61Yt08mhnEfUFdESsKhsC d07nZyMIPgk6OcFWjmAepczROop2gmj125IeYm/voshR58X+DMENTcgawoc4UMwxIFaO7kO38hRF G8wdQIJPqXB8oZfuFoeg2rztOkCf0RaFGMH0e24f+DfNGoozKnOFJ6ghhVHYjcQHDEnmrRouzdy8 JkMNB3X6+/N3x2PHZWEhd3BCjLva1IkCfsb2KvoBYG2eTv42jbniyT19iC17B0ZahUEOT5bBUtKw h5GDyeDL3JaXzUYxt0smPfa17ccbz8g/l1v+hoDipaRotBAZUcWI/V+C8WiEquSLjrSRNuShh8tq 50DplB2XNQZG5qF/abwHXOfB5IVeFKP/P2u8Aa7GatSeVjl6WIlWrWNAhb077RV/toZV4kZxbco+ s7q1sZtvkgSLKdfBweqwcT+gKe0X41Z/CaYwWyaLH6bC1oBnRCWdlpJ+lS4Ci/Qv2UVARKQ5PjgJ l2LZBIUrXdDUwtaQntXVdoHhl+rdH3mAMXSjsMBR74j2WMkzlaCU0wDg8OCTqpx+8+NzMm25Z2bG q/smS/hWBiaPRwzmSLkkIIs0vnhS0Su9J0Cd7BCYzHgO4Ib6vNoYLAvC58lWmifqLHBJDbqz3CF9 mdUGfgKdRgIHYmBgBT4oeLuXpgCL+ceDbUMOaIOLAosW1JrCJc6h8WemqPugsxki7ited8hRcwv5 pzwLiHM7xA4v8wYag55n6fGStL6ibPK4gN4AO/RMr2mmAePZLF9xAB+nEP4QeBghnMsesqo66gZB vcpJQcNovLUGvdBQPIVdCuTGMOLLcrJRSZkgBJJJFc6Vg340AoiStG1o5vjQROrHA4foRSDzA9dd Dp4yPIXtmqdeJebcL4kKbHyLhZQxmIrQPIlTrRTuSiKTYelXv2ZmTFY5jEi3xSyZDzwXY+m0OGDJ +GPUIYtvQjZxmtMi8t2pyN1GTosl2m+nAuWe+EOm6/Ah3AigO8NH/CzWR+kDWmaP/FyBFqb5dRfd q9XkuiqqWmjUdDTuI9WWPx73QLr9sfFAMDkhkIxDWlWD34gu8QGprcdrvYsMxLbxmrjsEjWoK/XO dYF/+uYb2kR808/fjuLRvyM9rzBW5gS3kD0cieB9NIQnF1GNsTJ7YyKhj5vYUUl3Ri65s9N1Jmyc Kij1yF8jvThfF2aaYlOHD2gH+vYA2i9jefYOi0piU4T84VdOFqHkDMr0NtVpBu+NTfZZBWaDw2ke WszNPvzg3Ov+E6NrH4w2dEVuni0DforVOYqk8eWCaX9SeIlzOxCIUevbwIZ9/VQ6rYGbe773jRQw 7zyVrjbNyWwx9HCqmFyARXTBZ3QEkzja7ulGyRP6h0CR6LV292Dm/DpPWL0FvJnexfrPRx9V9JhP yODMc4DVZKwI3vLbw1APcDXvCCcexw1wJV+W8LDiXhfebSPYs2iniOSwxh4yJ2uZqW4safOvQwvf 535wnJlvSylCGEIEqKTrHvrZvW6mEWrGXeuXx5APy5X61bxDZwI5uENd+RASCi4ZSH0wBfE9pOMh Oy0TUwzcVURDQcvnhkdsY60m8iEXw9P89Suzrfat4HM9qIeF/79IDTodGtmIaIPgoC4SAaX3uHfm zpFj93TEbSOdftJuh5jLJylZiMCqDdam/9+6oVRrlJxGWPsNOCXusGG8QkYOKN5+/K3p8kHs60dy sJCVHaRuskF3+rjBUkUV4W0eupFITbTAxczWRSWc+BR+c74fpl3bi125f9QchRpYl+Fjk7vKuSxc duMON9xQu8DdqCCZH3PWrSl5Cqmm8T1yl3vtbo3tzzbN037WWqcpqxAbWbx/JhEJP514VcTxJfyz 7WDjzzZ0ax85Xqh95K/ABztUXt3PdkpzgnJsuAkYMp5GkzbqLxeplklJvw9739a8Npj15Rv/aGx2 29Y/ZmGbjDcmH+snn4lABZA9qX51/A9JELgzGurBv/XSYaeK7ccu+0JgV9z0gIhh7IyDnA5qcvl9 i5QHdEx9Bmn+2RfxibMO/uqyRv9J13FzK7X/UH2Ze7Px4sDz0ZpPJpCnQL4jjBc//tg2WctzxRrz 98xxDmCkFbwbXDTPevEku9u4DIT1t0Ga/1aAutRxXpm0I4OBv+YOIj23i52weNk9rFJxunhrdapf bOlsRIp8oTW95kznBfumWdiWHYAjvSiMyhjb3ljuvint6d+iYyf4j0G679vb84ajxkpV8km6M2MW q5YUsQYTbwKui0WgO9ZFM4UFNiUkzDTU4BYs5mpYBaV3GC/hLraNqw7fmuk63jN0IOvHWuLFI8S1 T6psTOTDdwJu7QKF8LQF0ahg2FBbgEWyJzu8SkzckHBKaPQznMwS4nr9gQHjOuSvn5qJC6BL5X9d zVxIQaPdxM9yOZQ+/Lkz1xYoObLm525J7la5VI/xXa45MjqcMme7bFBgDjDQHBENznpJmfuLautk WV10KV1r5H7BeGGdVvC9Y5+odg9+7La5FOadMlb7vfgC85jgHRoCmhosD0U0eTMK3c+kPPDrPwOf Mxc7QK0Oh8id6c+yy/ua1AtW+ahNKxiFwiVeSLBmjPg+X3PRcFvEEZEK7WS5BlfG50ul8oyhW90g yGyJ+Sp4uDyIahDYxjg0go37nC4uu7DxpLKKs+T0ax0GMgYSW39PtP9ZJGwHGTf0h/o6NW3lzaDR cYb5SsGOpSOYSi+15GwXfAXkbXvE6HGxARYt2xiNYSbsgk1fndChZ0MxxKNHsSZ13tnKp7r9K58T AfEXnBSISJmrU24F71mIp3ovj6tjqgC+Iy0A3k7P7Xh3A7s7E+g6rdr6PxErfWjFNsz1QR4dFWnF qScmfWZVuQdNFTvcpeFnxoKNXlwY4uVY2vlrldFOGdNSybsL77XMdht2Jbr19TXijQGyTeF15VHi DmPg9L/1+qaAZ8JjytrOMIq4Ay81oKjMHHkuOPj2MK2ln/Qvq+sg2Wu2G4iF8GRfYwhI9rWHeC/l m6yAikH/GykvPWYnGhlmkz9HX/nVhy8rWhLZqYTkEqF6xl0qIKMJH6hFKICvDejZdbCtgwWLsQs4 5Zwy4oa2eeeXb5yNufBMxpfW1LkAHSjTjWkpX6t78/T7aG4e3SHhpTXdnARqLV61nficjyasnR4X zpsLhEOmrrsJ8SQyJrXZye7jIrNxA8NY9GzFir3qXypGFMfqZ4E8XqB2E0s/JOTVysPBYWpYhXpf OKkYzuBqCJCWoP/kD3CO8qjY/HYJSJAMuKTKlUchkOyW6VMTnicO/FWEGw9eij806etGCleBCwu9 8LJOHjvaBo80lqdhmfQq06IvndrFAtj73CwXMGTLXL7wSxavCzmoTgaH9ER0Og0gzrrovtaRxV9h f5nNwgaz59jcjUEAvW7Yf51IHxr2L3XDCJbJWiKwb1jYafH1UappQuens6bTC5mUp5nwrIi7QIBI vaaj4Tub8Cb9Py5PJQtLvcl6V4OlQqpHeABEnZiHaG5j1adEoROfaCzOzA/94OpQjAxbnMYic0j3 E2NK0do3DhIZH410zXDzTQNjkmH9tPEgoRRXTLbiONB3xvV3hcU51aTMQHToyvyPHcZE9dN+5dVZ Y3aPFQcWGsIIvz7fnBtkqbjWVwfyPU4iZQZDDSA1AJWiX3zzsMAX+zgrqKo4Zy0Q6hEHeO/XSiuC Nm0l0BJdXF5I0/mD4Lm/54FEraSVHPAo5+xE8pMChvOrry4/Pul5czf6hHddzmmLWuryrHs/toz2 EMG8xYJpQGtVYANa3jy4w3i4LnpDIOBY/7M4dy9G3986Z0EEMp9P/YhhH6knUfzH1VH7N/1GdlGp nookdgfbThgSJtAd7zzCm/8CwOVbp0ZsdsccOcs3YbvR+pooPsYRyLM5SbG5Hzwk9a/1Y0fDqh0W 81M5jG/rY+Q/+3NmhIKEqeH7BgCVBvFOVNtPLERgTME+P5XleSkQvw7kp8ANrawYP7ucNIJw6NBc O6f3oUsR8VypIf5SKF12GGuFULXT+tbC7bKpvhPn7HrtWTRCP9x8MAF0IzHQzn2lsFO3vtotypZA +igM6NnIghwbVYOW6rg+OKcx4Ry86gmHiYV+uC5Rh8MPv+r2zUw/o77jousWJotBueBPPcKbpkuC 4OzfCNJzOLy/kuXMjXgrGoFZO9r+HwOY/XWh2Ew4JQiE7BpCBt1pZLZhW084CG5w6qQ/uxIrKZpZ kBp5K5/Yng5fcxqfzb/Px4HVIA+lD1ug+XDJPkB6ldAW6CKd24oAkuz+FpYoIlEase7HG+4p2ng1 iGL9027a6Ww+iMgWh3jQK5xg8GXVhjPCAzaypst2qK5DTZFkqHmsrnLVmxvqAqXaIhEYiRrizrnT FJ/02siNb5PfhcJz3oN9dAvI5U/KR4GJDvmnVbi6oxtACBIoxetjzzWopX49AA36x3d/565NsjG6 FUjg5EslZGtARxbnPEJBqrALXFvTfa0Qj0MpprZnUEB8kwh1+/fH4yL9mPVyvPAFi1sJJ+xXLzYm M9c+Mm0SjTaKNlEcaA2acSBSOr0irFMQGiphtFD3uStyFi39BeACO7PjwHVS/ofugekYNxgoTPDJ US10hm1gEVJkP/vGOXVtKSq0G6caQcztJwDy7my6mCPhLrGeUROfg7imfEdLrFM4DQVzS8xIA7M9 H6K9kDbl8lUjv75fe4qKgz5kpNqUFHtM/kgcrsJnmUlgydkz42i4wKfBwi7bSYyiMCZaJ5HOcCLq t6wAm4J+M09tkbm5+sMMpsT52j6fux8buqiq2/itZO6CxYBcP10HVr+n7/5w/YPl0G4u5BKjgky7 Pgaxt6Xc5nqIOv2NTS3z9kz920wrgkaciGC0opUXmOUrZ4SH5+2Z+Zf67w3uAISJWdyrmTCqadtp u38WXCRDuTl6RPdvxORqH0tLTEZA5HJeNEjIUds7huX5lPL1YJVgT1bKWk3H2+5ZBY763Fh2C/Pw ngSuiP0SsboWi0Bjg+wNgFeIXo1zayfVlEdVRZv2IuruyHwoEEnb6P9gJ8pGwZy0VA6YyR5V2/fN wPHVlFNpr7TbCuJS60tCbjG3ejmalijCKIFm/kg+LHYQOtxYVLZuaVu46mO3gvvXvck8YNlgT4lH D7QMv3exAXSfH3njnzT1fmhXzHGB7asI/WTtXm1k7OKReYZMVdrA0oXbtvHL20S/CfsP1FcLuoCQ GahDQic/n2MXHDaftT7TEyJb7QBUDxoygVdWiM3EX1I7fUcBcID4SYJlVTN7JvAm4yk67zNyiHTv QXGnVhTWRKZDXABFTWzKiqh68MWl/IyzCOzFG/dYX+97LEj2kUndRlrrOOHC0l0yoWoW6Hq1em6q vrduwllXBjomath0cz4yfOee6m1iFl5OrtIuh7uHuN4ydx/TgDa/j6qJcjRtTVDbhRrH/nkGKwvd QrpjtRG5AOZJWEthRIRDHeFVuIUTbKrBBNVrCH5aaw0U8khWg2PbAZLNKN27GaT5oIovxfCGj/uo OTMS485dh0BmJH77pXijb1pFNjf4F6MHIf+3YwcC6pDkyKS5fKXVhlmf8k22aR2igU0KLM9eFWto k3p2eNZKwQ1KdjVLnPTLwpZLRAftY7jJCXn8bMJl8jB6aGsLvoRG+aLmxJYJ3iv/aoxu/GocPfj/ lpEg4ZTLziKlx3ECriLyxq1fZ5SiIsQolk5C+IUQxFK7+WVgTMqcIsEbjhPrGiDmJfevalN3kIkj x35I9N105fuJknaKmFM9ZDgX9x4kZT1ATt8qQwtTvb8725foq7ybq/EqlonpoNJJtZpeQFuhNHK/ 8N8y8r08EtXoFYrDaw1RMGCBABZEZbnW1iFDqVBca5L7Dw5ncCbKNDJ3slmyLAsY2X5vIs33BeuD pFEEu0qQp0oZZa2n9E+XmthDbLT7zadXiSY/ujaSnYelRBrdy21lEMDA5f/GNqXZ2KxB8ifx/czC R4uYJbIhPkr92yuE4HzVk1bSDn8wfhbDhrR2MKR6OxOleI/4JTh+Qd7QH7jnmDMPk2/eEsV0xShY M621dQGqHsl5xzYQGe57gPO2jFmnxjKzt6lusxQzDT2c4E1DjDfhAIgHxUvMwNGQGYSP+fYlbuOX Dj8l5TgoWJzws30FE5+QijSytmR9vZVzkCXNsqXcNEOrG0EIeK+J258wxR2TKK0HaeSlyIbmaH0T OuZRcl4K2Jxd3gGST8y28FvoIE13wKvsqNDyYVp5j5BSLGZGIfrJvCVJw+vg9zis8CP7pPAr7wx3 qoBvdtDM2X5ZRKuUhhRjz4Huih1OOLPhd03Op3yyA71V5rzU5t0JzAK6x8kT2G9g+MvIGUGgDCiI RJs5mbgYUIaZ8Bfs+p5zefq2IiGIRSYxz+C0toERk06U6+6wMP0C/4VBTtUN5UUTXnMQBD/n+tTI p2XozK+5qDTbpsf6raCKpgT87qGG/MzsAdkp8q60RYoVB0dT5nZVVHOEwjKfj0lEZRru1GwbywbU GfyyF+mK6S2polBXdSGvT0CFRavNfAwplIHMd2FGn2WaxWFhPNiHCTJ32kZP1EvShg74Ojn9gPAe aoBoELiTC+REQrGGqTBgtl2+oyH7yHe9JD6YNG2CJqU1px67Cc9BoQLJ+pMvjlbNgE0sCE+EvckC P6s95DuqYzA4TtfmPChGeFvCPMHz8XvLFN41ILTXv428ShZykAyVVh9SX/TWSJ7yvy3+gYLBF4cU SkZvHMP/1joOWxorayhw1Q0ftt6MzqNahxF4L4mtW/3p6JqGWqeNkRu+CzPpH5+1Ii6k/dkH1WJy p4Xt+tZgtdadOuQpZ4chopgIeRGYLcXlhVlS12RR2LzT/ca+1XLjlrY9kGH3Och24jxgWRgFWtXX cG4dm/BqQElw/VmYnNl3iOY+HNuwljcs0/YXaT6hspx5bGcGVJjgxC4mG9dsn8ygzUu9n7bZw/e6 uTjradGQxC7NZ1AwtiHMZyiKUJYfzos8cwpaGcAh5EyUBU5wTTGVJjFW2DJ/BoHaNxXk4D9UmctG M9B3RetHQ9xardHsAywg2nNa4GTd5FUXsUTm6OC7lyV/Ts8ftrybreTHKnG7WB9uv3MTAccZunXl /gfAH6M6wOZRCVowkRsR8j92ARA9/5evY5JK2pgZzt5wtQu5iIfWnTYs+omDOSt9w2S3Eh+IYX/W rB96FiTqcWunTRqxvie9Z2npxn9L4KSzKYO9vnocy78mfQ2GOchL2Wbeu3hvi5hp0Fxuxfp5Hv9l 6rn0Rcavgl+K7TwZ69GToLDMcPYvr3AW2BTiGRW3s09JoSQgaY+rySIOSP2lwGZKd1xnnPwJ1i+Q 38VTlxfyptrIsbVMt9j5+3qEjGJnQwaSlXx0mX57gmqbryUq1f63JsWQ8duzVcs9LOyCzIiT9OPs b733NW/bL5QTIWMc02Xbnspb4WoTE8BHr+EBlTT5AdPbQnS/ls9p36qQhuqxmc8xeNSV4G+NI4Ek 8W5ou8twXeoskanMANLs94EBX+KbQTrpsUEs1szr29r7kq6V2Gxr16CaAQoecmDnf37q0y6jwGSZ +qEsqmcoGyfw7EAEow4Vp8oa1rf4sUurX0FE50Q/I5YOQLTvm3KUKB5YFc5UkMXU02iVKZ71UWve +Hx6GxJQ4W7e115G2YrFRYcYlUPjYJipn+xflHi0J4S9eJ3J4ymZktRM3HNWjQiAjNhyZZ+Z0gz/ Emx63eu5EJQruiI/TbwAvQW2jIIlTOZp4fptBu09qYDyAVRJ0YooZCERNY8bJGJmDtqk+V9u9Riy 4OKcnuFoJt6XCEsjwSSgFjhsICpYxOW89EtOuzD1FKtP6AIf5qZAOcKszxHpiAc9OoKUO0O+0gjx KgRIz/9Yxmt+DLNibqqMmPUkxk+pYBoakl19Bvc4j6FjutUJbe75d3IM8Ul++vULSTMbkz9zpGWB 8rv0guwP7CgMIBodcYueewQzsjYNgCi9oMV+2M/7CAPRc52uo5bQI15U6Pq9bclHi/sdkYqiZuCk iuE1tMQlCB88a8r3GQcoIcEpGLVGSFTnLv74UEGhY5hQVL41yzcOvJqdogFtV50Mn68qbNS7PFka jLgiDMxrhR30TksxMcTBBB07XV64OsSmUK270tWVsjIy4PjzyO9kEwNZp9H7YTu3Sfg5E0KOZhXV w59VmahFobEFw6qS7l8uJl4z2XIjSlSBH+auJlKwYD2KUg231YJjquxeFGfmKyvZgeOJU197APFg 65RISM4muclhrlJQdMyuuwhlOMdsfYPH8oBjaAtTB0DLRVgtV1pS/RTaX+Nv+ona764E0e7SOjpW nW3Yd8nWU3gObhrdrX2O2Q5OT7rujEuuIf62vOv8UddXrL7pCzPUNwuMBXV1njIEnWzoojbAMtbR umBSOiCSzAD4jakN7/FOndEIvwSdG1sKxAe13q4oK2yN6vKo5E/3MYfbgI4pElvoRkrU7E2i7oAr XHJxqIJGnSiF+LEx9WUS2/Wkty9xak64Nf1dxjyDH1LewYjkskbYnEA6vT3OHbHkVkTc/lQR5JvC ZMZopvyMitPjAdOxYoSWXuZjBNOcYPz6ahxUg7d+ijty9GZ6bSrWmYap5ytWjlsjQLeLcF9N2BcM /Xd1RFgbWnMkpBqBwv9Xeu2gj44hfn4H8n/oTxLc+tIyaiCxSmU8ACTQyeZyqyVEG3d9/LVGmCy1 OPtJRwrld8o2qth5MOsYMsnJoQm1gZ9ENag6sP9D11GgVdCqWX6s7tdSdsOvD33DDcAX/MBPvUUV 8RvdjfciFdKXjRUn3jaiKfBg8AvVgzWR0d1q00D6oF/hLj8rcqiEEzKFIx1jtVY/ZiklM6og8v14 UqoqZz2F4Qx7W+yKs4NgDKO2EYI8Rb6MdH78OvCrkgOCJCmOjDh6+BJWo97nff/6q43Teu1CHVtR f5PhEi0W/1kLuwi7jPnSx1spG01iQ+2ZSa9d+qkeBXn2vMRUMo19A5HXPx0ZZ+XDI2jrEOClJhTT QivfScvblTmmyasEcSssrmzcmlKWoM4qFuJKb5wdIqc4Z4/FpCCYgQTg2yuZxHigDhfLaF7CktQR EdcXm8ieGbZ/xbtg7buzzjfoxKOB+8JogaWkHptmNIlmZUBecuAGCgpI9OUfSHrcxTT8XlLmruXp 95sEX1wzs0inDH10vw79o10qxDMLBAjAqwT1zyEOeQGmhzb/H8Iz7TyW4xY6GOE6TNNPgzWbYX2/ emxB95juVxwI4m7WcJqOxJ6A4KcH4oW6GUMSR+jy13n9vC4YppaFnNv3iqb7KgEqJM/tVPN/s2BJ luRX5uUw3aAhtRDFEwSnLizZDEIr+6+BaFxTAtuHnl8ew0Q2DxJ6Juo41fnhJBAXysgcWBd579vo vu4BjRI8x2QkuIvTWoBA8LTSN47IxDAKmzjDWaWCh5RRz53MaqzOU7n94aYiMupfFQMc9PEBjA60 49aoqcubpx/jXblTJgerjvOr/8gIg57A5sIql20mTsA/iv3zEFC2PgeJcbS5GJHmIa7zjESTgU6g sqCJcG1K118hK+V3eutkt2PlrnehH4A6ci4mmx49PvbPBaYVNV3ILKhorUzoExq5ZRdA/C9Bljqx UtK2xDCuQSH155M6seJZpk+rkk6pBd7Zk0dR7A5GIe3JS7DUEIF3ultzlGkYUiyDyWqmQKjR0pEL 4U/fVYuOAoUA3MkzSkZHdK+Ifxi6QdKqq6ZSIp4vGe7DpeJ/00vYaJfLTODDRyMa8V7kd9z3SEfH QePac7QRooXXlhYtioJX3wwcP8E/iXjiJ0XURfCz64jjmIj0kgdb/Tq70S/jIwjqoEygtdjrseAv vsAJl/CLw3K2oa139XACowOC/Zsq/gDYZ89FkiSaIpdk62Dcjg3U5O+HeODTxNrmlWRNtts4eqW7 DFFzgJN8dN6FbmbP50NSt9XLLfwdYp72zewkx0uG7IfUT8aN/8AQ/JP2R1E4ToM56qbK9JH34ejg hFAYITnIIj09yaxuzNPKlezypjnvjsRmvep1XRJcyNBxWtnZBWZdGlIU7tfOQ0Ze3lgh8q/YLUVI BbNORRwP/ekdjx4xlvdTtGUepRa0oJOr7EjfGwp6ulXM0Gs2N/2KUTXzcTmd2RMZQrNbAu5P5II3 M3cLP9i0PMqMFv9vfqsEyuEYnzf4/lYELrhQXXzJUMxb1dKmB++y5mSTj8mbEDCA8esHTwMSD0ok iRcsfoi3vRybFDBCeaSTvgqyKVQ0NpTc7nerLGNtHOqtMB/2vMBSaFWGSNR4yuqfqC06m1RyGELD hQg5Mpgfs3OUc0hQOFdo0ACHc05e3/4qcQmFPCHtX8Vh5dRyIYsLjhRfW09i9zcS5MkVun/0OOeY S18CaDV0hBsJvplfq8DLTA5T9BjUSC4L4750lpTwoe7Et5wuEKfKnm66Dbe3vVzF4UtPvsWvRRfs Cd7CP5mZfMqOomVTYzfHuYzt+qonA3OCfmr/gjAPEUBxelRcXry1FRaFXM5x7Y5YbOnpRn6oC3Wq 1dCkA9E/SMMTlvdK9e1k2CMWW1yOeFi/l1FX6eKVGNFp/LKVGYtFncauU7zsVoANfsnX/56mmxX0 C8ko7qzHO3oBdqP2DgqbMT4Ay16gTqewBpwxRumJQDUa7djPAECFPdz1OKuAg0BAN/qgThd+/5hm hS8zLljrNdzP0XKl77/cQlPFMCUqioQT6sxHj4MsIf9SqVylFDvQoeKW6et2UapfckJWRVjh7KAk mUMEPqbV8keqZkMVUDNv3NM8KOIP5/HVvVcCzauKDiOxGhY+K2AuBp50WHFU9Ouio/UQqDVxf/l6 XyXZ/ifxEnCdiMt61ZS9hrUT3cYNFuQiXgczvY1xIA57iYUBDzh522vbjrG6WpbrF/kfkK8b4YxE vafCRRBOwBN068OB8PWkH2KpsMNlmzgZo96vxcipNEYLAGTizbxa3msXZAcCF5belVuSRMvDD6mF iPyOllnj6lKtDEJr8IlgQnXRCNs4HMSf8TxngDwTizVLZpuIJMJ9Mq8G7kekm6O944XrEVvAvaIG i0gAkqLi/8Wy0cw5OwzXSv1DNV5P83Ub9oy5yA2TiCgTxEyT5Qx99CDov3TzvR8a+3EgqF2jqfCL zUpLRQe0lA1J+pU+1gUNGzYbFdjru3tJ+nW/yremzl7VnCmp8Jeo9/s39sNeK/wGxTGWFHoSwDXY cDBsihO5IB53pSKGkikJqMiRqNqDEUCmNT7bQihuHiSR1drI5VAxw7+LDyQ2ch20uZhDirkrJtQS dFsJXdLZoi/c0/BoPy3DeJTFww5P54mTmpKR3zl/IjtrBy0aD6l40zvNB3uVRHk4PlmqQqFLMtEB 0jQv0a6FMc+3X8ooNAW1RzCGfyTPEy45T7MEu6gInQeI0pFA0TJteZ7YLZyxT1zLPjkI65/5N31B rX+tRH21g1jJ8nb1QTx9Tl9AAIROpFyTuYitEPXigARE0L5flcniSv55dRB8afWDT4wojLvY7JJ3 jEw8XAk1GIH+m+zUqwQRMVEF1XjmbTWYTwAEN7Y0456yqz1Ii3yeZezBuM2yXu5BIvT6Y+ve3i3J bEHNnRFfLrdSzbgD8+DXHRZSK21ierkBYrCYZjXQ6DrCh6WkOInYJ4sceHeaoCITuUB7p0yAGwaJ cSSBMCp/aItK6KmZf6FOHL4xdmiRKEsCVB82VWt8UCNncIlFkBh/lTW7uZzX9wjt9c1XNB6HUxe/ 9jwk1W01HWc0bwQROS1ExJilpRHCQ/dmE+XT1PWwVZjmkgFD7Y6mT9uo9ONxCuXQn/xVbxAAWIf8 +zQBUeTJpnCSxvM2XgohZD3N14PbcIlPAnkZxvxRLQ68hu1C/xflGKqxvQ0a9pAjVlO0eE2/dXvH 18QlnQBPzZyv0dHHxYhDbdJhdkQzJq7m832aXLSdbv4YDPqYWeXUCB2W1aZ2FNrXR/A7q8/xWQq2 YIhIjF4VesIygNTy0ZHArMtubwuDLAIxdRIay8ZMebjsgq+w1d2oOcEoXgzMBj2hZ4jNjtpfVTCW mot7/tGUpf3Vrj5lYuAEQBRJblpEccurSzq4l5aQktKp2eqiQmehzK/wAdKC7W/8zhDEj8ldd+Pi tYBgD8+GRZjruhJLb4O66EB5uo5kAX8POfqfSndC3AAdVP51mQjRyY9jIxGu1hz2VxzKFHeDqg99 BLn+yQTnN3hMd/m/1P12yIHQUZTECgyWyYnyvzgtA9WckjsgKP/l+6FZugOCwh0Hfmm2DQQNsvsU 181OsC34HD4sdyUBi45z2Rr3TLJh/wUWReO8OhBIjyS7H6/01qct0U6BRkYiBuj8qnflMUV6suFK 3Fi4jU/82CSIuPKE1y5D0hZnKxB71c3P7TvXwqYv4qBFihF5DBnO3AHZ+XWc658SKTplMqfbITzs Ff8RNLbjVXm2tiaXwS/TKefIwgkIJVAqQPEYMp09fNHsflq0mXLQ5Wm5WpcTmfMUZ3xyFmYKhICp 8cpKsWVvnSv663WDAfmp0WeizNxxP5u6Jmac8wXG+zM7mVE3ZvJH4KMch2A/aCUw9fm7Gnhrn8pu Q374/DHpwgvya1qRZCjML3nNml3Cfe8WZvuhzFDB+upEOZIRpG+8oiJQr0gDbIyjQt82lWhaTS+4 aPvU3tDowxZH3gmUJTksGNVxJeAwxewRAwYtqyZdf0joOLIuMDH/iPynloHjl61lqgdve2bTRBpB TmB81nBjB3UUJUfp3+aXQwoxF6st+Web/4a8PkukCzzNyJK8TaL5fl1PvDGdW9kvFc+j+LLmx+ud gCDkSX+0HhaJXIZuUg7hvTBeILXJrR0T+GaD7lWJfOoVKb+vGj98DTdpv+AnVT3aWRuzo6VUJ+H6 N/GMucI5bp5JJ4ZZyRzdo5lig+lvvenzaKXSChXutPtFYTOCNVyYcsk/NF1KxIk/UvugS1eL/ae2 Kqo9J1rGFdZnaTl6pswARLPTVnjfQ8aDo//rWc8puaTy0x8NYhBiX8ngCI61redfmhHsLmmaPyS8 zT4WEa2yXW2TUhna4KFpQubJOgu/l7hb3TSbzrrO/V1QlMssjAAg4PZXsCLw9uA7Tv4Zn1v7V4Wx bAq89pWnesaYXVZ6Z7LiPfLGZL4qJRp11snPWFl8qH7l92qz/e/SL2sURoNkP7jP+y5Iv0qcWAfl 5NFicL2lVGUo4EIFHApM8J3ALJ7xKNhs6kASgEj87V5spNrw+s33UoTvRjqHp0JFifARsN47DRGw x9D9nvEzaWpvWTRqfY6E4QzYCZwhRhBlFHaSNZQHObSStUkh92N6aZlWJbl5irEEORtd7PPyzb4N PDNscjOeI7k7drrTwqPF4u3z280tvhzws7+AYkbZ18YpRHCsZlt3qlS7ECwUwQa28x2Yw05b8yEE kih27N5RfdH2d+AQ+i3icsH8IY1Upm9gLQP1x+Ibm0LdQOWLIaVgHX0GmkSRyfWZ+VeiB0MS5K0U lU9rfCLS6f+92R4Ady9U0FsjF77PEXhkdUv9qSZej/FbS8VKti/8CVXHp4TxbShmgCdIItIY8rux TIg/TDJjuazJ3/GA20NFluBofyq+YucDu/Hyoz3fd/gJbhe+eJ/abCqcjsK1YFGGJkimbk7tco3O hc612A4xrQuvA+GD0dLxTTUjqD9Iw8EZrUcXYKLafW010sAVwKEytG5dv8c7Jfuq0Q78wqC1w/7D zKYQ+2vZsDfi9Y4PYNj3ZwUypEVMTeVCqMN4didR8Ahqzg3fCqR0lLxJRdWFkAATpR1pSPmkw8GP P9waTheyoQfOzR2SsKy6KFB8iHxXZevLwJkdyCZDxFK0bfYHZTKjjV6wj1Z8leVdvqo9p9+R2bzC 7DeJH3Z/4xroPsUxPqo5qZpLZQLVSfSCPNMFjv+g3Y83O/lroWQCVSdRpPWq8ZiwagS/tHFS0ZnL Rsd46+NuyktdKfeNFuaPt8Tc6dVr8dBPqeuHqr0bMY0entcfif3m/KoW0dkRpiC4JYpPRF6yN3F+ 86hKSIFt81RbD58DguNO0LSCeqyuO/itWeVhMX1L3gjp/8eIM3lI40K4DUmzPZUubZvcEEosrp1y H7jF97oaN9e+j1sDqUVjYMdyGQv8FnTVc4+J7/8iEO+lYGsQQ66dywNtrxQSX+S3RZ/BpoJkUMMt 3082unRFxUE9y2kGV3RMEwsa/3RikT1VjcXeAhTa7VUs5wwQNxMll1bJ+3e579k4XZAcHlYAG6XR 7hGXVwTr8VIIPvcgfSQJ9JGiNL5JYUwHV1M/glLaAZ6XbmMkveD2t3R44uATChkhr9/IwbdqIjpV zEz/KDni/FDeJwy/2kf9RQ5wdxwcpWSRwz6UFnjElN+yHlYivoliGaDOVKUDTpzgNKSy6TqDgW9i gUQhYredC82ZOVfjKKCzzDSPhU0zRyYqBYU+nPYgX7imZlyXsGi26xReju+2vIeibtbUdroXwkHd gMCUSUQXCCz3wyx1xUffP30FS4S/8zikxTQI4/C7MEWleFh2nB2FnTvCiQhRy80LcoS0OUbKpI0i ZiRlJ8CrLVJ7mUi4ENnh2nFCfDezZk0Ui0hQD7Z9VLcoxTcrdac285eL0a+thSPnOxDjrpgG1apj ZPgK7aU/shIZ7TzLdYufEOKVuuKW58KMy/ZpxMtyihWUik3jbQ8vr/4GEtXqCQq9HYWbgNFIBa5A iAkjnES0xNI1dHKkDIB042wWAn7y0MXTca1LOymElfJTDdSbN8RQ33VBpg9yQ8SR7ADUsM8UEkoe nzdOMdJp7qAg2LLAr53MQT1JWPv8msj3uZxuUw8M1KhQmBHbpsfDcvSe/Sv+JUr3+7SaNQUSlsWW uQ5aJ7a5uOem/pRiMM3BCdKBdyyQR9aySR1awlWfs5n5dwB9MwsWubjkNb/e7GwDGxMZyxpejQ6J bN4LQhAhiiFk61Ew3XXO9ae2h1mu7z2y5M5jt9yHZQFgoxhRjdbRc7Y9H02jCwdWCJCFamU0/LoR UIakCHQXFXMaH4/2fyTo3SRZgXWfnOa8FdXxCt5WDoqOb38KWK9//2zAXa4E18tb1CCy8mXmt2Qx UI11sC8QBC107ctqNVSskvcS4VrtwozdDRoBiBXTRbWtUWCgxyDhr2tB8rQKm7sHJ3nJoMPc9HrV RHcciBQ3JlFRWTfUy2VxKMZPBQmzxNqopnOCSpnkaUIhjQSuEFm9M2NF4pUFC+ySFsNw7/w2RGlI XhTaOKL8OjbLj4rayvwIQSj70H1ab1cud90e3cBalOeUnY6ZEJblHpfz9RJHWaz7x2OBJT1GVx95 TVv84YSIE7UqNFrJiVGZMasaWBDSdoIAsJ3gOaM/SmUVS32dVrPnrGsS8mN/64WgOX0aZiyCFmZI D91a7xPZxsSdFFMA3bEzXv1yvNbeTFdwudHZCkvjoNOWxyP+Xf3U8T+EmC2fMxn2mVuPWuxwQCoJ ovotIr9evNzIiYKQXR2NAA0RG7teZAtsYCre/iyHtWToGLjT1O7sDbGx6wdUdBh+xXp0W0xl0FGh OeOiTLl0QLJwkN2S+1QZJzCCsRwRKtouwtx/wE96lvN5uDhtVkoLmwd4oE/lctH68bbgYRUmg0dm hcXeERnq4BXD8PvwfiLrkz96ehdskA01m2NKLvxlBBF4zkxX/4ZKoxoijRkywQkxwjBP/R+PwOyW 68v5STs68bz/TwkTUjxr4sA6weua1BfuN/uZ0bTwWLLCx1sfVESQV6mUs/dnTGq0naeUd+ioL26R FJxK7rdrcMGKvFm/uWWV3QN9qqM6KmViL0MGJWQT2R/V4zsU7BFky+iQo7Lh0zHd3GZn81olPvtn 6Vd8MnAMX7ZpUZ/4Nn+LXIJR7FzXQrcLnGzYwiRkhKK319nTBQKhqqsR0Qjvp3F0Ijiyq1mAxovv VOo7xbarBDXK4OdtAATMpuX9UfF/al1pQ8FVhkqYk3GW+fk8Z2o4K6zsW1Lmp5EFcekPoIxlCl2d nFq33mtX98KC0KzbgCEGYoSijZnoTAIF+qftWjt9/0n/F/MJNrkbRpLlsuiHW6JMmSDA03GDDfs3 zoyWYU98gBdqGeHI6NuzVJTbkQeXAaIWS/HMKQD2b1FGCABYF8Xx5MCyEzUwnaGnYmiJTdRkTtL7 /2S2fFDW8/fuH+9J8n51PxSRagx3bMV0WKxQywVtECEbvQ+1F2yV7Lxu5rGiFkpA7LH85EGPqn6w jVG7wG+4TOWarcxGJBicSafLtsbUZlE7Qi+2PADFRvKnDGZiRbjiEHqj7oa+LLY7RaW9xLGK1PYF GMkeJecQrMuP54pQBC5T9idmWBfpBzc5wmJQX8f3lEfY3Depa1ymVRhQQ01Y1yBVXa39JagerNda 6qVXFfW300GrxlLmsCfPL16ZqOrcdRFbQtYn/LKzq31MSoXK6sNmD8MR0Tbssts312JYv9H98Vgq 2qowWQJ/uTHJ2bSvwlhEU0qU4rFEBUf65E/Lh5jGFv3DRetC2Hf3aWa8pkpzcubfi+39gxcIl7bL 2VPNmCnnAMfbAf4JoIzGJ5oc+OIdqng8Wk90lsR6zPC9U3cme6G0Ru86dyLzL53iESLOKz/Yhv7/ ZucIkJjz1VdXvn8JOeMrdPeh9a+G7vWP6Y2+SnWYNpqC0JXjrjdjzRuFPcYwv3Cz+q9/njxFgUIE Y/uKtvx5Twrm8h53dL9EE1pwM1JWUOmyAsG0GmrdZa/1AQhX0Up+H9Z6IDtFA38tnY4xFKIBgbov WRwfheHtLx1pQQsN/x9YOInawY+t1EjFYtFj5PHd5l5AXYzT95ibXyk3QxSIsj7IYWECCRnVlW5e Av0/YAi2jJjWo5hhozIVN0PBuYlVgkWlFOyrj8F9684qwTaY4tExSdWf/0VfCPJ6l7fcdruB/0gG pydzLw4LrTPlTBuSdZYdThGqIDj8MBItcRU1Yg8MVY3OtR9Av4V83Xp09B9Df5pBDA0HSXgjFxiv xh0HsnXqB+93bV+eS6GmjGEeLdFULDnebiTRLquVidMqClDmyHU5gXF+hb3xiJUqAK1GBEBeNO7R 6lCeHfLEWmyoW4fMxA4cDxk7hfoRwlEiZLOZZAaKQsyzc/eO6kKyBUZLVmfgHz0NBwoWeFHpxPJ8 uM5H1Fvkk27fdkxlWhQmmWvYuK+MqjMWj9TcKiwDqbH0FF+ahJ5fIg0l29u04+im8fffUet/xTVA G95zQohTqa4k1r7We4QDZ819VucNO+9wZIxysuuJ1nC9GRiw+xnNW4jNuuTruCiJxB3ZoJ0kmEut Njnuk3BxgAADnv21Lc6ZfnzJxo4IW6T2gDRHfLTUVt74I8mqg6GvbAbCkqdnWk2K2Fvm493Cs0/0 Vwcpq548HF7ux9f67W3r42B49Ogyd6Vh/jIggD4RV4w/8sPV/uCriQmo6L+3ADNLN+4Q3wlxXD2o cY3BodrH7sO8n/yTUfvsaf/q2fHX6VSJgyEx9CKEqj5tWewQHNXQ0PkgIau5hMG99SXNytl8ZHjT u6JLozFpx1Wf8J+kiNSd5gssu0cTF5iG+JBuuF56U8TJeQcolxYH9fTxRzko9km9kbJmJTWMAVhz zZShFLagtgum3jfDPg6CNNnops3jes+2K8hLkap5OuxELtHQWuKGPQ+U0b5AOQvy14ueXZ60JqxJ Wqrc4JpjOko0e9pYkMYkcw30pRquNztYFHzqAqUC80WaE/Cz/RF04bPRjyx334VAuPheC33gKI6c A0I2YtNiWYWcHYaK5jfs3mUJSmlpPK0v9FeDbRBGj41aOHCc4vMP8IqBD/LuKysuXZ4LE+5s4Ich tk/KiSD4MNwgZHLHa76eJ3PomjgD9FdM4Uo8culWZGNITt3AkJCyUw29tSiVDZPws8Oe+5eMROY4 DUy17F0LRfnX2aSRjNULEf0zyCXIh7oeuGZqpluwwdjCgbXIJraHuSAkUQvEMmG4SiC61uJi1jrz oBjdBeJsw9EDjycllWsFrGXbW7zIoSiCd05SDzogiHa+W9VqOoteboDxAB+XKix9BZWq6DAdOjbx hmTZhKhdDw6IvO5RbMN2mUXiBAJloAGsTLpjvO4mg+SYOhNqwcRXXPmkKxWLfvNM9LrtFp2IP2NR b+riko8bDTAYyCK9fOXS7DHngok1aNm6yRUnv+0bqKPF2CG+qDKoE9QljzSb/5SSsB13Pmnh1VDW RinElGp2lswEjySnbiGVv/TkkR2+PEzi53+sWFcAThOhlvzBiGRN5ZVcpLG/MrJswtpmiCC41Ke8 Fq1HYTLVwpIgeskh7Y2uM49aqfFxAXq00eXedTl9ckeDdwRE3Y66crHGlY55iDJcYJligQUdQxRZ hs2BYpx4/A5gxwFhhzH/o9LipfTNVLBILE251RyOGFfJJpvIPQSlM5qP+aJvOFRbR1G6sOKfSkHF HkWxif6jqPvM23LhU2Pd+UmKUBLGqEDQO0HresHmW2KsLVV/CnpKsoTtmuWWAb+fts1wBk3LJsyp rLr4t1HMitVvFLehASrTsVmm8niucH60cAORR0beuD5FZfPtPLoDGuHSHGrARktHEMTQy3x+9KoL 7giUD4zud57tLFllez3phQ45VCROn9/LgOUsluyJ/S+0CHJ+6+5iTZiGHvsLT9jFAl8Qpi7y+RvT YSFbicKTKUjG37fUSFODo8hVQJA4W75r1TyxrMBIJ0OQuXX+rirkwMHUHP3SwO3q0o9xTijhol/X KqcWeY1VUps9LRJgIHpLFIH5L/G2h8uIzjjd7p5QQkWZ62dxE3tNImyl8dF7LIdQqj9iBcdIdQJx 3qn4WAfcNJbgsZar26YVagvCOpaiGmjGCnUUcI8vHRgoD4r8nWnUkru6b1wmSyWFqfZ8bhqfb12t HJpppbCCqB1tRvPeV2+0HA6a8izESUhtARE92dqJXM9S9iTqxh3g627fHJT3XCRhNt5WVfefaDz7 /DqMdsVHlQaZFMXxsua4OP3jM2szdTIuT3/FbvrZbWQ2TWKW1lLqnkuCUXnYiku4bWb7dFi0b/Uq HCx5eiDqsm+6wqhz3aflw5zMwNsiPG/Kp+08lwnbGxcrqOAx4zZxWXbsWsv05cGxPFOviHKaSlkg +qnz+FkNrmt5XZ95I97DK7p47CKtyusj9OJh09X99hcEWyeZ2CY1UBtKYXL7YCoHcvfeby3DbPfJ tHUUypF1ScM/+/los5rE5YSCqMcDhy15faqm0JPLGlHLRFtM85QvWm68PRKDNR05eIBRkg7nOA/R Fq3si221mSIIBTbNxOBS60TsL+0fwAu71CPWg++fD1D6BBLSoqZlKimbKphM+AiKXW02jMTMqWGC bnbG7T9KYqgPPYV1mvPpa9tra5+PK3gz9L5ZfkqYkMkEvlciJoCFG35siRCk2NkFPX0MbTm3NLBr yndY26GAKPga3MyPrdSrFsEy6ebXztoOW44i7adYKsJIgCmGnjRmyO7BB37JMA2mnWh7DJQTonKA MJ1ep7KXt770qJSdUV3P7hXeT+Dl3lnYc7CRC/7EiGN3JBFmDpoC4AVazd2O8PCLP5oKJDO4iimh /bZZfk/j3jE7i65bEsXMRyj89V3Hkb5Jl0z5bCfJCMu/rbnyEZhLUHTLmojDLbCcn9M4l90pV9UT Qj1I+qqtjmsOchPvxOCqmXj/RZT8Gmr611Z6KxF12XhmzpbUPLFR2LDTPKVsvRYph2utsTQqDJxJ SlFzh7jWH4VCp87xiaoenKz3k7w7EWb0rhchenEja3YIV+7FjIzg4x4nu2Xd2wRcrnx2pR0wAw7v Jlb6Y6FAvOilwfCEIH9a/L4Pch67Etz7t7p6F4l1ZX/jxvy/qGGWojbE7lniW8i9sxM6QVXt3Zdc Xy7IyzLfEq8CELlxDDaL7by11oGSHTLjX11tLVpGo0HW1ViTMRp8EyYJwGc87Lxj3AumWEq/Xg56 1nKqjrK7gXNp6yK1Lfd1M9nbhKuKhZQBEmFpJnI9qPkSwY6PYo8oNnYvby6N9KhisBMEFqINTQ4j awsfuhRxhKqHIzVth5YE2oFWkqvC7tFx6asEmvRp7GKshwrPQWPqf2yvY5mnJ1irAespjgCh4yDa 3azoBlmHTZ8Cw9PckIC7Yve1O9hQA/emfGPYuG4xdA1Nys9ACETPa6el6cmGNtexUSceQy3LOCtG fXxloSjL28nkjbrWoPOJa0YBtNbjeLu424HLEUvTDk35x1x/27K1AqElLT0jhnppnCncwbz5K0Bz Eg7P0zFT8p5ROPPK06oZM3Pofby4MPGwo2bee0rrvKVBKwlPg7oGom2RrUkpiy9FXVD8ImQ890U5 PpkbGgT5N2+lWjY3czRyBoB/7amouu+CbEG6nRIdlgHC2npj4JERfTRjSxUGQ0bOSR7MVYNaZtte MWRm6OUMajwZoZKQ4n4eXT9e8o8hvVwuS/4yAPooE3aniP+ES2MCgbQD8aj8jWP3dQjdH0X9CYrL de2sqiTrOMjaiFpkBw4oQo3kl43bE3apdim9tcZTEFJwle8Mjo00/eFjTdx3W14yra4XTFHVXrG+ fWiC3Nm2JyzMzEPv0uT5VnvJEhZANtyVrJSwluGSFg0Q95q/rmAhb6TQ1hLYD9w0dXgvuERGDxFf vEqKavNmWAN1qVyrkPl3ap+eM6Xs37Hjapb2BBfrsvVy2N2sQcIseSV/3nI6Kpj4WiEKfYb156A4 JJVFkFz+GKn35gpTHVMfWp29rNgtQCHBo/zdE03I0ur8sfH7F2KCDn3wV6DLiV5+61D3ZR6oe3o2 aZmGr1sYlAWP6Px+6w3HUWPEeTxg1ZTR8DIWFN9BzTlQeuU/rSYnGTRIHHtWeYFcj949MqAAUtwc BCn8Ij7ggIABQ94JUaQWTjt5tbB5yci+dXeUVfoXSi0O0UF71UKzODcJ33PTjHaW0C0DAI263QkH Sl8CbSepGfioGlR3PXtzYOqmwgLs9HkCvbhkCb9Esb95c/uEo5jlJt6X9dD2TuLRaR4tFrHEBb+t fALfwWj6yaYmyhoe6bp9XMdoE2a+2R+1BnS1upn5iDfQ3aym6NeVgPTsKRjCWFUOhjYZUyDDLraU toCCtZyJgxNDPp7soXywcCzklwouNhWKBxxmq8mISgdIDjuCDl0XCXjvwe1VKPQ7PL9RQawRnTBr jdYuHX+Kh96cGkhAbCpgQqq97Rh0qg9spbekCIphYw2AhUd7JUwDeA+MLouc82Ycsivm72i8iIJX 5Dtlh7xhsRN/6S/f870x9QRxwJWM89/dEFgDXefGMyRJ4RBonKWFNK06ic9XN8ruSdfKxyjNQAb5 fHD+mbbr3J4OjPde4Gc5iv3zp5EQle57x9J1Y3gpzvsuwNFET9BfUjiiQTjWBTG1r26UQ8Pm9B7z KTbhImSpGZUDwFd8bV2fER4qA0d08aRs/CWcPjZfGrvk8LIffEMU8ZsDZZGZqEkMOb1MDgF0SHVF Imoyw4DK256DeVAC/mZOiNqTKAXGzYYvsjxXR6qqED3JnevJjU5TQ8e3JbQ6z8a7TOX52jPb1Rpk ZvoXnmXAV/4Q0bsPJ01/yZ2MJT6x9fsKUFJx3qM0LTDxDrZ3vOMw5NdjXJCswA6THTa7142T2b/1 21xeuGfMvz5jKaewmHoxlIHDVGX1oGUK9KD2zMpBGImMzLQ1MZQ51uLO7zxl32lBsTegNISsNYLl 417wHZK1VlNyCRkD1fG7LO3vZDmSYr9H/Jtj7hJ1Q4lGMwtzoC9Gylg1ruBizXgVxx+KhoMp0uAL GLP9LTLBPYJD9UjiVt63AzK2gImSCKbxkIHqNrYUtYuJ7jbN1DnspYkEgFiuDY+MTOTEi69G/Pph DpIq9SQOeC8IGm/n7pL7vhZ5ROibrsXvqgNCJNk6X4yI1bPgOuE2IQN5w30gXLlF+hPZ10T9dmwz d3rdP5JTq/6bc+oRXVJ/XmDa0VmJbfPve2AxH2a1wdib6kRPRdi0uCmLLB9gzJX1CUgLlSjB1ucT KWC5I3q0YMNCfmhA1G9nINCfPIicc1BgrzC2959fLNr90y+gxQiPKLCjL4LZv/E99wgcIKIfpp94 TNMwLf7NmAO3ovg2xFGzq4C5ARv52OnBwHMzbxgJw2wRDHZ1584oQavSYNqOChhou528NJPuMycH YMuUCp9qiW514K68Si2m85rzy94H1yEmdMqTGGipPfZyP76yYr+f6c7n5zr4+o4fS+uKJsYtGzwS 0+KiX7B20zHhAYzXF7DAIHN1rxEP3Xc+Gtclx+FG7kp17QySIXMhhvzlcUpm7fotpxnxQCJKnvFf na/ob91yJDe9F2l16W/525bjb47XZ4vVvgdLkKqOGgcaZx9qvSthIfJSxo4g2A9KhT0p3bHAZ4oR 8Ha7hjFUxoU26j7buRWmlRcJNl/pSFvPIwwb+PgSIqC7dMbiB33FL0GQjhJi49674oknT7c3GdDA Plqn+dfeV00xy2vE+8Jl71LvVWPNJDRD8nVG31C/F9dCXUwX2CAt/a3Q4RhgZeHg5G0HauRc7a7g iJxhFmkqt1Z+DJoFxic+nZz3Rt7NzU3YvtRFpzcRcAfVjLNn3YWkFUF3EyiqCYoqn8wzSPztcKFc +QWzd0ruxgJRzNOrTZQpwp6QwRU347voxDmDzcWBpzM5TERSepulnt/7SyWY9uS6+Ju8CpYyEPso 8zRyHcG80NnnwUy/ElgqcabXWwAqj33TlBFqcFj8/wtfa8WAvTtsTQH5W4f06Qt3MAmLYsagYmiG vAgikAZhXC4Ka9QqDTOfkCKBg1VwVmWYFvJp2E0pS15IZX1/Ka5NaUthOBZNTMfelEonQaOXnz4x XTDyEBoqHtH5dtB5u1bkflcqfK1enI2w7WNmGJUpEa7jrYyDpztrvcgMahDKoiKDyCnS9sQ/+PTR hGQQ5ikJBOQUo7YqhS4CP4BdWzFIw8yO4UJ2XEXvJdt1Aza3UJ97E31OxXSDKqZ24Sb+Lr9S8lIr +uXuHFbsjlxim20LRq8otq94mq2Jyp9AlDkLsh9XFa5ON4m3hYHxeJm2U2zNEAcbuz1JvwkiEbNT Vco3nDa2X55/6ZcA/Ektg9YLX+6Bvm8EOiAKUhFO2LQQwmzz80z4t0ge0naALRJIEaSo7fdDexW8 61+LoB+p+t9nsu4UYxpHJdkVjoo2XC3bQeNZcsuOoA4Ki5Z85Lxc6k9bzbZPl2y1fgpyt9/WzuUj 6CNuVboBt3EOyVcCrdzqIm71YjJ8ct5sg0mmyfUi/riTMrN0tZb0sxjw/fttIjhlhCAshY8gfa6C o4HIbHpxTP8rMvyABmEoC0RFU/KT+orS2XT9Xl52Vx1PAo4pog1tdSioKc21hWAlVlZ/M9+iqAlG amIa2uxiSi5XfTrLf39sTVr7gjyYzpso/kTTJiPhYPsB6xabxOQwdIOVUoSr3Z/ZTNPotVJRt3SF sBe4rIY2tE684wkKs/qPa01CTnkXdD/W0lsmw8xpcNqxNRFDMHmgrU2Ln5uDq4HIHtFd05PwKZwg If4VS7nxTH8YhG+F9pEM6uPxJuBdyz6s3/r9xwWBaVNqYk7oNvJuoVXkN65awVxPZYnJCNYyEelD mpCrF8yN8E5xM391o69dtsZkAkdBK2UPVXbHqLU3lgQGEorm/II1OFkeiWBD34yBDb1KIs27goFn bjcL+6AofdO+v2tZ9rtAsMl/xx/s7fWKxfQW5+TduxLTYJLnZHHnbz0g0fUwZLx3dKW6yUo3MzPr 3sSLyJdgbRldEwXHau5vRtKQpIOFhjYR2+yxmyFGb0PMfQ69MDDZGmBun4/v++R70amfieFgaSCE 8L4Y3I2Z+kP2qvNFcyPfSuxrySyuDRyjEp6y1V2MSJAyRSNIEek5dm3iskQRKR8Q26ihKF9d5XCd 6x1aSyJM4mUHyv3GL25//Q0aBg25m6js3ebfex6nE3bZ+au90CjHKMXzffN6BxgBnPXaZqLXn0I5 jaVWHC2UCzc8dJSO92IToQRDTG4g6xCgcts/Matys4hRGrFi7RWop/0tRr+wSbBfsKf0//NZAfZd TnhxwaxHAWaf/+zOWmaylDMg2edZrFKY7A8V3I1r6TOPulLv1Kj4amh4AwVEaFv6sELzQHbHH4fQ fEm39riN0D5GyUE5Pt+/IVpJZZJkEX9ojA5krOdK7glD69yDCOfx3oj9IvKhybca/hTyLD7sVscP KURhPpo8wnS1P6Kf9eYp7fnHU1YTSYdCKu9qdJT1wcCMO2iiC3VbHUSUSbnlsa3cAbu2cmIVTg6q gMFdJXpes7Hfod5BagRaHhg4pZoOVedlObn1bHXiR1f6otL7P69R3jruW/k9rPV1z5rcbHNxbxN9 F74y1k5QuZnMGk2Kp5+aLftTTaAIMzDWUins9CRFLBnj6XVvK3fOOSLLZI9SWlFN5olnLwCwvcVD vKxfyNfU4XBRtnkj5Q+JKUJgFFavJ7JfN/xZhX1RSgMm7Ei4/G31nNUI9/UkGG5NMIJacipwebBF B+M24dYXT4J14AYaBmRs6Oa0kGMv7rgdwpNp1yHJr9A8vlJkFWqwXwI688Oz/93agtWoTbiPyZyw Tq72uP4IfY8XJRXmXhkYzu23GUPlFSJo9pb4RziuzzrLW0xVRxXihvfN3vsNebh1+JUPDdsVmatV Jl0LlL7re6AlMDrYefW9eSO4JXEdDPpc7a/0AdJBSs2forxO4wRg7+cpBplqVgwKcmZXhT9tWn9N hIFm7F4rzit51szz77j9s06Jb87FUkusUJ3Epfp/EC8HZ4XZ78iSb86NPgPoCHv/zPrDBPw96zde bk4me9B4IpideebN1IaR07bW4LhOUi7erppq1lqflSl4jUxl5167jWIuGCiVHO66l4TzBWj5+4u4 5keMf02gBm4hnzSiChoy8opdS7tIQNCATIsluFGZzFQTA9buAFuDrKO/VYgZQxJ2O4K/e+rYmfW8 XODZXejUzz/LuVPVOMNQ9dX53b+mi/FzLU4UwOGDUR6uWeoU+hKlixHD7wp/briA1Bf7zakSfa9M lzR38PCtag72Gp5/c9kEkEVJWfTxmBKO864j0AzNfEUQ3IjZZZHXPsuKDOwQA3wHsjGcXW39pWE4 kkxHdcmUTGoAtnmx2khOGR2AaY1TXny3u9Eper/w2xTLt7jAvfpm5RNUhSz8EGoA5f7dj3icngbq yW5xK1WWLvKOnktX8TZrDh1eVgGhJlwBfGgDRcUFT78uMfhgAe8tIDSaSNIXsEFMLV0K7fBw85/h MJyBL+LgqzuJ3PX1sDzCn0dbxAlKfpen7ys1DgRrp/5KnSwYUdC/smAgZcMtSOzNbkZcFS7+VHEb a8WNJf7xH/ojMcVV0IGp1zs3n3y1gzgQETPvMFAo+faGO6ssBjBg+52n109vYXEmb/6FUqpft/D1 gRzAXtU+oEbU/6EYg3l7B894TWPeSK8gZ41971LEIhm9qXiq2aUs0OOej72pxidrqEHdSN5JaBeu 1eUaI5KU7eSaaaRgcLMa14ghNd+8IZ/o9NDFaYNe9Ijza/8FDi/mBPFhxyIEZL8nKaNvYLJAdc2m 2RtS5zRd7kgJwoxTIgf6Zut+Q4zaECTmu4KOMOLrSUdznptZvnieqwuq0nPfcIT0KpKDn1oOfmgl 6M7vCjFWEQyNCYxldwwR8oGl+bPvvPYi/c8DRLBHFxPm5NJKEx63umdfJ8Iql2iwlMLEqMx6QLeA p6GeUoebay61udvtU8flXKEhrEAbktmxdxJpdI+PqlKAtZr0EPKVy0NVmKDqmzWZopn414LYEKpg O/B6Wo7DFvnOZdG+w/W2Pai/P8jf1XD8D4QObnOy2RKhiVeUs5wHbLgm4hIiaXx5b3Y8LFCk4VAv GO4S4/8f8mlY7QMm9rYpu8BwjiLTU1KhrF7ITLl6clLyDS7RuvvDf/cvWZrrLXuZX0jOPMKDfEWh pusJptRKwbzzxOI43JHLXS3tyJPXVErzvOKoWhEaS7/pRLCrNMozSZ4zQiN3VaL8qe+QhXaaep1W uRVTpbLQ+nBIaHoioqqMtt5YTpuGu3/SS847r0aNGwrhMmTnvcxM8SMSC+TIXdcljGK5Az8tr1IP 1hc1AeOkdWOEicSmuVQ5Nal/2wCW6dFac4kG9zDA6EzlzxVCgdK6KESqUV6kOf9d4solhWXJI8s2 2Jl87VpXXAQyRekb8qIWUlvl63pMvmQTLiZgReSCMicZw3jv3+kKyhJxD9WCZ+QwRNIoaqrtB9Fd iWSuIjhR43KwBYlrnlsFNRlP/uB2/Wh/xHMLYIc0epN43wgGusr9D/En8nmLIclCOu/NNQ6fx+Eh IrfA8XHCWmHgNc6NJPNm+boNRDb2g8T0ByoOKmrATPS7W8/46H2x7vO8c/9tI1Z39yW++fPI18xD TwjI3gqOFYXSs0BaJymwzZskBuWHJiwFaYgoXLq0SU3Kg1uVvDPYNtlT8Yo/ek+cdzRspVVXImXk noqWHdwuAFxCRElBbW+VNwb+8six7HKfiyk+oFgImpKcDJieUaFuNlTWrMqxIYxYPLHh4/2VwXvI q6M33/knDzFp2/9AWBJmgXp8TmO4yDIwbulF56F+Q/ZJ1N7jxa8mDMvHSS5lY9tHIMxo7Xqwzwn7 KP/9dMGZJQ46OhisV2Y/k7cycAwx6aAJCZ4l5B5yMeyC+ZpD+HQVqI7CN56jxP2owW68F54ndi9B XJEtaFFJSkGOFrBQ+Khmc47NFUtr43xRUdRMIFAkiynLdY5mMvQtfe9lVbBn8BcpLwY4/EMQEZk3 Csq3GpS6lOCDt5zf6Cpf2tRHxvM8xStZxCsL3I/rkBi8W3ePaP5n0xQKxBSemt2JO0d3Vq31WwO+ EU7mNph2UL7W6/7mBS1yzbLckasl/bc2hLgHfDLnGeL/dRKgphw1jzXnozuTiG5mLSTW/nke83F1 LD2E62QivokGsE1PU+l4z4ghQKvzMnRpZo5EMUayrlKYhxhSiv5TjljXyUste9h1ZdgQQelPE/pB agM4kpTOkg4VPJ5JUrrb7f08+LXUinWqW+I/aZtg0/CMG40qBsoFtqqTqG3o3xafozjRbLp4+Yhs f+fLqHlUPW+nJVDT0Fmoe5MBbhGA+1USySEVri7sKvMjmOMpEq3ZI+17A02JEdOvqkW6iiiermQa /SkR40gvhmpYLJ/vUg89+xqKN0dU24c3q8QRAKCDvqOh/HduJlhPEPuM/MDB9F7amgzicnU6xAVq Q8Z3w6o8Dyt66lWRcp+9TKRUWBo+QmOb5XUrWQHZSzMQ+a3RJfDcE5dG74Cs1ccUJjjd4Qy4pYvT nZtvhs9LZM+Lbr/r00p9M4Ist01v4jH7oMkLRIccl2HuXaCoGEOfjuF046WIym3x3UOVg574qH5r BOqEGGtEl9bU/9z3EIvgaCvDlCsmAgfHxQ4tgY/fN70E5Ph2335pLJK5hD/XJxQPcaijTX6qY/cm inXgF3WdnuVKyVjpNVSwaijm4ejXQ4DE62zvT7GjHaruWyvF2RcZ+cux8mih+W/oyMC3XbEBS5f5 cXJItu3nkbpMmn4MGUTIAtCZ/U6HrPDU+9WrfCL24y4Znl5FVbbQWnn/s1Bf/iwfq5gUhorUEIdA UOswUmk0U5HNCJn2609XXfucriplIWNot4fyn/W6NbfPP/LAz5gYivAtCuAmjY083T8Kp0F7KGOA mPnUucUPGpMg7kcnSAnDY9tlAYUBo/+4Veamt0EeJ1ypDY2af6rwom72NoppJYNoXj5skvI2bEDd biHoHvdZBU+tcrrJrsj8wjMluwc61gSGLRuLkJclGHAKSeCY1hfWIkX6/mSagUkCQykDTgOuUBiP 12eam5datL+hZEmu2LczswzlxrRKijs9K3uOHYIS5EYtejH5bM9VfnQK48PIEVvbioyxD0IOlv0n vHqfhJEmSCWZIektGRw8SBfno47ufD+yPdNsub1W0vDyGJz/skFK5/WPBFNhIBfmd1szLVNzMR6V TC51BbPuDQtj8kATgO+4duwg3LxhTm9+5kO7D7YkJdbqXLR4H2ABICPfiDCgsrE9z/ekXhVumtov v5qfBwuzFuGEqCONPyjzyd8e7MekuzGVSVHEAx6KklGCAO2AloW/xPlspHF6YoYv1Be/q1uFl/l9 OcPwGmx4VV3VaVUBQ/He9ozTwdBGPlfc4uc8l2JtK4afxSZly4r6F77Yshjebvf2EdwE5t9JuGam /joQFlnHxUkgei9/Uzd+1LNQR0zKxQkwVXdeJNpelj/5jU7+Ij1KEYFJ8mgzGeEqVFKuAbaXc5Cp AnME1YrBIYdkp0/tWQXu6y7BmoN5IYcba1lHKdSdZTWL4RYzPb+KH97tNxEI2Ibxog/OwjzpUpc1 LwpyrxhiUeBl7lGBFO4ck/LVTQAKUZtWljE9oEYSQsdamee2pLPIl9a7Vgw4EkBcujS6Ugl33+7n bnm6qxdMWfzLF5KwVqY2NEBr5eFrweUZGn4cwAoDcXQl/cUEfUys08wJMD7WAPsOEsOTi3514m8K pvsk7C9BWTVXl3g3UiJXMnrxkkzXvUrXZR/jd0SUhQE9Rcz57V7m3b0ti60YA3roEP6/U1CppQY6 5s/ql4ICbIsIzn7OQbdrBit4M0OMdQCJTFt5XL7bRYId0PNMZhk9DirULLLUw/DIon1wJaJSjY9z zc/niNOtdTkDZBhHSooJ4FPgH3NL4KG3AyudmKjROw9VJ/6nifn5ReKTCDXiYZM/o8LAHwP1wutN lZkPvmO5R5FILZ0TPHxcc+X6aZ9abEGy8c0XSV30UxANNLykBudLPxaEuh5RCYlkPcfLnH5EWN4e WpnJPrQy6/AFRBAEVE7RP2Ct6LioSys3KlE5GzQjaWfRqZ70R7ukb5QPUdDZ+15IFVB54TGMocfJ ty06agdTAL+w+P0qZrUEuHe2iRTTNscugVYppueMcgz6hp7oBMrIUjre0OwGcLiZke1rH71WTTJg 0FKXzCuCV9YaYqwj80Rk6vW7nwB6VTEe9JywDX0IUHVp5fekoJdPusqW6WJZIaTgmELeVIBXoh8D jhVMxKD+usSDYtmbSDer4vEaZmYOXg8VAj6XqLLXFEXvn5qnAJEtYRSKgr2mW7BOl4qwsGYZnFw1 hwZ9dB+A299gk4ELeYTdMzNjWpyq2am1PevBHisK1KYKMeG1YuFQ1NOLgvY+wzso2xTMIATeQTKx f5rV9ALIRyMCewfoGmXSWP9OL6ofMYbhpv6dj/qA0Y35yqFu2Tybv5Tf/okkh8jH0Nv3+IwrVFQ7 aCUSK26xiTS0md2ztlXhMvTRuNdkJuHN/q7O7rxfMfi6ZG5ZFCKhP02f0FejLHplOT3IwivcucMa XFdgao3JFP3ZS+JNge5KVfwFTxMyAL/IIKWTldJdxNnk9DrnR7BviVHL4EKGobXIcmQl9JBJmYs3 Ib94WwlfeiMIuGXm6XLCOd7/P2IcIjdcBWKJp3BLvgaiMAwJhoTov2khEfWc9KIzKIy+0zoztvl3 V03Rav7Tgxso2Y0e8YPE0dQG0KLPMX3x1VppRozDyjNadUhoRza+JepyAOW+OrnV3Q+l0RfUlHIY VVktLT33YpaktXh0ekcxpFg8Jtlh0HJ3KWCxDlw3Utzm7lcxbO3VbZU2BrP6kzWIB4MNtiN0ZdjL skcZwAtYYYXlFLIaOxUkXDArMKDWiGUxtAorxPVJ77MXF6HLrbjuivDZRLM1d3TxAqkmYXKc5LXD uWP4yxbxH7G9KkCIZmLHeN0hHgWwp8DJ7NgMch3by4j5IiSyq1lyz2q3KE0zaE8zWXeT61+vYmDm FDav4zv58Pj3uI1JmZnvgDA+9j3rP4Fq+jGl868KgWiAxp9kLbkPV1y4XiVuUeUVfmw9G6v8upw8 UuuFq52C8e++pNKt0LHKBti3ObW3OcUxL+72p1htt4fl652SG70I4vMK9haXcnWmLfVgRPN/BIhW fF/1TDebGN4DP8zpMzwUTQky5KDNDy+QeOE38Dz4sqovQGKhtqGGQGy03HiM7GxzLp4DdbiWY0jg sWuiS7L5b0NaVuolw4LJoO1c3ATFFlwvbRyK0lOPZiMJ0L0YWvkoNLkYXm3Ktc/GZkLlTo5PTnrg h140YpmuLF6eOPpnutroumtI66YUg8rzICDfZejetZiZqREEkq7l6u2DZ1BXeLjurUYJFpBFPFnW EypTwsnrYOu4PQrnYDb4Leo/bLPw9a6dKTxrXosD6ZLi57o/kuvG7IJ6kwa07RlpfXQBIgemPxG0 OOVyOLHOhUj2whPGU/cYLLKavCa763VzUbmlDIFPlQ1Jk7A41IkZ54oQyqxc9NviGa5zCzNoI+k1 55P/2OwKV4ue1PxnUC0u78BS1BzQTxQz4bBWnpymXNc8dUjztH6Sskkrjti7bafbeyk/r3UkwzWH howx9Kwci/XWlujOk9fBVIjrcH6VErkZRg0j989TUdquFHQw/JANavezHaUegCmJ72y3pyclmln9 XDBQJ5FmCXVg9hFOHxWwPmYbuxXWkwj2Zw3NNkDoWKlu1XMLHjVCReUY2XBJRki1oLwEKIMV9b1j AOqRCR3uS1l0iJaOJqRYFOxCGiNKdKFEJ8VKoI/WHT6agmM8OJqPim4VU4cF6AOiQv+6TKuP5c+7 7uEhipRoiZfq7w8iemB1tlhrdCSi/NPK1l7/Bk6n9s0dJOFG1YiDP02kvKZNVZv52PWK8Wog/kL3 V1iRZOvAdjG3cA2FsoULucjIP1aSa2HBIPSnGyPYLAE9y9QchU8UhlaGiy+dlZEFs6Tv/sPjMWkf NUhsOn0bo1N2lcRfHY+s3DdAO9lupPvtgwj3htyUla1yAxDyKtV2KOKoPv56EBIqb/3ZpWDD687n S+24unYEL16PuDrjF/aT6hjYVzMgFYDbGv8XTyKANJx6eRCIRPkie+Va/ZOVQxAhfb8WoHYgayUs X8mg4ldQa0U2llTQ3PnScYjYzI2zRM4E0axu/mDYDQXgI9u2HDR7dktK1pbKs5PHJ4ZOVQiGGl1P lhMT5Rz+dpXlu9F+S0Fhe5SSW7cLJ3F0xVP2gsWlRlBmZkgY/tUz55XxjLFmdJrQvKgugGg1gNIN jhEf4FeuX20cCuEoIbUCFHQTx8nWom15EyopNXl4QvFcDXslCjCCMk06q1CV9on2n2Af69bNNusA aMUNGVCzhKBDkXrs+nAMQQkMU3ZJnH/lBIBF308zeut/bPuLG25U6urxe9P/UdseR6z6kOYrUew1 BbDKzX9dZ/xhkt8DDuBClH0oVYFOxjuiGJ4kEROXXymU8GQjeaZYElHksmt0mT9WJQB7yhFCU3OS stTPNxnV3JQMC+D57gy0jb7QfxDKQTvnKaP2ZOn/0zkLhCaQyfPYLxjjR/xhtoiz/C9T9m6V27A9 j4BMltS+kr2L6jPCL9mSGMRi7xrYC31a3OscESUvqq3y1KdKKPJctfMUnrzDBx6T/JpfJzJEoGUq YKO2SZblx2D1GRaLO46i8JOhiIzPiX3Ljd+aYgPXsnsvkX9cay1TYAMROAexc/zvCBsFXdWGwix7 yik7gwCy718mSLPzQBMQJllHrj6I1Q4gp2P3vwEmJyY9A2RsSylQLEtFVzBHgCvQUjv6300tN4b7 8AxeViyVjNFZ7+14cEo7fbPXFX0ieOaXrR6HFJnrZobOX8+jQqydGnRPJbCqdNhksFWoXW9cR10x OBPxLxmySFlgnva8ACJDfHrwr2iVHncidLCJxcJJMb2KnNDzaO8ugiDbKDnxwRS6xcK2Ho334A54 oGob05xr6hyLoFVqaogBB7W832EJSegjgsTS2QiGf6Kp2eP5rFfOjAn0vVXTezP3MlwwrBqMWo67 NoAxkVI9irbppPf55zqjZu5vlikUUgqVc0TuYgM7YbuXx2nQ/W+vNCY0PjUBFkaWGSAuZwoBKkCE SMkVWhS9GnClzldEIJLWSSA07U+8dSPptEZDEzPYSgQ3iGvWX9rSElAw4x2ExbhzZEdMz5eJQpeA IVjK885JWcAsg24h3CpAU8AUhaR4Y/e73ZcwOFI7SgETt0RTFuPnL+xF3d487JHtz+yIWPZNCSFT 2jdwXaLA9AFYbVd1bMqKWvyOQTFyIL3dAF9sgD4Y9autbNkW1F/4317OO/aH7ceznHFuuNodFZpt QEX1xn+OCpxffWuMd60Z23erIrIi/Sk4gn26b1GmiRO9F1IBCG5fL2A8Gqd2TVYRWJKSHDXL+KBj 3W/mb7uFfk8wtsn/nQZv2V+3pANRRvtQD60vQNZklZDf3lpk2Al5l20TwAcOHCYAtOXPnVH7PP6r 2kW9hXkyvXSJYFi4WC6cPH9l6BV7BvweL07L4NUlJgWBimXk58Az9ZRxXtgO/HGTm7POgT6hdsE9 2Auj5VjW7VVdCtfUfcbO9SBNC6EUhou9VoO03NRN+oNG5/Wf24fZzsHOBrtjSBiEVn08x0N+3cRZ H+1+QjvK2qql8JEIOybcrm4A1gbGo8U3S1Q6X76Ktk0rJw2IdSCoWvvFUlVazzS14PDeIe0am6Jg JGc0eBvM8lSUQctGMrPHoyp3xwwxCAl3N5rGIm1wfBoJvBy3cwn5EHR/06c5TFfHXRhR9L37bHl7 BpI6GAtz42BipqN6VIQGflXdWT4FBIfk8m0EMQYGy6NKcy+RU6h4MIcpSjJCS9oYC1m831cGEro+ VHFutYwHGurkUFQy82SgdvkcUpxrESYiPIto2AZpYYGJzAVif3iriigZYqV8BNr9DKolNcJj/Er5 4jgDpkyQD65uPACC8J/rz9UcLsQt/UfEq4Kk7/6X0/r4aC6ThTQnqJVVKtukEVeN44HYzgYccgBE 80Vb9ehFn0q2F/DvYfkWwJL4WWcMu5THnJ0FiIDs89bfTM8fH9JH10LpEobpVs2YaBXQQABbu9Ud zQowQuc0qs0ZIUoYTo47stwOu5bXjdEb0d4JkiVcE6K0LfhztwqhCIF3KMHMNg5So1avwvKXS2J2 UKBbvoq3Y5IKtfyZ5pNoShric1+vppH3wwHccbKe1itYRD4Fz3dzZKIuvGpPAZQFqXkzeu8LgWxf xxij7c2Mi1yZXz98SQlkVLzzWuCQzCYin5sFM+YF6k4R9CDoLe69NQQGJT9E0XnQYo3v51CU8/Hb KbYYWcLelFIPv7zbi3999ICAG9OW6e+ZTERTDiZpMe9ZLhTU03tTbVq2qldwEHGZaOQ18MTpkaV5 0Rfs4lqWHB6F8VIO8BTRyQ/Cc3rB1GtyGKMKbX63iJNZwpXVNtNpkHnvechrt2SehqGBFbqGSgxN SBYF2mk804wU7qrnjwW0EcRZOEaGAQ1JWJG/ezYxlxk8TTs+wTURJzlOJj/j2iAiC6bS9SCuDjrj A6ye4zk9mfbALdfmkVp66kACe21T3t4KVrBt3jRek1biQ4vKHOFDXk7Rccj2JYpQtKs/7MjJHVm5 V7fSWaRnAhFdV7k352O0FWVJ/nyLdpVo1HRxGo4iQJvFObGQLaeyKY1b1/jybUEW3FtYnRK3XVyA pvBnwf4mZTXOk22wpamej+KSjMoMCwMGodQ6XV1atX/hoTN99mvbcwR8Wedm7edrn2V1h+Y0Q0xB d1oUZq3sgdcVnt7zOaoUi05n4AS21jFtbaNbxpif63kgP8feA7EzO8o71a206r/DVcD3gTCRxsl0 E/NGs1Ot02kokyruF/uCf8/rPYcnAuMDT57UC7DfnVA87JD30sjT0OuU2sTEOMjNuf7gtiHJDrKu rcUDMJ9pa1g6Y1V2RkhJg4wB8Hob3ayN9xa0GzfLwE5ckcrqYR21ewOOMzqMBcDM/8nqmD2AWFof 1DaXrIoTGhNGDhSW0Dg1rQ3zKav+2GCGx3fJWh9Vyz6p12m88hBG5lCIe8sCcNA1RTbHg6kTAvsA 50I1BfDGPgWhYfP3u1r1pieNm+ePBG6ykbDkS+2xPjXqSjQ0L8GDxKzb1bJgEsUsbn8pseI5w0mR x719jgF9vC+J5xG5d/wVjQ6tkPqb1SiYLsq2IujuNVps0bE6oXrWIhoJYxIQykNsnpqS+o65sH9y ST3RlzKB+7vZC7JZCkdXRXDU3D2M2XckvRi9A9YHfnglOSQ+8JuLgjlbHlZue08G4oG+MGW7434s DblnrwKhqAQtG1oa4P0nWLw1hje7OMCu7CPome9MNK4Jy+FMcSO/3cuhV/ww2G5GCgAIG4NZOI6y VYa5n2pfAUYAnmnxFnpFcHtSq/QGddzBbuoEkOrBHfajLEYiFUYNIK1pTweVgO7I1HDFaiP8ECXP jAiEIZ2uUhm/W7LH/wu57DcEjQBdHu3uWBtQ494hPLhnMcNRSocomvR4XRUgii79f9Weunj3DIDV GSKtaCLGAC8aauZxy7EaPsVrqEDFPa5lcgJDzW23Apq0qsONxCz4y6q2mWkZCFqjchyWKvZoRfpm /s5cNY/L2tw7zke/6vp6OIQGgzoDskmBv0WrSmKfKoG9cW3YNFrvbfnZOLiTgbayIaAY23F2wyXq DKWbqyDH6HX/93uJrz0aFYGNs2BzNnXNqc2aRtULsjpx09axTTwLZC90aztTCj9rwRhlXH/EwYQB krfiuLk+m2SdKqLIS+K0dVfQuvHaueOWHlqg4k4k9dGJmVLv6tpTf+2AaRYuqPbwWi4A9b9dk8EI Nk5Ckq+7RsrsyEvfYKabElTt2i8kKPgQzx+5iVh+hJoAf2EC5KXry4dE5ND1oCnHJXrrwQ6XB9zm nnG4BvJlXTQ1Rz7D2oygsA2Op6fsmgGekpClmgBm/L0Q/ZSgCurwMYsmrZ/sRV6msWkrRerm6H9f tbKlCjQSbZPDCCoqxgC6O34Q9fFFQ5Zmp3bKA2tnAdIIqyqXoIS8etpSpElBvyJLkyfA5MKMdgek T7FYrI0P3Qqj1YMzRHVd/4O8N8LZIzC0AlcrOyRSMkWTjarET2qxyw2iUMUvK7jae7dHGp5YLmZk 0LZUBT66zDArgKtMiv6IP0lymOlZD7oMlxUZaUgG9eaC/SrgFMSkohMceD9EjoTnFWFNExWTRCqT U4vS1cT/zsMrVWFJfytat++JI6mGjTzJ2ZA5ekhnfg6DEDdb+wviYqMOb4jU2SRf7xbm4Wr+Lvll KgN8DnopY971ekE3lroY5mVVyn2f+1BZKBnLKmTPltlXrMEtAje8b+eyYNULqQm9Vc+GbqKQ5mSa yo3IoFjWHZ8aGmTqh1UDbivNhifTpf9JY4H3l1osClmpHAQY0+K5kjYfDhV0YTrKWC3GF9x0qhgl JS0cf2BX+9jg/DWqozQcmqKN/R8l9mOPUkJAeDiZPU73ii8X6hV0S/48NDK9YAVQmWGggtu6tUbR aQ6xZrZn9N7dIZZmlrRDFljMvjyWGa5xX/aMMQeZ0CAF7PZCUzjpJKsjgsor2bvs/EWMMQTElDS1 BCElbiYc9/CpfL+TD/dopFxtwh2n1bYSXqTl3eATbbhwaHCNJmFpEMYa8XuWJp/IHPHByhH1r8Ti oT/XQfRGDMPazJn63CtG8W1/lfczPGoIsH6tEfj3bfYdzcrzUbTU5xZheNoQ5opN5DabZyd6bFK4 a+n+92QR4SGeFQZCXG2z3egwyeRKUz1+2lDYBbQhrDX+9ela2ItCPthF5OUtlLy0WmAv3wpnHleS ZDEdLF/LR5JYCxuLcB4/5523+SWr/FAY03Z4TZKzz0yb4IHy6ePvi0lSvZmzHbZpQpn7/61lQuZu QPGID89Lci35exG2GaiV9feKQUTrYl4pDQKial1mUqnzOKzKtU9XDjd2iOjpRXXqa89slB19HUDn AnFV2Dh0PxamINbam2CkSQU/tmUKEx4irpJlDeqds1vyQ9AZVzSx753+vPjDE1RVfVYYeGiLP127 /ZUleu1ePif2iHz93HSwIZVWle+E+wqRPEc/BTG5/GBqtE1vl323x9/YiXanj44GAT3DUIgFk4sa k31J/tPhRPtE3vThvCav66P6/yZW51Ra1dAFEcMgfobfH290PLJstQr+BYIoCFZ7Qy+vWrdud5Vd SHYkgssU5F/71mkb2Yus6hTrhAVn6Tix0zQF+yhliV61dbSrpnOuknVU+uVIT4z0QpsxUSPafjHF kW3bVcwJaToVH2kXSj4jBHYuF0pzmxOmeb6ja/G9Yw+hL23FdFnQlNQK6nG6PR6DyukVt3caEw+D +VhZ11jI0XMNp8NJ2tNMlqSshifmgayptgHMpSQro18Ww2KYrtCtHNUlPvr3AgwyInTHDTWtbu+k r9rN+YWMvuUL84zYOaLLflIgw/x7Zy1SYWpco9RIXAdsaJQ0aXmWvhn6gPNz7jOAdGMTkQim1p1X dabJU617QGJLGDFe84QOg8/o2Dl+1bmnKw8X3kRGMyCtdT8AhcpyC2MHqyxgckg4Aw5cdI0WPWA0 mJS+SEPf36mHyecHK/PEmDQdnCKIClmWVnYNR1KfTBIPnUa4is1eiWRucDQhg32aymCpkltoDZXG yMcmOM18wrOTwyKFoUmTGs4vm54Jz/LUgMx+VGjwaZVsIp8yXHEpn61FhAOqK/h+/39pKQrPRmgJ MpUJbtQyHpdvGwzc4W5+53SFfLIVecFK5m1ORrcblBOzTBFzxrFHJu5K7pxQLSgKn5YCK4jpU3Ai ktoWxj1CBG1Kb4Tmz6STOCPPMsNCbJ36KhB5Z1TqpvDIoTq4bYMpd3TYOKUTPQxrygB/0TshbtZz vrl10LqA1NSe7c1rBRP0P4Fse3g2RLtLGzhgR/alVkIukR+gVnB7GEg+FUaKo51VmfiPrmkhsFBG ZBitwXPWorGWtZBVEQiom1szZW9/zCoBEtj1J0vo3pWbW/R9b0tOZUkT3hA8XwQhGJ3ogJ6pXDtp TjaHowQMhoQUCKU2jimMZsVffcBsI/su5Xi5tfcrz9as/RK8ea/iWfXOEZt5FHeIsDS+Q250cnc0 sCviwPcDQ8Ty4IUcn/YdIc56DJJLwSR8Xjpe3fEuQ2BW8uSHfPU/h3/TgX1ScpEGdT43PHBTnMiB w9yjSpfwYxkaUgsN0AiR/afyrNbmsg6Z3L4WAx7oer3evLGaG9G6XjsD1Qz+WQVhl7hJ9zsLtqo+ e+ZmefG3f11zZ+k/oUVqFgRdb5yGg+pABWYuFHLEslyAudjTm1pGhrEcWvY4EZtNla7yruOkyw8n bRdSs3WhUhW8Jvp3FHQq2wi38IOmAN37gIM9Nchp7MxG2gDN7FrbN6FPDPl6cOXlomjhCsMLZn4U pKuxeRSuS0+pEHk84+ti7x1lbxrjPOyJcloil6e8YicZWNwi0ofywxoWozhQ8bswfQ+kKFZo/EbQ kAvNctiNDkVM0W842EG24Q49/+wo6TdQKR2AKRvrDP17+pA40x1M8D92q7MPB5XRCaNvE3+Ge72j WFhFAb7E9dmksmdj+ZtVAjX+vwK3rts4/pjFqbacIl//4jdzeT2r0dO54L8x1owAQxZJofCjFzY9 P4/r/eSAA3Eiawd6/6ij8BhxLyPP70kB5Ya5XAL4qeMc0Q8U2SnlR72nmtNOqZ0ed9qdqyE00Rkc LObD/xB6sHwT20MOydpSZD98IjKVCXtsmM5qXUWVBrLKOD6j/xxQC8uXPiHOaCBMs83+RPWTHVUp hbn0BrhTv6LZCi+I1sl8zK9n5jjs/ZlwsM67SwkPbgzcfO7si4qEiHE7LuJdiXGRmSndG+YwQK9M kzMUt2vfMJoOytWiOzMYJD5zzArqjluWSKIHXbsCOhmB/Peu8txJO/TgybfTrare7ka/rIlP4f9V eyjck1Nr0vvsbPjbv2X7U1Q2qt/0/QS0n4Ecrg2BOsMArfmxvulECd4XtMCtQvO8mUBo32fl3yRr nwNy70VUFY1jelZIZ+hmXWQVcx3EZO+a19Dl9QbI1sX+D9d2yPDWVOR7gEoiWJbFYuoozEZP+COK E+z8QfiRN/vgiGZXopUPR0tmW4SrRGRNRZVhw0AnptzWHbopAY/3V+lP33dfe5MGGdLACK3otdWn p0d2+TasByJCbMJ8DiM0ci/F/BpBw2FEFDyyBMzH/Xd3MkBRcwtlUGAomlk4fSqiHZkUu2lwW0Af Nc9R8PujO6wVfkg9EzcSAQ1OTGXpWXSvqNirak5WTOo3OFn3QnUAPGNe3obkdmFdPbM4TxN1kI4n x8g5m5cHEzOa+SqPePCMwivxOt2G4yaWH9KBq8IbHXuMPMLXL1KB3SgLQofAao3WK/Fnmoj+OMuj p54JUz7MtSeelOYJIPLMjBqOrX+0NWpgoRm6H5b8uXBf8B+soG50HE0Yw6/gYcMZQsXCBv+BUbwx mibgP6sGNNQwiqcZ9GZ7VMzHYxGQPkyM5f6lf9RNm1gFT6NALL/VugtLSumJwHR31gN+KNEtDvOB +XmoIDz6O0VQJIf863+7et8kHbPcpgAjrzG151scw8ZUgJPiaeLRnktOcxV+9RnkHM/reSYd7a5q AxpHPEP37tgyUSogZe1sBVP6fJXTPU/N6vvRz+ZMQHRiVWgHalneHrfbfN+s+Wqr1tMxwYuc1rzP 0+wgayt4KMpLB5iR7QdzvklumeBOLrGnDjjjUxe+urH/Z3KtGhd242rUolnCbRyAyaE5sIumb6AH 4shCun6CH0dC8eRRsvYz4pZ/G2YbNkL6GvwMndMdGdnBVNEf4EDatWJDsN1ObJOMWtkSUQWErMmW VBJ/W35/crGOQhHw0ibn/ttt7LKZwxOpjC5Wm4hNV4SKHEfUn8xoGAqoXP8Hfbpqz2vOk6aMsqaX oJbdYbgp7L6S0zCoMmCjoQpe/xlbY3vqoa9gqn78tUMBVNYyNmTsqH8cdyJyD4LVH/F1F/9k//Kk ocoktztKsV2Es5ofJOvMM/cxaX3hDHeovKiL2/VPrE3L6O0cLfB18KNjT39wvukuY8rXfjHCKIa2 643ze9pMhBfjdDQCoLp2ZALLf3o7beMb0sfNnNTDbpWHa8XarEMtKxgcPx87GyDU2MIUcMi2tual VPH1s0r4HqmNDF4YCaJa03sq2iAfLX/3cBMBNNr8kJxXeLd7NF3i0W3OtpkACzU58dxqlqpHW9cE pW+OWJMBYYOp8JxkV+9VeSfpMs6meUib5kqa3O2m8mXFG/7z9QJ8GAc2ufrCm8BHDA3sJoIgUu1w bcgvCeRe06lH9iTmLynrvD8TukkKxsvT2Wc9OHPr5jbNosoi6NAY7MMqVx1NhZRxrqjkAsaMg+Yp v9Py3awvuGuP92qeKf4V8b5shw1dM1yoCsryNO9Jyf77XI/4ysjAJV/txbwJHYYiXfH2I/uwecwD kqnEkmL8j7Z54vfKL46YlR14y36iIedsrDXU2WxhWAY2TbZUJrAPi8ohcXJGMe0AcXkmVGL9+AIT g+vNb3NJ7RO3xhboAbyAOrFkeC+x687ryLFXcrmN+kpXVhqSKYPD3Kk2LfRK0mEubyKEgM3rt5/d vF52tKtFRSforRrSYBFsZjffHSZadtp/14Yh73AqKW3XZxsWRywJy2UoqWkHrUkl0ZthjIyGN3Bc En9LtsX90JWfiHzzmhAFqQxgjK0Qa1YK8hu691GI7AixEAltelf7eFauAlMk47vCQTETCOeeG29H 9txuFrd6Qqr1Fr7MspWercqgKWCNEibRok/F+wETRbvtoFnw7lua7Cq9T7GQabu6Fb6c1k7rUrMl 5JRJmp9MbouWTkjPtylJ8vRQ4RTNT7SIIeI8ysUDgQH3/FDhecNjHvYOBwUOnGuRb2it8K+Em9YJ 8AeA8xTsPSTNvavamlz79SAveiG53hKrTHNvqnnninOk8vzEG45608XoJ2U4g8/lUrUrQpJ4tQWM ji0PVJavWO/2j5gWmSZ5BI9PayA62jj9xW0Kf9QwYJ7EAs/MAv45L0QtfJvFSXtggYAJGZxPABD5 mKCqbAS6fSqwAQkwFjgohRNKR8EDg2cV7dNof362KumMtrWbldLA4I9MeftFlXZ5SqYgDuvwUjpB axvqkKYC8lwaiL/q8z7qDLBlwvBOzWW32PKHdijcSztpCpmj1cCzTPLgbdFu2myFpRkf4aTOQkAF ykB8+zxYx0CRwYLjvxXZBNqPtlO1gm6mBNtnMcw6gHdAx5Rv9BautkfzZwVlhZzxp23zghfUu1YE LxkXc7sOHNcn4f2EgVf0IBV6RIZ2awcMFbsZH032MUUo6u3IgUSQeEEgSERPvWwUofc/Bo6Wa6g4 ps6Kp5F1SSjjzKnNiUnwlimR298sh1jPjXt2/rGReRobjbmvPS2+Ke+LC+9L9Ve3k1GPxCiQZZlS lV1XuyhaATpuCRcqaBOfJ0iafPNCfqPOCkmMzgT9JYvsCIcLEGjlyWlwUmVCmYZ4LeX7qGEsAyKY gMBzEjKh4v1i7g63yC+ZH8nalVSxsHm10TE2MGw8ohrZ9rCuTG+Kh3qRe080XO1FuBfui++RHGeO XaaSQYlcBicyxseUInxlmL1VIrK/Sdq8BaCgwe6iNUIaWGZ3nKVBB+MgztAesvIBMKzAyMsIXXVm SYmR8E5fHkOehVtKuiYS8WYBxXW2ToeKcchR6POK0NIukH6sD5LBxSrPatGs96QRzEGUjN+0bq0Z G9CUkp+Jd3Y/i7tL0oVihMxCn2qqW0QKPrQI53gSXslA6PSDyN6lXXvDk9sETtWBxrg+TofacFpd honi+CuGhpqD9pRJiBH0r9pmsd5rccZ2Syc77tIpOXYhFKvmAzZN6y5ZIxcx2r3wM70VlgHTyu+9 y1SC8FN1ESmS9b+iwWtPmM05RtT0fg+OxEUgFr9jetD1NQa15ne5FPzwkGd5w8bZvEdw6GtMuIzg 7hZ5svYzIWK447hWYz1m721C3LASMWVUhEGBv2BdhOnba9a8IANSlCPBUJstyMWn6mNwB7G4EFos 9+vQ7VEDk35ugsvgs+WONNzMleE1h2bxPnOumhGwpSvgpZWsjIzA3qtv//blow2whwpB9OrsKd6L SZfgOM1IKHdFUkfsces8IaIUyBg3pIkrbExUNjToyLDjNOsdPuu4LdUcprkaPNrrUXcWHp4TfmtT fHf9p9JmRiBouGtakM1/K7ssuMg7FZjGflwVErKSa+5a6Iaq9cBdNv+yz8ti3xOWQxdDZ5ebalKk qAmT+oQJQsv07tUtenlZ3qwAulst6Nlg8HMqGiLS8Sm2CyCC8IRtVNq7pKLE0MuFMnrVU6GOBR2U CCHrGN/lp5N+qFAWMZtygLfLZYk/f52qh3KTiQdMzTV1ovlziYGQAQyBnQc+9snAEmEn68B0AvU3 MsMjdCsPIi2SjPno/wO2GtgYQoQfHFnHMLOATw1OrHimLQMj1jK6ocTWt55ZrPBXbDR+fzbuEAeH vUveLI6RWsIQduw16D13dcVsFCzFo6xM11So2muTv7DWiHGMFuQcATag1Pdmp3BGhrUoyB3FzeL0 aJBAjMl97YGGY4NT9AGqsBgnG3zDbUuAKPp5M35XorPF1Rjv3cTov/6Ndfp5MFeMrczi4/AnDmxl haku0sf8LmKNcIyflEhdjVlN2voThlJkxKLCog+fYBHGcL3tPTD9ZJTUlxWkpGfwfFmiyaSbmBS1 yCl+a3/G73Xch58kGcmwMjIMbtIoADgpAJ/5XGZDoaPzVE7sdsOQ3XqDrrnbd1Zmk8J7XxwRS2di vGYYnkoZbOkPdn0UEIOn61Vkq1n8x08cpsSZNh1b1ghE0IAIiQgawZBn1L3dKbvq9nwww9rhWK9A QpgMb8r6de9RhWguOuTQSdxKyQ2kjvWitpnxGIzaGJW6xqijv7ADAHAaKWO23VHA7RkiNOH+Ai8e w5BsCkegalj0FFUMMAK7XXIlgiFGdBPexFSrSC4ryc2eWgl6N6W/nCOged+pbxlAo6+ywoRnyRRn WMRuvVabw7W8SlDoifEzbyJz7A5RiDvvhvMoIxjPxKo1ThhRa3oCrFsxzp9BiGCo6d4z2s5yHsi+ 05K6G5N8OhgvMJQxvv6hr0b/VbC0RjQbgfRUvU3gKRCuVMFHTxmsbvfGkFjmijVtu+3FGq+nW+i7 zKi7g96tSSuu7+h6dZ9y0FPsEQFm7PRf6heNnxVdS8+A2UYzXoZaaoRl02cRSSrKZgqPn9Ci8es/ D7Fci9Wo6o2KElwkxLneq5VLKNeiXTEvJw8vAQbNJ+wqxEIhurRvUcTwcSrZ3DgDYjHpOkeXGewT +Z9MmlTyZdbVhzuKWmbgmKnu12YbcHBCsfDsOqPWckdI18JGmDKHCLZ7D/PNe/Svk5Ki4qoH0kMq QnQb7GuagH0Mx8i4UanvcHWvay1B/Ylz7sVJOwC6wpbzXS8/bgEalk7NGafpAsSI7+kQkkU6oryv 3crCus7Krmrr72NHKK6ao0ipkKsUGzde0z77N+Kv7KW5TbI/oSQQ5K8bC8CNpCFdxtEJd/AUwoVI EpEua6c48KZBXO9nfhj8Kl7TfBD84/4FLk4fMGXN2fikDv2MemUe57KrkTD0Bip5vbpn2YHhPCey YzyiU10H+ZCUgT91tI8/BE9Lyk1wnMfEuMGGS3QGbG5eiHM0f9YUQOeR5lwXFTi6Qg+wjmlywPtT tmNVAROFBpIllra802M5zftIc/pCfcqtddPCBnz6Ccv8ZyKohG3Xd8xYRvT50UQl1dvN9Dt/xnoG JVfOCl5EqdQQYju00oxZ1ADAZB+RiQOMvPQfwJ29Ho4BgUMzG3wJI5aZb3uWFdP0T2UpMlP1IpSz 3aaTqTO9U02rVEs8PgI9NQ5wCgcXOU379oE+NmcmWPSVv/0EqBgQjN7gZBZoh7nmnKxAgZuaGjSB gP+3SgmRlFHrVW874UJCTFcWLvrl6KxUtE62rJkvuaioE1RZ+AY18fimvwU4qMpeGBFdTm2OQqQV jEpdcqGE5MC79JM/3Y6AvYdpeZrvBYZX9Nd12UPPo4WhpX/9WCN7wcex/FCB/wTI8gxVQ6iITIyU 2Q57Lp1Xr3SnQf3ZLEHELKUYOeZn5Cg6mV548x/hHweulT1Wcx0wuWbkEuGcBEpjVEiATHtWPLDU uX/qoURo/WnYsjrvZ7iSHfwBHO5NPa+PQeL3ixiJderPSwtwu5L6QEbQCKNBkEg237y1cYAdDkZ5 tl8tGpzpi8oZqQAWdhYWsQBvKQ7RZZJ6dcdY4ZdHXP6CqwKw1vgW83etidipTAwORxbAxtjjmdNM Y/zyJ5aXGzTEHZaIR0cFn+gNCb+1tYQuWmSfRWokfy7WS7vCuvHVX/CGZjdC5iBbJUEXGo3lwhQz tLL+vaptTlwibez8/Xp+QcYzsk3OahIwCmnmCWSjSF6eejfrapDSUgK666PWko/qirG+ygzcGhbP nOJENzi1kRNcylWyUgQpIdFZOwaw6yUGazVgag1o447kOi3Asb97FMpZgC0Ehr2XBKtpOMOG/iG3 ZVaZeSG1NXZjLcSK92wYWAH//YNKtfzpf678xJrg4n3rdBVF2XSvw+hpEye9P4ffLu698UidMNGZ Em9mqgf6IzY5az9hAChQDITLNVH4+YR/Yag5M4f+mS3fdwfKmp5H88dJtuFJ54PRMf1xFq3HZwx/ ipVUDI1mPpd72ACo7m8sbs9C1Bzv2G4Usjco2GW8KIv1frvO/2G2bzVfStUQZidX/qD4f//RVnOg 1tYlbrPWyg/FAzDWbdrrSoAwjc6ShErk+MKAGkB7ThlSG8d9NRNjcUH7fSmYs0rhRv5wpIGp5TPR Csgj6Kvu6pl8ncu9DdLZvoLfhFZp67CQC/yzZA4dt9y6jlZvCRWgQ5SyYQI+lMFD3f88Y09q+VBu n42L4bGMSJfnCsyMqwtfsilkxUdy8FpSvJAW8iJLLr8cp4Gcu0+bsVOFFIH7BR1QZXKqg8WUwvJN RoDVrkVV96c4N4RNztZ27z9WV7WYtRz6HeiWDF/BtyS8E+qk9+z+788OdmxlfZOQKes7EMoA1wMN 9PJXm6tp3xh2S6FrdrEkblTfkbmF9jjf+2KTtkv3FjFID9CRs3uL8LJl44qU6yhFUL5crReQYFLW T6qj6toMkXZhuqKngOl5vq/og+k3VXcLvIEbkAKxcMdjb6MaviZpJEpWz24PKfv1Bz0tKVIqui30 vBQTydpvynU9V4060ynRUzfKb9um7GhERfsRuc672p4WiAArv9iyHbY+vjTDKZW7s2GdkVHBJCyB dKfO5q1eQzkwQd8DxRaO46el05Ky8Kg2KQe26TdTAKRtH5nALXiLTMmDDXStUdgS4GSr3WqbVOzC kZDq0Y3hJeO1ChfFLYUaxjbmCiffHpFxT5juOrUtJle9oqCzUJopWyzVRFwWyoCdrIJpHjcwjda3 nAOfuMYHdIHvRAUo0dXo0/nyTwRWeH+7D62UOC3fgD0gqpmtesvE0AlhXkezs5z6K9MTsYR+DwXZ J7ysdN91p5y3xOvG6temQ7WD6JPJxaANmmosQyiWD2r5GeyDqRly9rEeNrEzBXVHTMP7ho2VUXzm nS9xdYDT96GfGncKLs0yeZNSG4oCIpddRs2TTU5Lwi4rrUIl17WbMBzKzMvb3aLkMBQC0pJwh3lP VBP5kj3azgarvOc0B1oL0N9WoXfiNX1/tA9oTWXBfD7ANqTij6gPH8m2i/Fy56JDhId2Kp2yiR54 AArGDA+DwF2dar9E5B8Xkj8HfvMN0CcPW442nDLkzriUlbkwIgVP8bVlnmS7goqRMdyR0DTlKocc wGPDSr9rLT++IuIudl2/n8wLv59zk50m10Xg/a527J0eTpwHrN1k2/Fo3Qrcd15jLmTpwMILlw6V UdNQLFXYyJzcjakAXcXmjC8IHPGQwrbYCQ0yQCzXR0wamiZpmgTQ9V8RtzwwwFfxZjzw5TWa2J6b rgL4HTCfVrmsi65TUt280VP6Stp/BuevscCaLYJI6tMbhw1uxEqDP3dZSDyrRB9K2+iVAgepkv6l MqbznpGlNN1u5HAp4Mvw6c2Q8bF5Brg67GX/dDHGsSB4xTbsJx1abKYH+8QDiCwwbu1UtUz3BuAO HaqVPotKVm8tVn8vqzH/c7Eoom11V3jYwx8B/U0sbLuPF36VKcLM8RIY7FcfWe8xIxwJO/yjabhF pGERgtUiFeMldPGe6qTgC18IddvcGqhnNEQoRDAQmxfGFzDAKvHk/n4vahvmS4LkHaYYwGSzlACS 5b6ECJySyrmbwJmgVVI2puPlhDsEWGLjPvSI47jFMu8V5jWTF6bKRzvphp69TZLcR3IZd40/h6cj 5475m6fa/u7tWWD4S0SZ7Z2LHiZCEblSFmFDJE+c8b6nZD+AbBST996MceznrS5qt3Mzmbt2Mq4t bSM4SLca6a/kPUmOyO7esptuNFfx4Wk2GGm3GodPnNAF7sy2+eSc5zT+qBx9+fco7TZMni8J6mUw xyyFEXGdE7uIo+9W26VzqsAwOkCDTZAEdV3kZC8meaKG7o0xVXaoY8KZISl6BEeRYpYIp6OsmGY2 sliUA2/Z5mDiQ+V8gNzWKiFM6Mz9UdXWLcYy9bnDmKO+/KLZ/PvxFBfC2j3qGMW7+VBtjgq5KdTU ncc2Ltcp/Hj5IiAC0/o9VIkl0CaS+KWi1iGA1kixsow3D14kOqYvxF3js6dbv7Uxedj4S3B2Bc5n LySRVRbUK0bWCWk2c0udj8+0KF6zjMIsu0uwnxKxzJh1Wk/vrCgDQiLSGAcIxSt0xyEM+7LTQUhI ijZPqVMTdDa+ucbdfUGyn3B6Dz1ddckeK0AcgIAjf3xhZKMiebeK++o4BcEpHsBYv0EcbE1T5wkJ mIHHUpy01lBAgEnpkblNBTvmRLQFlr8D0h/zU+1VwOv5/DiIc8pzzgRBmxS7fC7yKLVC2P19Qiyr MjBjmmPEv22xq3y/AfEYdU/AVc7BmuLjXL3EZ/VEqyp99uyluq+65hntXMzy/UHkVzsy8A+g8UKN YgJnuGogeSS1Q6/zNYPzJr7kx0drHglbWBJS07Kbb/uqsOSzjEGUnNZgy0dkxFH6NcqACif0QyaL wegmw1rdyjjD3RbDCnVnwrRVzSFu5zFZ6qeuZbutC05wdXqITwyPTUUOWtE4UU5+uO2ZMUDuTn20 /SwPSHExobBJ9m3X3yUBpPshd7AqZyY5WKKdxA/t2el7RjIvvvl5IoZ5nAWX8oxa4kiz7RopUBmB amPovNO7+23eMmmTaU20oa7qwcmB2xYI/XQkllTmAKnWYriuExCr1HLr5HD50fkV+W7sQJMXcFpX AA5OTlAS3dtiKtAHHLv2peQI9ZL9M+5p1anJ65C5PyPc427o5LB3Tfx+5et3GNgXHu4ZD/widYl+ r8brRJsBTz/LzizAQY41+3+zx+Az1U7g5t6CnDj1YYP6d3IfNhUoLmCy9VZPCZTcb9gegu/vOQ49 tGCjqn4gcsXn3+IWvyOQWr88irAVyeZgtmf30im5W7EEaYvUhbUgjj+iiplfQmmAbNahLKcxRXCg UpPpw4xhcEnA2OVClZs895mXSYhdBxDxsDTL6NByGu4tZn1JB/chDkQy3KhHwdjxmSLoxJksoBiH J9lXKH/N6QYLylzV+oxw/Brg2esr1v+sP6iBMXHXScI4zWxxjrXcoCB+xP4KYoQOGPGoS2eRyES6 KIaBInbKhfKTCnBFbnj+U+gKtdREjyfpFVadmlgKhhdvt8ClAPmY82zDd+7t+heclQrxzGNhIV4B eF306SUm5R42LMwW+RbqgBCf0UnyquB9GdYU9XC0bDvLVDnk31g3lndnP1IK8OYKyHh6CxX/mLH8 0TvhwW48JzdetCxLkMPKblYjPrV79UaG4FzGcsbBLDkxJ2CnljudKt3wylYcBrKwvIY1+XROsSiQ M2Dg572i9dtNJ6YtrVpZDvWTu5g4VysF7SsUwEhhxTVf/QCnQ7MG5limucvQqALgEHrlp8CbV5c0 NcrnDbDXGCzDc+a11Zh0GtsxMFpjWELHCF1folyi1dLM+hhrrpPRr9eYKQd3bIbVAYPYN8hboeEP dxM/eT+QYu5cseKE38/L8ONhk/66BHoJFT98XB4w1h8a4hDh9uKHVLt+8QuJM3wK9L0kVSwty91Z atBBrb1P3tpCkikcKjOF7aZ1zrIrniXsA4SWakHIosWb0wwIik3zpXDvUwIVEhHObuBTOJwxT/h4 vVaNY9E6Iwd/OqFy7/bmdU9KULfDBCgK/auWY7gTQvAkv9bAetoEVWeLSoBWhPeF+b2U+nuX//Y1 CMYy7cEDVQad6JJA2Pap4FluWmzLq3z8wS1xAwhQfGNuBL/CQxNGbocKEyNKIUJafrj7WRbAHOri x9SKpE9NAPFlpUwoVIb8WSv2G3aJnvSffAb/JgEKTAOEAgQHCIrqy2v9vcZdK5h6jidcYEyeO6Il HIvmOpZzBhnAIrE3Ga/xlQyXUFCEqF0ZIo2hgCJzH2Wv6A7IapDEWRfQzrXJEE2oi1OWSbv3HMN1 nNntqVoREt/hF9o976Nz0O24xc6hA3MOkMVzLFNyDKt1nnMOLG20kk/pjK4pDz1gZVK21CUTnBFP bbnMRP2fIwQaopLQ6NE6skxaZcT5wij3pSELFsKgNWSNg8jDcWzvoAV/0Yx5uV6T4ZxFit5PitjJ xp1uNXNccBa6AYolx12V8nUrK6SfxpTi6zOLV+jtyafbvQ0Lu/M+zxD4rqNjEOY/GQAyXqKfKImx /REyCaenSKb+IeABZq1ZbMiwBPD6Br03kEMQH/0tlpwy7g3UXpi1U5kija56rXA7PXYmG5dwm9VS DDxul2UDVeeEZr1lBq9MqnHuL1n3+qAIPrrx5va6yZAGavuXlZCAZod9HZmvrrIT5Js/6vuGGZmd drgfqpvDJDkB7Z8kt3ME3Tpbk2Yu2tUTor+9+IRkdQp3r8B+11x8o/FbmcfmWavxFCnbtk6ApniP MBg/q3z3piwbnCKQ6n705ol4zSIN3e4O/hLzQqJnej5yNcMjCJokNwSSB6EKmrLgkGymOGxreHdA S8DGuUrqRmhG7p8OxIWh+XEQUPBJpDa55lYH2AWevZOlyRpEd8LR2UqOoQTUiEsUapQdf3rXR4wH pA1rfp/S7ELSUn5z3uy5C+C9WxheQLn12Y3O64ycvmTCbklJdIVv0I5U7ljURDgsqM9zuNecwiU9 tSpQfIyRh4EDrtAHVhNpTi7akGWIguQsTe6nprPqRr+7fluyegZHSxlwe3Cb2LYjPRcGUWrV6NbK K2uPeXajd+2Zg2QE7lyBlCF+F8TG8Ir1J67RtSFBqLsZl5me2gMMMR5x9tMCnADydmdoVzZCEEEM GHH+7EXZ7l/PLN0CLIbjXKIW3dRLuwlq3xEU6p4nOKMNDvZSndMFPQDH7VEreIij/czdFzoB68dt 7L4nXMMgHZNgTz7vOylI8wi+77LUFXoouX+n+gwt/we2u34C9S/EdvGv9Ch3zKpB/GaW722nGv8P M02AS2Xf/uZ6qRll0AGPD9z0JmTxu7MuoSLpOFs5fgrupZudhpGGFQ21x2MNsIbzvw9rCGVn7wia LbodDITWjmtWwCXHcjiNMGssZ492VH8PqqVfoW8QtfW4vl84Utl/VfFSDq+S7b7qi2SzYJOmHzSN F2h6hoa6/7r0LRTtoBzo8ZqHJaTJpXcuw8izHpu790X5lHPZ+/tWKiJYl/oZOqDzeUuBSDWCzJve 05jsLttWuud+qIw4iqwEabQvTA6oVt8EGTOscKi5M6PWo3FD/vojB5jBb+z+M+BXiioUVLUF1pf1 95f0CONGKCU4NBWAW57N/FPY9E3Ll6+/rZEfUzb231kaHuSNMDVHvozEnUoqX/ZmNZ3/zn6+OeE6 D8U8T363+wurYVQEt1nHZ/gzZQ/EZHl166D2Mfue3CZQpizvbNVSe5YzVQoK7htDTqYBtd8LZmVg mbK2VCfAchJV179O6o8jwsL+4LzMjj3oirqmVuK4PjpN3+fTMU7FFnb461sqoq9/z0xH8bOjs1ls J3h3GfFPcdkEezp77GHEN/UcqC0PBLhrA0TtKfcPFXg6fbvORI2xHres2i4wkwCRphSdldPm9DB4 lzInxTAaIinfflJ67HKSPdox2Ho+GMbA4kiIGOPILeoIjJ2AA9DpvRG6EfQ8BHB1Mqg0gBVO2kgI k0MjeW/l1ZOutv8IZN8sAuWqL/53z9XTqEw8/izNAqxyLBeys8yyCys0WQKlUmY8N6oedRZyB5XF 6u82KlXpvxw3n90uHsH6PUXrd4V04IVtmzsoataIsoPSHbg8zYbHvw6hp9frVCE7iIDO7pTCsxLt 8aldjFcVhf7j7/HfzWjIG1xoKzoJZkzz8LMVAt5ZSkSHrhTg5uiUzdrdtRY7RJOLOgHs6lCZvOYP /d/IRnbxZrwLNTBREHD33ge1poctf608TBTK2hoGrnwEq2usOiIv92/rg++WkCErKmQg16KbuANg mxMHUh+NWr0W61BRSfN2gD4VQejkX953IX8sjWpdXM4ANbE6UQhtapkegCHoGQgRutx7ezdM7sWR WT5aMnDPyCR3PDZ0o4/qfhDFfJkLDrORxXVl/8fPjWYMf9MmB9WrIt9u0keMSc2I0fK0bEsj3NnA kBHnQw/ORY04zZKl6gamSK59fsHorjNVGiEStC1rFvIaN87FmtZqSh/BAuIE/vlCrib6iqWzFurt U45fFqrMtohnKYcO/ANP+XhjZPCwUSBMrkPzU4AV4QeSfcTmOfX2mDNKBhFsPKHOhPpAVc583zku 0gOfk1r2/rOMrpmsvsIC4Orf0PbOvVg3dgk0IPAmm82eEOL6SCx1YuJ1TPfeiQ+raLb6k+b6fw/A 5Y2VccTFn3j4UJgSFHOu/jdspblax48V6UgdnIE1RQZnkF/ItH/kU92DpdQ9z9u+pKec0eOMAeiR K6eznXznxVxoxDfoZ/c3gvkWLwboquRmJ2mvl7nsIZU6iRFzYZeNPQghpKV+i/oFaXdtuAFBMv/3 HrheqS8nJD9N1Sa177haf90p+bJVvmlOmUVHRBm4rGnpdgQbpp/i/5yvqx6ZeLP5DQwtxG7qEMFY KKDurktX3KLtF54JtrHmUzom0AgoGwvKYe1J7FinvmpXQexqEz02GznpL1fPLPkEGqSLHFMf9UBn W+ddTKgl5+9g9EBupQCul5VzYW9FyKum37g5k0DzhF60GEpe/6klJuT+Tp2IYLeFaMFAPSdp4gKp skJW99EtNWLcu0QQS1RH/OW58+INa2vQSQySULDWpnGMynxxYMJwu3WNxn8nCKwikOeGglKfXgx/ 8nIrskWohrcmFS9Sf7sYHm3xemfP673Xb1Ujv/dQrjLUjCO05FcpP/lkAP/uK569Hc+pKBAwFh8F RnF81+mp3tjkiQlIWZB3Q2r6OaInV6oEpKYkRBo3D69EUE4rTIQID1bY2HO4csKp+UtT3NMh4MeF zhOgnYKnpHfvW5X5FR7ErmaV4tErVyfFJvnq1MUHoIHBSbFqoTlRfpj2RI5HYNuX/Fb6irIizMck 7FtwYPP2Y+01z0c6R4UQB2QELLjdYM033n0wLwO3d30Q1lJDLJwRBUoFoFpDALSKgyMBTzPMRixF vhKuqel2aQSJMbZRhgba1ihe1F3wKAxbcTAjCTrclY6MTsKAzvbQcXZ5eX68i9FFcDQbApRnJ0Xx sCKiQXk6NDM5RRPMF4UUuJsfvLHOPRx8yM/AcdVWONcmWhRL1wnaHFOWZJfVSaL+9HLQglptznlG oHlEVa+LsmDXG5U9hCnvVx9BwmjZj38cSiom4quHCqYA+QuSbpWBmfn4RjrRxCzmhY3hJILBm0X+ RVzo79ey/ki6yyzvheJvql8GNexnat/NcCwKYlDVRiFOSL/xcYsEMC+obhJrTF091daiIrkFLXfe T3/O20qBbA8b9Y66qfReOc+6vojMzP2sH8OWMuHowZ+psjA1+voq+8BR6ynu7zRgDN1Mi3aToZzR 73zSSsVW7NNFTmLMKVOONM1tt5yKJvQ8fOBXflZ+SzORdXGkQPyfefHhOvtlmom8+Zh7VdGzX1zB mUqzQmyc6dS8asRr8haFP38LTiKfEeRRWykajOsRVfB2quE+mJ3C/S/6WCvxHS+1J3mgbNypASky +WVOIgBVbopomVDvjygKdeuGB/EIjWg3aCkDxUje/mf23o2hKAgxgYV0yem0Gxurrs1adva2uJmS tXzY90U3CqBFyMLb01c8l2iE4GBN/8UNN6rAdXiNV8opYtd+v8i2WMlTOK3LHJLrlo8SVe7r5aVw 52H2CYMSdvCffCKwa8NuyUGB1lrR3UPpeUHoszaXSVp7H/qVR2aRo7Jvgkl9y909T1Q9TxAPcbzb jEsh/zGHRKudpe+p46ZNDzlxQBgVV1LyIwAf8DxLKfVdDhlxUGUMml/5vLNRTn3zn7H1Dd8lUAV5 /ddDHKP05cPZ16lF7jyGvaUM+So0kJQtxkU5OMhdaak2fYmY/06A39KN/sOo8NfyHZKrJUFeYrcm mn/K8hWBr/PGo2NN9K6+k0Pp92H8789Syuku2u0iHb9nI7wLutOmNftBp1OHFO4rEojJlxJelz6x RLNYXLl+sy4zY2CSUrTYNvZesVLFB+Pae+LNzP0aWN9xy9Zj9S9nLVLEZRJlW62Ygpab9oQFYWsw rLeSByWjG0Xe6k9XaGg/XziOmrFRQmPWTmrN74LGC8a3uu0vD3QHGX21HTqr/r2mnKz4+QVYyTUG kHOCDQk/NeIyZtafOHmwOF0oBV29BjZjQE2hSvuKwpkE2IYB2eijRav+ZPeCFNApIqmBOvwCiUZW gzlhi1upI3v52ZlgucdTTSMlJwi5RjRB1eYhr4KSSf0/t4j+FPeTEL1ZTqHWeUhYB+4CcWMAShm6 QsnXCU6fWWmaeWnbvHAR1oVrsqVopvwS0n52mPfpvUHUhOOcCKKco9dHWJft0/4oaCyU4Z6gB1qZ H1QPFqSpGXL1MP0+5APXk8XQxIqSPjnE3Fs9YWzplY4BCJV5g49AixHMp0SJfnWCbkHgEJC50duY TofumSiaJCoWQIl3NWrc1KRieL02+Ndc/nL+cPR04aKUn+NaNe7wlvpDrYKguLL0/QkFoN6cRwJm kU4t+cpVqgp1qIEQZMCPM0hNZ2Ch4LOeeN18v2sA3ZLWGqPT+54dJtQp77c9el5Fs5qbnYkbsfRb AgXDdBOd310PYzGKJTYyMHr7TRQzddaps8c/ZVNmWVIxszJx/vJ7El6CFc3rRRMVye6xO3HagbgQ 38W7OvR3kaXkwJyZexo1jLBbX/BnFpDp4btK+uwwt/NN9YxqP3xIeuRxJXSbjlk5hQf0cdlewrPs RvHbAS3ee0GMIT1LYfT82FNS36LU9l0pV6AXmNreicuzvXxsVpVCALARMCL0+8sguEyzVuKeXm8R 4F/PMojKoJ4Ctvr3h+zj7k6ajRN7RxS60dNHIneiz8qO1ZXX3KxTi9kwb/LCCHmMGjdf1xW8NJ/u rJ2ymXnIfWqcXxJlQqLN/KQnrdO+1dJoGCAsndEs7hDLHrK7h3o17pwSq5tVJoKgC7Bgl8f7CC50 kE+khy5+c8YEU0IhhxTfgSQP4Q6KxOoBAPkSB0nmvVGl/tF0R5b4wr20RcR+0bjwWIqTh+ZDF/ON onqUZS9gTTxKDbYqsiP4Y4yklYPOmvEVXAohYRWDBw35cKuFuQGDcZuyZrMGlYog1h2CPHIB3bXb QJMJZPMDl5C8ZKU29Ct7SF0AOXSGdBvvRa6hGrSF1R7lMgZ6NBa5gpQGk3cFVzEmHgr/MxMl8uXj o9nXb8xAwO8AWKGzbwz2TUN7mzpfeqQD+dhfg6PANuXAv//ss2jpTAOLgNTTZQ2MgyvPkST0Eaa+ MA/IGbQ838ZcgVAga6+iIpHBICtFxu3gSQiB0g0U/sXcifPj+xwG0OVqd4BpVTC7ebRyNg+BT0K7 A9xkOBd4/mtwSWRyNJAbL3P3Unjh7KCRwOpye65v2+RF51t1WE4nXoWOXnNfiF10URn/jVZKZuXr oTQXdzEH/5sRIxJbcdsgwFcIMEb7R+lBKS95+79F5pegYH4cczN/S/6Ibad8dm91pZbHxLsTQrzM 5q5+8PkvHpKD8x78TvTHyg8Vp+0d81VyZCbXnkXwWRV8sS85AF6ozDN3B0PGr7cVCVS6euPqbHzR Umwfkb88IPlTWwgsNMn9IoQ15FQ9RJqsaq6nexA4Qz1uoALFsffXzQSE/J9EuvM2phlGuEkJ1MN4 h4bTXmczBB7sA/yY+8eAmdrGs1pavbZB8wjkNCgwr71jfO4eb9TgLfQ6KwM3MIUbZo4jZJCMehHv hu0nnbzWgNNuXs9aTD3MLCO4qPkR2OO067kmMERFDMzXJrEhwHlV5G2FGEMAxu08rxZRWdTmWOcI YAVVBnW97Re+TWmCY0+nzGB4TLQnTTynwgA1ufQ3arb21AODy2LNIegxwYsafaYU/MEYu1RYeK/D Vdza1s2wuqOjjXJQyjyxo4JLf0Cqu/UmkKuz5CHZYAYiu2DYV51+3ddqdgXsdGkW5jt401cWfCRE 1oiBqbsPOyBnTi1IBDuF57ncf6l+VFtlZdFYCjr47i+jNWJjvz9pcmgrbT5dHsEJiLdu8YT3/KVZ rBvrG0E8PcY+GvDlwcEhBdwvA5DIBcDmw7A37SIr4x5wRQ8sbVshnh1+7Jz5/OxIg+GDN2YbJM/u AKHw7bYtijCQOpecYvSElYANUJHqPtFkT4iVLfM12DX/PCIwJWU9pgEY52q5ybaLPI+3FXKQTRif fVxlpGcQcMRcWluAQQSU0W6ben82DP/ovCYXXRLxhjPk2rfEtDB3/IXcPCHVUHTphRJ4ltYi4eQU CQoCDbp/X+Bn0bIdwBzkZMOi2IfYPPcMVP4ibCtdK3Loqg96RXcv1XsFne6iZJQzs+oC4MuE05vW 4YwBKDrOhLrn2rjNei1KQtHryJnC+uomN4m+UFHhg40ROKQvGpmwLjy40DoCm1F3BKKX7v1OtFYx pNnNx+yPB7h6GMHqJBgPzcLvtvwPqntAnzs3ta2+G3lkBtvYu72R/QuNjtvRUNLPgJL/HLf1LjK4 jmA0eSMt9giNKDW0NovWdR1CNlol/frO2iCr7KXwjiWiGs0fojCgsDs0fRxR5+YcgXxDpWnXAc0R JW4n1/fjMn74mrirmyRLzUjun2iTIBSwTYQ14uqdYxbgMq/PZ6/ASEQu2bu8+cY2WZp2Qxi7LcCP Ns29dWrcqAjD6rpJnQNwtFNOGWnbImjFgEfYM17uBMTgrVJn5g6UKhRq9a35sDSOPQor7iB57rgi 3lHd18Uevx8yUQABxsCd6HGgRT1BoekOC1vj1XntuJ8y1HcpFy1XnfeouImZv3PYKKEnVDm9LOjm U8kGvWy0YU7LWGpJtKFsXE5Z2Lneh84QZRtNI3vP9nE4F8edal9jJQ2A31+HxjXzNQe3enZwRkZj 19Ijhn0f9uRbw5pmhGRoVLnmdNviAT+SmcVTHAX83WQef1myKev1KhvcW+Q7b1yyg+d57x05RnQT amrO+MtVjIPYve6GlNBKrzwnF1NQJPFi/P75+T/mzgwmtc2LBtA01Kr+nkxqViLwDjjGIFHel+Ej CF+RMogG8m5HgGmljLz2/qipebtqKgxrCks2AOyuQ3pBbceS0yurDE4KkBZAniMRvKpHhUGUuKjs QjKuMvugE8W/rfZ13WWbeliP3Ow6+CM7FfW5ToKmoVd1yzh10kLMEeSWd4GK9SlrJ/LezmhGLNLf ZfAuWMmQ3Kwbji0NBWNcskc4zB0ZRcWg8vwiNFtBwu3cy/2gBJsihbd0gyZT4eq9NN9g6j8kwt2t cUdyf67WRjby0PNjeCTYoN1uWfu5785S89M80ggqdYtnKHmXSdOW2tiuo7HwirDAdNhaZxSksnkU p6vaY3sPNjp3+80mWsnhmNw78bN3cavhUHXRg9HPOqfC16Vk6/v4Mmkp1R0MM4XLZ4jLIiQPjsAC w/ryn1N98cwoVDf2WMZIi2LaoHNM/wPpq4Oo0sfcR2wbRrKa9a+eqCeeorUysSeU8FzzAu6TgLXn fkrYQPVE0fFxpFg/GZ/sHarr7YwUm90Bt7nS4GQQmrR20dxJquc/gGVJ6Jj6n/sK47KTARjB2ppX ARI4mzAy9rrR0u4YDq/XEtva5aw8p+Dcp8i4Pakys5Ij8q/n5rJ6YsE0flC0F1hoXVdbHJDuSF8O YaQyzQraW021HQe1eLRktv46kQ3R2jA1JwHJCPlD/170uSotXs49jXhFTcLkgIPdfGF+wMc/1OkQ /iwQzUeXiaUJqo3nGZddb642aUKuN+gU0rvHwPsYZXM+rvl2hiQSHE2Kec0FKuxjs8mYVB83KFwX UC0c9I5QQKOur3qtgeXEr/M1B5eT1zHn7uwsBTtzMEqVrh75WAhwKY6vKuYVBDJIgFqMPuwqC4TA 9lFr2WLUanDio0vcxz4o/QIT3/ztg0dbsUE3rKVmcl+Hluy83FPc1DWwmYStOqXsthTpod+iX9SO jjENI/pekI6jRn1wexikRzcrUtO/VP6/wE7JccdonEnZ0L6fKT03mcd7jIBqxYTvOm8jXA97x7Ig 9yeeEXwxxWe1mtzPjWfLZ6qzXwhYcAnzfiEA1QWv7xxktOGCkLCGrpEOsP2DA78LLJX5OxxqgDwI roq/YIm9bEV6VUcRz/K6Y+ie+hKT3JzH6fw09do5j33duz2BBpZkfS5WD6bUBEqA+z2vLIht79fw fggJSFytwQEQERde53iu82Q5a8trrhMAR0/6XOjGwlAsvFOb8IrV94OKGAwUdCCYWxOjIvhPXczO zAXv8ui9XUhi84U8xh90T18UWKxfwld1BpLDg8bkJ1EM89GRV3LUzPioeIJAU1x+z4jnHEmwPq8L arfgSaUmiJmkIDEuH90NyIYazGDDIVszdOrqRRYsxzx5D68g6X3wyBFCYxHJnxEPr8dw89lKCezG 9sUhzQuwAJdxgpNlrH16P2ibMmCuMMXE/+zcBiV34AoECYy1id+7fyGtB/+JQ2d8GXoPWUw0RXO9 rUygDtgOqztVwCyO42vSm6+hnxt1wW5Fg4H+bnfQmqsjKvZqZFsccNmtc1+R9Z3MAzEmPVZ3Wu8k ZFjnT7V0DC8PJx2osXDXzJTCgeYzitODTtScHSh7w7PsajLng6FzppeczVMzic1MEPbd9SLfmxSl 2obSK7dEptOjdgaJ0grJcYFmdYcR3GkoHCmepOvjxM1N3LTlVwvYUCUlo+y3EPabHqopJNd/6UBk UvdpmfaKisMecmWfoSIStOwot8lBoP1jic8H0+PaFvde2puu3f3jGO2NxH8Ql+XCBdBNJTG+CO/g mBEjOdxOtXAFOJeAEjvC8EsGQD3HzKKH+j7CJeP8gkkriQQQ1fOHUzEAriXYSetlLcsaq5YV0iWT rZuOo1C9T0Vost3r2R3EjWLfEfyWwi0atrf2pmDbgICuH/HGFl876WIV0yNmSn0tOjV1MgMqGS7H G9ExU6ruw2V3KvpDLazp3GsEriLhJmAJn1VAhOdT9igvcT2u6N0S2zuBFvFiC1EamBScq4OGu24s EnzjItiWFy71OpDzbICmNcLF7wamZR4hzK47iYu1yK+7BV4oL1LfV3D3LK+Xd4ZdKxvkUR1cTm/Q DyKMz70RCAJNxoar5r1zHQ5t9dekBzYBewuJSSal3PPFq1tEKQpHKlH00WGg6QLGwwBkUwCkgG6J rGpuQiBlnV8O7X2VmJECyzXRIIMqElSUc0EYszZ5KPUMGCtLrNvTieXtt/sXyjVtitxlm/Ttcpz0 kFBTrq+AH03Hb3Q2Xhluq7YFo4zXlS7w/wsqISYneRPSRBLVRTdDLwgMYZZ/K1SDqMpzzidkx9+y 2gmhoHFRB4/Iaw0K/CNVjqtq3ILDVxCzAXwvjfTbfQHjarlXqV/GYbEN2Tm9JpxDHfldP+hammmy 5h8ikWOID1HhGcnUJN1MUQZd3CzyoeGdjy4X//6cuIFwKx8IAkAIa0jVBujtERjEPGtKmSOBBCIQ DT+uD/5xfAdufDNnx/h1h5pMhFULSTWubOZ2s7SC4Xh79Yu2m+cdG0qYyOES3thtmzvkqI9FTXxm bcNJ3DZbTf4Q3FyqFX0N/lZRkz9bALt0DnMA5FThztFaUD0vvYS01MBDDz6U5F3S/FJFKs6FVX+V vF5ESHP/NECM32D6Y5T8ITOW0oAFKFRRlgq2S9ZmFgbF `protect end_protected
-- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:user:ieee754_fp_to_uint:1.0 -- IP Revision: 2 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; ENTITY affine_block_ieee754_fp_to_uint_0_1 IS PORT ( x : IN STD_LOGIC_VECTOR(31 DOWNTO 0); y : OUT STD_LOGIC_VECTOR(9 DOWNTO 0) ); END affine_block_ieee754_fp_to_uint_0_1; ARCHITECTURE affine_block_ieee754_fp_to_uint_0_1_arch OF affine_block_ieee754_fp_to_uint_0_1 IS ATTRIBUTE DowngradeIPIdentifiedWarnings : STRING; ATTRIBUTE DowngradeIPIdentifiedWarnings OF affine_block_ieee754_fp_to_uint_0_1_arch: ARCHITECTURE IS "yes"; COMPONENT ieee754_fp_to_uint IS GENERIC ( WIDTH : INTEGER ); PORT ( x : IN STD_LOGIC_VECTOR(31 DOWNTO 0); y : OUT STD_LOGIC_VECTOR(9 DOWNTO 0) ); END COMPONENT ieee754_fp_to_uint; BEGIN U0 : ieee754_fp_to_uint GENERIC MAP ( WIDTH => 10 ) PORT MAP ( x => x, y => y ); END affine_block_ieee754_fp_to_uint_0_1_arch;
-- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:user:ieee754_fp_to_uint:1.0 -- IP Revision: 2 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; ENTITY affine_block_ieee754_fp_to_uint_0_1 IS PORT ( x : IN STD_LOGIC_VECTOR(31 DOWNTO 0); y : OUT STD_LOGIC_VECTOR(9 DOWNTO 0) ); END affine_block_ieee754_fp_to_uint_0_1; ARCHITECTURE affine_block_ieee754_fp_to_uint_0_1_arch OF affine_block_ieee754_fp_to_uint_0_1 IS ATTRIBUTE DowngradeIPIdentifiedWarnings : STRING; ATTRIBUTE DowngradeIPIdentifiedWarnings OF affine_block_ieee754_fp_to_uint_0_1_arch: ARCHITECTURE IS "yes"; COMPONENT ieee754_fp_to_uint IS GENERIC ( WIDTH : INTEGER ); PORT ( x : IN STD_LOGIC_VECTOR(31 DOWNTO 0); y : OUT STD_LOGIC_VECTOR(9 DOWNTO 0) ); END COMPONENT ieee754_fp_to_uint; BEGIN U0 : ieee754_fp_to_uint GENERIC MAP ( WIDTH => 10 ) PORT MAP ( x => x, y => y ); END affine_block_ieee754_fp_to_uint_0_1_arch;
-- megafunction wizard: %LPM_COMPARE% -- GENERATION: STANDARD -- VERSION: WM1.0 -- MODULE: LPM_COMPARE -- ============================================================ -- File Name: lpm_compare3.vhd -- Megafunction Name(s): -- LPM_COMPARE -- -- Simulation Library Files(s): -- lpm -- ============================================================ -- ************************************************************ -- THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE! -- -- 13.1.0 Build 162 10/23/2013 SJ Web Edition -- ************************************************************ --Copyright (C) 1991-2013 Altera Corporation --Your use of Altera Corporation's design tools, logic functions --and other software and tools, and its AMPP partner logic --functions, and any output files from any of the foregoing --(including device programming or simulation files), and any --associated documentation or information are expressly subject --to the terms and conditions of the Altera Program License --Subscription Agreement, Altera MegaCore Function License --Agreement, or other applicable license agreement, including, --without limitation, that your use is for the sole purpose of --programming logic devices manufactured by Altera and sold by --Altera or its authorized distributors. Please refer to the --applicable agreement for further details. LIBRARY ieee; USE ieee.std_logic_1164.all; LIBRARY lpm; USE lpm.all; ENTITY lpm_compare3 IS PORT ( dataa : IN STD_LOGIC_VECTOR (5 DOWNTO 0); aeb : OUT STD_LOGIC ); END lpm_compare3; ARCHITECTURE SYN OF lpm_compare3 IS SIGNAL sub_wire0 : STD_LOGIC ; SIGNAL sub_wire1_bv : BIT_VECTOR (5 DOWNTO 0); SIGNAL sub_wire1 : STD_LOGIC_VECTOR (5 DOWNTO 0); COMPONENT lpm_compare GENERIC ( lpm_hint : STRING; lpm_representation : STRING; lpm_type : STRING; lpm_width : NATURAL ); PORT ( aeb : OUT STD_LOGIC ; dataa : IN STD_LOGIC_VECTOR (5 DOWNTO 0); datab : IN STD_LOGIC_VECTOR (5 DOWNTO 0) ); END COMPONENT; BEGIN sub_wire1_bv(5 DOWNTO 0) <= "110010"; sub_wire1 <= To_stdlogicvector(sub_wire1_bv); aeb <= sub_wire0; LPM_COMPARE_component : LPM_COMPARE GENERIC MAP ( lpm_hint => "ONE_INPUT_IS_CONSTANT=YES", lpm_representation => "UNSIGNED", lpm_type => "LPM_COMPARE", lpm_width => 6 ) PORT MAP ( dataa => dataa, datab => sub_wire1, aeb => sub_wire0 ); END SYN; -- ============================================================ -- CNX file retrieval info -- ============================================================ -- Retrieval info: PRIVATE: AeqB NUMERIC "1" -- Retrieval info: PRIVATE: AgeB NUMERIC "0" -- Retrieval info: PRIVATE: AgtB NUMERIC "0" -- Retrieval info: PRIVATE: AleB NUMERIC "0" -- Retrieval info: PRIVATE: AltB NUMERIC "0" -- Retrieval info: PRIVATE: AneB NUMERIC "0" -- Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "Cyclone III" -- Retrieval info: PRIVATE: LPM_PIPELINE NUMERIC "0" -- Retrieval info: PRIVATE: Latency NUMERIC "0" -- Retrieval info: PRIVATE: PortBValue NUMERIC "50" -- Retrieval info: PRIVATE: Radix NUMERIC "10" -- Retrieval info: PRIVATE: SYNTH_WRAPPER_GEN_POSTFIX STRING "0" -- Retrieval info: PRIVATE: SignedCompare NUMERIC "0" -- Retrieval info: PRIVATE: aclr NUMERIC "0" -- Retrieval info: PRIVATE: clken NUMERIC "0" -- Retrieval info: PRIVATE: isPortBConstant NUMERIC "1" -- Retrieval info: PRIVATE: nBit NUMERIC "6" -- Retrieval info: PRIVATE: new_diagram STRING "1" -- Retrieval info: LIBRARY: lpm lpm.lpm_components.all -- Retrieval info: CONSTANT: LPM_HINT STRING "ONE_INPUT_IS_CONSTANT=YES" -- Retrieval info: CONSTANT: LPM_REPRESENTATION STRING "UNSIGNED" -- Retrieval info: CONSTANT: LPM_TYPE STRING "LPM_COMPARE" -- Retrieval info: CONSTANT: LPM_WIDTH NUMERIC "6" -- Retrieval info: USED_PORT: aeb 0 0 0 0 OUTPUT NODEFVAL "aeb" -- Retrieval info: USED_PORT: dataa 0 0 6 0 INPUT NODEFVAL "dataa[5..0]" -- Retrieval info: CONNECT: @dataa 0 0 6 0 dataa 0 0 6 0 -- Retrieval info: CONNECT: @datab 0 0 6 0 50 0 0 6 0 -- Retrieval info: CONNECT: aeb 0 0 0 0 @aeb 0 0 0 0 -- Retrieval info: GEN_FILE: TYPE_NORMAL lpm_compare3.vhd TRUE -- Retrieval info: GEN_FILE: TYPE_NORMAL lpm_compare3.inc FALSE -- Retrieval info: GEN_FILE: TYPE_NORMAL lpm_compare3.cmp TRUE -- Retrieval info: GEN_FILE: TYPE_NORMAL lpm_compare3.bsf TRUE -- Retrieval info: GEN_FILE: TYPE_NORMAL lpm_compare3_inst.vhd FALSE -- Retrieval info: LIB_FILE: lpm
-- Copyright 1986-2016 Xilinx, Inc. All Rights Reserved. -- -------------------------------------------------------------------------------- -- Tool Version: Vivado v.2016.4 (win64) Build 1733598 Wed Dec 14 22:35:39 MST 2016 -- Date : Thu May 25 15:27:52 2017 -- Host : GILAMONSTER running 64-bit major release (build 9200) -- Command : write_vhdl -force -mode synth_stub -rename_top system_vga_sync_ref_0_0 -prefix -- system_vga_sync_ref_0_0_ system_vga_sync_ref_1_0_stub.vhdl -- Design : system_vga_sync_ref_1_0 -- Purpose : Stub declaration of top-level module interface -- Device : xc7z020clg484-1 -- -------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; entity system_vga_sync_ref_0_0 is Port ( clk : in STD_LOGIC; rst : in STD_LOGIC; hsync : in STD_LOGIC; vsync : in STD_LOGIC; start : out STD_LOGIC; active : out STD_LOGIC; xaddr : out STD_LOGIC_VECTOR ( 9 downto 0 ); yaddr : out STD_LOGIC_VECTOR ( 9 downto 0 ) ); end system_vga_sync_ref_0_0; architecture stub of system_vga_sync_ref_0_0 is attribute syn_black_box : boolean; attribute black_box_pad_pin : string; attribute syn_black_box of stub : architecture is true; attribute black_box_pad_pin of stub : architecture is "clk,rst,hsync,vsync,start,active,xaddr[9:0],yaddr[9:0]"; attribute x_core_info : string; attribute x_core_info of stub : architecture is "vga_sync_ref,Vivado 2016.4"; begin end;
library IEEE; use IEEE.numeric_std.all; use IEEE.std_logic_1164.all; use work.vga_comp.all; entity tb is end; architecture rtl of tb is component vga is port(clk : in std_logic; reset : in std_logic; output : out vga_out_t); end component; signal clk : std_logic; signal reset : std_logic; signal output : vga_out_t; begin vga_0 : vga port map (clk, reset, output); clk_gen: process begin clk <= '0'; wait for 10 ns; clk <= '1'; wait for 10 ns; end process; reset_gen: process begin reset <= '1'; wait for 10 ns; reset <= '0'; wait; end process; end rtl;
-------------------------------------------------------------------------------- -- -- FIFO Generator Core - core top file for implementation -- -------------------------------------------------------------------------------- -- -- (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -------------------------------------------------------------------------------- -- -- Filename: rd_fifo_256to64_exdes.vhd -- -- Description: -- This is the FIFO core wrapper with BUFG instances for clock connections. -- -------------------------------------------------------------------------------- -- Library Declarations -------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; library unisim; use unisim.vcomponents.all; -------------------------------------------------------------------------------- -- Entity Declaration -------------------------------------------------------------------------------- entity rd_fifo_256to64_exdes is PORT ( WR_CLK : IN std_logic; RD_CLK : IN std_logic; WR_DATA_COUNT : OUT std_logic_vector(10-1 DOWNTO 0); RD_DATA_COUNT : OUT std_logic_vector(12-1 DOWNTO 0); RST : IN std_logic; PROG_FULL : OUT std_logic; WR_EN : IN std_logic; RD_EN : IN std_logic; DIN : IN std_logic_vector(256-1 DOWNTO 0); DOUT : OUT std_logic_vector(64-1 DOWNTO 0); FULL : OUT std_logic; EMPTY : OUT std_logic); end rd_fifo_256to64_exdes; architecture xilinx of rd_fifo_256to64_exdes is signal wr_clk_i : std_logic; signal rd_clk_i : std_logic; component rd_fifo_256to64 is PORT ( WR_CLK : IN std_logic; RD_CLK : IN std_logic; WR_DATA_COUNT : OUT std_logic_vector(10-1 DOWNTO 0); RD_DATA_COUNT : OUT std_logic_vector(12-1 DOWNTO 0); RST : IN std_logic; PROG_FULL : OUT std_logic; WR_EN : IN std_logic; RD_EN : IN std_logic; DIN : IN std_logic_vector(256-1 DOWNTO 0); DOUT : OUT std_logic_vector(64-1 DOWNTO 0); FULL : OUT std_logic; EMPTY : OUT std_logic); end component; begin wr_clk_buf: bufg PORT map( i => WR_CLK, o => wr_clk_i ); rd_clk_buf: bufg PORT map( i => RD_CLK, o => rd_clk_i ); exdes_inst : rd_fifo_256to64 PORT MAP ( WR_CLK => wr_clk_i, RD_CLK => rd_clk_i, WR_DATA_COUNT => wr_data_count, RD_DATA_COUNT => rd_data_count, RST => rst, PROG_FULL => prog_full, WR_EN => wr_en, RD_EN => rd_en, DIN => din, DOUT => dout, FULL => full, EMPTY => empty); end xilinx;
library IEEE; use IEEE.STD_LOGIC_1164.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --use IEEE.NUMERIC_STD.ALL; -- Uncomment the following library declaration if instantiating -- any Xilinx primitives in this code. --library UNISIM; --use UNISIM.VComponents.all; entity PSR_MOD is Port ( alurs : in STD_LOGIC_VECTOR (31 downto 0); ope1 : in STD_LOGIC; ope2 : in STD_LOGIC; aluop : in STD_LOGIC_VECTOR (5 downto 0); nzvc : out std_logic_vector(3 downto 0) ); end PSR_MOD; architecture Behavioral of PSR_MOD is begin process(alurs,ope1,ope2,aluop) begin --ADDcc ADDXcc if (aluop = "100001" or aluop = "100011") then nzvc(3) <= alurs(31); if(alurs = X"00000000")then nzvc(2) <= '1'; else nzvc(2) <= '0'; end if; nzvc(1) <= (ope1 and ope2 and (not alurs(31))) or ((ope1) and (not ope2) and alurs(31)); nzvc(0) <= (ope1 and ope2) or ((not alurs(31)) and (ope1 or ope2)); --SUBcc SUBXcc elsif (aluop = "100101" or aluop = "100111") then nzvc(3) <= alurs(31); if(alurs = X"00000000")then nzvc(2) <= '1'; else nzvc(2) <= '0'; end if; nzvc(1) <= ((ope1 and (not ope2) and (not alurs(31))) or ((not ope1) and ope2 and alurs(31))); nzvc(0) <= ((not ope1) and ope2) or (alurs(31) and ((not ope1) or ope2)); --ANDcc ANDNcc ORcc ORNcc XORcc XNORcc elsif(aluop = "101001" or aluop = "101011" or aluop = "101101" or aluop = "101111" or aluop = "110001" or aluop = "110011")then nzvc(3) <= alurs(31); if(alurs = X"00000000") then nzvc(2) <= '1'; else nzvc(2) <= '0'; end if; nzvc(1) <= '0'; nzvc(0) <= '0'; -- --RESTO DE OPERACIONES -- else -- nzvc <= "0000"; end if; end process; end Behavioral;
------------------------------------------------------------------------------ -- This file is a part of the GRLIB VHDL IP LIBRARY -- Copyright (C) 2003 - 2008, Gaisler Research -- Copyright (C) 2008 - 2013, Aeroflex Gaisler -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation; either version 2 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ---------------------------------------------------------------------------- -- Entity: ahbctrl -- File: ahbctrl.vhd -- Author: Jiri Gaisler, Gaisler Research -- Modified: Edvin Catovic, Gaisler Research -- Description: AMBA arbiter, decoder and multiplexer with plug&play support ------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; library grlib; use grlib.stdlib.all; use grlib.amba.all; use grlib.config_types.all; use grlib.config.all; -- pragma translate_off use grlib.devices.all; use std.textio.all; -- pragma translate_on entity ahbctrl is generic ( defmast : integer := 0; -- default master split : integer := 0; -- split support rrobin : integer := 0; -- round-robin arbitration timeout : integer range 0 to 255 := 0; -- HREADY timeout ioaddr : ahb_addr_type := 16#fff#; -- I/O area MSB address iomask : ahb_addr_type := 16#fff#; -- I/O area address mask cfgaddr : ahb_addr_type := 16#ff0#; -- config area MSB address cfgmask : ahb_addr_type := 16#ff0#; -- config area address mask nahbm : integer range 1 to NAHBMST := NAHBMST; -- number of masters nahbs : integer range 1 to NAHBSLV := NAHBSLV; -- number of slaves ioen : integer range 0 to 15 := 1; -- enable I/O area disirq : integer range 0 to 1 := 0; -- disable interrupt routing fixbrst : integer range 0 to 1 := 0; -- support fix-length bursts debug : integer range 0 to 2 := 2; -- report cores to console fpnpen : integer range 0 to 1 := 0; -- full PnP configuration decoding icheck : integer range 0 to 1 := 1; devid : integer := 0; -- unique device ID enbusmon : integer range 0 to 1 := 0; --enable bus monitor assertwarn : integer range 0 to 1 := 0; --enable assertions for warnings asserterr : integer range 0 to 1 := 0; --enable assertions for errors hmstdisable : integer := 0; --disable master checks hslvdisable : integer := 0; --disable slave checks arbdisable : integer := 0; --disable arbiter checks mprio : integer := 0; --master with highest priority mcheck : integer range 0 to 2 := 1; --check memory map for intersects ccheck : integer range 0 to 1 := 1; --perform sanity checks on pnp config acdm : integer := 0; --AMBA compliant data muxing (for hsize > word) index : integer := 0; --Index for trace print-out ahbtrace : integer := 0; --AHB trace enable hwdebug : integer := 0; --Hardware debug fourgslv : integer := 0 --1=Single slave with single 4 GB bar ); port ( rst : in std_ulogic; clk : in std_ulogic; msti : out ahb_mst_in_type; msto : in ahb_mst_out_vector; slvi : out ahb_slv_in_type; slvo : in ahb_slv_out_vector; testen : in std_ulogic := '0'; testrst : in std_ulogic := '1'; scanen : in std_ulogic := '0'; testoen : in std_ulogic := '1'; testsig : in std_logic_vector(1+GRLIB_CONFIG_ARRAY(grlib_techmap_testin_extra) downto 0) := (others => '0') ); end; architecture rtl of ahbctrl is constant nahbmx : integer := 2**log2(nahbm); type nmstarr is array (1 to 3) of integer range 0 to nahbmx-1; type nvalarr is array (1 to 3) of boolean; type reg_type is record hmaster : integer range 0 to nahbmx -1; hmasterd : integer range 0 to nahbmx -1; hslave : integer range 0 to nahbs-1; hmasterlock : std_ulogic; hmasterlockd : std_ulogic; hready : std_ulogic; defslv : std_ulogic; htrans : std_logic_vector(1 downto 0); hsize : std_logic_vector(2 downto 0); haddr : std_logic_vector(15 downto 2); cfgsel : std_ulogic; cfga11 : std_ulogic; hrdatam : std_logic_vector(31 downto 0); hrdatas : std_logic_vector(31 downto 0); beat : std_logic_vector(3 downto 0); defmst : std_ulogic; ldefmst : std_ulogic; lsplmst : integer range 0 to nahbmx-1; end record; constant RESET_ALL : boolean := GRLIB_CONFIG_ARRAY(grlib_sync_reset_enable_all) = 1; constant RES_r : reg_type := ( hmaster => 0, hmasterd => 0, hslave => 0, hmasterlock => '0', hmasterlockd => '0', hready => '1', defslv => '0', htrans => HTRANS_IDLE, hsize => (others => '0'), haddr => (others => '0'), cfgsel => '0', cfga11 => '0', hrdatam => (others => '0'), hrdatas => (others => '0'), beat => (others => '0'), defmst => '0', ldefmst => '0', lsplmst => 0); constant RES_split : std_logic_vector(0 to nahbmx-1) := (others => '0'); constant primst : std_logic_vector(NAHBMST downto 0) := conv_std_logic_vector(mprio, NAHBMST+1); type l0_type is array (0 to 15) of std_logic_vector(2 downto 0); type l1_type is array (0 to 7) of std_logic_vector(3 downto 0); type l2_type is array (0 to 3) of std_logic_vector(4 downto 0); type l3_type is array (0 to 1) of std_logic_vector(5 downto 0); type tztab_type is array (0 to 15) of std_logic_vector(2 downto 0); --returns the index number of the highest priority request --signal in the two lsb bits when indexed with a 4-bit --request vector with the highest priority signal on the --lsb. the returned msb bit indicates if a request was --active ('1' = no request active corresponds to "0000") constant tztab : tztab_type := ("100", "000", "001", "000", "010", "000", "001", "000", "011", "000", "001", "000", "010", "000", "001", "000"); --calculate the number of the highest priority request signal(up to 64 --requests are supported) in vect_in using a divide and conquer --algorithm. The lower the index in the vector the higher the priority --of the signal. First 4-bit slices are indexed in tztab and the msb --indicates whether there is an active request or not. Then the resulting --3 bit vectors are compared in pairs (the one corresponding to (3:0) with --(7:4), (11:8) with (15:12) and so on). If the least significant of the two --contains an active signal a '0' is added to the msb side (the vector --becomes one bit wider at each level) to the next level to indicate that --there are active signals in the lower nibble of the two. Otherwise --the msb is removed from the vector corresponding to the higher nibble --and "10" is added if it does not contain active requests and "01" if --does contain active signals. Thus the msb still indicates if the new --slice contains active signals and a '1' is added if it is the higher --part. This results in a 6-bit vector containing the index number --of the highest priority master in 5:0 if bit 6 is '0' otherwise --no master requested the bus. function tz(vect_in : std_logic_vector) return std_logic_vector is variable vect : std_logic_vector(63 downto 0); variable l0 : l0_type; variable l1 : l1_type; variable l2 : l2_type; variable l3 : l3_type; variable l4 : std_logic_vector(6 downto 0); variable bci_lsb, bci_msb : std_logic_vector(3 downto 0); variable bco_lsb, bco_msb : std_logic_vector(2 downto 0); variable sel : std_logic; begin vect := (others => '1'); vect(vect_in'length-1 downto 0) := vect_in; -- level 0 for i in 0 to 7 loop bci_lsb := vect(8*i+3 downto 8*i); bci_msb := vect(8*i+7 downto 8*i+4); --lookup the highest priority request in each nibble bco_lsb := tztab(conv_integer(bci_lsb)); bco_msb := tztab(conv_integer(bci_msb)); --select which of two nibbles contain the highest priority ACTIVE --signal, and forward the corresponding vector to the next level sel := bco_lsb(2); if sel = '0' then l1(i) := '0' & bco_lsb; else l1(i) := bco_msb(2) & not bco_msb(2) & bco_msb(1 downto 0); end if; end loop; -- level 1 for i in 0 to 3 loop sel := l1(2*i)(3); --select which of two 8-bit vectors contain the --highest priority ACTIVE signal. the msb set at the previous level --for each 8-bit slice determines this if sel = '0' then l2(i) := '0' & l1(2*i); else l2(i) := l1(2*i+1)(3) & not l1(2*i+1)(3) & l1(2*i+1)(2 downto 0); end if; end loop; -- level 2 for i in 0 to 1 loop --16-bit vectors, the msb set at the previous level for each 16-bit --slice determines the higher priority slice sel := l2(2*i)(4); if sel = '0' then l3(i) := '0' & l2(2*i); else l3(i) := l2(2*i+1)(4) & not l2(2*i+1)(4) & l2(2*i+1)(3 downto 0); end if; end loop; --level 3 --32-bit vectors, the msb set at the previous level for each 32-bit --slice determines the higher priority slice if l3(0)(5) = '0' then l4 := '0' & l3(0); else l4 := l3(1)(5) & not l3(1)(5) & l3(1)(4 downto 0); end if; return(l4); end; --invert the bit order of the hbusreq signals located in vect_in --since the highest hbusreq has the highest priority but the --algorithm in tz has the highest priority on lsb function lz(vect_in : std_logic_vector) return std_logic_vector is variable vect : std_logic_vector(vect_in'length-1 downto 0); variable vect2 : std_logic_vector(vect_in'length-1 downto 0); begin vect := vect_in; for i in vect'right to vect'left loop vect2(i) := vect(vect'left-i); end loop; return(tz(vect2)); end; -- Find next master: -- * 2 arbitration policies: fixed priority or round-robin -- * Fixed priority: priority is fixed, highest index has highest priority -- * Round-robin: arbiter maintains circular queue of masters -- * (master 0, master 1, ..., master (nahbmx-1)). First requesting master -- * in the queue is granted access to the bus and moved to the end of the queue. -- * splitted masters are not granted -- * bus is re-arbited when current owner does not request the bus, -- or when it performs non-burst accesses -- * fix length burst transfers will not be interrupted -- * incremental bursts should assert hbusreq until last access procedure selmast(r : in reg_type; msto : in ahb_mst_out_vector; rsplit : in std_logic_vector(0 to nahbmx-1); mast : out integer range 0 to nahbmx-1; defmst : out std_ulogic) is variable nmst : nmstarr; variable nvalid : nvalarr; variable rrvec : std_logic_vector(nahbmx*2-1 downto 0); variable zcnt : std_logic_vector(log2(nahbmx)+1 downto 0); variable hpvec : std_logic_vector(nahbmx-1 downto 0); variable zcnt2 : std_logic_vector(log2(nahbmx) downto 0); begin nvalid(1 to 3) := (others => false); nmst(1 to 3) := (others => 0); mast := r.hmaster; defmst := '0'; if nahbm = 1 then mast := 0; elsif rrobin = 0 then hpvec := (others => '0'); for i in 0 to nahbmx-1 loop --masters which have received split are not granted if ((rsplit(i) = '0') or (split = 0)) then hpvec(i) := msto(i).hbusreq; end if; end loop; --check if any bus requests are active (nvalid(2) set to true) --and determine the index (zcnt2) of the highest priority master zcnt2 := lz(hpvec)(log2(nahbmx) downto 0); if zcnt2(log2(nahbmx)) = '0' then nvalid(2) := true; end if; nmst(2) := conv_integer(not (zcnt2(log2(nahbmx)-1 downto 0))); --find the default master number for i in 0 to nahbmx-1 loop if not ((nmst(3) = defmast) and nvalid(3)) then nmst(3) := i; nvalid(3) := true; end if; end loop; else rrvec := (others => '0'); --mask requests up to and including current master. Concatenate --an unmasked request vector above the masked vector. Otherwise --the rules are the same as for fixed priority for i in 0 to nahbmx-1 loop if ((rsplit(i) = '0') or (split = 0)) then if (i <= r.hmaster) then rrvec(i) := '0'; else rrvec(i) := msto(i).hbusreq; end if; rrvec(nahbmx+i) := msto(i).hbusreq; end if; end loop; --find the next master uzing tz which gives priority to lower --indexes zcnt := tz(rrvec)(log2(nahbmx)+1 downto 0); --was there a master requesting the bus? if zcnt(log2(nahbmx)+1) = '0' then nvalid(2) := true; end if; nmst(2) := conv_integer(zcnt(log2(nahbmx)-1 downto 0)); --if no other master is requesting the bus select the current one nmst(3) := r.hmaster; nvalid(3) := true; --check if any masters configured with higher priority are requesting --the bus if mprio /= 0 then for i in 0 to nahbm-1 loop if (((rsplit(i) = '0') or (split = 0)) and (primst(i) = '1')) then if msto(i).hbusreq = '1' then nmst(1) := i; nvalid(1) := true; end if; end if; end loop; end if; end if; --select the next master. If for round robin a high priority master --(mprio) requested the bus if nvalid(1) is true. Otherwise --if nvalid(2) is true at least one master was requesting the bus --and the one with highest priority was selected. If none of these --were true then the default master is selected (nvalid(3) true) for i in 1 to 3 loop if nvalid(i) then mast := nmst(i); exit; end if; end loop; --if no master was requesting the bus and split is enabled --then select builtin dummy master which only does --idle transfers if (not (nvalid(1) or nvalid(2))) and (split /= 0) then defmst := orv(rsplit); end if; end; constant MIMAX : integer := log2x(nahbmx) - 1; constant SIMAX : integer := log2x(nahbs) - 1; constant IOAREA : std_logic_vector(11 downto 0) := conv_std_logic_vector(ioaddr, 12); constant IOMSK : std_logic_vector(11 downto 0) := conv_std_logic_vector(iomask, 12); constant CFGAREA : std_logic_vector(11 downto 0) := conv_std_logic_vector(cfgaddr, 12); constant CFGMSK : std_logic_vector(11 downto 0) := conv_std_logic_vector(cfgmask, 12); constant FULLPNP : boolean := (fpnpen /= 0); signal r, rin : reg_type; signal rsplit, rsplitin : std_logic_vector(0 to nahbmx-1); -- pragma translate_off signal lmsti : ahb_mst_in_type; signal lslvi : ahb_slv_in_type; -- pragma translate_on begin comb : process(rst, msto, slvo, r, rsplit, testen, testrst, scanen, testoen, testsig) variable v : reg_type; variable nhmaster: integer range 0 to nahbmx -1; variable hgrant : std_logic_vector(0 to NAHBMST-1); -- bus grant variable hsel : std_logic_vector(0 to 31); -- slave select variable hmbsel : std_logic_vector(0 to NAHBAMR-1); variable nslave : natural range 0 to 31; variable vsplit : std_logic_vector(0 to nahbmx-1); variable bnslave : std_logic_vector(3 downto 0); variable area : std_logic_vector(1 downto 0); variable hready : std_ulogic; variable defslv : std_ulogic; variable cfgsel : std_ulogic; variable hresp : std_logic_vector(1 downto 0); variable hrdata : std_logic_vector(AHBDW-1 downto 0); variable haddr : std_logic_vector(31 downto 0); variable hirq : std_logic_vector(NAHBIRQ-1 downto 0); variable arb : std_ulogic; variable hconfndx : integer range 0 to 7; variable vslvi : ahb_slv_in_type; variable defmst : std_ulogic; variable tmpv : std_logic_vector(0 to nahbmx-1); begin v := r; hgrant := (others => '0'); defmst := '0'; haddr := msto(r.hmaster).haddr; nhmaster := r.hmaster; --determine if bus should be rearbitrated. This is done if the current --master is not performing a locked transfer and if not in the middle --of burst arb := '0'; if (r.hmasterlock or r.ldefmst) = '0' then case msto(r.hmaster).htrans is when HTRANS_IDLE => arb := '1'; when HTRANS_NONSEQ => case msto(r.hmaster).hburst is when HBURST_SINGLE => arb := '1'; when HBURST_INCR => arb := not msto(r.hmaster).hbusreq; when others => end case; when HTRANS_SEQ => case msto(r.hmaster).hburst is when HBURST_WRAP4 | HBURST_INCR4 => if (fixbrst = 1) and (r.beat(1 downto 0) = "11") then arb := '1'; end if; when HBURST_WRAP8 | HBURST_INCR8 => if (fixbrst = 1) and (r.beat(2 downto 0) = "111") then arb := '1'; end if; when HBURST_WRAP16 | HBURST_INCR16 => if (fixbrst = 1) and (r.beat(3 downto 0) = "1111") then arb := '1'; end if; when HBURST_INCR => arb := not msto(r.hmaster).hbusreq; when others => end case; when others => arb := '0'; end case; end if; if (split /= 0) then for i in 0 to nahbmx-1 loop tmpv(i) := (msto(i).htrans(1) or (msto(i).hbusreq)) and not rsplit(i) and not r.ldefmst; end loop; if (r.defmst and orv(tmpv)) = '1' then arb := '1'; end if; end if; --rearbitrate bus with selmast. If not arbitrated one must --ensure that the dummy master is selected for locked splits. if (arb = '1') then selmast(r, msto, rsplit, nhmaster, defmst); elsif (split /= 0) then defmst := r.defmst; end if; -- slave decoding hsel := (others => '0'); hmbsel := (others => '0'); if fourgslv = 0 then for i in 0 to nahbs-1 loop for j in NAHBIR to NAHBCFG-1 loop area := slvo(i).hconfig(j)(1 downto 0); case area is when "10" => if ((ioen = 0) or ((IOAREA and IOMSK) /= (haddr(31 downto 20) and IOMSK))) and ((slvo(i).hconfig(j)(31 downto 20) and slvo(i).hconfig(j)(15 downto 4)) = (haddr(31 downto 20) and slvo(i).hconfig(j)(15 downto 4))) and (slvo(i).hconfig(j)(15 downto 4) /= "000000000000") then hsel(i) := '1'; hmbsel(j-NAHBIR) := '1'; end if; when "11" => if ((ioen /= 0) and ((IOAREA and IOMSK) = (haddr(31 downto 20) and IOMSK))) and ((slvo(i).hconfig(j)(31 downto 20) and slvo(i).hconfig(j)(15 downto 4)) = (haddr(19 downto 8) and slvo(i).hconfig(j)(15 downto 4))) and (slvo(i).hconfig(j)(15 downto 4) /= "000000000000") then hsel(i) := '1'; hmbsel(j-NAHBIR) := '1'; end if; when others => end case; end loop; end loop; else -- There is only one slave on the bus. The slave has only one bar, which -- maps 4 GB address space. hsel(0) := '1'; hmbsel(0) := '1'; end if; if r.defmst = '1' then hsel := (others => '0'); end if; bnslave(0) := hsel(1) or hsel(3) or hsel(5) or hsel(7) or hsel(9) or hsel(11) or hsel(13) or hsel(15); bnslave(1) := hsel(2) or hsel(3) or hsel(6) or hsel(7) or hsel(10) or hsel(11) or hsel(14) or hsel(15); bnslave(2) := hsel(4) or hsel(5) or hsel(6) or hsel(7) or hsel(12) or hsel(13) or hsel(14) or hsel(15); bnslave(3) := hsel(8) or hsel(9) or hsel(10) or hsel(11) or hsel(12) or hsel(13) or hsel(14) or hsel(15); nslave := conv_integer(bnslave(SIMAX downto 0)); if ((((IOAREA and IOMSK) = (haddr(31 downto 20) and IOMSK)) and (ioen /= 0)) or ((IOAREA = haddr(31 downto 20)) and (ioen = 0))) and ((CFGAREA and CFGMSK) = (haddr(19 downto 8) and CFGMSK)) and (cfgmask /= 0) then cfgsel := '1'; hsel := (others => '0'); else cfgsel := '0'; end if; if (nslave = 0) and (hsel(0) = '0') and (cfgsel = '0') then defslv := '1'; else defslv := '0'; end if; if r.defmst = '1' then cfgsel := '0'; defslv := '1'; end if; -- error response on undecoded area v.hready := '0'; hready := slvo(r.hslave).hready; hresp := slvo(r.hslave).hresp; if r.defslv = '1' then -- default slave if (r.htrans = HTRANS_IDLE) or (r.htrans = HTRANS_BUSY) then hresp := HRESP_OKAY; hready := '1'; else -- return two-cycle error in case of unimplemented slave access hresp := HRESP_ERROR; hready := r.hready; v.hready := not r.hready; end if; end if; if acdm = 0 then hrdata := slvo(r.hslave).hrdata; else hrdata := ahbselectdata(slvo(r.hslave).hrdata, r.haddr(4 downto 2), r.hsize); end if; if cfgmask /= 0 then -- plug&play information for masters if FULLPNP then hconfndx := conv_integer(r.haddr(4 downto 2)); else hconfndx := 0; end if; if (r.haddr(10 downto MIMAX+6) = zero32(10 downto MIMAX+6)) and (FULLPNP or (r.haddr(4 downto 2) = "000")) then v.hrdatam := msto(conv_integer(r.haddr(MIMAX+5 downto 5))).hconfig(hconfndx); else v.hrdatam := (others => '0'); end if; -- plug&play information for slaves if (r.haddr(10 downto SIMAX+6) = zero32(10 downto SIMAX+6)) and (FULLPNP or (r.haddr(4 downto 2) = "000") or (r.haddr(4) = '1')) then v.hrdatas := slvo(conv_integer(r.haddr(SIMAX+5 downto 5))).hconfig(conv_integer(r.haddr(4 downto 2))); else v.hrdatas := (others => '0'); end if; -- device ID, library build and potentially debug information if r.haddr(10 downto 4) = "1111111" then if hwdebug = 0 or r.haddr(3 downto 2) = "00" then v.hrdatas(15 downto 0) := conv_std_logic_vector(LIBVHDL_BUILD, 16); v.hrdatas(31 downto 16) := conv_std_logic_vector(devid, 16); elsif r.haddr(3 downto 2) = "01" then for i in 0 to nahbmx-1 loop v.hrdatas(i) := msto(i).hbusreq; end loop; else for i in 0 to nahbmx-1 loop v.hrdatas(i) := rsplit(i); end loop; end if; end if; if r.cfgsel = '1' then hrdata := (others => '0'); -- default slave if (r.htrans = HTRANS_IDLE) or (r.htrans = HTRANS_BUSY) then hresp := HRESP_OKAY; hready := '1'; else -- return two-cycle read/write respons hresp := HRESP_OKAY; hready := r.hready; v.hready := not r.hready; end if; if r.cfga11 = '0' then hrdata := ahbdrivedata(r.hrdatam); else hrdata := ahbdrivedata(r.hrdatas); end if; end if; end if; --degrant all masters when split occurs for locked access if (r.hmasterlockd = '1') then if (hresp = HRESP_RETRY) or ((split /= 0) and (hresp = HRESP_SPLIT)) then nhmaster := r.hmaster; end if; if split /= 0 then if hresp = HRESP_SPLIT then v.ldefmst := '1'; defmst := '1'; v.lsplmst := nhmaster; end if; end if; end if; if split /= 0 and r.ldefmst = '1' then if rsplit(r.lsplmst) = '0' then v.ldefmst := '0'; defmst := '0'; end if; end if; if (split = 0) or (defmst = '0') then hgrant(nhmaster) := '1'; end if; -- latch active master and slave if hready = '1' then v.hmaster := nhmaster; v.hmasterd := r.hmaster; v.hsize := msto(r.hmaster).hsize; v.hslave := nslave; v.defslv := defslv; v.hmasterlockd := r.hmasterlock; if (split = 0) or (r.defmst = '0') then v.htrans := msto(r.hmaster).htrans; else v.htrans := HTRANS_IDLE; end if; v.cfgsel := cfgsel; v.cfga11 := msto(r.hmaster).haddr(11); v.haddr := msto(r.hmaster).haddr(15 downto 2); if (msto(r.hmaster).htrans = HTRANS_NONSEQ) or (msto(r.hmaster).htrans = HTRANS_IDLE) then v.beat := "0001"; elsif (msto(r.hmaster).htrans = HTRANS_SEQ) then if (fixbrst = 1) then v.beat := r.beat + 1; end if; end if; if (split /= 0) then v.defmst := defmst; end if; end if; --assign new hmasterlock, v.hmaster is used because if hready --then master can have changed, and when not hready then the --previous master will still be selected v.hmasterlock := msto(v.hmaster).hlock or (r.hmasterlock and not hready); --if the master asserting hlock received a SPLIT/RETRY response --to the previous access then disregard the current lock request. --the bus will otherwise be locked when the previous access is --retried instead of treating hlock as coupled to the next access. --use hmasterlockd to keep the bus locked for SPLIT/RETRY to locked --accesses. if v.hmaster = r.hmasterd and slvo(r.hslave).hresp(1) = '1' then if r.hmasterlockd = '0' then v.hmasterlock := '0'; v.hmasterlockd := '0'; end if; end if; -- split support vsplit := (others => '0'); if SPLIT /= 0 then vsplit := rsplit; if slvo(r.hslave).hresp = HRESP_SPLIT then vsplit(r.hmasterd) := '1'; end if; for i in 0 to nahbs-1 loop for j in 0 to nahbmx-1 loop vsplit(j) := vsplit(j) and not slvo(i).hsplit(j); end loop; end loop; end if; -- interrupt merging hirq := (others => '0'); if disirq = 0 then for i in 0 to nahbs-1 loop hirq := hirq or slvo(i).hirq; end loop; for i in 0 to nahbm-1 loop hirq := hirq or msto(i).hirq; end loop; end if; if (split = 0) or (r.defmst = '0') then vslvi.haddr := haddr; vslvi.htrans := msto(r.hmaster).htrans; vslvi.hwrite := msto(r.hmaster).hwrite; vslvi.hsize := msto(r.hmaster).hsize; vslvi.hburst := msto(r.hmaster).hburst; vslvi.hready := hready; vslvi.hprot := msto(r.hmaster).hprot; -- vslvi.hmastlock := msto(r.hmaster).hlock; vslvi.hmastlock := r.hmasterlock; vslvi.hmaster := conv_std_logic_vector(r.hmaster, 4); vslvi.hsel := hsel(0 to NAHBSLV-1); vslvi.hmbsel := hmbsel; vslvi.hirq := hirq; else vslvi := ahbs_in_none; vslvi.hready := hready; vslvi.hirq := hirq; end if; if acdm = 0 then vslvi.hwdata := msto(r.hmasterd).hwdata; else vslvi.hwdata := ahbselectdata(msto(r.hmasterd).hwdata, r.haddr(4 downto 2), r.hsize); end if; vslvi.testen := testen; vslvi.testrst := testrst; vslvi.scanen := scanen and testen; vslvi.testoen := testoen; vslvi.testin := testen & (scanen and testen) & testsig; -- reset operation if (not RESET_ALL) and (rst = '0') then v.hmaster := RES_r.hmaster; v.hmasterlock := RES_r.hmasterlock; vsplit := (others => '0'); v.htrans := RES_r.htrans; v.defslv := RES_r.defslv; v.hslave := RES_r.hslave; v.cfgsel := RES_r.cfgsel; v.defmst := RES_r.defmst; v.ldefmst := RES_r.ldefmst; end if; -- drive master inputs msti.hgrant <= hgrant; msti.hready <= hready; msti.hresp <= hresp; msti.hrdata <= hrdata; msti.hirq <= hirq; msti.testen <= testen; msti.testrst <= testrst; msti.scanen <= scanen and testen; msti.testoen <= testoen; msti.testin <= testen & (scanen and testen) & testsig; -- drive slave inputs slvi <= vslvi; -- pragma translate_off --drive internal signals to bus monitor lslvi <= vslvi; lmsti.hgrant <= hgrant; lmsti.hready <= hready; lmsti.hresp <= hresp; lmsti.hrdata <= hrdata; lmsti.hirq <= hirq; -- pragma translate_on if split = 0 then v.ldefmst := '0'; v.lsplmst := 0; end if; rin <= v; rsplitin <= vsplit; end process; reg0 : process(clk) begin if rising_edge(clk) then r <= rin; if RESET_ALL and rst = '0' then r <= RES_r; end if; end if; if (split = 0) then r.defmst <= '0'; end if; end process; splitreg : if SPLIT /= 0 generate reg1 : process(clk) begin if rising_edge(clk) then rsplit <= rsplitin; if RESET_ALL and rst = '0' then rsplit <= RES_split; end if; end if; end process; end generate; nosplitreg : if SPLIT = 0 generate rsplit <= (others => '0'); end generate; -- pragma translate_off ahblog : if ahbtrace /= 0 generate log : process (clk) variable hwrite : std_logic; variable hsize : std_logic_vector(2 downto 0); variable htrans : std_logic_vector(1 downto 0); variable hmaster : std_logic_vector(3 downto 0); variable haddr : std_logic_vector(31 downto 0); variable hwdata, hrdata : std_logic_vector(127 downto 0); variable mbit, bitoffs : integer; variable t : integer; begin if rising_edge(clk) then if htrans(1)='1' and lmsti.hready='0' and (lmsti.hresp="01") then if hwrite = '1' then grlib.testlib.print("mst" & tost(hmaster) & ": " & tost(haddr) & " write " & tost(mbit/8) & " bytes [" & tost(lslvi.hwdata(mbit-1+bitoffs downto bitoffs)) & "] - ERROR!"); else grlib.testlib.print("mst" & tost(hmaster) & ": " & tost(haddr) & " read " & tost(mbit/8) & " bytes [" & tost(lmsti.hrdata(mbit-1+bitoffs downto bitoffs)) & "] - ERROR!"); end if; end if; if ((htrans(1) and lmsti.hready) = '1') and (lmsti.hresp = "00") then mbit := 2**conv_integer(hsize)*8; bitoffs := 0; if mbit < ahbdw then bitoffs := mbit * conv_integer(haddr(log2(ahbdw/8)-1 downto conv_integer(hsize))); bitoffs := lslvi.hwdata'length-mbit-bitoffs; end if; t := (now/1 ns); if hwrite = '1' then grlib.testlib.print("mst" & tost(hmaster) & ": " & tost(haddr) & " write " & tost(mbit/8) & " bytes [" & tost(lslvi.hwdata(mbit-1+bitoffs downto bitoffs)) & "]"); else grlib.testlib.print("mst" & tost(hmaster) & ": " & tost(haddr) & " read " & tost(mbit/8) & " bytes [" & tost(lmsti.hrdata(mbit-1+bitoffs downto bitoffs)) & "]"); end if; end if; if lmsti.hready = '1' then hwrite := lslvi.hwrite; hsize := lslvi.hsize; haddr := lslvi.haddr; htrans := lslvi.htrans; hmaster := lslvi.hmaster; end if; end if; end process; end generate; mon0 : if enbusmon /= 0 generate mon : ahbmon generic map( asserterr => asserterr, assertwarn => assertwarn, hmstdisable => hmstdisable, hslvdisable => hslvdisable, arbdisable => arbdisable, nahbm => nahbm, nahbs => nahbs) port map( rst => rst, clk => clk, ahbmi => lmsti, ahbmo => msto, ahbsi => lslvi, ahbso => slvo, err => open); end generate; diag : process type ahbsbank_type is record start : std_logic_vector(31 downto 8); stop : std_logic_vector(31 downto 8); io : std_ulogic; end record; type ahbsbanks_type is array (0 to 3) of ahbsbank_type; type memmap_type is array (0 to nahbs-1) of ahbsbanks_type; variable k : integer; variable mask : std_logic_vector(11 downto 0); variable device : std_logic_vector(11 downto 0); variable devicei : integer; variable vendor : std_logic_vector( 7 downto 0); variable area : std_logic_vector( 1 downto 0); variable vendori : integer; variable iosize, tmp : integer; variable iounit : string(1 to 5) := " byte"; variable memtype : string(1 to 9); variable iostart : std_logic_vector(11 downto 0) := IOAREA and IOMSK; variable cfgstart : std_logic_vector(11 downto 0) := CFGAREA and CFGMSK; variable L1 : line := new string'(""); variable S1 : string(1 to 255); variable memmap : memmap_type; begin wait for 2 ns; if debug = 0 then wait; end if; if debug > 0 then k := 0; mask := IOMSK; while (k<12) and (mask(k) = '0') loop k := k+1; end loop; print("ahbctrl: AHB arbiter/multiplexer rev 1"); if ioen /= 0 then print("ahbctrl: Common I/O area at " & tost(iostart) & "00000, " & tost(2**k) & " Mbyte"); else print("ahbctrl: Common I/O area disabled"); end if; print("ahbctrl: AHB masters: " & tost(nahbm) & ", AHB slaves: " & tost(nahbs)); if cfgmask /= 0 then print("ahbctrl: Configuration area at " & tost(iostart & cfgstart) & "00, 4 kbyte"); else print("ahbctrl: Configuration area disabled"); end if; end if; for i in 0 to nahbm-1 loop vendor := msto(i).hconfig(0)(31 downto 24); vendori := conv_integer(vendor); if vendori /= 0 then if debug > 1 then device := msto(i).hconfig(0)(23 downto 12); devicei := conv_integer(device); print("ahbctrl: mst" & tost(i) & ": " & iptable(vendori).vendordesc & iptable(vendori).device_table(devicei)); end if; for j in 1 to NAHBIR-1 loop assert (msto(i).hconfig(j) = zx or FULLPNP or ccheck = 0 or cfgmask = 0) report "AHB master " & tost(i) & " propagates non-zero user defined PnP data, " & "but AHBCTRL full PnP decoding has not been enabled (check fpnpen VHDL generic)" severity warning; end loop; assert (msto(i).hindex = i) or (icheck = 0) report "AHB master index error on master " & tost(i) & ". Detected index value " & tost(msto(i).hindex) severity failure; else for j in 0 to NAHBCFG-1 loop assert (msto(i).hconfig(j) = zx or ccheck = 0) report "AHB master " & tost(i) & " appears to be disabled, " & "but the master config record is not driven to zero " & "(check vendor ID or drive unused bus index with appropriate values)." severity warning; end loop; end if; end loop; if nahbm < NAHBMST then for i in nahbm to NAHBMST-1 loop for j in 0 to NAHBCFG-1 loop assert (msto(i).hconfig(j) = zx or ccheck = 0) report "AHB master " & tost(i) & " is outside the range of " & "decoded master indexes but the master config record is not driven to zero " & "(check nahbm VHDL generic)." severity warning; end loop; end loop; end if; for i in 0 to nahbs-1 loop vendor := slvo(i).hconfig(0)(31 downto 24); vendori := conv_integer(vendor); if vendori /= 0 then if debug > 1 then device := slvo(i).hconfig(0)(23 downto 12); devicei := conv_integer(device); std.textio.write(L1, "ahbctrl: slv" & tost(i) & ": " & iptable(vendori).vendordesc & iptable(vendori).device_table(devicei)); std.textio.writeline(OUTPUT, L1); end if; for j in 1 to NAHBIR-1 loop assert (slvo(i).hconfig(j) = zx or FULLPNP or ccheck = 0 or cfgmask = 0) report "AHB slave " & tost(i) & " propagates non-zero user defined PnP data, " & "but AHBCTRL full PnP decoding has not been enabled (check fpnpen VHDL generic)." severity warning; end loop; for j in NAHBIR to NAHBCFG-1 loop area := slvo(i).hconfig(j)(1 downto 0); mask := slvo(i).hconfig(j)(15 downto 4); memmap(i)(j mod NAHBIR).start := (others => '0'); memmap(i)(j mod NAHBIR).stop := (others => '0'); memmap(i)(j mod NAHBIR).io := slvo(i).hconfig(j)(0); if (mask /= "000000000000" or fourgslv = 1) then case area is when "01" => when "10" => k := 0; while (k<12) and (mask(k) = '0') loop k := k+1; end loop; if debug > 1 then std.textio.write(L1, "ahbctrl: memory at " & tost(slvo(i).hconfig(j)(31 downto 20) and mask) & "00000, size "& tost(2**k) & " Mbyte"); if slvo(i).hconfig(j)(16) = '1' then std.textio.write(L1, string'(", cacheable")); end if; if slvo(i).hconfig(j)(17) = '1' then std.textio.write(L1, string'(", prefetch")); end if; std.textio.writeline(OUTPUT, L1); end if; memmap(i)(j mod NAHBIR).start(31 downto 20) := slvo(i).hconfig(j)(31 downto 20); memmap(i)(j mod NAHBIR).start(31 downto 20) := (slvo(i).hconfig(j)(31 downto 20) and mask); memmap(i)(j mod NAHBIR).start(19 downto 8) := (others => '0'); memmap(i)(j mod NAHBIR).stop := memmap(i)(j mod NAHBIR).start + 2**(k+12) - 1; -- Be verbose if an address with bits set outside the area -- selected by the mask is encountered assert ((slvo(i).hconfig(j)(31 downto 20) and not mask) = zero32(11 downto 0)) report "AHB slave " & tost(i) & " may decode an area larger than intended. Bar " & tost(j mod NAHBIR) & " will have base address " & tost(slvo(i).hconfig(j)(31 downto 20) and mask) & "00000, the intended base address may have been " & tost(slvo(i).hconfig(j)(31 downto 20)) & "00000" severity warning; when "11" => if ioen /= 0 then k := 0; while (k<12) and (mask(k) = '0') loop k := k+1; end loop; memmap(i)(j mod NAHBIR).start := iostart & (slvo(i).hconfig(j)(31 downto 20) and slvo(i).hconfig(j)(15 downto 4)); memmap(i)(j mod NAHBIR).stop := memmap(i)(j mod NAHBIR).start + 2**k - 1; if debug > 1 then iosize := 256 * 2**k; iounit(1) := ' '; if (iosize > 1023) then iosize := iosize/1024; iounit(1) := 'k'; end if; print("ahbctrl: I/O port at " & tost(iostart & ((slvo(i).hconfig(j)(31 downto 20)) and slvo(i).hconfig(j)(15 downto 4))) & "00, size "& tost(iosize) & iounit); end if; assert ((slvo(i).hconfig(j)(31 downto 20) and not mask) = zero32(11 downto 0)) report "AHB slave " & tost(i) & " may decode an I/O area larger than intended. Bar " & tost(j mod NAHBIR) & " will have base address " & tost(iostart & (slvo(i).hconfig(j)(31 downto 20) and mask)) & "00, the intended base address may have been " & tost(iostart & slvo(i).hconfig(j)(31 downto 20)) & "00" severity warning; else assert false report "AHB slave " & tost(i) & " maps bar " & tost(j mod NAHBIR) & " to the IO area, but this AHBCTRL has been configured with VHDL generic ioen = 0" severity warning; end if; when others => end case; end if; end loop; assert (slvo(i).hindex = i) or (icheck = 0) report "AHB slave index error on slave " & tost(i) & ". Detected index value " & tost(slvo(i).hindex) severity failure; if mcheck /= 0 then for j in 0 to i loop for k in memmap(i)'range loop if memmap(i)(k).stop /= zero32(memmap(i)(k).stop'range) then for l in memmap(j)'range loop assert ((memmap(i)(k).start >= memmap(j)(l).stop) or (memmap(i)(k).stop <= memmap(j)(l).start) or (mcheck /= 2 and (memmap(i)(k).io xor memmap(j)(l).io) = '1') or (i = j and k = l)) report "AHB slave " & tost(i) & " bank " & tost(k) & " intersects with AHB slave " & tost(j) & " bank " & tost(l) severity failure; end loop; end if; end loop; end loop; end if; else for j in 0 to NAHBCFG-1 loop assert (slvo(i).hconfig(j) = zx or ccheck = 0) report "AHB slave " & tost(i) & " appears to be disabled, " & "but the slave config record is not driven to zero " & "(check vendor ID or drive unused bus index with appropriate values)." severity warning; end loop; end if; end loop; if nahbs < NAHBSLV then for i in nahbs to NAHBSLV-1 loop for j in 0 to NAHBCFG-1 loop assert (slvo(i).hconfig(j) = zx or ccheck = 0) report "AHB slave " & tost(i) & " is outside the range of " & "decoded slave indexes but the slave config record is not driven to zero " & "(check nahbs VHDL generic)." severity warning; end loop; end loop; end if; wait; end process; -- pragma translate_on end;
entity issue134 is end entity; architecture test of issue134 is function bug_function return string is begin return ""; return ""; -- Used to crash here end function; begin end architecture;
entity issue134 is end entity; architecture test of issue134 is function bug_function return string is begin return ""; return ""; -- Used to crash here end function; begin end architecture;
entity issue134 is end entity; architecture test of issue134 is function bug_function return string is begin return ""; return ""; -- Used to crash here end function; begin end architecture;
entity issue134 is end entity; architecture test of issue134 is function bug_function return string is begin return ""; return ""; -- Used to crash here end function; begin end architecture;
entity issue134 is end entity; architecture test of issue134 is function bug_function return string is begin return ""; return ""; -- Used to crash here end function; begin end architecture;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc601.vhd,v 1.3 2001-10-29 02:12:45 paw Exp $ -- $Revision: 1.3 $ -- -- --------------------------------------------------------------------- -- **************************** -- -- Ported to VHDL 93 by port93.pl - Tue Nov 5 16:37:41 1996 -- -- **************************** -- -- **************************** -- -- Reversed to VHDL 87 by reverse87.pl - Tue Nov 5 11:25:58 1996 -- -- **************************** -- -- **************************** -- -- Ported to VHDL 93 by port93.pl - Mon Nov 4 17:36:19 1996 -- -- **************************** -- ENTITY c03s04b01x00p01n01i00601ent IS END c03s04b01x00p01n01i00601ent; ARCHITECTURE c03s04b01x00p01n01i00601arch OF c03s04b01x00p01n01i00601ent IS type time_cons_vector is array (15 downto 0) of time; type time_cons_vector_file is file of time_cons_vector; constant C19 : time_cons_vector := (others => 3 ns); signal k : integer := 0; BEGIN TESTING: PROCESS file filein : time_cons_vector_file open read_mode is "iofile.32"; variable v : time_cons_vector; BEGIN for i in 1 to 100 loop assert(endfile(filein) = false) report"end of file reached before expected"; read(filein,v); if (v /= C19) then k <= 1; end if; end loop; wait for 1 ns; assert NOT(k = 0) report "***PASSED TEST: c03s04b01x00p01n01i00601" severity NOTE; assert (k = 0) report "***FAILED TEST: c03s04b01x00p01n01i00601 - File reading operation (time_cons_vector file type) failed." severity ERROR; wait; END PROCESS TESTING; END c03s04b01x00p01n01i00601arch;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc601.vhd,v 1.3 2001-10-29 02:12:45 paw Exp $ -- $Revision: 1.3 $ -- -- --------------------------------------------------------------------- -- **************************** -- -- Ported to VHDL 93 by port93.pl - Tue Nov 5 16:37:41 1996 -- -- **************************** -- -- **************************** -- -- Reversed to VHDL 87 by reverse87.pl - Tue Nov 5 11:25:58 1996 -- -- **************************** -- -- **************************** -- -- Ported to VHDL 93 by port93.pl - Mon Nov 4 17:36:19 1996 -- -- **************************** -- ENTITY c03s04b01x00p01n01i00601ent IS END c03s04b01x00p01n01i00601ent; ARCHITECTURE c03s04b01x00p01n01i00601arch OF c03s04b01x00p01n01i00601ent IS type time_cons_vector is array (15 downto 0) of time; type time_cons_vector_file is file of time_cons_vector; constant C19 : time_cons_vector := (others => 3 ns); signal k : integer := 0; BEGIN TESTING: PROCESS file filein : time_cons_vector_file open read_mode is "iofile.32"; variable v : time_cons_vector; BEGIN for i in 1 to 100 loop assert(endfile(filein) = false) report"end of file reached before expected"; read(filein,v); if (v /= C19) then k <= 1; end if; end loop; wait for 1 ns; assert NOT(k = 0) report "***PASSED TEST: c03s04b01x00p01n01i00601" severity NOTE; assert (k = 0) report "***FAILED TEST: c03s04b01x00p01n01i00601 - File reading operation (time_cons_vector file type) failed." severity ERROR; wait; END PROCESS TESTING; END c03s04b01x00p01n01i00601arch;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc601.vhd,v 1.3 2001-10-29 02:12:45 paw Exp $ -- $Revision: 1.3 $ -- -- --------------------------------------------------------------------- -- **************************** -- -- Ported to VHDL 93 by port93.pl - Tue Nov 5 16:37:41 1996 -- -- **************************** -- -- **************************** -- -- Reversed to VHDL 87 by reverse87.pl - Tue Nov 5 11:25:58 1996 -- -- **************************** -- -- **************************** -- -- Ported to VHDL 93 by port93.pl - Mon Nov 4 17:36:19 1996 -- -- **************************** -- ENTITY c03s04b01x00p01n01i00601ent IS END c03s04b01x00p01n01i00601ent; ARCHITECTURE c03s04b01x00p01n01i00601arch OF c03s04b01x00p01n01i00601ent IS type time_cons_vector is array (15 downto 0) of time; type time_cons_vector_file is file of time_cons_vector; constant C19 : time_cons_vector := (others => 3 ns); signal k : integer := 0; BEGIN TESTING: PROCESS file filein : time_cons_vector_file open read_mode is "iofile.32"; variable v : time_cons_vector; BEGIN for i in 1 to 100 loop assert(endfile(filein) = false) report"end of file reached before expected"; read(filein,v); if (v /= C19) then k <= 1; end if; end loop; wait for 1 ns; assert NOT(k = 0) report "***PASSED TEST: c03s04b01x00p01n01i00601" severity NOTE; assert (k = 0) report "***FAILED TEST: c03s04b01x00p01n01i00601 - File reading operation (time_cons_vector file type) failed." severity ERROR; wait; END PROCESS TESTING; END c03s04b01x00p01n01i00601arch;
------------------------------------------------------------------------------- -- Title : Testbench for design "AddSubCmp" -- Project : ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use work.tbfuncs.all; ------------------------------------------------------------------------------- entity AddSubCmp_tb is end AddSubCmp_tb; ------------------------------------------------------------------------------- architecture behavior of AddSubCmp_tb is component AddSubCmp generic ( Width : integer ); port ( AddOrSub_i : in std_logic; A_i : in std_logic_vector(Width-1 downto 0); B_i : in std_logic_vector(Width-1 downto 0); D_o : out std_logic_vector(Width-1 downto 0); Carry_i : in std_logic; Carry_o : out std_logic; Zero_o : out std_logic; Sign_o : out std_logic; Overflow_o : out std_logic ); end component; constant CheckOutputDelay : time := 20 ns; constant SetupNextInputDelay : time := 20 ns; -- component generics constant Width : integer := 4; -- component ports signal AddOrSub_i : std_logic; signal A_i : std_logic_vector(Width-1 downto 0); signal B_i : std_logic_vector(Width-1 downto 0); signal D_o : std_logic_vector(Width-1 downto 0); signal Carry_i : std_logic; signal Carry_o : std_logic; signal Zero_o : std_logic; signal Sign_o : std_logic; signal Overflow_o : std_logic; procedure CheckAddSubCmp ( constant A : in integer; constant B : in integer; constant CarryIn : in std_logic; constant AddOrSub : in std_logic; constant SignedCalc : in boolean; signal A_i : out std_logic_vector(Width-1 downto 0); signal B_i : out std_logic_vector(Width-1 downto 0); signal Carry_i : out std_logic; signal AddOrSub_i : out std_logic; signal D_o : in std_logic_vector(Width-1 downto 0); signal Carry_o : in std_logic; signal Zero_o : in std_logic; signal Sign_o : in std_logic; signal Overflow_o : in std_logic ) is variable A_s : std_logic_vector(Width+1 downto 0); variable B_s : std_logic_vector(Width+1 downto 0); variable A_v : integer; variable B_v : integer; variable D : integer; variable D_s : std_logic_vector(Width+1 downto 0); variable Carry : std_logic; variable Zero : std_logic; variable Sign : std_logic; variable Overflow : std_logic; variable Temp : integer; begin -- CheckAddSubCmp if not SignedCalc then -- unsigned assert A < 2**Width report "A is too large (" & integer'image(A) & " > " & integer'image(2**Width-1) severity failure; assert A >= 0 report "A is too small (" & integer'image(A) & " < " & integer'image(0 ) severity failure; assert B < 2**Width report "B is too large (" & integer'image(A) & " > " & integer'image(2**Width-1) severity failure; assert B >= 0 report "B is too small (" & integer'image(A) & " < " & integer'image(0 ) severity failure; else -- signed assert A < 2**(Width-1) report "A is too large (" & integer'image(A) & " > " & integer'image( 2**(Width-1)-1) severity failure; assert A >= -2**(Width-1) report "A is too small (" & integer'image(A) & " < " & integer'image(-2**(Width-1) ) severity failure; assert B < 2**(Width-1) report "B is too large (" & integer'image(A) & " > " & integer'image( 2**(Width-1)-1) severity failure; assert B >= -2**(Width-1) report "B is too small (" & integer'image(A) & " < " & integer'image(-2**(Width-1) ) severity failure; end if; -- set defaults Zero := '0'; Carry := '0'; -- Calculation A_v := A; B_v := B; if A_v < 0 then A_v := A_v + 2**Width; end if; if B_v < 0 then B_v := B_v + 2**Width; end if; if CarryIn = '1' then if AddOrSub = '0' then -- add A_v := A_v + 1; else -- sub A_v := A_v - 1; end if; end if; if AddOrSub = '1' then B_v := 2**Width - B_v; end if; D := A_v + B_v; A_s := std_logic_vector(to_signed(A,Width+2)); -- use Width+2 to avoid warnings on a truncated vector by to_signed B_s := std_logic_vector(to_signed(B,Width+2)); D_s := std_logic_vector(to_signed(D,Width+2)); if D > 2**Width-1 then Carry := '1'; end if; if unsigned(D_s(Width-1 downto 0)) = 0 then Zero := '1'; end if; Sign := D_s(Width-1); if AddOrSub = '0' then Overflow := ((not A_s(Width-1)) and (not B_s(Width-1)) and D_s(Width-1) ) or ( A_s(Width-1) and B_s(Width-1) and (not D_s(Width-1))); else Overflow := ((not A_s(Width-1)) and B_s(Width-1) and D_s(Width-1) ) or ( A_s(Width-1) and (not B_s(Width-1)) and (not D_s(Width-1))); end if; -- set inputs A_i <= A_s(Width-1 downto 0); B_i <= B_s(Width-1 downto 0); Carry_i <= CarryIn; AddOrSub_i <= AddOrSub; wait for CheckOutputDelay; -- check outputs assert D_o = D_s(Width-1 downto 0) report "Wrong Result " & Vector2String(D_o) & " for A = " & integer'image(A) & ", B = " & integer'image(B) & ", should be " & Vector2String(D_s) severity error; assert Carry_o = Carry report "Wrong Carry " & std_logic'image(Carry_o) & " for A = " & integer'image(A) & ", B = " & integer'image(B) & ", should be " & std_logic'image(Carry) severity error; assert Zero_o = Zero report "Wrong Zero " & std_logic'image(Zero_o) & " for A = " & integer'image(A) & ", B = " & integer'image(B) & ", should be " & std_logic'image(Zero) severity error; assert Sign_o = Sign report "Wrong Sign " & std_logic'image(Sign_o) & " for A = " & integer'image(A) & ", B = " & integer'image(B) & ", should be " & std_logic'image(Sign) severity error; assert Overflow_o = Overflow report "Wrong Overflow " & std_logic'image(Overflow_o) & " for A = " & integer'image(A) & ", B = " & integer'image(B) & ", should be " & std_logic'image(Overflow) severity error; wait for SetupNextInputDelay; end CheckAddSubCmp; begin -- behavior -- component instantiation DUT: AddSubCmp generic map ( Width => Width) port map ( AddOrSub_i => AddOrSub_i, A_i => A_i, B_i => B_i, D_o => D_o, Carry_i => Carry_i, Carry_o => Carry_o, Zero_o => Zero_o, Sign_o => Sign_o, Overflow_o => Overflow_o); -- Check CheckProc: process begin wait for 10 ns; -- add, unsigned, CarryIn = '0' assert false report "Add, unsigned, CarryIn = 0" severity note; for A in 0 to 2**Width-1 loop for B in 0 to 2**Width-1 loop CheckAddSubCmp(A,B,'0','0',false, A_i,B_i,Carry_i,AddOrSub_i,D_o,Carry_o,Zero_o,Sign_o,Overflow_o); end loop; -- B end loop; -- A -- add, unsigned, CarryIn = '1' assert false report "Add, unsigned, CarryIn = 1" severity note; for A in 0 to 2**Width-1 loop for B in 0 to 2**Width-1 loop CheckAddSubCmp(A,B,'1','0',false, A_i,B_i,Carry_i,AddOrSub_i,D_o,Carry_o,Zero_o,Sign_o,Overflow_o); end loop; -- B end loop; -- A -- add, signed, CarryIn = '0' assert false report "Add, signed, CarryIn = 0" severity note; for A in -(2**(Width-1)) to 2**(Width-1)-1 loop for B in -(2**(Width-1)) to 2**(Width-1)-1 loop CheckAddSubCmp(A,B,'0','0',true, A_i,B_i,Carry_i,AddOrSub_i,D_o,Carry_o,Zero_o,Sign_o,Overflow_o); end loop; -- B end loop; -- A -- add, signed, CarryIn = '1' assert false report "Add, signed, CarryIn = 1" severity note; for A in -(2**(Width-1)) to 2**(Width-1)-1 loop for B in -(2**(Width-1)) to 2**(Width-1)-1 loop CheckAddSubCmp(A,B,'1','0',true, A_i,B_i,Carry_i,AddOrSub_i,D_o,Carry_o,Zero_o,Sign_o,Overflow_o); end loop; -- B end loop; -- A -- sub, unsigned, CarryIn = '0' assert false report "Sub, unsigned, CarryIn = 0" severity note; for A in 0 to 2**Width-1 loop for B in 0 to 2**Width-1 loop CheckAddSubCmp(A,B,'0','1',false, A_i,B_i,Carry_i,AddOrSub_i,D_o,Carry_o,Zero_o,Sign_o,Overflow_o); end loop; -- B end loop; -- A -- sub, unsigned, CarryIn = '1' assert false report "Sub, unsigned, CarryIn = 1" severity note; for A in 0 to 2**Width-1 loop for B in 0 to 2**Width-1 loop CheckAddSubCmp(A,B,'1','1',false, A_i,B_i,Carry_i,AddOrSub_i,D_o,Carry_o,Zero_o,Sign_o,Overflow_o); end loop; -- B end loop; -- A -- sub, signed, CarryIn = '0' assert false report "Sub, signed, CarryIn = 0" severity note; for A in -(2**(Width-1)) to 2**(Width-1)-1 loop for B in -(2**(Width-1)) to 2**(Width-1)-1 loop CheckAddSubCmp(A,B,'0','1',true, A_i,B_i,Carry_i,AddOrSub_i,D_o,Carry_o,Zero_o,Sign_o,Overflow_o); end loop; -- B end loop; -- A -- sub, signed, CarryIn = '1' assert false report "Sub, signed, CarryIn = 1" severity note; for A in -(2**(Width-1)) to 2**(Width-1)-1 loop for B in -(2**(Width-1)) to 2**(Width-1)-1 loop CheckAddSubCmp(A,B,'1','1',true, A_i,B_i,Carry_i,AddOrSub_i,D_o,Carry_o,Zero_o,Sign_o,Overflow_o); end loop; -- B end loop; -- A --------------------------------------------------------------------------- -- Simulation is finished --------------------------------------------------------------------------- assert false report "### simulation is finished ###" severity failure ; end process CheckProc; end behavior; configuration AddSubCmp_tb_verilog_cfg of AddSubCmp_tb is for behavior for DUT : AddSubCmp use configuration work.AddSubCmpVerilog; end for; end for; end AddSubCmp_tb_verilog_cfg;
-------------------------------------------------------------------------------- -- -- FIFO Generator Core Demo Testbench -- -------------------------------------------------------------------------------- -- -- (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -------------------------------------------------------------------------------- -- -- Filename: fg_tb_pkg.vhd -- -- Description: -- This is the demo testbench package file for fifo_generator_v8.4 core. -- -------------------------------------------------------------------------------- -- Library Declarations -------------------------------------------------------------------------------- LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE ieee.std_logic_arith.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; PACKAGE fg_tb_pkg IS FUNCTION divroundup ( data_value : INTEGER; divisor : INTEGER) RETURN INTEGER; ------------------------ FUNCTION if_then_else ( condition : BOOLEAN; true_case : INTEGER; false_case : INTEGER) RETURN INTEGER; ------------------------ FUNCTION if_then_else ( condition : BOOLEAN; true_case : STD_LOGIC; false_case : STD_LOGIC) RETURN STD_LOGIC; ------------------------ FUNCTION if_then_else ( condition : BOOLEAN; true_case : TIME; false_case : TIME) RETURN TIME; ------------------------ FUNCTION log2roundup ( data_value : INTEGER) RETURN INTEGER; ------------------------ FUNCTION hexstr_to_std_logic_vec( arg1 : string; size : integer ) RETURN std_logic_vector; ------------------------ COMPONENT fg_tb_rng IS GENERIC (WIDTH : integer := 8; SEED : integer := 3); PORT ( CLK : IN STD_LOGIC; RESET : IN STD_LOGIC; ENABLE : IN STD_LOGIC; RANDOM_NUM : OUT STD_LOGIC_VECTOR (WIDTH-1 DOWNTO 0) ); END COMPONENT; ------------------------ COMPONENT fg_tb_dgen IS GENERIC ( C_DIN_WIDTH : INTEGER := 32; C_DOUT_WIDTH : INTEGER := 32; C_CH_TYPE : INTEGER := 0; TB_SEED : INTEGER := 2 ); PORT ( RESET : IN STD_LOGIC; WR_CLK : IN STD_LOGIC; PRC_WR_EN : IN STD_LOGIC; FULL : IN STD_LOGIC; WR_EN : OUT STD_LOGIC; WR_DATA : OUT STD_LOGIC_VECTOR(C_DIN_WIDTH-1 DOWNTO 0) ); END COMPONENT; ------------------------ COMPONENT fg_tb_dverif IS GENERIC( C_DIN_WIDTH : INTEGER := 0; C_DOUT_WIDTH : INTEGER := 0; C_USE_EMBEDDED_REG : INTEGER := 0; C_CH_TYPE : INTEGER := 0; TB_SEED : INTEGER := 2 ); PORT( RESET : IN STD_LOGIC; RD_CLK : IN STD_LOGIC; PRC_RD_EN : IN STD_LOGIC; EMPTY : IN STD_LOGIC; DATA_OUT : IN STD_LOGIC_VECTOR(C_DOUT_WIDTH-1 DOWNTO 0); RD_EN : OUT STD_LOGIC; DOUT_CHK : OUT STD_LOGIC ); END COMPONENT; ------------------------ COMPONENT fg_tb_pctrl IS GENERIC( AXI_CHANNEL : STRING := "NONE"; C_APPLICATION_TYPE : INTEGER := 0; C_DIN_WIDTH : INTEGER := 0; C_DOUT_WIDTH : INTEGER := 0; C_WR_PNTR_WIDTH : INTEGER := 0; C_RD_PNTR_WIDTH : INTEGER := 0; C_CH_TYPE : INTEGER := 0; FREEZEON_ERROR : INTEGER := 0; TB_STOP_CNT : INTEGER := 2; TB_SEED : INTEGER := 2 ); PORT( RESET_WR : IN STD_LOGIC; RESET_RD : IN STD_LOGIC; WR_CLK : IN STD_LOGIC; RD_CLK : IN STD_LOGIC; FULL : IN STD_LOGIC; EMPTY : IN STD_LOGIC; ALMOST_FULL : IN STD_LOGIC; ALMOST_EMPTY : IN STD_LOGIC; DATA_IN : IN STD_LOGIC_VECTOR(C_DIN_WIDTH-1 DOWNTO 0); DATA_OUT : IN STD_LOGIC_VECTOR(C_DOUT_WIDTH-1 DOWNTO 0); DOUT_CHK : IN STD_LOGIC; PRC_WR_EN : OUT STD_LOGIC; PRC_RD_EN : OUT STD_LOGIC; RESET_EN : OUT STD_LOGIC; SIM_DONE : OUT STD_LOGIC; STATUS : OUT STD_LOGIC_VECTOR(7 DOWNTO 0) ); END COMPONENT; ------------------------ COMPONENT fg_tb_synth IS GENERIC( FREEZEON_ERROR : INTEGER := 0; TB_STOP_CNT : INTEGER := 0; TB_SEED : INTEGER := 1 ); PORT( CLK : IN STD_LOGIC; RESET : IN STD_LOGIC; SIM_DONE : OUT STD_LOGIC; STATUS : OUT STD_LOGIC_VECTOR(7 DOWNTO 0) ); END COMPONENT; ------------------------ COMPONENT fifo_64x512_top IS PORT ( CLK : IN std_logic; SRST : IN std_logic; WR_EN : IN std_logic; RD_EN : IN std_logic; DIN : IN std_logic_vector(64-1 DOWNTO 0); DOUT : OUT std_logic_vector(64-1 DOWNTO 0); FULL : OUT std_logic; EMPTY : OUT std_logic); END COMPONENT; ------------------------ END fg_tb_pkg; PACKAGE BODY fg_tb_pkg IS FUNCTION divroundup ( data_value : INTEGER; divisor : INTEGER) RETURN INTEGER IS VARIABLE div : INTEGER; BEGIN div := data_value/divisor; IF ( (data_value MOD divisor) /= 0) THEN div := div+1; END IF; RETURN div; END divroundup; --------------------------------- FUNCTION if_then_else ( condition : BOOLEAN; true_case : INTEGER; false_case : INTEGER) RETURN INTEGER IS VARIABLE retval : INTEGER := 0; BEGIN IF condition=false THEN retval:=false_case; ELSE retval:=true_case; END IF; RETURN retval; END if_then_else; --------------------------------- FUNCTION if_then_else ( condition : BOOLEAN; true_case : STD_LOGIC; false_case : STD_LOGIC) RETURN STD_LOGIC IS VARIABLE retval : STD_LOGIC := '0'; BEGIN IF condition=false THEN retval:=false_case; ELSE retval:=true_case; END IF; RETURN retval; END if_then_else; --------------------------------- FUNCTION if_then_else ( condition : BOOLEAN; true_case : TIME; false_case : TIME) RETURN TIME IS VARIABLE retval : TIME := 0 ps; BEGIN IF condition=false THEN retval:=false_case; ELSE retval:=true_case; END IF; RETURN retval; END if_then_else; ------------------------------- FUNCTION log2roundup ( data_value : INTEGER) RETURN INTEGER IS VARIABLE width : INTEGER := 0; VARIABLE cnt : INTEGER := 1; BEGIN IF (data_value <= 1) THEN width := 1; ELSE WHILE (cnt < data_value) LOOP width := width + 1; cnt := cnt *2; END LOOP; END IF; RETURN width; END log2roundup; ------------------------------------------------------------------------------ -- hexstr_to_std_logic_vec -- This function converts a hex string to a std_logic_vector ------------------------------------------------------------------------------ FUNCTION hexstr_to_std_logic_vec( arg1 : string; size : integer ) RETURN std_logic_vector IS VARIABLE result : std_logic_vector(size-1 DOWNTO 0) := (OTHERS => '0'); VARIABLE bin : std_logic_vector(3 DOWNTO 0); VARIABLE index : integer := 0; BEGIN FOR i IN arg1'reverse_range LOOP CASE arg1(i) IS WHEN '0' => bin := (OTHERS => '0'); WHEN '1' => bin := (0 => '1', OTHERS => '0'); WHEN '2' => bin := (1 => '1', OTHERS => '0'); WHEN '3' => bin := (0 => '1', 1 => '1', OTHERS => '0'); WHEN '4' => bin := (2 => '1', OTHERS => '0'); WHEN '5' => bin := (0 => '1', 2 => '1', OTHERS => '0'); WHEN '6' => bin := (1 => '1', 2 => '1', OTHERS => '0'); WHEN '7' => bin := (3 => '0', OTHERS => '1'); WHEN '8' => bin := (3 => '1', OTHERS => '0'); WHEN '9' => bin := (0 => '1', 3 => '1', OTHERS => '0'); WHEN 'A' => bin := (0 => '0', 2 => '0', OTHERS => '1'); WHEN 'a' => bin := (0 => '0', 2 => '0', OTHERS => '1'); WHEN 'B' => bin := (2 => '0', OTHERS => '1'); WHEN 'b' => bin := (2 => '0', OTHERS => '1'); WHEN 'C' => bin := (0 => '0', 1 => '0', OTHERS => '1'); WHEN 'c' => bin := (0 => '0', 1 => '0', OTHERS => '1'); WHEN 'D' => bin := (1 => '0', OTHERS => '1'); WHEN 'd' => bin := (1 => '0', OTHERS => '1'); WHEN 'E' => bin := (0 => '0', OTHERS => '1'); WHEN 'e' => bin := (0 => '0', OTHERS => '1'); WHEN 'F' => bin := (OTHERS => '1'); WHEN 'f' => bin := (OTHERS => '1'); WHEN OTHERS => FOR j IN 0 TO 3 LOOP bin(j) := 'X'; END LOOP; END CASE; FOR j IN 0 TO 3 LOOP IF (index*4)+j < size THEN result((index*4)+j) := bin(j); END IF; END LOOP; index := index + 1; END LOOP; RETURN result; END hexstr_to_std_logic_vec; END fg_tb_pkg;
library ieee; use ieee.std_logic_1164.all; entity ret02 is port (di : std_logic_vector (7 downto 0); res : out integer); end ret02; architecture behav of ret02 is function sign (v : std_logic_vector (7 downto 0)) return integer is begin if v (7) = '1' then return -1; end if; return 1; end sign; begin res <= sign (di); end behav;
-- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:user:vga_hessian:1.0 -- IP Revision: 41 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; ENTITY system_vga_hessian_0_0 IS PORT ( clk_x16 : IN STD_LOGIC; active : IN STD_LOGIC; rst : IN STD_LOGIC; x_addr : IN STD_LOGIC_VECTOR(9 DOWNTO 0); y_addr : IN STD_LOGIC_VECTOR(9 DOWNTO 0); g_in : IN STD_LOGIC_VECTOR(7 DOWNTO 0); hessian_out : OUT STD_LOGIC_VECTOR(31 DOWNTO 0) ); END system_vga_hessian_0_0; ARCHITECTURE system_vga_hessian_0_0_arch OF system_vga_hessian_0_0 IS ATTRIBUTE DowngradeIPIdentifiedWarnings : STRING; ATTRIBUTE DowngradeIPIdentifiedWarnings OF system_vga_hessian_0_0_arch: ARCHITECTURE IS "yes"; COMPONENT vga_hessian IS GENERIC ( ROW_WIDTH : INTEGER ); PORT ( clk_x16 : IN STD_LOGIC; active : IN STD_LOGIC; rst : IN STD_LOGIC; x_addr : IN STD_LOGIC_VECTOR(9 DOWNTO 0); y_addr : IN STD_LOGIC_VECTOR(9 DOWNTO 0); g_in : IN STD_LOGIC_VECTOR(7 DOWNTO 0); hessian_out : OUT STD_LOGIC_VECTOR(31 DOWNTO 0) ); END COMPONENT vga_hessian; ATTRIBUTE X_CORE_INFO : STRING; ATTRIBUTE X_CORE_INFO OF system_vga_hessian_0_0_arch: ARCHITECTURE IS "vga_hessian,Vivado 2016.4"; ATTRIBUTE CHECK_LICENSE_TYPE : STRING; ATTRIBUTE CHECK_LICENSE_TYPE OF system_vga_hessian_0_0_arch : ARCHITECTURE IS "system_vga_hessian_0_0,vga_hessian,{}"; ATTRIBUTE CORE_GENERATION_INFO : STRING; ATTRIBUTE CORE_GENERATION_INFO OF system_vga_hessian_0_0_arch: ARCHITECTURE IS "system_vga_hessian_0_0,vga_hessian,{x_ipProduct=Vivado 2016.4,x_ipVendor=xilinx.com,x_ipLibrary=user,x_ipName=vga_hessian,x_ipVersion=1.0,x_ipCoreRevision=41,x_ipLanguage=VHDL,x_ipSimLanguage=MIXED,ROW_WIDTH=640}"; ATTRIBUTE X_INTERFACE_INFO : STRING; ATTRIBUTE X_INTERFACE_INFO OF rst: SIGNAL IS "xilinx.com:signal:reset:1.0 rst RST"; BEGIN U0 : vga_hessian GENERIC MAP ( ROW_WIDTH => 640 ) PORT MAP ( clk_x16 => clk_x16, active => active, rst => rst, x_addr => x_addr, y_addr => y_addr, g_in => g_in, hessian_out => hessian_out ); END system_vga_hessian_0_0_arch;
-- Copyright 1986-2016 Xilinx, Inc. All Rights Reserved. -- -------------------------------------------------------------------------------- -- Tool Version: Vivado v.2016.4 (win64) Build 1756540 Mon Jan 23 19:11:23 MST 2017 -- Date : Tue Apr 18 23:15:19 2017 -- Host : DESKTOP-I9J3TQJ running 64-bit major release (build 9200) -- Command : write_vhdl -force -mode funcsim -rename_top decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix -prefix -- decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_ bram_4096_sim_netlist.vhdl -- Design : bram_4096 -- Purpose : This VHDL netlist is a functional simulation representation of the design and should not be modified or -- synthesized. This netlist cannot be used for SDF annotated simulation. -- Device : xc7z020clg484-1 -- -------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_prim_wrapper_init is port ( DOADO : out STD_LOGIC_VECTOR ( 3 downto 0 ); clka : in STD_LOGIC; ena : in STD_LOGIC; addra : in STD_LOGIC_VECTOR ( 11 downto 0 ); dina : in STD_LOGIC_VECTOR ( 3 downto 0 ); wea : in STD_LOGIC_VECTOR ( 0 to 0 ) ); end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_prim_wrapper_init; architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_prim_wrapper_init is signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM18.ram_DOADO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 15 downto 4 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM18.ram_DOBDO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 15 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM18.ram_DOPADOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 1 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM18.ram_DOPBDOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 1 downto 0 ); attribute CLOCK_DOMAINS : string; attribute CLOCK_DOMAINS of \DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM18.ram\ : label is "COMMON"; attribute box_type : string; attribute box_type of \DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM18.ram\ : label is "PRIMITIVE"; begin \DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM18.ram\: unisim.vcomponents.RAMB18E1 generic map( DOA_REG => 1, DOB_REG => 0, INITP_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_00 => X"0FEDCBA9876543210FEDCBA9876543210FEDCBA9876543210FEDCBA987654321", INIT_01 => X"0FEDCBA9876543210FEDCBA9876543210FEDCBA9876543210FEDCBA987654321", INIT_02 => X"0FEDCBA9876543210FEDCBA9876543210FEDCBA9876543210FEDCBA987654321", INIT_03 => X"0FEDCBA9876543210FEDCBA9876543210FEDCBA9876543210FEDCBA987654321", INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_A => X"00000", INIT_B => X"00000", INIT_FILE => "NONE", IS_CLKARDCLK_INVERTED => '0', IS_CLKBWRCLK_INVERTED => '0', IS_ENARDEN_INVERTED => '0', IS_ENBWREN_INVERTED => '0', IS_RSTRAMARSTRAM_INVERTED => '0', IS_RSTRAMB_INVERTED => '0', IS_RSTREGARSTREG_INVERTED => '0', IS_RSTREGB_INVERTED => '0', RAM_MODE => "TDP", RDADDR_COLLISION_HWCONFIG => "PERFORMANCE", READ_WIDTH_A => 4, READ_WIDTH_B => 4, RSTREG_PRIORITY_A => "REGCE", RSTREG_PRIORITY_B => "REGCE", SIM_COLLISION_CHECK => "ALL", SIM_DEVICE => "7SERIES", SRVAL_A => X"00000", SRVAL_B => X"00000", WRITE_MODE_A => "WRITE_FIRST", WRITE_MODE_B => "WRITE_FIRST", WRITE_WIDTH_A => 4, WRITE_WIDTH_B => 4 ) port map ( ADDRARDADDR(13 downto 2) => addra(11 downto 0), ADDRARDADDR(1 downto 0) => B"00", ADDRBWRADDR(13 downto 0) => B"00000000000000", CLKARDCLK => clka, CLKBWRCLK => clka, DIADI(15 downto 4) => B"000000000000", DIADI(3 downto 0) => dina(3 downto 0), DIBDI(15 downto 0) => B"0000000000000000", DIPADIP(1 downto 0) => B"00", DIPBDIP(1 downto 0) => B"00", DOADO(15 downto 4) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM18.ram_DOADO_UNCONNECTED\(15 downto 4), DOADO(3 downto 0) => DOADO(3 downto 0), DOBDO(15 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM18.ram_DOBDO_UNCONNECTED\(15 downto 0), DOPADOP(1 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM18.ram_DOPADOP_UNCONNECTED\(1 downto 0), DOPBDOP(1 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM18.ram_DOPBDOP_UNCONNECTED\(1 downto 0), ENARDEN => ena, ENBWREN => '0', REGCEAREGCE => ena, REGCEB => '0', RSTRAMARSTRAM => '0', RSTRAMB => '0', RSTREGARSTREG => '0', RSTREGB => '0', WEA(1) => wea(0), WEA(0) => wea(0), WEBWE(3 downto 0) => B"0000" ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_prim_wrapper_init__parameterized0\ is port ( douta_array : out STD_LOGIC_VECTOR ( 8 downto 0 ); clka : in STD_LOGIC; ena : in STD_LOGIC; addra : in STD_LOGIC_VECTOR ( 11 downto 0 ); dina : in STD_LOGIC_VECTOR ( 8 downto 0 ); wea : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_prim_wrapper_init__parameterized0\ : entity is "blk_mem_gen_prim_wrapper_init"; end \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_prim_wrapper_init__parameterized0\; architecture STRUCTURE of \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_prim_wrapper_init__parameterized0\ is signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM36.ram_CASCADEOUTA_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM36.ram_CASCADEOUTB_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM36.ram_DBITERR_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM36.ram_SBITERR_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM36.ram_DOADO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 8 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM36.ram_DOBDO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM36.ram_DOPADOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 1 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM36.ram_DOPBDOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM36.ram_ECCPARITY_UNCONNECTED\ : STD_LOGIC_VECTOR ( 7 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM36.ram_RDADDRECC_UNCONNECTED\ : STD_LOGIC_VECTOR ( 8 downto 0 ); attribute CLOCK_DOMAINS : string; attribute CLOCK_DOMAINS of \DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM36.ram\ : label is "COMMON"; attribute box_type : string; attribute box_type of \DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM36.ram\ : label is "PRIMITIVE"; begin \DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM36.ram\: unisim.vcomponents.RAMB36E1 generic map( DOA_REG => 1, DOB_REG => 0, EN_ECC_READ => false, EN_ECC_WRITE => false, INITP_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_00 => X"0201010101010101010101010101010101000000000000000000000000000000", INIT_01 => X"0403030303030303030303030303030303020202020202020202020202020202", INIT_02 => X"0605050505050505050505050505050505040404040404040404040404040404", INIT_03 => X"0807070707070707070707070707070707060606060606060606060606060606", INIT_04 => X"0A09090909090909090909090909090909080808080808080808080808080808", INIT_05 => X"0C0B0B0B0B0B0B0B0B0B0B0B0B0B0B0B0B0A0A0A0A0A0A0A0A0A0A0A0A0A0A0A", INIT_06 => X"0E0D0D0D0D0D0D0D0D0D0D0D0D0D0D0D0D0C0C0C0C0C0C0C0C0C0C0C0C0C0C0C", INIT_07 => X"000F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0E0E0E0E0E0E0E0E0E0E0E0E0E0E0E", INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_40 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_41 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_42 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_43 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_44 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_45 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_46 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_47 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_48 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_49 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_50 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_51 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_52 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_53 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_54 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_55 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_56 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_57 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_58 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_59 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_60 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_61 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_62 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_63 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_64 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_65 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_66 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_67 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_68 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_69 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_70 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_71 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_72 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_73 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_74 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_75 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_76 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_77 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_78 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_79 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_A => X"000000000", INIT_B => X"000000000", INIT_FILE => "NONE", IS_CLKARDCLK_INVERTED => '0', IS_CLKBWRCLK_INVERTED => '0', IS_ENARDEN_INVERTED => '0', IS_ENBWREN_INVERTED => '0', IS_RSTRAMARSTRAM_INVERTED => '0', IS_RSTRAMB_INVERTED => '0', IS_RSTREGARSTREG_INVERTED => '0', IS_RSTREGB_INVERTED => '0', RAM_EXTENSION_A => "NONE", RAM_EXTENSION_B => "NONE", RAM_MODE => "TDP", RDADDR_COLLISION_HWCONFIG => "PERFORMANCE", READ_WIDTH_A => 9, READ_WIDTH_B => 9, RSTREG_PRIORITY_A => "REGCE", RSTREG_PRIORITY_B => "REGCE", SIM_COLLISION_CHECK => "ALL", SIM_DEVICE => "7SERIES", SRVAL_A => X"000000000", SRVAL_B => X"000000000", WRITE_MODE_A => "WRITE_FIRST", WRITE_MODE_B => "WRITE_FIRST", WRITE_WIDTH_A => 9, WRITE_WIDTH_B => 9 ) port map ( ADDRARDADDR(15) => '1', ADDRARDADDR(14 downto 3) => addra(11 downto 0), ADDRARDADDR(2 downto 0) => B"111", ADDRBWRADDR(15 downto 0) => B"0000000000000000", CASCADEINA => '0', CASCADEINB => '0', CASCADEOUTA => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM36.ram_CASCADEOUTA_UNCONNECTED\, CASCADEOUTB => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM36.ram_CASCADEOUTB_UNCONNECTED\, CLKARDCLK => clka, CLKBWRCLK => clka, DBITERR => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM36.ram_DBITERR_UNCONNECTED\, DIADI(31 downto 8) => B"000000000000000000000000", DIADI(7 downto 0) => dina(7 downto 0), DIBDI(31 downto 0) => B"00000000000000000000000000000000", DIPADIP(3 downto 1) => B"000", DIPADIP(0) => dina(8), DIPBDIP(3 downto 0) => B"0000", DOADO(31 downto 8) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM36.ram_DOADO_UNCONNECTED\(31 downto 8), DOADO(7 downto 0) => douta_array(7 downto 0), DOBDO(31 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM36.ram_DOBDO_UNCONNECTED\(31 downto 0), DOPADOP(3 downto 1) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM36.ram_DOPADOP_UNCONNECTED\(3 downto 1), DOPADOP(0) => douta_array(8), DOPBDOP(3 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM36.ram_DOPBDOP_UNCONNECTED\(3 downto 0), ECCPARITY(7 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM36.ram_ECCPARITY_UNCONNECTED\(7 downto 0), ENARDEN => ena, ENBWREN => '0', INJECTDBITERR => '0', INJECTSBITERR => '0', RDADDRECC(8 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM36.ram_RDADDRECC_UNCONNECTED\(8 downto 0), REGCEAREGCE => ena, REGCEB => '0', RSTRAMARSTRAM => '0', RSTRAMB => '0', RSTREGARSTREG => '0', RSTREGB => '0', SBITERR => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM36.ram_SBITERR_UNCONNECTED\, WEA(3) => wea(0), WEA(2) => wea(0), WEA(1) => wea(0), WEA(0) => wea(0), WEBWE(7 downto 0) => B"00000000" ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_prim_wrapper_init__parameterized1\ is port ( DOADO : out STD_LOGIC_VECTOR ( 6 downto 0 ); clka : in STD_LOGIC; ena : in STD_LOGIC; addra : in STD_LOGIC_VECTOR ( 11 downto 0 ); dina : in STD_LOGIC_VECTOR ( 6 downto 0 ); wea : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_prim_wrapper_init__parameterized1\ : entity is "blk_mem_gen_prim_wrapper_init"; end \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_prim_wrapper_init__parameterized1\; architecture STRUCTURE of \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_prim_wrapper_init__parameterized1\ is signal \DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM36.ram_n_45\ : STD_LOGIC; signal \DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM36.ram_n_88\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM36.ram_CASCADEOUTA_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM36.ram_CASCADEOUTB_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM36.ram_DBITERR_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM36.ram_SBITERR_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM36.ram_DOADO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 8 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM36.ram_DOBDO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM36.ram_DOPADOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 1 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM36.ram_DOPBDOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM36.ram_ECCPARITY_UNCONNECTED\ : STD_LOGIC_VECTOR ( 7 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM36.ram_RDADDRECC_UNCONNECTED\ : STD_LOGIC_VECTOR ( 8 downto 0 ); attribute CLOCK_DOMAINS : string; attribute CLOCK_DOMAINS of \DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM36.ram\ : label is "COMMON"; attribute box_type : string; attribute box_type of \DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM36.ram\ : label is "PRIMITIVE"; begin \DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM36.ram\: unisim.vcomponents.RAMB36E1 generic map( DOA_REG => 1, DOB_REG => 0, EN_ECC_READ => false, EN_ECC_WRITE => false, INITP_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_40 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_41 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_42 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_43 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_44 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_45 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_46 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_47 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_48 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_49 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_50 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_51 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_52 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_53 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_54 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_55 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_56 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_57 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_58 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_59 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_60 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_61 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_62 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_63 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_64 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_65 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_66 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_67 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_68 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_69 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_70 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_71 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_72 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_73 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_74 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_75 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_76 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_77 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_78 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_79 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_A => X"000000000", INIT_B => X"000000000", INIT_FILE => "NONE", IS_CLKARDCLK_INVERTED => '0', IS_CLKBWRCLK_INVERTED => '0', IS_ENARDEN_INVERTED => '0', IS_ENBWREN_INVERTED => '0', IS_RSTRAMARSTRAM_INVERTED => '0', IS_RSTRAMB_INVERTED => '0', IS_RSTREGARSTREG_INVERTED => '0', IS_RSTREGB_INVERTED => '0', RAM_EXTENSION_A => "NONE", RAM_EXTENSION_B => "NONE", RAM_MODE => "TDP", RDADDR_COLLISION_HWCONFIG => "PERFORMANCE", READ_WIDTH_A => 9, READ_WIDTH_B => 9, RSTREG_PRIORITY_A => "REGCE", RSTREG_PRIORITY_B => "REGCE", SIM_COLLISION_CHECK => "ALL", SIM_DEVICE => "7SERIES", SRVAL_A => X"000000000", SRVAL_B => X"000000000", WRITE_MODE_A => "WRITE_FIRST", WRITE_MODE_B => "WRITE_FIRST", WRITE_WIDTH_A => 9, WRITE_WIDTH_B => 9 ) port map ( ADDRARDADDR(15) => '1', ADDRARDADDR(14 downto 3) => addra(11 downto 0), ADDRARDADDR(2 downto 0) => B"111", ADDRBWRADDR(15 downto 0) => B"0000000000000000", CASCADEINA => '0', CASCADEINB => '0', CASCADEOUTA => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM36.ram_CASCADEOUTA_UNCONNECTED\, CASCADEOUTB => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM36.ram_CASCADEOUTB_UNCONNECTED\, CLKARDCLK => clka, CLKBWRCLK => clka, DBITERR => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM36.ram_DBITERR_UNCONNECTED\, DIADI(31 downto 7) => B"0000000000000000000000000", DIADI(6 downto 0) => dina(6 downto 0), DIBDI(31 downto 0) => B"00000000000000000000000000000000", DIPADIP(3 downto 0) => B"0000", DIPBDIP(3 downto 0) => B"0000", DOADO(31 downto 8) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM36.ram_DOADO_UNCONNECTED\(31 downto 8), DOADO(7) => \DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM36.ram_n_45\, DOADO(6 downto 0) => DOADO(6 downto 0), DOBDO(31 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM36.ram_DOBDO_UNCONNECTED\(31 downto 0), DOPADOP(3 downto 1) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM36.ram_DOPADOP_UNCONNECTED\(3 downto 1), DOPADOP(0) => \DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM36.ram_n_88\, DOPBDOP(3 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM36.ram_DOPBDOP_UNCONNECTED\(3 downto 0), ECCPARITY(7 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM36.ram_ECCPARITY_UNCONNECTED\(7 downto 0), ENARDEN => ena, ENBWREN => '0', INJECTDBITERR => '0', INJECTSBITERR => '0', RDADDRECC(8 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM36.ram_RDADDRECC_UNCONNECTED\(8 downto 0), REGCEAREGCE => ena, REGCEB => '0', RSTRAMARSTRAM => '0', RSTRAMB => '0', RSTREGARSTREG => '0', RSTREGB => '0', SBITERR => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM36.ram_SBITERR_UNCONNECTED\, WEA(3) => wea(0), WEA(2) => wea(0), WEA(1) => wea(0), WEA(0) => wea(0), WEBWE(7 downto 0) => B"00000000" ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_prim_width is port ( DOADO : out STD_LOGIC_VECTOR ( 3 downto 0 ); clka : in STD_LOGIC; ena : in STD_LOGIC; addra : in STD_LOGIC_VECTOR ( 11 downto 0 ); dina : in STD_LOGIC_VECTOR ( 3 downto 0 ); wea : in STD_LOGIC_VECTOR ( 0 to 0 ) ); end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_prim_width; architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_prim_width is begin \prim_init.ram\: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_prim_wrapper_init port map ( DOADO(3 downto 0) => DOADO(3 downto 0), addra(11 downto 0) => addra(11 downto 0), clka => clka, dina(3 downto 0) => dina(3 downto 0), ena => ena, wea(0) => wea(0) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_prim_width__parameterized0\ is port ( douta_array : out STD_LOGIC_VECTOR ( 8 downto 0 ); clka : in STD_LOGIC; ena : in STD_LOGIC; addra : in STD_LOGIC_VECTOR ( 11 downto 0 ); dina : in STD_LOGIC_VECTOR ( 8 downto 0 ); wea : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_prim_width__parameterized0\ : entity is "blk_mem_gen_prim_width"; end \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_prim_width__parameterized0\; architecture STRUCTURE of \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_prim_width__parameterized0\ is begin \prim_init.ram\: entity work.\decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_prim_wrapper_init__parameterized0\ port map ( addra(11 downto 0) => addra(11 downto 0), clka => clka, dina(8 downto 0) => dina(8 downto 0), douta_array(8 downto 0) => douta_array(8 downto 0), ena => ena, wea(0) => wea(0) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_prim_width__parameterized1\ is port ( DOADO : out STD_LOGIC_VECTOR ( 6 downto 0 ); clka : in STD_LOGIC; ena : in STD_LOGIC; addra : in STD_LOGIC_VECTOR ( 11 downto 0 ); dina : in STD_LOGIC_VECTOR ( 6 downto 0 ); wea : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_prim_width__parameterized1\ : entity is "blk_mem_gen_prim_width"; end \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_prim_width__parameterized1\; architecture STRUCTURE of \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_prim_width__parameterized1\ is begin \prim_init.ram\: entity work.\decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_prim_wrapper_init__parameterized1\ port map ( DOADO(6 downto 0) => DOADO(6 downto 0), addra(11 downto 0) => addra(11 downto 0), clka => clka, dina(6 downto 0) => dina(6 downto 0), ena => ena, wea(0) => wea(0) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_generic_cstr is port ( douta : out STD_LOGIC_VECTOR ( 19 downto 0 ); clka : in STD_LOGIC; ena : in STD_LOGIC; addra : in STD_LOGIC_VECTOR ( 11 downto 0 ); dina : in STD_LOGIC_VECTOR ( 19 downto 0 ); wea : in STD_LOGIC_VECTOR ( 0 to 0 ) ); end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_generic_cstr; architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_generic_cstr is signal douta_array : STD_LOGIC_VECTOR ( 19 downto 0 ); begin \mux_a_wire.mux_reg.ce_pri.douta_i_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => clka, CE => ena, D => douta_array(0), Q => douta(0), R => '0' ); \mux_a_wire.mux_reg.ce_pri.douta_i_reg[10]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => clka, CE => ena, D => douta_array(10), Q => douta(10), R => '0' ); \mux_a_wire.mux_reg.ce_pri.douta_i_reg[11]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => clka, CE => ena, D => douta_array(11), Q => douta(11), R => '0' ); \mux_a_wire.mux_reg.ce_pri.douta_i_reg[12]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => clka, CE => ena, D => douta_array(12), Q => douta(12), R => '0' ); \mux_a_wire.mux_reg.ce_pri.douta_i_reg[13]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => clka, CE => ena, D => douta_array(13), Q => douta(13), R => '0' ); \mux_a_wire.mux_reg.ce_pri.douta_i_reg[14]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => clka, CE => ena, D => douta_array(14), Q => douta(14), R => '0' ); \mux_a_wire.mux_reg.ce_pri.douta_i_reg[15]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => clka, CE => ena, D => douta_array(15), Q => douta(15), R => '0' ); \mux_a_wire.mux_reg.ce_pri.douta_i_reg[16]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => clka, CE => ena, D => douta_array(16), Q => douta(16), R => '0' ); \mux_a_wire.mux_reg.ce_pri.douta_i_reg[17]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => clka, CE => ena, D => douta_array(17), Q => douta(17), R => '0' ); \mux_a_wire.mux_reg.ce_pri.douta_i_reg[18]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => clka, CE => ena, D => douta_array(18), Q => douta(18), R => '0' ); \mux_a_wire.mux_reg.ce_pri.douta_i_reg[19]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => clka, CE => ena, D => douta_array(19), Q => douta(19), R => '0' ); \mux_a_wire.mux_reg.ce_pri.douta_i_reg[1]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => clka, CE => ena, D => douta_array(1), Q => douta(1), R => '0' ); \mux_a_wire.mux_reg.ce_pri.douta_i_reg[2]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => clka, CE => ena, D => douta_array(2), Q => douta(2), R => '0' ); \mux_a_wire.mux_reg.ce_pri.douta_i_reg[3]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => clka, CE => ena, D => douta_array(3), Q => douta(3), R => '0' ); \mux_a_wire.mux_reg.ce_pri.douta_i_reg[4]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => clka, CE => ena, D => douta_array(4), Q => douta(4), R => '0' ); \mux_a_wire.mux_reg.ce_pri.douta_i_reg[5]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => clka, CE => ena, D => douta_array(5), Q => douta(5), R => '0' ); \mux_a_wire.mux_reg.ce_pri.douta_i_reg[6]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => clka, CE => ena, D => douta_array(6), Q => douta(6), R => '0' ); \mux_a_wire.mux_reg.ce_pri.douta_i_reg[7]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => clka, CE => ena, D => douta_array(7), Q => douta(7), R => '0' ); \mux_a_wire.mux_reg.ce_pri.douta_i_reg[8]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => clka, CE => ena, D => douta_array(8), Q => douta(8), R => '0' ); \mux_a_wire.mux_reg.ce_pri.douta_i_reg[9]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => clka, CE => ena, D => douta_array(9), Q => douta(9), R => '0' ); \ramloop[0].ram.r\: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_prim_width port map ( DOADO(3 downto 0) => douta_array(3 downto 0), addra(11 downto 0) => addra(11 downto 0), clka => clka, dina(3 downto 0) => dina(3 downto 0), ena => ena, wea(0) => wea(0) ); \ramloop[1].ram.r\: entity work.\decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_prim_width__parameterized0\ port map ( addra(11 downto 0) => addra(11 downto 0), clka => clka, dina(8 downto 0) => dina(12 downto 4), douta_array(8 downto 0) => douta_array(12 downto 4), ena => ena, wea(0) => wea(0) ); \ramloop[2].ram.r\: entity work.\decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_prim_width__parameterized1\ port map ( DOADO(6 downto 0) => douta_array(19 downto 13), addra(11 downto 0) => addra(11 downto 0), clka => clka, dina(6 downto 0) => dina(19 downto 13), ena => ena, wea(0) => wea(0) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_top is port ( douta : out STD_LOGIC_VECTOR ( 19 downto 0 ); clka : in STD_LOGIC; ena : in STD_LOGIC; addra : in STD_LOGIC_VECTOR ( 11 downto 0 ); dina : in STD_LOGIC_VECTOR ( 19 downto 0 ); wea : in STD_LOGIC_VECTOR ( 0 to 0 ) ); end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_top; architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_top is begin \valid.cstr\: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_generic_cstr port map ( addra(11 downto 0) => addra(11 downto 0), clka => clka, dina(19 downto 0) => dina(19 downto 0), douta(19 downto 0) => douta(19 downto 0), ena => ena, wea(0) => wea(0) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_3_5_synth is port ( douta : out STD_LOGIC_VECTOR ( 19 downto 0 ); clka : in STD_LOGIC; ena : in STD_LOGIC; addra : in STD_LOGIC_VECTOR ( 11 downto 0 ); dina : in STD_LOGIC_VECTOR ( 19 downto 0 ); wea : in STD_LOGIC_VECTOR ( 0 to 0 ) ); end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_3_5_synth; architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_3_5_synth is begin \gnbram.gnativebmg.native_blk_mem_gen\: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_top port map ( addra(11 downto 0) => addra(11 downto 0), clka => clka, dina(19 downto 0) => dina(19 downto 0), douta(19 downto 0) => douta(19 downto 0), ena => ena, wea(0) => wea(0) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_3_5 is port ( clka : in STD_LOGIC; rsta : in STD_LOGIC; ena : in STD_LOGIC; regcea : in STD_LOGIC; wea : in STD_LOGIC_VECTOR ( 0 to 0 ); addra : in STD_LOGIC_VECTOR ( 11 downto 0 ); dina : in STD_LOGIC_VECTOR ( 19 downto 0 ); douta : out STD_LOGIC_VECTOR ( 19 downto 0 ); clkb : in STD_LOGIC; rstb : in STD_LOGIC; enb : in STD_LOGIC; regceb : in STD_LOGIC; web : in STD_LOGIC_VECTOR ( 0 to 0 ); addrb : in STD_LOGIC_VECTOR ( 11 downto 0 ); dinb : in STD_LOGIC_VECTOR ( 19 downto 0 ); doutb : out STD_LOGIC_VECTOR ( 19 downto 0 ); injectsbiterr : in STD_LOGIC; injectdbiterr : in STD_LOGIC; eccpipece : in STD_LOGIC; sbiterr : out STD_LOGIC; dbiterr : out STD_LOGIC; rdaddrecc : out STD_LOGIC_VECTOR ( 11 downto 0 ); sleep : in STD_LOGIC; deepsleep : in STD_LOGIC; shutdown : in STD_LOGIC; rsta_busy : out STD_LOGIC; rstb_busy : out STD_LOGIC; s_aclk : in STD_LOGIC; s_aresetn : in STD_LOGIC; s_axi_awid : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_awaddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_awlen : in STD_LOGIC_VECTOR ( 7 downto 0 ); s_axi_awsize : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_awburst : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_awvalid : in STD_LOGIC; s_axi_awready : out STD_LOGIC; s_axi_wdata : in STD_LOGIC_VECTOR ( 19 downto 0 ); s_axi_wstrb : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_wlast : in STD_LOGIC; s_axi_wvalid : in STD_LOGIC; s_axi_wready : out STD_LOGIC; s_axi_bid : out STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_bvalid : out STD_LOGIC; s_axi_bready : in STD_LOGIC; s_axi_arid : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_arlen : in STD_LOGIC_VECTOR ( 7 downto 0 ); s_axi_arsize : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_arburst : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_arvalid : in STD_LOGIC; s_axi_arready : out STD_LOGIC; s_axi_rid : out STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_rdata : out STD_LOGIC_VECTOR ( 19 downto 0 ); s_axi_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_rlast : out STD_LOGIC; s_axi_rvalid : out STD_LOGIC; s_axi_rready : in STD_LOGIC; s_axi_injectsbiterr : in STD_LOGIC; s_axi_injectdbiterr : in STD_LOGIC; s_axi_sbiterr : out STD_LOGIC; s_axi_dbiterr : out STD_LOGIC; s_axi_rdaddrecc : out STD_LOGIC_VECTOR ( 11 downto 0 ) ); attribute C_ADDRA_WIDTH : integer; attribute C_ADDRA_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_3_5 : entity is 12; attribute C_ADDRB_WIDTH : integer; attribute C_ADDRB_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_3_5 : entity is 12; attribute C_ALGORITHM : integer; attribute C_ALGORITHM of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_3_5 : entity is 1; attribute C_AXI_ID_WIDTH : integer; attribute C_AXI_ID_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_3_5 : entity is 4; attribute C_AXI_SLAVE_TYPE : integer; attribute C_AXI_SLAVE_TYPE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_3_5 : entity is 0; attribute C_AXI_TYPE : integer; attribute C_AXI_TYPE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_3_5 : entity is 1; attribute C_BYTE_SIZE : integer; attribute C_BYTE_SIZE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_3_5 : entity is 9; attribute C_COMMON_CLK : integer; attribute C_COMMON_CLK of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_3_5 : entity is 0; attribute C_COUNT_18K_BRAM : string; attribute C_COUNT_18K_BRAM of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_3_5 : entity is "1"; attribute C_COUNT_36K_BRAM : string; attribute C_COUNT_36K_BRAM of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_3_5 : entity is "2"; attribute C_CTRL_ECC_ALGO : string; attribute C_CTRL_ECC_ALGO of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_3_5 : entity is "NONE"; attribute C_DEFAULT_DATA : string; attribute C_DEFAULT_DATA of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_3_5 : entity is "0"; attribute C_DISABLE_WARN_BHV_COLL : integer; attribute C_DISABLE_WARN_BHV_COLL of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_3_5 : entity is 0; attribute C_DISABLE_WARN_BHV_RANGE : integer; attribute C_DISABLE_WARN_BHV_RANGE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_3_5 : entity is 0; attribute C_ELABORATION_DIR : string; attribute C_ELABORATION_DIR of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_3_5 : entity is "./"; attribute C_ENABLE_32BIT_ADDRESS : integer; attribute C_ENABLE_32BIT_ADDRESS of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_3_5 : entity is 0; attribute C_EN_DEEPSLEEP_PIN : integer; attribute C_EN_DEEPSLEEP_PIN of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_3_5 : entity is 0; attribute C_EN_ECC_PIPE : integer; attribute C_EN_ECC_PIPE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_3_5 : entity is 0; attribute C_EN_RDADDRA_CHG : integer; attribute C_EN_RDADDRA_CHG of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_3_5 : entity is 0; attribute C_EN_RDADDRB_CHG : integer; attribute C_EN_RDADDRB_CHG of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_3_5 : entity is 0; attribute C_EN_SAFETY_CKT : integer; attribute C_EN_SAFETY_CKT of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_3_5 : entity is 0; attribute C_EN_SHUTDOWN_PIN : integer; attribute C_EN_SHUTDOWN_PIN of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_3_5 : entity is 0; attribute C_EN_SLEEP_PIN : integer; attribute C_EN_SLEEP_PIN of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_3_5 : entity is 0; attribute C_EST_POWER_SUMMARY : string; attribute C_EST_POWER_SUMMARY of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_3_5 : entity is "Estimated Power for IP : 6.3587 mW"; attribute C_FAMILY : string; attribute C_FAMILY of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_3_5 : entity is "zynq"; attribute C_HAS_AXI_ID : integer; attribute C_HAS_AXI_ID of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_3_5 : entity is 0; attribute C_HAS_ENA : integer; attribute C_HAS_ENA of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_3_5 : entity is 1; attribute C_HAS_ENB : integer; attribute C_HAS_ENB of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_3_5 : entity is 0; attribute C_HAS_INJECTERR : integer; attribute C_HAS_INJECTERR of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_3_5 : entity is 0; attribute C_HAS_MEM_OUTPUT_REGS_A : integer; attribute C_HAS_MEM_OUTPUT_REGS_A of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_3_5 : entity is 1; attribute C_HAS_MEM_OUTPUT_REGS_B : integer; attribute C_HAS_MEM_OUTPUT_REGS_B of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_3_5 : entity is 0; attribute C_HAS_MUX_OUTPUT_REGS_A : integer; attribute C_HAS_MUX_OUTPUT_REGS_A of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_3_5 : entity is 1; attribute C_HAS_MUX_OUTPUT_REGS_B : integer; attribute C_HAS_MUX_OUTPUT_REGS_B of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_3_5 : entity is 0; attribute C_HAS_REGCEA : integer; attribute C_HAS_REGCEA of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_3_5 : entity is 0; attribute C_HAS_REGCEB : integer; attribute C_HAS_REGCEB of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_3_5 : entity is 0; attribute C_HAS_RSTA : integer; attribute C_HAS_RSTA of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_3_5 : entity is 0; attribute C_HAS_RSTB : integer; attribute C_HAS_RSTB of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_3_5 : entity is 0; attribute C_HAS_SOFTECC_INPUT_REGS_A : integer; attribute C_HAS_SOFTECC_INPUT_REGS_A of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_3_5 : entity is 0; attribute C_HAS_SOFTECC_OUTPUT_REGS_B : integer; attribute C_HAS_SOFTECC_OUTPUT_REGS_B of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_3_5 : entity is 0; attribute C_INITA_VAL : string; attribute C_INITA_VAL of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_3_5 : entity is "0"; attribute C_INITB_VAL : string; attribute C_INITB_VAL of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_3_5 : entity is "0"; attribute C_INIT_FILE : string; attribute C_INIT_FILE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_3_5 : entity is "bram_4096.mem"; attribute C_INIT_FILE_NAME : string; attribute C_INIT_FILE_NAME of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_3_5 : entity is "bram_4096.mif"; attribute C_INTERFACE_TYPE : integer; attribute C_INTERFACE_TYPE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_3_5 : entity is 0; attribute C_LOAD_INIT_FILE : integer; attribute C_LOAD_INIT_FILE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_3_5 : entity is 1; attribute C_MEM_TYPE : integer; attribute C_MEM_TYPE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_3_5 : entity is 0; attribute C_MUX_PIPELINE_STAGES : integer; attribute C_MUX_PIPELINE_STAGES of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_3_5 : entity is 0; attribute C_PRIM_TYPE : integer; attribute C_PRIM_TYPE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_3_5 : entity is 1; attribute C_READ_DEPTH_A : integer; attribute C_READ_DEPTH_A of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_3_5 : entity is 4096; attribute C_READ_DEPTH_B : integer; attribute C_READ_DEPTH_B of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_3_5 : entity is 4096; attribute C_READ_WIDTH_A : integer; attribute C_READ_WIDTH_A of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_3_5 : entity is 20; attribute C_READ_WIDTH_B : integer; attribute C_READ_WIDTH_B of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_3_5 : entity is 20; attribute C_RSTRAM_A : integer; attribute C_RSTRAM_A of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_3_5 : entity is 0; attribute C_RSTRAM_B : integer; attribute C_RSTRAM_B of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_3_5 : entity is 0; attribute C_RST_PRIORITY_A : string; attribute C_RST_PRIORITY_A of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_3_5 : entity is "CE"; attribute C_RST_PRIORITY_B : string; attribute C_RST_PRIORITY_B of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_3_5 : entity is "CE"; attribute C_SIM_COLLISION_CHECK : string; attribute C_SIM_COLLISION_CHECK of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_3_5 : entity is "ALL"; attribute C_USE_BRAM_BLOCK : integer; attribute C_USE_BRAM_BLOCK of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_3_5 : entity is 0; attribute C_USE_BYTE_WEA : integer; attribute C_USE_BYTE_WEA of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_3_5 : entity is 0; attribute C_USE_BYTE_WEB : integer; attribute C_USE_BYTE_WEB of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_3_5 : entity is 0; attribute C_USE_DEFAULT_DATA : integer; attribute C_USE_DEFAULT_DATA of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_3_5 : entity is 1; attribute C_USE_ECC : integer; attribute C_USE_ECC of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_3_5 : entity is 0; attribute C_USE_SOFTECC : integer; attribute C_USE_SOFTECC of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_3_5 : entity is 0; attribute C_USE_URAM : integer; attribute C_USE_URAM of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_3_5 : entity is 0; attribute C_WEA_WIDTH : integer; attribute C_WEA_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_3_5 : entity is 1; attribute C_WEB_WIDTH : integer; attribute C_WEB_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_3_5 : entity is 1; attribute C_WRITE_DEPTH_A : integer; attribute C_WRITE_DEPTH_A of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_3_5 : entity is 4096; attribute C_WRITE_DEPTH_B : integer; attribute C_WRITE_DEPTH_B of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_3_5 : entity is 4096; attribute C_WRITE_MODE_A : string; attribute C_WRITE_MODE_A of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_3_5 : entity is "WRITE_FIRST"; attribute C_WRITE_MODE_B : string; attribute C_WRITE_MODE_B of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_3_5 : entity is "WRITE_FIRST"; attribute C_WRITE_WIDTH_A : integer; attribute C_WRITE_WIDTH_A of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_3_5 : entity is 20; attribute C_WRITE_WIDTH_B : integer; attribute C_WRITE_WIDTH_B of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_3_5 : entity is 20; attribute C_XDEVICEFAMILY : string; attribute C_XDEVICEFAMILY of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_3_5 : entity is "zynq"; attribute downgradeipidentifiedwarnings : string; attribute downgradeipidentifiedwarnings of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_3_5 : entity is "yes"; end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_3_5; architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_3_5 is signal \<const0>\ : STD_LOGIC; begin dbiterr <= \<const0>\; doutb(19) <= \<const0>\; doutb(18) <= \<const0>\; doutb(17) <= \<const0>\; doutb(16) <= \<const0>\; doutb(15) <= \<const0>\; doutb(14) <= \<const0>\; doutb(13) <= \<const0>\; doutb(12) <= \<const0>\; doutb(11) <= \<const0>\; doutb(10) <= \<const0>\; doutb(9) <= \<const0>\; doutb(8) <= \<const0>\; doutb(7) <= \<const0>\; doutb(6) <= \<const0>\; doutb(5) <= \<const0>\; doutb(4) <= \<const0>\; doutb(3) <= \<const0>\; doutb(2) <= \<const0>\; doutb(1) <= \<const0>\; doutb(0) <= \<const0>\; rdaddrecc(11) <= \<const0>\; rdaddrecc(10) <= \<const0>\; rdaddrecc(9) <= \<const0>\; rdaddrecc(8) <= \<const0>\; rdaddrecc(7) <= \<const0>\; rdaddrecc(6) <= \<const0>\; rdaddrecc(5) <= \<const0>\; rdaddrecc(4) <= \<const0>\; rdaddrecc(3) <= \<const0>\; rdaddrecc(2) <= \<const0>\; rdaddrecc(1) <= \<const0>\; rdaddrecc(0) <= \<const0>\; rsta_busy <= \<const0>\; rstb_busy <= \<const0>\; s_axi_arready <= \<const0>\; s_axi_awready <= \<const0>\; s_axi_bid(3) <= \<const0>\; s_axi_bid(2) <= \<const0>\; s_axi_bid(1) <= \<const0>\; s_axi_bid(0) <= \<const0>\; s_axi_bresp(1) <= \<const0>\; s_axi_bresp(0) <= \<const0>\; s_axi_bvalid <= \<const0>\; s_axi_dbiterr <= \<const0>\; s_axi_rdaddrecc(11) <= \<const0>\; s_axi_rdaddrecc(10) <= \<const0>\; s_axi_rdaddrecc(9) <= \<const0>\; s_axi_rdaddrecc(8) <= \<const0>\; s_axi_rdaddrecc(7) <= \<const0>\; s_axi_rdaddrecc(6) <= \<const0>\; s_axi_rdaddrecc(5) <= \<const0>\; s_axi_rdaddrecc(4) <= \<const0>\; s_axi_rdaddrecc(3) <= \<const0>\; s_axi_rdaddrecc(2) <= \<const0>\; s_axi_rdaddrecc(1) <= \<const0>\; s_axi_rdaddrecc(0) <= \<const0>\; s_axi_rdata(19) <= \<const0>\; s_axi_rdata(18) <= \<const0>\; s_axi_rdata(17) <= \<const0>\; s_axi_rdata(16) <= \<const0>\; s_axi_rdata(15) <= \<const0>\; s_axi_rdata(14) <= \<const0>\; s_axi_rdata(13) <= \<const0>\; s_axi_rdata(12) <= \<const0>\; s_axi_rdata(11) <= \<const0>\; s_axi_rdata(10) <= \<const0>\; s_axi_rdata(9) <= \<const0>\; s_axi_rdata(8) <= \<const0>\; s_axi_rdata(7) <= \<const0>\; s_axi_rdata(6) <= \<const0>\; s_axi_rdata(5) <= \<const0>\; s_axi_rdata(4) <= \<const0>\; s_axi_rdata(3) <= \<const0>\; s_axi_rdata(2) <= \<const0>\; s_axi_rdata(1) <= \<const0>\; s_axi_rdata(0) <= \<const0>\; s_axi_rid(3) <= \<const0>\; s_axi_rid(2) <= \<const0>\; s_axi_rid(1) <= \<const0>\; s_axi_rid(0) <= \<const0>\; s_axi_rlast <= \<const0>\; s_axi_rresp(1) <= \<const0>\; s_axi_rresp(0) <= \<const0>\; s_axi_rvalid <= \<const0>\; s_axi_sbiterr <= \<const0>\; s_axi_wready <= \<const0>\; sbiterr <= \<const0>\; GND: unisim.vcomponents.GND port map ( G => \<const0>\ ); inst_blk_mem_gen: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_3_5_synth port map ( addra(11 downto 0) => addra(11 downto 0), clka => clka, dina(19 downto 0) => dina(19 downto 0), douta(19 downto 0) => douta(19 downto 0), ena => ena, wea(0) => wea(0) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix is port ( clka : in STD_LOGIC; ena : in STD_LOGIC; wea : in STD_LOGIC_VECTOR ( 0 to 0 ); addra : in STD_LOGIC_VECTOR ( 11 downto 0 ); dina : in STD_LOGIC_VECTOR ( 19 downto 0 ); douta : out STD_LOGIC_VECTOR ( 19 downto 0 ) ); attribute NotValidForBitStream : boolean; attribute NotValidForBitStream of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix : entity is true; attribute CHECK_LICENSE_TYPE : string; attribute CHECK_LICENSE_TYPE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix : entity is "bram_4096,blk_mem_gen_v8_3_5,{}"; attribute downgradeipidentifiedwarnings : string; attribute downgradeipidentifiedwarnings of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix : entity is "yes"; attribute x_core_info : string; attribute x_core_info of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix : entity is "blk_mem_gen_v8_3_5,Vivado 2016.4"; end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix; architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix is signal NLW_U0_dbiterr_UNCONNECTED : STD_LOGIC; signal NLW_U0_rsta_busy_UNCONNECTED : STD_LOGIC; signal NLW_U0_rstb_busy_UNCONNECTED : STD_LOGIC; signal NLW_U0_s_axi_arready_UNCONNECTED : STD_LOGIC; signal NLW_U0_s_axi_awready_UNCONNECTED : STD_LOGIC; signal NLW_U0_s_axi_bvalid_UNCONNECTED : STD_LOGIC; signal NLW_U0_s_axi_dbiterr_UNCONNECTED : STD_LOGIC; signal NLW_U0_s_axi_rlast_UNCONNECTED : STD_LOGIC; signal NLW_U0_s_axi_rvalid_UNCONNECTED : STD_LOGIC; signal NLW_U0_s_axi_sbiterr_UNCONNECTED : STD_LOGIC; signal NLW_U0_s_axi_wready_UNCONNECTED : STD_LOGIC; signal NLW_U0_sbiterr_UNCONNECTED : STD_LOGIC; signal NLW_U0_doutb_UNCONNECTED : STD_LOGIC_VECTOR ( 19 downto 0 ); signal NLW_U0_rdaddrecc_UNCONNECTED : STD_LOGIC_VECTOR ( 11 downto 0 ); signal NLW_U0_s_axi_bid_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); signal NLW_U0_s_axi_bresp_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_U0_s_axi_rdaddrecc_UNCONNECTED : STD_LOGIC_VECTOR ( 11 downto 0 ); signal NLW_U0_s_axi_rdata_UNCONNECTED : STD_LOGIC_VECTOR ( 19 downto 0 ); signal NLW_U0_s_axi_rid_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); signal NLW_U0_s_axi_rresp_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); attribute C_ADDRA_WIDTH : integer; attribute C_ADDRA_WIDTH of U0 : label is 12; attribute C_ADDRB_WIDTH : integer; attribute C_ADDRB_WIDTH of U0 : label is 12; attribute C_ALGORITHM : integer; attribute C_ALGORITHM of U0 : label is 1; attribute C_AXI_ID_WIDTH : integer; attribute C_AXI_ID_WIDTH of U0 : label is 4; attribute C_AXI_SLAVE_TYPE : integer; attribute C_AXI_SLAVE_TYPE of U0 : label is 0; attribute C_AXI_TYPE : integer; attribute C_AXI_TYPE of U0 : label is 1; attribute C_BYTE_SIZE : integer; attribute C_BYTE_SIZE of U0 : label is 9; attribute C_COMMON_CLK : integer; attribute C_COMMON_CLK of U0 : label is 0; attribute C_COUNT_18K_BRAM : string; attribute C_COUNT_18K_BRAM of U0 : label is "1"; attribute C_COUNT_36K_BRAM : string; attribute C_COUNT_36K_BRAM of U0 : label is "2"; attribute C_CTRL_ECC_ALGO : string; attribute C_CTRL_ECC_ALGO of U0 : label is "NONE"; attribute C_DEFAULT_DATA : string; attribute C_DEFAULT_DATA of U0 : label is "0"; attribute C_DISABLE_WARN_BHV_COLL : integer; attribute C_DISABLE_WARN_BHV_COLL of U0 : label is 0; attribute C_DISABLE_WARN_BHV_RANGE : integer; attribute C_DISABLE_WARN_BHV_RANGE of U0 : label is 0; attribute C_ELABORATION_DIR : string; attribute C_ELABORATION_DIR of U0 : label is "./"; attribute C_ENABLE_32BIT_ADDRESS : integer; attribute C_ENABLE_32BIT_ADDRESS of U0 : label is 0; attribute C_EN_DEEPSLEEP_PIN : integer; attribute C_EN_DEEPSLEEP_PIN of U0 : label is 0; attribute C_EN_ECC_PIPE : integer; attribute C_EN_ECC_PIPE of U0 : label is 0; attribute C_EN_RDADDRA_CHG : integer; attribute C_EN_RDADDRA_CHG of U0 : label is 0; attribute C_EN_RDADDRB_CHG : integer; attribute C_EN_RDADDRB_CHG of U0 : label is 0; attribute C_EN_SAFETY_CKT : integer; attribute C_EN_SAFETY_CKT of U0 : label is 0; attribute C_EN_SHUTDOWN_PIN : integer; attribute C_EN_SHUTDOWN_PIN of U0 : label is 0; attribute C_EN_SLEEP_PIN : integer; attribute C_EN_SLEEP_PIN of U0 : label is 0; attribute C_EST_POWER_SUMMARY : string; attribute C_EST_POWER_SUMMARY of U0 : label is "Estimated Power for IP : 6.3587 mW"; attribute C_FAMILY : string; attribute C_FAMILY of U0 : label is "zynq"; attribute C_HAS_AXI_ID : integer; attribute C_HAS_AXI_ID of U0 : label is 0; attribute C_HAS_ENA : integer; attribute C_HAS_ENA of U0 : label is 1; attribute C_HAS_ENB : integer; attribute C_HAS_ENB of U0 : label is 0; attribute C_HAS_INJECTERR : integer; attribute C_HAS_INJECTERR of U0 : label is 0; attribute C_HAS_MEM_OUTPUT_REGS_A : integer; attribute C_HAS_MEM_OUTPUT_REGS_A of U0 : label is 1; attribute C_HAS_MEM_OUTPUT_REGS_B : integer; attribute C_HAS_MEM_OUTPUT_REGS_B of U0 : label is 0; attribute C_HAS_MUX_OUTPUT_REGS_A : integer; attribute C_HAS_MUX_OUTPUT_REGS_A of U0 : label is 1; attribute C_HAS_MUX_OUTPUT_REGS_B : integer; attribute C_HAS_MUX_OUTPUT_REGS_B of U0 : label is 0; attribute C_HAS_REGCEA : integer; attribute C_HAS_REGCEA of U0 : label is 0; attribute C_HAS_REGCEB : integer; attribute C_HAS_REGCEB of U0 : label is 0; attribute C_HAS_RSTA : integer; attribute C_HAS_RSTA of U0 : label is 0; attribute C_HAS_RSTB : integer; attribute C_HAS_RSTB of U0 : label is 0; attribute C_HAS_SOFTECC_INPUT_REGS_A : integer; attribute C_HAS_SOFTECC_INPUT_REGS_A of U0 : label is 0; attribute C_HAS_SOFTECC_OUTPUT_REGS_B : integer; attribute C_HAS_SOFTECC_OUTPUT_REGS_B of U0 : label is 0; attribute C_INITA_VAL : string; attribute C_INITA_VAL of U0 : label is "0"; attribute C_INITB_VAL : string; attribute C_INITB_VAL of U0 : label is "0"; attribute C_INIT_FILE : string; attribute C_INIT_FILE of U0 : label is "bram_4096.mem"; attribute C_INIT_FILE_NAME : string; attribute C_INIT_FILE_NAME of U0 : label is "bram_4096.mif"; attribute C_INTERFACE_TYPE : integer; attribute C_INTERFACE_TYPE of U0 : label is 0; attribute C_LOAD_INIT_FILE : integer; attribute C_LOAD_INIT_FILE of U0 : label is 1; attribute C_MEM_TYPE : integer; attribute C_MEM_TYPE of U0 : label is 0; attribute C_MUX_PIPELINE_STAGES : integer; attribute C_MUX_PIPELINE_STAGES of U0 : label is 0; attribute C_PRIM_TYPE : integer; attribute C_PRIM_TYPE of U0 : label is 1; attribute C_READ_DEPTH_A : integer; attribute C_READ_DEPTH_A of U0 : label is 4096; attribute C_READ_DEPTH_B : integer; attribute C_READ_DEPTH_B of U0 : label is 4096; attribute C_READ_WIDTH_A : integer; attribute C_READ_WIDTH_A of U0 : label is 20; attribute C_READ_WIDTH_B : integer; attribute C_READ_WIDTH_B of U0 : label is 20; attribute C_RSTRAM_A : integer; attribute C_RSTRAM_A of U0 : label is 0; attribute C_RSTRAM_B : integer; attribute C_RSTRAM_B of U0 : label is 0; attribute C_RST_PRIORITY_A : string; attribute C_RST_PRIORITY_A of U0 : label is "CE"; attribute C_RST_PRIORITY_B : string; attribute C_RST_PRIORITY_B of U0 : label is "CE"; attribute C_SIM_COLLISION_CHECK : string; attribute C_SIM_COLLISION_CHECK of U0 : label is "ALL"; attribute C_USE_BRAM_BLOCK : integer; attribute C_USE_BRAM_BLOCK of U0 : label is 0; attribute C_USE_BYTE_WEA : integer; attribute C_USE_BYTE_WEA of U0 : label is 0; attribute C_USE_BYTE_WEB : integer; attribute C_USE_BYTE_WEB of U0 : label is 0; attribute C_USE_DEFAULT_DATA : integer; attribute C_USE_DEFAULT_DATA of U0 : label is 1; attribute C_USE_ECC : integer; attribute C_USE_ECC of U0 : label is 0; attribute C_USE_SOFTECC : integer; attribute C_USE_SOFTECC of U0 : label is 0; attribute C_USE_URAM : integer; attribute C_USE_URAM of U0 : label is 0; attribute C_WEA_WIDTH : integer; attribute C_WEA_WIDTH of U0 : label is 1; attribute C_WEB_WIDTH : integer; attribute C_WEB_WIDTH of U0 : label is 1; attribute C_WRITE_DEPTH_A : integer; attribute C_WRITE_DEPTH_A of U0 : label is 4096; attribute C_WRITE_DEPTH_B : integer; attribute C_WRITE_DEPTH_B of U0 : label is 4096; attribute C_WRITE_MODE_A : string; attribute C_WRITE_MODE_A of U0 : label is "WRITE_FIRST"; attribute C_WRITE_MODE_B : string; attribute C_WRITE_MODE_B of U0 : label is "WRITE_FIRST"; attribute C_WRITE_WIDTH_A : integer; attribute C_WRITE_WIDTH_A of U0 : label is 20; attribute C_WRITE_WIDTH_B : integer; attribute C_WRITE_WIDTH_B of U0 : label is 20; attribute C_XDEVICEFAMILY : string; attribute C_XDEVICEFAMILY of U0 : label is "zynq"; attribute downgradeipidentifiedwarnings of U0 : label is "yes"; begin U0: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_3_5 port map ( addra(11 downto 0) => addra(11 downto 0), addrb(11 downto 0) => B"000000000000", clka => clka, clkb => '0', dbiterr => NLW_U0_dbiterr_UNCONNECTED, deepsleep => '0', dina(19 downto 0) => dina(19 downto 0), dinb(19 downto 0) => B"00000000000000000000", douta(19 downto 0) => douta(19 downto 0), doutb(19 downto 0) => NLW_U0_doutb_UNCONNECTED(19 downto 0), eccpipece => '0', ena => ena, enb => '0', injectdbiterr => '0', injectsbiterr => '0', rdaddrecc(11 downto 0) => NLW_U0_rdaddrecc_UNCONNECTED(11 downto 0), regcea => '0', regceb => '0', rsta => '0', rsta_busy => NLW_U0_rsta_busy_UNCONNECTED, rstb => '0', rstb_busy => NLW_U0_rstb_busy_UNCONNECTED, s_aclk => '0', s_aresetn => '0', s_axi_araddr(31 downto 0) => B"00000000000000000000000000000000", s_axi_arburst(1 downto 0) => B"00", s_axi_arid(3 downto 0) => B"0000", s_axi_arlen(7 downto 0) => B"00000000", s_axi_arready => NLW_U0_s_axi_arready_UNCONNECTED, s_axi_arsize(2 downto 0) => B"000", s_axi_arvalid => '0', s_axi_awaddr(31 downto 0) => B"00000000000000000000000000000000", s_axi_awburst(1 downto 0) => B"00", s_axi_awid(3 downto 0) => B"0000", s_axi_awlen(7 downto 0) => B"00000000", s_axi_awready => NLW_U0_s_axi_awready_UNCONNECTED, s_axi_awsize(2 downto 0) => B"000", s_axi_awvalid => '0', s_axi_bid(3 downto 0) => NLW_U0_s_axi_bid_UNCONNECTED(3 downto 0), s_axi_bready => '0', s_axi_bresp(1 downto 0) => NLW_U0_s_axi_bresp_UNCONNECTED(1 downto 0), s_axi_bvalid => NLW_U0_s_axi_bvalid_UNCONNECTED, s_axi_dbiterr => NLW_U0_s_axi_dbiterr_UNCONNECTED, s_axi_injectdbiterr => '0', s_axi_injectsbiterr => '0', s_axi_rdaddrecc(11 downto 0) => NLW_U0_s_axi_rdaddrecc_UNCONNECTED(11 downto 0), s_axi_rdata(19 downto 0) => NLW_U0_s_axi_rdata_UNCONNECTED(19 downto 0), s_axi_rid(3 downto 0) => NLW_U0_s_axi_rid_UNCONNECTED(3 downto 0), s_axi_rlast => NLW_U0_s_axi_rlast_UNCONNECTED, s_axi_rready => '0', s_axi_rresp(1 downto 0) => NLW_U0_s_axi_rresp_UNCONNECTED(1 downto 0), s_axi_rvalid => NLW_U0_s_axi_rvalid_UNCONNECTED, s_axi_sbiterr => NLW_U0_s_axi_sbiterr_UNCONNECTED, s_axi_wdata(19 downto 0) => B"00000000000000000000", s_axi_wlast => '0', s_axi_wready => NLW_U0_s_axi_wready_UNCONNECTED, s_axi_wstrb(0) => '0', s_axi_wvalid => '0', sbiterr => NLW_U0_sbiterr_UNCONNECTED, shutdown => '0', sleep => '0', wea(0) => wea(0), web(0) => '0' ); end STRUCTURE;
-------------------------------------------------------------------------------- -- Copyright (c) 2016 David Banks -------------------------------------------------------------------------------- -- ____ ____ -- / /\/ / -- /___/ \ / -- \ \ \/ -- \ \ -- / / Filename : RamRom_Phill -- /___/ /\ Timestamp : 04/07/2016 -- \ \ / \ -- \___\/\___\ -- --Design Name: RamRom_Phill library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.numeric_std.all; entity RamRom_Phill is port (clock : in std_logic; reset_n : in std_logic; -- signals from/to 6502 cpu_addr : in std_logic_vector (15 downto 0); cpu_we : in std_logic; cpu_dout : in std_logic_vector (7 downto 0); cpu_din : out std_logic_vector (7 downto 0); -- signals from/to external memory system ExternCE : out std_logic; ExternWE : out std_logic; ExternA : out std_logic_vector (18 downto 0); ExternDin : out std_logic_vector (7 downto 0); ExternDout : in std_logic_vector (7 downto 0) ); end RamRom_Phill; architecture behavioral of RamRom_Phill is signal BFFE_Enable : std_logic; signal BFFF_Enable : std_logic; signal RegBFFE : std_logic_vector (7 downto 0); signal RegBFFF : std_logic_vector (7 downto 0); signal RamCE : std_logic; signal RomCE : std_logic; signal ExtRAMEN1 : std_logic; -- SwitchLatch[0] on Phill's board signal ExtRAMEN2 : std_logic; -- SwitchLatch[1] on Phill's board signal DskRAMEN : std_logic; -- SwitchLatch[1] on Phill's board, not currently used in AtomFPGA signal DskROMEN : std_logic; -- SwitchLatch[2] on Phill's board signal BeebMode : std_logic; -- SwitchLatch[3] on Phill's board signal RomLatch : std_logic_vector (4 downto 0); signal Addr6000RAM : std_logic; signal Addr6000ROM : std_logic; signal Addr7000RAM : std_logic; signal Addr7000ROM : std_logic; signal AddrA000RAM : std_logic; signal AddrA000ROM : std_logic; begin Addr6000ROM <= '1' when cpu_addr(15 downto 12) = "0110" and (BeebMode = '1' and (RomLatch /= "00000" or ExtRAMEN1 = '0')) else '0'; Addr6000RAM <= '1' when cpu_addr(15 downto 12) = "0110" and (BeebMode = '0' or (RomLatch = "00000" and ExtRAMEN1 = '1')) else '0'; Addr7000ROM <= '1' when cpu_addr(15 downto 12) = "0111" and (BeebMode = '1' and ExtRAMEN2 = '0') else '0'; Addr7000RAM <= '1' when cpu_addr(15 downto 12) = "0111" and (BeebMode = '0' or ExtRAMEN2 = '1') else '0'; AddrA000ROM <= '1' when cpu_addr(15 downto 12) = "1010" and (BeebMode = '1' or RomLatch /= "00000" or ExtRAMEN1 = '0') else '0'; AddrA000RAM <= '1' when cpu_addr(15 downto 12) = "1010" and (BeebMode = '0' and RomLatch = "00000" and ExtRAMEN1 = '1') else '0'; RamCE <= '1' when cpu_addr(15 downto 12) < "0110" or Addr6000RAM = '1' or Addr7000RAM = '1' or AddrA000RAM = '1' else '0'; RomCE <= '1' when cpu_addr(15 downto 14) = "11" or Addr6000ROM = '1' or Addr7000ROM = '1' or AddrA000ROM = '1' else '0'; ExternCE <= RamCE or RomCE; ExternWE <= cpu_we and RamCE; ExternDin <= cpu_dout; cpu_din <= RegBFFE when BFFE_Enable = '1' else RegBFFF when BFFF_Enable = '1' else ExternDout; ------------------------------------------------- -- External address decoding -- -- external address bus is 18..0 (512KB) -- bit 18 is always zero -- bit 17 selects between ROM (0) and RAM (1) -- bits 16..0 select with 128KB block ------------------------------------------------- ExternA <= -- 0x6000 comes from ROM address 0x08000-0x0F000 in Beeb Mode (Ext ROM 1) ( "0001" & RomLatch(2 downto 0) & cpu_addr(11 downto 0)) when Addr6000ROM = '1' else -- 0x6000 is 4K remappable RAM bank mapped to 0x6000 ( "01" & ExtRAMEN1 & cpu_addr(15 downto 0)) when Addr6000RAM = '1' else -- 0x7000 comes from ROM address 0x19000 in Beeb Mode (Ext ROM 2) ( "0011001" & cpu_addr(11 downto 0)) when Addr7000ROM = '1' else -- 0x7000 is 4K remappable RAM bank mapped to 0x7000 ( "01" & ExtRAMEN2 & cpu_addr(15 downto 0)) when Addr7000RAM = '1' else -- 0xA000 remappable RAM bank at 0x7000 re-mapped to 0xA000 ( "0100111" & cpu_addr(11 downto 0)) when AddrA000RAM = '1' else -- 0xA000 is bank switched by ROM Latch in Atom Mode -- 5 bits of RomLatch are used here, to allow any of the 32 pages of FLASH to A000 for in system programming ( "00" & RomLatch & cpu_addr(11 downto 0)) when AddrA000ROM = '1' and BeebMode = '0' else -- 0xA000 comes from ROM address 0x0A000 in Beeb Mode ( "0011010" & cpu_addr(11 downto 0)) when AddrA000ROM = '1' and BeebMode = '1' else -- 0xC000-0xFFFF comes from ROM address 0x1C000-0x1FFFF in Beeb Mode ( "001" & cpu_addr(15 downto 0)) when cpu_addr(15 downto 14) = "11" and BeebMode = '1' else -- 0xC000-0xFFFF comes from ROM address 0x10000-0x17FFF in Atom Mode (2x 16K banks selected SwitchLatch[2]) ( "0010" & DskROMEN & cpu_addr(13 downto 0)) when cpu_addr(15 downto 14) = "11" and BeebMode = '0' else -- RAM ( "010" & cpu_addr); ------------------------------------------------- -- RAM/ROM Board Registers ------------------------------------------------- BFFE_Enable <= '1' when cpu_addr(15 downto 0) = "1011111111111110" else '0'; BFFF_Enable <= '1' when cpu_addr(15 downto 0) = "1011111111111111" else '0'; RomLatchProcess : process (reset_n, clock) begin if reset_n = '0' then RegBFFE <= (others => '0'); RegBFFF <= (others => '0'); elsif rising_edge(clock) then if BFFE_Enable = '1' and cpu_we = '1' then RegBFFE <= cpu_dout; end if; if BFFF_Enable = '1' and cpu_we = '1' then RegBFFF <= cpu_dout; end if; end if; end process; ExtRAMEN1 <= RegBFFE(0); ExtRAMEN2 <= RegBFFE(1); DskRAMEN <= RegBFFE(1); -- currently unused DskROMEN <= RegBFFE(2); BeebMode <= RegBFFE(3); RomLatch <= RegBFFF(4 downto 0); end behavioral;
---------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 16:23:44 11/20/2015 -- Design Name: -- Module Name: random_uniform - Behavioral -- Project Name: -- Target Devices: -- Tool versions: -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; entity random_uniform is end random_uniform; architecture Behavioral of random_uniform is begin end Behavioral;
LIBRARY ieee; USE ieee.std_logic_1164.all; USE ieee.numeric_std.all; use std.textio.all; use ieee.std_logic_textio.all; ENTITY instructionFetchStage IS --MIGHT NEED TO MODIFY IF STAGE TO THE WHOLE CPU PIPELINE port( clk : in std_logic; muxInput0 : in std_logic_vector(31 downto 0); selectInputs : in std_logic; four : in INTEGER; structuralStall : IN STD_LOGIC := '0'; pcStall : IN STD_LOGIC := '0'; selectOutput : out std_logic_vector(31 downto 0); instructionMemoryOutput : out std_logic_vector(31 downto 0) ); END instructionFetchStage; architecture instructionFetchStage_arch of instructionFetchStage is --INSTRUCTION MEMORY component instructionMemory IS GENERIC( -- might need to change it ram_size : INTEGER := 1024; mem_delay : time := 1 ns; clock_period : time := 1 ns ); PORT ( clock: IN STD_LOGIC; writedata: IN STD_LOGIC_VECTOR (31 DOWNTO 0); address: IN INTEGER RANGE 0 TO ram_size-1; memwrite: IN STD_LOGIC; memread: IN STD_LOGIC; readdata: OUT STD_LOGIC_VECTOR (31 DOWNTO 0); waitrequest: OUT STD_LOGIC ); END component; --PC component pc is port(clk : in std_logic; reset : in std_logic; counterOutput : out std_logic_vector(31 downto 0); counterInput : in std_logic_vector(31 downto 0) ); end component; --MUX component mux is port( input0 : in std_logic_vector(31 downto 0); input1 : in std_logic_vector(31 downto 0); selectInput : in std_logic; selectOutput : out std_logic_vector(31 downto 0) ); end component; --ADDER component adder is port( plusFour : in integer; counterOutput : in std_logic_vector(31 downto 0); adderOutput : out std_logic_vector(31 downto 0) ); end component; -- SET SIGNALS signal rst : std_logic := '0'; signal writedata: std_logic_vector(31 downto 0); signal address: INTEGER RANGE 0 TO 1024-1; signal memwrite: STD_LOGIC := '0'; signal memread: STD_LOGIC := '1'; signal readdata: STD_LOGIC_VECTOR (31 DOWNTO 0); signal waitrequest: STD_LOGIC; signal pcOutput : STD_LOGIC_VECTOR(31 DOWNTO 0); signal internal_selectOutput : STD_LOGIC_VECTOR(31 DOWNTO 0); signal addOutput : STD_LOGIC_VECTOR(31 DOWNTO 0); --SIGNAL FOR STALLS signal stallValue : STD_LOGIC_VECTOR(31 DOWNTO 0) := "00000000000000000000000000100000"; signal memoryValue : STD_LOGIC_VECTOR(31 DOWNTO 0); signal pcInput : STD_LOGIC_VECTOR(31 DOWNTO 0); begin selectOutput <= internal_selectOutput; address <= to_integer(unsigned(addOutput(9 downto 0)))/4; pcCounter : pc port map( clk => clk, reset => rst, counterOutput => pcOutput, counterInput => pcInput ); add : adder port map( plusFour => four, counterOutput => pcOutput, adderOutput => addOutput ); fetchMux : mux port map( input0 => addOutput, input1 => muxInput0, selectInput => selectInputs, selectOutput => internal_selectOutput ); structuralMux : mux port map ( input0 => memoryValue, input1 => stallValue, selectInput => structuralStall, selectOutput => instructionMemoryOutput ); pcMux : mux port map ( input0 => internal_selectOutput, input1 => pcOutput, selectInput => pcStall, selectOutput => pcInput ); iMem : instructionMemory GENERIC MAP( ram_size => 1024 ) PORT MAP( clk, writedata, address, memwrite, memread, memoryValue, waitrequest ); end instructionFetchStage_arch;
-- file: clk_adc.vhd -- -- (c) Copyright 2008 - 2013 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- ------------------------------------------------------------------------------ -- User entered comments ------------------------------------------------------------------------------ -- None -- ------------------------------------------------------------------------------ -- Output Output Phase Duty Cycle Pk-to-Pk Phase -- Clock Freq (MHz) (degrees) (%) Jitter (ps) Error (ps) ------------------------------------------------------------------------------ -- CLK_OUT1___250.000____236.250______50.0_______89.528_____85.928 -- ------------------------------------------------------------------------------ -- Input Clock Freq (MHz) Input Jitter (UI) ------------------------------------------------------------------------------ -- __primary_____________250____________0.010 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_arith.all; use ieee.numeric_std.all; library unisim; use unisim.vcomponents.all; entity clk_adc is port (-- Clock in ports clk_in1_p : in std_logic; clk_in1_n : in std_logic; -- Clock out ports clk_250Mhz : out std_logic; -- Status and control signals locked : out std_logic ); end clk_adc; architecture xilinx of clk_adc is attribute CORE_GENERATION_INFO : string; attribute CORE_GENERATION_INFO of xilinx : architecture is "clk_adc,clk_wiz_v5_1,{component_name=clk_adc,use_phase_alignment=true,use_min_o_jitter=false,use_max_i_jitter=false,use_dyn_phase_shift=false,use_inclk_switchover=false,use_dyn_reconfig=false,enable_axi=0,feedback_source=FDBK_AUTO,PRIMITIVE=MMCM,num_out_clk=1,clkin1_period=4.0,clkin2_period=10.0,use_power_down=false,use_reset=false,use_locked=true,use_inclk_stopped=false,feedback_type=SINGLE,CLOCK_MGR_TYPE=NA,manual_override=false}"; component clk_adc_clk_wiz port (-- Clock in ports clk_in1_p : in std_logic; clk_in1_n : in std_logic; -- Clock out ports clk_250Mhz : out std_logic; -- Status and control signals locked : out std_logic ); end component; begin U0: clk_adc_clk_wiz port map ( -- Clock in ports clk_in1_p => clk_in1_p, clk_in1_n => clk_in1_n, -- Clock out ports clk_250Mhz => clk_250Mhz, -- Status and control signals locked => locked ); end xilinx;
-- file: clk_adc.vhd -- -- (c) Copyright 2008 - 2013 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- ------------------------------------------------------------------------------ -- User entered comments ------------------------------------------------------------------------------ -- None -- ------------------------------------------------------------------------------ -- Output Output Phase Duty Cycle Pk-to-Pk Phase -- Clock Freq (MHz) (degrees) (%) Jitter (ps) Error (ps) ------------------------------------------------------------------------------ -- CLK_OUT1___250.000____236.250______50.0_______89.528_____85.928 -- ------------------------------------------------------------------------------ -- Input Clock Freq (MHz) Input Jitter (UI) ------------------------------------------------------------------------------ -- __primary_____________250____________0.010 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_arith.all; use ieee.numeric_std.all; library unisim; use unisim.vcomponents.all; entity clk_adc is port (-- Clock in ports clk_in1_p : in std_logic; clk_in1_n : in std_logic; -- Clock out ports clk_250Mhz : out std_logic; -- Status and control signals locked : out std_logic ); end clk_adc; architecture xilinx of clk_adc is attribute CORE_GENERATION_INFO : string; attribute CORE_GENERATION_INFO of xilinx : architecture is "clk_adc,clk_wiz_v5_1,{component_name=clk_adc,use_phase_alignment=true,use_min_o_jitter=false,use_max_i_jitter=false,use_dyn_phase_shift=false,use_inclk_switchover=false,use_dyn_reconfig=false,enable_axi=0,feedback_source=FDBK_AUTO,PRIMITIVE=MMCM,num_out_clk=1,clkin1_period=4.0,clkin2_period=10.0,use_power_down=false,use_reset=false,use_locked=true,use_inclk_stopped=false,feedback_type=SINGLE,CLOCK_MGR_TYPE=NA,manual_override=false}"; component clk_adc_clk_wiz port (-- Clock in ports clk_in1_p : in std_logic; clk_in1_n : in std_logic; -- Clock out ports clk_250Mhz : out std_logic; -- Status and control signals locked : out std_logic ); end component; begin U0: clk_adc_clk_wiz port map ( -- Clock in ports clk_in1_p => clk_in1_p, clk_in1_n => clk_in1_n, -- Clock out ports clk_250Mhz => clk_250Mhz, -- Status and control signals locked => locked ); end xilinx;
-- file: clk_adc.vhd -- -- (c) Copyright 2008 - 2013 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- ------------------------------------------------------------------------------ -- User entered comments ------------------------------------------------------------------------------ -- None -- ------------------------------------------------------------------------------ -- Output Output Phase Duty Cycle Pk-to-Pk Phase -- Clock Freq (MHz) (degrees) (%) Jitter (ps) Error (ps) ------------------------------------------------------------------------------ -- CLK_OUT1___250.000____236.250______50.0_______89.528_____85.928 -- ------------------------------------------------------------------------------ -- Input Clock Freq (MHz) Input Jitter (UI) ------------------------------------------------------------------------------ -- __primary_____________250____________0.010 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_arith.all; use ieee.numeric_std.all; library unisim; use unisim.vcomponents.all; entity clk_adc is port (-- Clock in ports clk_in1_p : in std_logic; clk_in1_n : in std_logic; -- Clock out ports clk_250Mhz : out std_logic; -- Status and control signals locked : out std_logic ); end clk_adc; architecture xilinx of clk_adc is attribute CORE_GENERATION_INFO : string; attribute CORE_GENERATION_INFO of xilinx : architecture is "clk_adc,clk_wiz_v5_1,{component_name=clk_adc,use_phase_alignment=true,use_min_o_jitter=false,use_max_i_jitter=false,use_dyn_phase_shift=false,use_inclk_switchover=false,use_dyn_reconfig=false,enable_axi=0,feedback_source=FDBK_AUTO,PRIMITIVE=MMCM,num_out_clk=1,clkin1_period=4.0,clkin2_period=10.0,use_power_down=false,use_reset=false,use_locked=true,use_inclk_stopped=false,feedback_type=SINGLE,CLOCK_MGR_TYPE=NA,manual_override=false}"; component clk_adc_clk_wiz port (-- Clock in ports clk_in1_p : in std_logic; clk_in1_n : in std_logic; -- Clock out ports clk_250Mhz : out std_logic; -- Status and control signals locked : out std_logic ); end component; begin U0: clk_adc_clk_wiz port map ( -- Clock in ports clk_in1_p => clk_in1_p, clk_in1_n => clk_in1_n, -- Clock out ports clk_250Mhz => clk_250Mhz, -- Status and control signals locked => locked ); end xilinx;
-- file: clk_adc.vhd -- -- (c) Copyright 2008 - 2013 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- ------------------------------------------------------------------------------ -- User entered comments ------------------------------------------------------------------------------ -- None -- ------------------------------------------------------------------------------ -- Output Output Phase Duty Cycle Pk-to-Pk Phase -- Clock Freq (MHz) (degrees) (%) Jitter (ps) Error (ps) ------------------------------------------------------------------------------ -- CLK_OUT1___250.000____236.250______50.0_______89.528_____85.928 -- ------------------------------------------------------------------------------ -- Input Clock Freq (MHz) Input Jitter (UI) ------------------------------------------------------------------------------ -- __primary_____________250____________0.010 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_arith.all; use ieee.numeric_std.all; library unisim; use unisim.vcomponents.all; entity clk_adc is port (-- Clock in ports clk_in1_p : in std_logic; clk_in1_n : in std_logic; -- Clock out ports clk_250Mhz : out std_logic; -- Status and control signals locked : out std_logic ); end clk_adc; architecture xilinx of clk_adc is attribute CORE_GENERATION_INFO : string; attribute CORE_GENERATION_INFO of xilinx : architecture is "clk_adc,clk_wiz_v5_1,{component_name=clk_adc,use_phase_alignment=true,use_min_o_jitter=false,use_max_i_jitter=false,use_dyn_phase_shift=false,use_inclk_switchover=false,use_dyn_reconfig=false,enable_axi=0,feedback_source=FDBK_AUTO,PRIMITIVE=MMCM,num_out_clk=1,clkin1_period=4.0,clkin2_period=10.0,use_power_down=false,use_reset=false,use_locked=true,use_inclk_stopped=false,feedback_type=SINGLE,CLOCK_MGR_TYPE=NA,manual_override=false}"; component clk_adc_clk_wiz port (-- Clock in ports clk_in1_p : in std_logic; clk_in1_n : in std_logic; -- Clock out ports clk_250Mhz : out std_logic; -- Status and control signals locked : out std_logic ); end component; begin U0: clk_adc_clk_wiz port map ( -- Clock in ports clk_in1_p => clk_in1_p, clk_in1_n => clk_in1_n, -- Clock out ports clk_250Mhz => clk_250Mhz, -- Status and control signals locked => locked ); end xilinx;
-------------------------------------------------------------------------------- -- -- BLK MEM GEN v7.1 Core - Top-level core wrapper -- -------------------------------------------------------------------------------- -- -- (c) Copyright 2006-2010 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -------------------------------------------------------------------------------- -- -- Filename: Ram_exdes.vhd -- -- Description: -- This is the actual BMG core wrapper. -- -------------------------------------------------------------------------------- -- Author: IP Solutions Division -- -- History: August 31, 2005 - First Release -------------------------------------------------------------------------------- -- -------------------------------------------------------------------------------- -- Library Declarations -------------------------------------------------------------------------------- LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; LIBRARY UNISIM; USE UNISIM.VCOMPONENTS.ALL; -------------------------------------------------------------------------------- -- Entity Declaration -------------------------------------------------------------------------------- ENTITY Ram_exdes IS PORT ( --Inputs - Port A WEA : IN STD_LOGIC_VECTOR(0 DOWNTO 0); ADDRA : IN STD_LOGIC_VECTOR(13 DOWNTO 0); DINA : IN STD_LOGIC_VECTOR(31 DOWNTO 0); DOUTA : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); CLKA : IN STD_LOGIC ); END Ram_exdes; ARCHITECTURE xilinx OF Ram_exdes IS COMPONENT BUFG IS PORT ( I : IN STD_ULOGIC; O : OUT STD_ULOGIC ); END COMPONENT; COMPONENT Ram IS PORT ( --Port A WEA : IN STD_LOGIC_VECTOR(0 DOWNTO 0); ADDRA : IN STD_LOGIC_VECTOR(13 DOWNTO 0); DINA : IN STD_LOGIC_VECTOR(31 DOWNTO 0); DOUTA : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); CLKA : IN STD_LOGIC ); END COMPONENT; SIGNAL CLKA_buf : STD_LOGIC; SIGNAL CLKB_buf : STD_LOGIC; SIGNAL S_ACLK_buf : STD_LOGIC; BEGIN bufg_A : BUFG PORT MAP ( I => CLKA, O => CLKA_buf ); bmg0 : Ram PORT MAP ( --Port A WEA => WEA, ADDRA => ADDRA, DINA => DINA, DOUTA => DOUTA, CLKA => CLKA_buf ); END xilinx;
-- Copyright (C) 1991-2009 Altera Corporation -- Your use of Altera Corporation's design tools, logic functions -- and other software and tools, and its AMPP partner logic -- functions, and any output files from any of the foregoing -- (including device programming or simulation files), and any -- associated documentation or information are expressly subject -- to the terms and conditions of the Altera Program License -- Subscription Agreement, Altera MegaCore Function License -- Agreement, or other applicable license agreement, including, -- without limitation, that your use is for the sole purpose of -- programming logic devices manufactured by Altera and sold by -- Altera or its authorized distributors. Please refer to the -- applicable agreement for further details. -- Quartus II 9.0 Build 235 03/01/2009 LIBRARY IEEE; use IEEE.STD_LOGIC_1164.all; use IEEE.VITAL_Timing.all; use work.cycloneiii_atom_pack.all; package CYCLONEIII_COMPONENTS is -- -- cycloneiii_ff -- component cycloneiii_ff generic ( power_up : string := "low"; x_on_violation : string := "on"; lpm_type : string := "cycloneiii_ff"; tsetup_d_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst; tsetup_asdata_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst; tsetup_sclr_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst; tsetup_sload_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst; tsetup_ena_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst; thold_d_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst; thold_asdata_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst; thold_sclr_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst; thold_sload_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst; thold_ena_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst; tpd_clk_q_posedge : VitalDelayType01 := DefPropDelay01; tpd_clrn_q_posedge : VitalDelayType01 := DefPropDelay01; tpd_aload_q_posedge : VitalDelayType01 := DefPropDelay01; tpd_asdata_q: VitalDelayType01 := DefPropDelay01; tipd_clk : VitalDelayType01 := DefPropDelay01; tipd_d : VitalDelayType01 := DefPropDelay01; tipd_asdata : VitalDelayType01 := DefPropDelay01; tipd_sclr : VitalDelayType01 := DefPropDelay01; tipd_sload : VitalDelayType01 := DefPropDelay01; tipd_clrn : VitalDelayType01 := DefPropDelay01; tipd_aload : VitalDelayType01 := DefPropDelay01; tipd_ena : VitalDelayType01 := DefPropDelay01; TimingChecksOn: Boolean := True; MsgOn: Boolean := DefGlitchMsgOn; XOn: Boolean := DefGlitchXOn; MsgOnChecks: Boolean := DefMsgOnChecks; XOnChecks: Boolean := DefXOnChecks; InstancePath: STRING := "*" ); port ( d : in std_logic := '0'; clk : in std_logic := '0'; clrn : in std_logic := '1'; aload : in std_logic := '0'; sclr : in std_logic := '0'; sload : in std_logic := '0'; ena : in std_logic := '1'; asdata : in std_logic := '0'; devclrn : in std_logic := '1'; devpor : in std_logic := '1'; q : out std_logic ); end component; -- -- cycloneiii_ram_block -- component cycloneiii_ram_block generic ( operation_mode : string := "single_port"; mixed_port_feed_through_mode : string := "dont_care"; ram_block_type : string := "auto"; logical_ram_name : string := "ram_name"; init_file : string := "init_file.hex"; init_file_layout : string := "none"; data_interleave_width_in_bits : integer := 1; data_interleave_offset_in_bits : integer := 1; port_a_logical_ram_depth : integer := 0; port_a_logical_ram_width : integer := 0; port_a_address_clear : string := "none"; port_a_data_out_clock : string := "none"; port_a_data_out_clear : string := "none"; port_a_first_address : integer := 0; port_a_last_address : integer := 0; port_a_first_bit_number : integer := 0; port_a_data_width : integer := 1; port_a_data_in_clock : string := "clock0"; port_a_address_clock : string := "clock0"; port_a_write_enable_clock : string := "clock0"; port_a_read_enable_clock : string := "clock0"; port_a_byte_enable_clock : string := "clock0"; port_b_logical_ram_depth : integer := 0; port_b_logical_ram_width : integer := 0; port_b_data_in_clock : string := "clock1"; port_b_address_clock : string := "clock1"; port_b_address_clear : string := "none"; port_b_write_enable_clock: STRING := "clock1"; port_b_read_enable_clock: STRING := "clock1"; port_b_data_out_clock : string := "none"; port_b_data_out_clear : string := "none"; port_b_first_address : integer := 0; port_b_last_address : integer := 0; port_b_first_bit_number : integer := 0; port_b_data_width : integer := 1; port_b_byte_enable_clock : string := "clock1"; port_a_address_width : integer := 1; port_b_address_width : integer := 1; port_a_byte_enable_mask_width : integer := 1; port_b_byte_enable_mask_width : integer := 1; power_up_uninitialized : string := "false"; port_a_byte_size : integer := 0; port_b_byte_size : integer := 0; safe_write : string := "err_on_2clk"; init_file_restructured : string := "unused"; lpm_type : string := "cycloneiii_ram_block"; lpm_hint : string := "true"; clk0_input_clock_enable : STRING := "none"; -- ena0,ena2,none clk0_core_clock_enable : STRING := "none"; -- ena0,ena2,none clk0_output_clock_enable : STRING := "none"; -- ena0,none clk1_input_clock_enable : STRING := "none"; -- ena1,ena3,none clk1_core_clock_enable : STRING := "none"; -- ena1,ena3,none clk1_output_clock_enable : STRING := "none"; -- ena1,none -- REMStratix IV -- REMArria II GX -- REMHardCopy III clock_duty_cycle_dependence : STRING := "Auto"; port_a_read_during_write_mode : STRING := "new_data_no_nbe_read"; port_b_read_during_write_mode : STRING := "new_data_no_nbe_read"; mem_init0 : BIT_VECTOR := X"0"; mem_init1 : BIT_VECTOR := X"0"; mem_init2 : BIT_VECTOR := X"0"; mem_init3 : BIT_VECTOR := X"0"; mem_init4 : BIT_VECTOR := X"0"; connectivity_checking : string := "off" ); port ( portawe : in std_logic := '0'; portare : in std_logic := '1'; portabyteenamasks : in std_logic_vector (port_a_byte_enable_mask_width - 1 DOWNTO 0) := (others => '1'); portbbyteenamasks : in std_logic_vector (port_b_byte_enable_mask_width - 1 DOWNTO 0) := (others => '1'); portbre : in std_logic := '1'; portbwe : in std_logic := '0'; clr0 : in std_logic := '0'; clr1 : in std_logic := '0'; clk0 : in std_logic := '0'; clk1 : in std_logic := '0'; ena0 : in std_logic := '1'; ena1 : in std_logic := '1'; ena2 : in std_logic := '1'; ena3 : in std_logic := '1'; portadatain : in std_logic_vector (port_a_data_width - 1 DOWNTO 0) := (others => '0'); portbdatain : in std_logic_vector (port_b_data_width - 1 DOWNTO 0) := (others => '0'); portaaddr : in std_logic_vector (port_a_address_width - 1 DOWNTO 0) := (others => '0'); portbaddr : in std_logic_vector (port_b_address_width - 1 DOWNTO 0) := (others => '0'); portaaddrstall : in std_logic := '0'; portbaddrstall : in std_logic := '0'; devclrn : in std_logic := '1'; devpor : in std_logic := '1'; portadataout : out std_logic_vector (port_a_data_width - 1 DOWNTO 0); portbdataout : out std_logic_vector (port_b_data_width - 1 DOWNTO 0) ); end component; -- -- CYCLONEIII_LCELL_COMB -- component cycloneiii_lcell_comb generic ( lut_mask : std_logic_vector(15 downto 0) := (OTHERS => '1'); sum_lutc_input : string := "datac"; dont_touch : string := "off"; lpm_type : string := "cycloneiii_lcell_comb"; TimingChecksOn: Boolean := True; MsgOn: Boolean := DefGlitchMsgOn; XOn: Boolean := DefGlitchXOn; MsgOnChecks: Boolean := DefMsgOnChecks; XOnChecks: Boolean := DefXOnChecks; InstancePath: STRING := "*"; tpd_dataa_combout : VitalDelayType01 := DefPropDelay01; tpd_datab_combout : VitalDelayType01 := DefPropDelay01; tpd_datac_combout : VitalDelayType01 := DefPropDelay01; tpd_datad_combout : VitalDelayType01 := DefPropDelay01; tpd_cin_combout : VitalDelayType01 := DefPropDelay01; tpd_dataa_cout : VitalDelayType01 := DefPropDelay01; tpd_datab_cout : VitalDelayType01 := DefPropDelay01; tpd_datac_cout : VitalDelayType01 := DefPropDelay01; tpd_datad_cout : VitalDelayType01 := DefPropDelay01; tpd_cin_cout : VitalDelayType01 := DefPropDelay01; tipd_dataa : VitalDelayType01 := DefPropDelay01; tipd_datab : VitalDelayType01 := DefPropDelay01; tipd_datac : VitalDelayType01 := DefPropDelay01; tipd_datad : VitalDelayType01 := DefPropDelay01; tipd_cin : VitalDelayType01 := DefPropDelay01 ); port ( dataa : in std_logic := '1'; datab : in std_logic := '1'; datac : in std_logic := '1'; datad : in std_logic := '1'; cin : in std_logic := '0'; combout : out std_logic; cout : out std_logic ); end component; -- -- CYCLONEIII_CLKCTRL -- component cycloneiii_clkctrl generic ( clock_type : STRING := "Auto"; lpm_type : STRING := "cycloneiii_clkctrl"; ena_register_mode : STRING := "Falling Edge"; TimingChecksOn : Boolean := True; MsgOn : Boolean := DefGlitchMsgOn; XOn : Boolean := DefGlitchXOn; MsgOnChecks : Boolean := DefMsgOnChecks; XOnChecks : Boolean := DefXOnChecks; InstancePath : STRING := "*"; tipd_inclk : VitalDelayArrayType01(3 downto 0) := (OTHERS => DefPropDelay01); tipd_clkselect : VitalDelayArrayType01(1 downto 0) := (OTHERS => DefPropDelay01); tipd_ena : VitalDelayType01 := DefPropDelay01 ); port ( inclk : in std_logic_vector(3 downto 0) := "0000"; clkselect : in std_logic_vector(1 downto 0) := "00"; ena : in std_logic := '1'; devclrn : in std_logic := '1'; devpor : in std_logic := '1'; outclk : out std_logic ); end component; -- -- CYCLONEIII_ROUTING_WIRE -- component cycloneiii_routing_wire generic ( MsgOn : Boolean := DefGlitchMsgOn; XOn : Boolean := DefGlitchXOn; tpd_datain_dataout : VitalDelayType01 := DefPropDelay01; tpd_datainglitch_dataout : VitalDelayType01 := DefPropDelay01; tipd_datain : VitalDelayType01 := DefPropDelay01 ); PORT ( datain : in std_logic; dataout : out std_logic ); end component; -- -- CYCLONEIII_PLL -- COMPONENT cycloneiii_pll GENERIC ( operation_mode : string := "normal"; pll_type : string := "auto"; -- EGPP/FAST/AUTO compensate_clock : string := "clock0"; inclk0_input_frequency : integer := 0; inclk1_input_frequency : integer := 0; self_reset_on_loss_lock : string := "off"; switch_over_type : string := "auto"; switch_over_counter : integer := 1; enable_switch_over_counter : string := "off"; bandwidth : integer := 0; bandwidth_type : string := "auto"; use_dc_coupling : string := "false"; lock_c : integer := 4; sim_gate_lock_device_behavior : string := "off"; lock_high : integer := 0; lock_low : integer := 0; lock_window_ui : string := "0.05"; lock_window : time := 5 ps; test_bypass_lock_detect : string := "off"; clk0_output_frequency : integer := 0; clk0_multiply_by : integer := 0; clk0_divide_by : integer := 0; clk0_phase_shift : string := "0"; clk0_duty_cycle : integer := 50; clk1_output_frequency : integer := 0; clk1_multiply_by : integer := 0; clk1_divide_by : integer := 0; clk1_phase_shift : string := "0"; clk1_duty_cycle : integer := 50; clk2_output_frequency : integer := 0; clk2_multiply_by : integer := 0; clk2_divide_by : integer := 0; clk2_phase_shift : string := "0"; clk2_duty_cycle : integer := 50; clk3_output_frequency : integer := 0; clk3_multiply_by : integer := 0; clk3_divide_by : integer := 0; clk3_phase_shift : string := "0"; clk3_duty_cycle : integer := 50; clk4_output_frequency : integer := 0; clk4_multiply_by : integer := 0; clk4_divide_by : integer := 0; clk4_phase_shift : string := "0"; clk4_duty_cycle : integer := 50; pfd_min : integer := 0; pfd_max : integer := 0; vco_min : integer := 0; vco_max : integer := 0; vco_center : integer := 0; -- ADVANCED USER PARAMETERS m_initial : integer := 1; m : integer := 0; n : integer := 1; c0_high : integer := 1; c0_low : integer := 1; c0_initial : integer := 1; c0_mode : string := "bypass"; c0_ph : integer := 0; c1_high : integer := 1; c1_low : integer := 1; c1_initial : integer := 1; c1_mode : string := "bypass"; c1_ph : integer := 0; c2_high : integer := 1; c2_low : integer := 1; c2_initial : integer := 1; c2_mode : string := "bypass"; c2_ph : integer := 0; c3_high : integer := 1; c3_low : integer := 1; c3_initial : integer := 1; c3_mode : string := "bypass"; c3_ph : integer := 0; c4_high : integer := 1; c4_low : integer := 1; c4_initial : integer := 1; c4_mode : string := "bypass"; c4_ph : integer := 0; m_ph : integer := 0; clk0_counter : string := "unused"; clk1_counter : string := "unused"; clk2_counter : string := "unused"; clk3_counter : string := "unused"; clk4_counter : string := "unused"; c1_use_casc_in : string := "off"; c2_use_casc_in : string := "off"; c3_use_casc_in : string := "off"; c4_use_casc_in : string := "off"; m_test_source : integer := -1; c0_test_source : integer := -1; c1_test_source : integer := -1; c2_test_source : integer := -1; c3_test_source : integer := -1; c4_test_source : integer := -1; vco_multiply_by : integer := 0; vco_divide_by : integer := 0; vco_post_scale : integer := 1; vco_frequency_control : string := "auto"; vco_phase_shift_step : integer := 0; lpm_type : string := "cycloneiii_pll"; charge_pump_current : integer := 10; loop_filter_r : string := " 1.0"; loop_filter_c : integer := 0; pll_compensation_delay : integer := 0; simulation_type : string := "functional"; clk0_use_even_counter_mode : string := "off"; clk1_use_even_counter_mode : string := "off"; clk2_use_even_counter_mode : string := "off"; clk3_use_even_counter_mode : string := "off"; clk4_use_even_counter_mode : string := "off"; clk0_use_even_counter_value : string := "off"; clk1_use_even_counter_value : string := "off"; clk2_use_even_counter_value : string := "off"; clk3_use_even_counter_value : string := "off"; clk4_use_even_counter_value : string := "off"; -- Test only init_block_reset_a_count : integer := 1; init_block_reset_b_count : integer := 1; charge_pump_current_bits : integer := 0; lock_window_ui_bits : integer := 0; loop_filter_c_bits : integer := 0; loop_filter_r_bits : integer := 0; test_counter_c0_delay_chain_bits : integer := 0; test_counter_c1_delay_chain_bits : integer := 0; test_counter_c2_delay_chain_bits : integer := 0; test_counter_c3_delay_chain_bits : integer := 0; test_counter_c4_delay_chain_bits : integer := 0; test_counter_c5_delay_chain_bits : integer := 0; test_counter_m_delay_chain_bits : integer := 0; test_counter_n_delay_chain_bits : integer := 0; test_feedback_comp_delay_chain_bits : integer := 0; test_input_comp_delay_chain_bits : integer := 0; test_volt_reg_output_mode_bits : integer := 0; test_volt_reg_output_voltage_bits : integer := 0; test_volt_reg_test_mode : string := "false"; vco_range_detector_high_bits : integer := -1; vco_range_detector_low_bits : integer := -1; scan_chain_mif_file : string := ""; auto_settings : string := "true"; --REM_MF -- VITAL generics --REM_MF XOn : Boolean := DefGlitchXOn; --REM_MF MsgOn : Boolean := DefGlitchMsgOn; --REM_MF MsgOnChecks : Boolean := DefMsgOnChecks; --REM_MF XOnChecks : Boolean := DefXOnChecks; --REM_MF TimingChecksOn : Boolean := true; --REM_MF InstancePath : STRING := "*"; --REM_MF tipd_inclk : VitalDelayArrayType01(1 downto 0) := (OTHERS => DefPropDelay01); --REM_MF tipd_ena : VitalDelayType01 := DefPropDelay01; --REM_MF tipd_pfdena : VitalDelayType01 := DefPropDelay01; --REM_MF tipd_areset : VitalDelayType01 := DefPropDelay01; --REM_MF tipd_fbin : VitalDelayType01 := DefPropDelay01; --REM_MF tipd_scanclk : VitalDelayType01 := DefPropDelay01; --REM_MF tipd_scanclkena : VitalDelayType01 := DefPropDelay01; --REM_MF tipd_scandata : VitalDelayType01 := DefPropDelay01; --REM_MF tipd_configupdate : VitalDelayType01 := DefPropDelay01; --REM_MF tipd_clkswitch : VitalDelayType01 := DefPropDelay01; --REM_MF tipd_phaseupdown : VitalDelayType01 := DefPropDelay01; --REM_MF tipd_phasecounterselect : VitalDelayArrayType01(2 DOWNTO 0) := (OTHERS => DefPropDelay01); --REM_MF tipd_phasestep : VitalDelayType01 := DefPropDelay01; --REM_MF tsetup_scandata_scanclk_noedge_negedge : VitalDelayType := DefSetupHoldCnst; --REM_MF thold_scandata_scanclk_noedge_negedge : VitalDelayType := DefSetupHoldCnst; --REM_MF tsetup_scanclkena_scanclk_noedge_negedge : VitalDelayType := DefSetupHoldCnst; --REM_MF thold_scanclkena_scanclk_noedge_negedge : VitalDelayType := DefSetupHoldCnst; use_vco_bypass : string := "false" ); PORT ( inclk : in std_logic_vector(1 downto 0); fbin : in std_logic := '0'; fbout : out std_logic; clkswitch : in std_logic := '0'; areset : in std_logic := '0'; pfdena : in std_logic := '1'; scandata : in std_logic := '0'; scanclk : in std_logic := '0'; scanclkena : in std_logic := '1'; configupdate : in std_logic := '0'; clk : out std_logic_vector(4 downto 0); phasecounterselect : in std_logic_vector(2 downto 0) := "000"; phaseupdown : in std_logic := '0'; phasestep : in std_logic := '0'; clkbad : out std_logic_vector(1 downto 0); activeclock : out std_logic; locked : out std_logic; scandataout : out std_logic; scandone : out std_logic; phasedone : out std_logic; vcooverrange : out std_logic; vcounderrange : out std_logic ); END COMPONENT; -- -- cycloneiii_mac_mult -- component cycloneiii_mac_mult GENERIC ( TimingChecksOn : Boolean := True; MsgOn : Boolean := DefGlitchMsgOn; XOn : Boolean := DefGlitchXOn; MsgOnChecks : Boolean := DefMsgOnChecks; XOnChecks : Boolean := DefXOnChecks; InstancePath : STRING := "*"; dataa_width : integer := 18; datab_width : integer := 18; dataa_clock : string := "none"; datab_clock : string := "none"; signa_clock : string := "none"; signb_clock : string := "none"; lpm_hint : string := "true"; lpm_type : string := "cycloneiii_mac_mult" ); PORT ( dataa : IN std_logic_vector(dataa_width-1 DOWNTO 0) := (OTHERS => '0'); datab : IN std_logic_vector(datab_width-1 DOWNTO 0) := (OTHERS => '0'); signa : IN std_logic := '1'; signb : IN std_logic := '1'; clk : IN std_logic := '0'; aclr : IN std_logic := '0'; ena : IN std_logic := '1'; dataout : OUT std_logic_vector((dataa_width+datab_width)-1 DOWNTO 0); devclrn : IN std_logic := '1'; devpor : IN std_logic := '1' ); end component; -- -- cycloneiii_mac_out -- component cycloneiii_mac_out GENERIC ( TimingChecksOn : Boolean := True; MsgOn : Boolean := DefGlitchMsgOn; XOn : Boolean := DefGlitchXOn; MsgOnChecks : Boolean := DefMsgOnChecks; XOnChecks : Boolean := DefXOnChecks; InstancePath : STRING := "*"; tipd_dataa : VitalDelayArrayType01(35 downto 0) := (OTHERS => DefPropDelay01); tipd_clk : VitalDelayType01 := DefPropDelay01; tipd_ena : VitalDelayType01 := DefPropDelay01; tipd_aclr : VitalDelayType01 := DefPropDelay01; tpd_dataa_dataout :VitalDelayArrayType01(36*36 -1 downto 0) :=(others => DefPropDelay01); tpd_aclr_dataout_posedge : VitalDelayArrayType01(35 downto 0) :=(others => DefPropDelay01); tpd_clk_dataout_posedge :VitalDelayArrayType01(35 downto 0) :=(others => DefPropDelay01); tsetup_dataa_clk_noedge_posedge : VitalDelayArrayType(35 downto 0) := (OTHERS => DefSetupHoldCnst); thold_dataa_clk_noedge_posedge : VitalDelayArrayType(35 downto 0) := (OTHERS => DefSetupHoldCnst); tsetup_ena_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst; thold_ena_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst; dataa_width : integer := 1; output_clock : string := "none"; lpm_hint : string := "true"; lpm_type : string := "cycloneiii_mac_out" ); PORT ( dataa : IN std_logic_vector(dataa_width-1 DOWNTO 0) := (OTHERS => '0'); clk : IN std_logic := '0'; aclr : IN std_logic := '0'; ena : IN std_logic := '1'; dataout : OUT std_logic_vector(dataa_width-1 DOWNTO 0); devclrn : IN std_logic := '1'; devpor : IN std_logic := '1' ); end component; -- -- cycloneiii_termination Model -- COMPONENT cycloneiii_termination GENERIC ( pullup_control_to_core: string := "false"; power_down : string := "true"; test_mode : string := "false"; left_shift_termination_code : string := "false"; pullup_adder : integer := 0; pulldown_adder : integer := 0; clock_divide_by : integer := 32; -- 1, 4, 32 runtime_control : string := "false"; shift_vref_rup : string := "true"; shift_vref_rdn : string := "true"; shifted_vref_control : string := "true"; lpm_type : string := "cycloneiii_termination"); PORT ( rup : IN std_logic := '0'; rdn : IN std_logic := '0'; terminationclock : IN std_logic := '0'; terminationclear : IN std_logic := '0'; devpor : IN std_logic := '1'; devclrn : IN std_logic := '1'; comparatorprobe : OUT std_logic; terminationcontrolprobe : OUT std_logic; calibrationdone : OUT std_logic; terminationcontrol : OUT std_logic_vector(15 DOWNTO 0)); END COMPONENT; -- -- CYCLONEIII_IO_IBUF -- COMPONENT cycloneiii_io_ibuf GENERIC ( tipd_i : VitalDelayType01 := DefPropDelay01; tipd_ibar : VitalDelayType01 := DefPropDelay01; tpd_i_o : VitalDelayType01 := DefPropDelay01; tpd_ibar_o : VitalDelayType01 := DefPropDelay01; XOn : Boolean := DefGlitchXOn; MsgOn : Boolean := DefGlitchMsgOn; differential_mode : string := "false"; bus_hold : string := "false"; simulate_z_as : string := "Z"; lpm_type : string := "cycloneiii_io_ibuf" ); PORT ( i : IN std_logic := '0'; ibar : IN std_logic := '0'; o : OUT std_logic ); END COMPONENT; -- -- CYCLONEIII_IO_OBUF -- COMPONENT cycloneiii_io_obuf GENERIC ( tipd_i : VitalDelayType01 := DefPropDelay01; tipd_oe : VitalDelayType01 := DefPropDelay01; tpd_i_o : VitalDelayType01 := DefPropDelay01; tpd_oe_o : VitalDelayType01 := DefPropDelay01; tpd_i_obar : VitalDelayType01 := DefPropDelay01; tpd_oe_obar : VitalDelayType01 := DefPropDelay01; XOn : Boolean := DefGlitchXOn; MsgOn : Boolean := DefGlitchMsgOn; open_drain_output : string := "false"; bus_hold : string := "false"; lpm_type : string := "cycloneiii_io_obuf" ); PORT ( i : IN std_logic := '0'; oe : IN std_logic := '1'; seriesterminationcontrol : IN std_logic_vector(15 DOWNTO 0) := (others => '0'); devoe : IN std_logic := '1'; o : OUT std_logic; obar : OUT std_logic ); END COMPONENT; -- -- CYCLONEIII_DDIO_OE -- COMPONENT cycloneiii_ddio_oe generic( tipd_oe : VitalDelayType01 := DefPropDelay01; tipd_clk : VitalDelayType01 := DefPropDelay01; tipd_ena : VitalDelayType01 := DefPropDelay01; tipd_areset : VitalDelayType01 := DefPropDelay01; tipd_sreset : VitalDelayType01 := DefPropDelay01; XOn : Boolean := DefGlitchXOn; MsgOn : Boolean := DefGlitchMsgOn; power_up : string := "low"; async_mode : string := "none"; sync_mode : string := "none"; lpm_type : string := "cycloneiii_ddio_oe" ); PORT ( oe : IN std_logic := '1'; clk : IN std_logic := '0'; ena : IN std_logic := '1'; areset : IN std_logic := '0'; sreset : IN std_logic := '0'; dataout : OUT std_logic; dfflo : OUT std_logic; dffhi : OUT std_logic; devclrn : IN std_logic := '1'; devpor : IN std_logic := '1' ); END COMPONENT; -- -- CYCLONEIII_DDIO_OUT -- COMPONENT cycloneiii_ddio_out generic( tipd_datainlo : VitalDelayType01 := DefPropDelay01; tipd_datainhi : VitalDelayType01 := DefPropDelay01; tipd_clk : VitalDelayType01 := DefPropDelay01; tipd_clkhi : VitalDelayType01 := DefPropDelay01; tipd_clklo : VitalDelayType01 := DefPropDelay01; tipd_muxsel : VitalDelayType01 := DefPropDelay01; tipd_ena : VitalDelayType01 := DefPropDelay01; tipd_areset : VitalDelayType01 := DefPropDelay01; tipd_sreset : VitalDelayType01 := DefPropDelay01; XOn : Boolean := DefGlitchXOn; MsgOn : Boolean := DefGlitchMsgOn; power_up : string := "low"; async_mode : string := "none"; sync_mode : string := "none"; use_new_clocking_model : string := "false"; lpm_type : string := "cycloneiii_ddio_out" ); PORT ( datainlo : IN std_logic := '0'; datainhi : IN std_logic := '0'; clk : IN std_logic := '0'; clkhi : IN std_logic := '0'; clklo : IN std_logic := '0'; muxsel : IN std_logic := '0'; ena : IN std_logic := '1'; areset : IN std_logic := '0'; sreset : IN std_logic := '0'; dataout : OUT std_logic; dfflo : OUT std_logic; dffhi : OUT std_logic ; devclrn : IN std_logic := '1'; devpor : IN std_logic := '1' ); END COMPONENT; -- -- cycloneiii_pseudo_diff_out -- COMPONENT cycloneiii_pseudo_diff_out GENERIC ( tipd_i : VitalDelayType01 := DefPropDelay01; tpd_i_o : VitalDelayType01 := DefPropDelay01; tpd_i_obar : VitalDelayType01 := DefPropDelay01; XOn : Boolean := DefGlitchXOn; MsgOn : Boolean := DefGlitchMsgOn; lpm_type : string := "cycloneiii_pseudo_diff_out" ); PORT ( i : IN std_logic := '0'; o : OUT std_logic; obar : OUT std_logic ); END COMPONENT; -- -- CYCLONEIII_IO_PAD -- component cycloneiii_io_pad generic ( lpm_type : STRING := "cycloneiii_io_pad" ); PORT ( padin : in std_logic := '1'; padout: out std_logic ); end component; -- -- -- CYCLONEIII_RUBLOCK -- -- component cycloneiii_rublock generic ( sim_init_config : string := "factory"; sim_init_watchdog_value : integer := 0; sim_init_status : integer := 0; lpm_type: string := "cycloneiii_rublock" ); port ( clk : in std_logic; shiftnld : in std_logic; captnupdt : in std_logic; regin : in std_logic; rsttimer : in std_logic; rconfig : in std_logic; regout : out std_logic ); end component; -- -- -- CYCLONEIII_APFCONTROLLER -- -- component cycloneiii_apfcontroller generic ( lpm_type: string := "cycloneiii_apfcontroller" ); port ( usermode : out std_logic; --REM_TARPON nceout : out std_logic ); end component; -- -- CYCLONEIII_JTAG -- component cycloneiii_jtag generic ( lpm_type : string := "cycloneiii_jtag" ); port ( tms : in std_logic := '0'; tck : in std_logic := '0'; tdi : in std_logic := '0'; --REM_CYCyclone III ntrst : in std_logic := '0'; tdoutap : in std_logic := '0'; tdouser : in std_logic := '0'; tdo: out std_logic; tmsutap: out std_logic; tckutap: out std_logic; tdiutap: out std_logic; shiftuser: out std_logic; clkdruser: out std_logic; updateuser: out std_logic; runidleuser: out std_logic; usr1user: out std_logic ); end component; -- -- -- CYCLONEIII_CRCBLOCK -- -- component cycloneiii_crcblock generic ( oscillator_divider : integer := 1; lpm_type : string := "cycloneiii_crcblock" ); port ( clk : in std_logic := '0'; shiftnld : in std_logic := '0'; ldsrc : in std_logic := '0'; crcerror : out std_logic; regout : out std_logic ); end component; -- -- -- CYCLONEIII_OSCILLATOR -- -- component cycloneiii_oscillator generic ( lpm_type: string := "cycloneiii_oscillator" ); port ( oscena : in std_logic; clkout : out std_logic ); end component; end cycloneiii_components;
-- Copyright (C) 1991-2009 Altera Corporation -- Your use of Altera Corporation's design tools, logic functions -- and other software and tools, and its AMPP partner logic -- functions, and any output files from any of the foregoing -- (including device programming or simulation files), and any -- associated documentation or information are expressly subject -- to the terms and conditions of the Altera Program License -- Subscription Agreement, Altera MegaCore Function License -- Agreement, or other applicable license agreement, including, -- without limitation, that your use is for the sole purpose of -- programming logic devices manufactured by Altera and sold by -- Altera or its authorized distributors. Please refer to the -- applicable agreement for further details. -- Quartus II 9.0 Build 235 03/01/2009 LIBRARY IEEE; use IEEE.STD_LOGIC_1164.all; use IEEE.VITAL_Timing.all; use work.cycloneiii_atom_pack.all; package CYCLONEIII_COMPONENTS is -- -- cycloneiii_ff -- component cycloneiii_ff generic ( power_up : string := "low"; x_on_violation : string := "on"; lpm_type : string := "cycloneiii_ff"; tsetup_d_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst; tsetup_asdata_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst; tsetup_sclr_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst; tsetup_sload_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst; tsetup_ena_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst; thold_d_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst; thold_asdata_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst; thold_sclr_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst; thold_sload_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst; thold_ena_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst; tpd_clk_q_posedge : VitalDelayType01 := DefPropDelay01; tpd_clrn_q_posedge : VitalDelayType01 := DefPropDelay01; tpd_aload_q_posedge : VitalDelayType01 := DefPropDelay01; tpd_asdata_q: VitalDelayType01 := DefPropDelay01; tipd_clk : VitalDelayType01 := DefPropDelay01; tipd_d : VitalDelayType01 := DefPropDelay01; tipd_asdata : VitalDelayType01 := DefPropDelay01; tipd_sclr : VitalDelayType01 := DefPropDelay01; tipd_sload : VitalDelayType01 := DefPropDelay01; tipd_clrn : VitalDelayType01 := DefPropDelay01; tipd_aload : VitalDelayType01 := DefPropDelay01; tipd_ena : VitalDelayType01 := DefPropDelay01; TimingChecksOn: Boolean := True; MsgOn: Boolean := DefGlitchMsgOn; XOn: Boolean := DefGlitchXOn; MsgOnChecks: Boolean := DefMsgOnChecks; XOnChecks: Boolean := DefXOnChecks; InstancePath: STRING := "*" ); port ( d : in std_logic := '0'; clk : in std_logic := '0'; clrn : in std_logic := '1'; aload : in std_logic := '0'; sclr : in std_logic := '0'; sload : in std_logic := '0'; ena : in std_logic := '1'; asdata : in std_logic := '0'; devclrn : in std_logic := '1'; devpor : in std_logic := '1'; q : out std_logic ); end component; -- -- cycloneiii_ram_block -- component cycloneiii_ram_block generic ( operation_mode : string := "single_port"; mixed_port_feed_through_mode : string := "dont_care"; ram_block_type : string := "auto"; logical_ram_name : string := "ram_name"; init_file : string := "init_file.hex"; init_file_layout : string := "none"; data_interleave_width_in_bits : integer := 1; data_interleave_offset_in_bits : integer := 1; port_a_logical_ram_depth : integer := 0; port_a_logical_ram_width : integer := 0; port_a_address_clear : string := "none"; port_a_data_out_clock : string := "none"; port_a_data_out_clear : string := "none"; port_a_first_address : integer := 0; port_a_last_address : integer := 0; port_a_first_bit_number : integer := 0; port_a_data_width : integer := 1; port_a_data_in_clock : string := "clock0"; port_a_address_clock : string := "clock0"; port_a_write_enable_clock : string := "clock0"; port_a_read_enable_clock : string := "clock0"; port_a_byte_enable_clock : string := "clock0"; port_b_logical_ram_depth : integer := 0; port_b_logical_ram_width : integer := 0; port_b_data_in_clock : string := "clock1"; port_b_address_clock : string := "clock1"; port_b_address_clear : string := "none"; port_b_write_enable_clock: STRING := "clock1"; port_b_read_enable_clock: STRING := "clock1"; port_b_data_out_clock : string := "none"; port_b_data_out_clear : string := "none"; port_b_first_address : integer := 0; port_b_last_address : integer := 0; port_b_first_bit_number : integer := 0; port_b_data_width : integer := 1; port_b_byte_enable_clock : string := "clock1"; port_a_address_width : integer := 1; port_b_address_width : integer := 1; port_a_byte_enable_mask_width : integer := 1; port_b_byte_enable_mask_width : integer := 1; power_up_uninitialized : string := "false"; port_a_byte_size : integer := 0; port_b_byte_size : integer := 0; safe_write : string := "err_on_2clk"; init_file_restructured : string := "unused"; lpm_type : string := "cycloneiii_ram_block"; lpm_hint : string := "true"; clk0_input_clock_enable : STRING := "none"; -- ena0,ena2,none clk0_core_clock_enable : STRING := "none"; -- ena0,ena2,none clk0_output_clock_enable : STRING := "none"; -- ena0,none clk1_input_clock_enable : STRING := "none"; -- ena1,ena3,none clk1_core_clock_enable : STRING := "none"; -- ena1,ena3,none clk1_output_clock_enable : STRING := "none"; -- ena1,none -- REMStratix IV -- REMArria II GX -- REMHardCopy III clock_duty_cycle_dependence : STRING := "Auto"; port_a_read_during_write_mode : STRING := "new_data_no_nbe_read"; port_b_read_during_write_mode : STRING := "new_data_no_nbe_read"; mem_init0 : BIT_VECTOR := X"0"; mem_init1 : BIT_VECTOR := X"0"; mem_init2 : BIT_VECTOR := X"0"; mem_init3 : BIT_VECTOR := X"0"; mem_init4 : BIT_VECTOR := X"0"; connectivity_checking : string := "off" ); port ( portawe : in std_logic := '0'; portare : in std_logic := '1'; portabyteenamasks : in std_logic_vector (port_a_byte_enable_mask_width - 1 DOWNTO 0) := (others => '1'); portbbyteenamasks : in std_logic_vector (port_b_byte_enable_mask_width - 1 DOWNTO 0) := (others => '1'); portbre : in std_logic := '1'; portbwe : in std_logic := '0'; clr0 : in std_logic := '0'; clr1 : in std_logic := '0'; clk0 : in std_logic := '0'; clk1 : in std_logic := '0'; ena0 : in std_logic := '1'; ena1 : in std_logic := '1'; ena2 : in std_logic := '1'; ena3 : in std_logic := '1'; portadatain : in std_logic_vector (port_a_data_width - 1 DOWNTO 0) := (others => '0'); portbdatain : in std_logic_vector (port_b_data_width - 1 DOWNTO 0) := (others => '0'); portaaddr : in std_logic_vector (port_a_address_width - 1 DOWNTO 0) := (others => '0'); portbaddr : in std_logic_vector (port_b_address_width - 1 DOWNTO 0) := (others => '0'); portaaddrstall : in std_logic := '0'; portbaddrstall : in std_logic := '0'; devclrn : in std_logic := '1'; devpor : in std_logic := '1'; portadataout : out std_logic_vector (port_a_data_width - 1 DOWNTO 0); portbdataout : out std_logic_vector (port_b_data_width - 1 DOWNTO 0) ); end component; -- -- CYCLONEIII_LCELL_COMB -- component cycloneiii_lcell_comb generic ( lut_mask : std_logic_vector(15 downto 0) := (OTHERS => '1'); sum_lutc_input : string := "datac"; dont_touch : string := "off"; lpm_type : string := "cycloneiii_lcell_comb"; TimingChecksOn: Boolean := True; MsgOn: Boolean := DefGlitchMsgOn; XOn: Boolean := DefGlitchXOn; MsgOnChecks: Boolean := DefMsgOnChecks; XOnChecks: Boolean := DefXOnChecks; InstancePath: STRING := "*"; tpd_dataa_combout : VitalDelayType01 := DefPropDelay01; tpd_datab_combout : VitalDelayType01 := DefPropDelay01; tpd_datac_combout : VitalDelayType01 := DefPropDelay01; tpd_datad_combout : VitalDelayType01 := DefPropDelay01; tpd_cin_combout : VitalDelayType01 := DefPropDelay01; tpd_dataa_cout : VitalDelayType01 := DefPropDelay01; tpd_datab_cout : VitalDelayType01 := DefPropDelay01; tpd_datac_cout : VitalDelayType01 := DefPropDelay01; tpd_datad_cout : VitalDelayType01 := DefPropDelay01; tpd_cin_cout : VitalDelayType01 := DefPropDelay01; tipd_dataa : VitalDelayType01 := DefPropDelay01; tipd_datab : VitalDelayType01 := DefPropDelay01; tipd_datac : VitalDelayType01 := DefPropDelay01; tipd_datad : VitalDelayType01 := DefPropDelay01; tipd_cin : VitalDelayType01 := DefPropDelay01 ); port ( dataa : in std_logic := '1'; datab : in std_logic := '1'; datac : in std_logic := '1'; datad : in std_logic := '1'; cin : in std_logic := '0'; combout : out std_logic; cout : out std_logic ); end component; -- -- CYCLONEIII_CLKCTRL -- component cycloneiii_clkctrl generic ( clock_type : STRING := "Auto"; lpm_type : STRING := "cycloneiii_clkctrl"; ena_register_mode : STRING := "Falling Edge"; TimingChecksOn : Boolean := True; MsgOn : Boolean := DefGlitchMsgOn; XOn : Boolean := DefGlitchXOn; MsgOnChecks : Boolean := DefMsgOnChecks; XOnChecks : Boolean := DefXOnChecks; InstancePath : STRING := "*"; tipd_inclk : VitalDelayArrayType01(3 downto 0) := (OTHERS => DefPropDelay01); tipd_clkselect : VitalDelayArrayType01(1 downto 0) := (OTHERS => DefPropDelay01); tipd_ena : VitalDelayType01 := DefPropDelay01 ); port ( inclk : in std_logic_vector(3 downto 0) := "0000"; clkselect : in std_logic_vector(1 downto 0) := "00"; ena : in std_logic := '1'; devclrn : in std_logic := '1'; devpor : in std_logic := '1'; outclk : out std_logic ); end component; -- -- CYCLONEIII_ROUTING_WIRE -- component cycloneiii_routing_wire generic ( MsgOn : Boolean := DefGlitchMsgOn; XOn : Boolean := DefGlitchXOn; tpd_datain_dataout : VitalDelayType01 := DefPropDelay01; tpd_datainglitch_dataout : VitalDelayType01 := DefPropDelay01; tipd_datain : VitalDelayType01 := DefPropDelay01 ); PORT ( datain : in std_logic; dataout : out std_logic ); end component; -- -- CYCLONEIII_PLL -- COMPONENT cycloneiii_pll GENERIC ( operation_mode : string := "normal"; pll_type : string := "auto"; -- EGPP/FAST/AUTO compensate_clock : string := "clock0"; inclk0_input_frequency : integer := 0; inclk1_input_frequency : integer := 0; self_reset_on_loss_lock : string := "off"; switch_over_type : string := "auto"; switch_over_counter : integer := 1; enable_switch_over_counter : string := "off"; bandwidth : integer := 0; bandwidth_type : string := "auto"; use_dc_coupling : string := "false"; lock_c : integer := 4; sim_gate_lock_device_behavior : string := "off"; lock_high : integer := 0; lock_low : integer := 0; lock_window_ui : string := "0.05"; lock_window : time := 5 ps; test_bypass_lock_detect : string := "off"; clk0_output_frequency : integer := 0; clk0_multiply_by : integer := 0; clk0_divide_by : integer := 0; clk0_phase_shift : string := "0"; clk0_duty_cycle : integer := 50; clk1_output_frequency : integer := 0; clk1_multiply_by : integer := 0; clk1_divide_by : integer := 0; clk1_phase_shift : string := "0"; clk1_duty_cycle : integer := 50; clk2_output_frequency : integer := 0; clk2_multiply_by : integer := 0; clk2_divide_by : integer := 0; clk2_phase_shift : string := "0"; clk2_duty_cycle : integer := 50; clk3_output_frequency : integer := 0; clk3_multiply_by : integer := 0; clk3_divide_by : integer := 0; clk3_phase_shift : string := "0"; clk3_duty_cycle : integer := 50; clk4_output_frequency : integer := 0; clk4_multiply_by : integer := 0; clk4_divide_by : integer := 0; clk4_phase_shift : string := "0"; clk4_duty_cycle : integer := 50; pfd_min : integer := 0; pfd_max : integer := 0; vco_min : integer := 0; vco_max : integer := 0; vco_center : integer := 0; -- ADVANCED USER PARAMETERS m_initial : integer := 1; m : integer := 0; n : integer := 1; c0_high : integer := 1; c0_low : integer := 1; c0_initial : integer := 1; c0_mode : string := "bypass"; c0_ph : integer := 0; c1_high : integer := 1; c1_low : integer := 1; c1_initial : integer := 1; c1_mode : string := "bypass"; c1_ph : integer := 0; c2_high : integer := 1; c2_low : integer := 1; c2_initial : integer := 1; c2_mode : string := "bypass"; c2_ph : integer := 0; c3_high : integer := 1; c3_low : integer := 1; c3_initial : integer := 1; c3_mode : string := "bypass"; c3_ph : integer := 0; c4_high : integer := 1; c4_low : integer := 1; c4_initial : integer := 1; c4_mode : string := "bypass"; c4_ph : integer := 0; m_ph : integer := 0; clk0_counter : string := "unused"; clk1_counter : string := "unused"; clk2_counter : string := "unused"; clk3_counter : string := "unused"; clk4_counter : string := "unused"; c1_use_casc_in : string := "off"; c2_use_casc_in : string := "off"; c3_use_casc_in : string := "off"; c4_use_casc_in : string := "off"; m_test_source : integer := -1; c0_test_source : integer := -1; c1_test_source : integer := -1; c2_test_source : integer := -1; c3_test_source : integer := -1; c4_test_source : integer := -1; vco_multiply_by : integer := 0; vco_divide_by : integer := 0; vco_post_scale : integer := 1; vco_frequency_control : string := "auto"; vco_phase_shift_step : integer := 0; lpm_type : string := "cycloneiii_pll"; charge_pump_current : integer := 10; loop_filter_r : string := " 1.0"; loop_filter_c : integer := 0; pll_compensation_delay : integer := 0; simulation_type : string := "functional"; clk0_use_even_counter_mode : string := "off"; clk1_use_even_counter_mode : string := "off"; clk2_use_even_counter_mode : string := "off"; clk3_use_even_counter_mode : string := "off"; clk4_use_even_counter_mode : string := "off"; clk0_use_even_counter_value : string := "off"; clk1_use_even_counter_value : string := "off"; clk2_use_even_counter_value : string := "off"; clk3_use_even_counter_value : string := "off"; clk4_use_even_counter_value : string := "off"; -- Test only init_block_reset_a_count : integer := 1; init_block_reset_b_count : integer := 1; charge_pump_current_bits : integer := 0; lock_window_ui_bits : integer := 0; loop_filter_c_bits : integer := 0; loop_filter_r_bits : integer := 0; test_counter_c0_delay_chain_bits : integer := 0; test_counter_c1_delay_chain_bits : integer := 0; test_counter_c2_delay_chain_bits : integer := 0; test_counter_c3_delay_chain_bits : integer := 0; test_counter_c4_delay_chain_bits : integer := 0; test_counter_c5_delay_chain_bits : integer := 0; test_counter_m_delay_chain_bits : integer := 0; test_counter_n_delay_chain_bits : integer := 0; test_feedback_comp_delay_chain_bits : integer := 0; test_input_comp_delay_chain_bits : integer := 0; test_volt_reg_output_mode_bits : integer := 0; test_volt_reg_output_voltage_bits : integer := 0; test_volt_reg_test_mode : string := "false"; vco_range_detector_high_bits : integer := -1; vco_range_detector_low_bits : integer := -1; scan_chain_mif_file : string := ""; auto_settings : string := "true"; --REM_MF -- VITAL generics --REM_MF XOn : Boolean := DefGlitchXOn; --REM_MF MsgOn : Boolean := DefGlitchMsgOn; --REM_MF MsgOnChecks : Boolean := DefMsgOnChecks; --REM_MF XOnChecks : Boolean := DefXOnChecks; --REM_MF TimingChecksOn : Boolean := true; --REM_MF InstancePath : STRING := "*"; --REM_MF tipd_inclk : VitalDelayArrayType01(1 downto 0) := (OTHERS => DefPropDelay01); --REM_MF tipd_ena : VitalDelayType01 := DefPropDelay01; --REM_MF tipd_pfdena : VitalDelayType01 := DefPropDelay01; --REM_MF tipd_areset : VitalDelayType01 := DefPropDelay01; --REM_MF tipd_fbin : VitalDelayType01 := DefPropDelay01; --REM_MF tipd_scanclk : VitalDelayType01 := DefPropDelay01; --REM_MF tipd_scanclkena : VitalDelayType01 := DefPropDelay01; --REM_MF tipd_scandata : VitalDelayType01 := DefPropDelay01; --REM_MF tipd_configupdate : VitalDelayType01 := DefPropDelay01; --REM_MF tipd_clkswitch : VitalDelayType01 := DefPropDelay01; --REM_MF tipd_phaseupdown : VitalDelayType01 := DefPropDelay01; --REM_MF tipd_phasecounterselect : VitalDelayArrayType01(2 DOWNTO 0) := (OTHERS => DefPropDelay01); --REM_MF tipd_phasestep : VitalDelayType01 := DefPropDelay01; --REM_MF tsetup_scandata_scanclk_noedge_negedge : VitalDelayType := DefSetupHoldCnst; --REM_MF thold_scandata_scanclk_noedge_negedge : VitalDelayType := DefSetupHoldCnst; --REM_MF tsetup_scanclkena_scanclk_noedge_negedge : VitalDelayType := DefSetupHoldCnst; --REM_MF thold_scanclkena_scanclk_noedge_negedge : VitalDelayType := DefSetupHoldCnst; use_vco_bypass : string := "false" ); PORT ( inclk : in std_logic_vector(1 downto 0); fbin : in std_logic := '0'; fbout : out std_logic; clkswitch : in std_logic := '0'; areset : in std_logic := '0'; pfdena : in std_logic := '1'; scandata : in std_logic := '0'; scanclk : in std_logic := '0'; scanclkena : in std_logic := '1'; configupdate : in std_logic := '0'; clk : out std_logic_vector(4 downto 0); phasecounterselect : in std_logic_vector(2 downto 0) := "000"; phaseupdown : in std_logic := '0'; phasestep : in std_logic := '0'; clkbad : out std_logic_vector(1 downto 0); activeclock : out std_logic; locked : out std_logic; scandataout : out std_logic; scandone : out std_logic; phasedone : out std_logic; vcooverrange : out std_logic; vcounderrange : out std_logic ); END COMPONENT; -- -- cycloneiii_mac_mult -- component cycloneiii_mac_mult GENERIC ( TimingChecksOn : Boolean := True; MsgOn : Boolean := DefGlitchMsgOn; XOn : Boolean := DefGlitchXOn; MsgOnChecks : Boolean := DefMsgOnChecks; XOnChecks : Boolean := DefXOnChecks; InstancePath : STRING := "*"; dataa_width : integer := 18; datab_width : integer := 18; dataa_clock : string := "none"; datab_clock : string := "none"; signa_clock : string := "none"; signb_clock : string := "none"; lpm_hint : string := "true"; lpm_type : string := "cycloneiii_mac_mult" ); PORT ( dataa : IN std_logic_vector(dataa_width-1 DOWNTO 0) := (OTHERS => '0'); datab : IN std_logic_vector(datab_width-1 DOWNTO 0) := (OTHERS => '0'); signa : IN std_logic := '1'; signb : IN std_logic := '1'; clk : IN std_logic := '0'; aclr : IN std_logic := '0'; ena : IN std_logic := '1'; dataout : OUT std_logic_vector((dataa_width+datab_width)-1 DOWNTO 0); devclrn : IN std_logic := '1'; devpor : IN std_logic := '1' ); end component; -- -- cycloneiii_mac_out -- component cycloneiii_mac_out GENERIC ( TimingChecksOn : Boolean := True; MsgOn : Boolean := DefGlitchMsgOn; XOn : Boolean := DefGlitchXOn; MsgOnChecks : Boolean := DefMsgOnChecks; XOnChecks : Boolean := DefXOnChecks; InstancePath : STRING := "*"; tipd_dataa : VitalDelayArrayType01(35 downto 0) := (OTHERS => DefPropDelay01); tipd_clk : VitalDelayType01 := DefPropDelay01; tipd_ena : VitalDelayType01 := DefPropDelay01; tipd_aclr : VitalDelayType01 := DefPropDelay01; tpd_dataa_dataout :VitalDelayArrayType01(36*36 -1 downto 0) :=(others => DefPropDelay01); tpd_aclr_dataout_posedge : VitalDelayArrayType01(35 downto 0) :=(others => DefPropDelay01); tpd_clk_dataout_posedge :VitalDelayArrayType01(35 downto 0) :=(others => DefPropDelay01); tsetup_dataa_clk_noedge_posedge : VitalDelayArrayType(35 downto 0) := (OTHERS => DefSetupHoldCnst); thold_dataa_clk_noedge_posedge : VitalDelayArrayType(35 downto 0) := (OTHERS => DefSetupHoldCnst); tsetup_ena_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst; thold_ena_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst; dataa_width : integer := 1; output_clock : string := "none"; lpm_hint : string := "true"; lpm_type : string := "cycloneiii_mac_out" ); PORT ( dataa : IN std_logic_vector(dataa_width-1 DOWNTO 0) := (OTHERS => '0'); clk : IN std_logic := '0'; aclr : IN std_logic := '0'; ena : IN std_logic := '1'; dataout : OUT std_logic_vector(dataa_width-1 DOWNTO 0); devclrn : IN std_logic := '1'; devpor : IN std_logic := '1' ); end component; -- -- cycloneiii_termination Model -- COMPONENT cycloneiii_termination GENERIC ( pullup_control_to_core: string := "false"; power_down : string := "true"; test_mode : string := "false"; left_shift_termination_code : string := "false"; pullup_adder : integer := 0; pulldown_adder : integer := 0; clock_divide_by : integer := 32; -- 1, 4, 32 runtime_control : string := "false"; shift_vref_rup : string := "true"; shift_vref_rdn : string := "true"; shifted_vref_control : string := "true"; lpm_type : string := "cycloneiii_termination"); PORT ( rup : IN std_logic := '0'; rdn : IN std_logic := '0'; terminationclock : IN std_logic := '0'; terminationclear : IN std_logic := '0'; devpor : IN std_logic := '1'; devclrn : IN std_logic := '1'; comparatorprobe : OUT std_logic; terminationcontrolprobe : OUT std_logic; calibrationdone : OUT std_logic; terminationcontrol : OUT std_logic_vector(15 DOWNTO 0)); END COMPONENT; -- -- CYCLONEIII_IO_IBUF -- COMPONENT cycloneiii_io_ibuf GENERIC ( tipd_i : VitalDelayType01 := DefPropDelay01; tipd_ibar : VitalDelayType01 := DefPropDelay01; tpd_i_o : VitalDelayType01 := DefPropDelay01; tpd_ibar_o : VitalDelayType01 := DefPropDelay01; XOn : Boolean := DefGlitchXOn; MsgOn : Boolean := DefGlitchMsgOn; differential_mode : string := "false"; bus_hold : string := "false"; simulate_z_as : string := "Z"; lpm_type : string := "cycloneiii_io_ibuf" ); PORT ( i : IN std_logic := '0'; ibar : IN std_logic := '0'; o : OUT std_logic ); END COMPONENT; -- -- CYCLONEIII_IO_OBUF -- COMPONENT cycloneiii_io_obuf GENERIC ( tipd_i : VitalDelayType01 := DefPropDelay01; tipd_oe : VitalDelayType01 := DefPropDelay01; tpd_i_o : VitalDelayType01 := DefPropDelay01; tpd_oe_o : VitalDelayType01 := DefPropDelay01; tpd_i_obar : VitalDelayType01 := DefPropDelay01; tpd_oe_obar : VitalDelayType01 := DefPropDelay01; XOn : Boolean := DefGlitchXOn; MsgOn : Boolean := DefGlitchMsgOn; open_drain_output : string := "false"; bus_hold : string := "false"; lpm_type : string := "cycloneiii_io_obuf" ); PORT ( i : IN std_logic := '0'; oe : IN std_logic := '1'; seriesterminationcontrol : IN std_logic_vector(15 DOWNTO 0) := (others => '0'); devoe : IN std_logic := '1'; o : OUT std_logic; obar : OUT std_logic ); END COMPONENT; -- -- CYCLONEIII_DDIO_OE -- COMPONENT cycloneiii_ddio_oe generic( tipd_oe : VitalDelayType01 := DefPropDelay01; tipd_clk : VitalDelayType01 := DefPropDelay01; tipd_ena : VitalDelayType01 := DefPropDelay01; tipd_areset : VitalDelayType01 := DefPropDelay01; tipd_sreset : VitalDelayType01 := DefPropDelay01; XOn : Boolean := DefGlitchXOn; MsgOn : Boolean := DefGlitchMsgOn; power_up : string := "low"; async_mode : string := "none"; sync_mode : string := "none"; lpm_type : string := "cycloneiii_ddio_oe" ); PORT ( oe : IN std_logic := '1'; clk : IN std_logic := '0'; ena : IN std_logic := '1'; areset : IN std_logic := '0'; sreset : IN std_logic := '0'; dataout : OUT std_logic; dfflo : OUT std_logic; dffhi : OUT std_logic; devclrn : IN std_logic := '1'; devpor : IN std_logic := '1' ); END COMPONENT; -- -- CYCLONEIII_DDIO_OUT -- COMPONENT cycloneiii_ddio_out generic( tipd_datainlo : VitalDelayType01 := DefPropDelay01; tipd_datainhi : VitalDelayType01 := DefPropDelay01; tipd_clk : VitalDelayType01 := DefPropDelay01; tipd_clkhi : VitalDelayType01 := DefPropDelay01; tipd_clklo : VitalDelayType01 := DefPropDelay01; tipd_muxsel : VitalDelayType01 := DefPropDelay01; tipd_ena : VitalDelayType01 := DefPropDelay01; tipd_areset : VitalDelayType01 := DefPropDelay01; tipd_sreset : VitalDelayType01 := DefPropDelay01; XOn : Boolean := DefGlitchXOn; MsgOn : Boolean := DefGlitchMsgOn; power_up : string := "low"; async_mode : string := "none"; sync_mode : string := "none"; use_new_clocking_model : string := "false"; lpm_type : string := "cycloneiii_ddio_out" ); PORT ( datainlo : IN std_logic := '0'; datainhi : IN std_logic := '0'; clk : IN std_logic := '0'; clkhi : IN std_logic := '0'; clklo : IN std_logic := '0'; muxsel : IN std_logic := '0'; ena : IN std_logic := '1'; areset : IN std_logic := '0'; sreset : IN std_logic := '0'; dataout : OUT std_logic; dfflo : OUT std_logic; dffhi : OUT std_logic ; devclrn : IN std_logic := '1'; devpor : IN std_logic := '1' ); END COMPONENT; -- -- cycloneiii_pseudo_diff_out -- COMPONENT cycloneiii_pseudo_diff_out GENERIC ( tipd_i : VitalDelayType01 := DefPropDelay01; tpd_i_o : VitalDelayType01 := DefPropDelay01; tpd_i_obar : VitalDelayType01 := DefPropDelay01; XOn : Boolean := DefGlitchXOn; MsgOn : Boolean := DefGlitchMsgOn; lpm_type : string := "cycloneiii_pseudo_diff_out" ); PORT ( i : IN std_logic := '0'; o : OUT std_logic; obar : OUT std_logic ); END COMPONENT; -- -- CYCLONEIII_IO_PAD -- component cycloneiii_io_pad generic ( lpm_type : STRING := "cycloneiii_io_pad" ); PORT ( padin : in std_logic := '1'; padout: out std_logic ); end component; -- -- -- CYCLONEIII_RUBLOCK -- -- component cycloneiii_rublock generic ( sim_init_config : string := "factory"; sim_init_watchdog_value : integer := 0; sim_init_status : integer := 0; lpm_type: string := "cycloneiii_rublock" ); port ( clk : in std_logic; shiftnld : in std_logic; captnupdt : in std_logic; regin : in std_logic; rsttimer : in std_logic; rconfig : in std_logic; regout : out std_logic ); end component; -- -- -- CYCLONEIII_APFCONTROLLER -- -- component cycloneiii_apfcontroller generic ( lpm_type: string := "cycloneiii_apfcontroller" ); port ( usermode : out std_logic; --REM_TARPON nceout : out std_logic ); end component; -- -- CYCLONEIII_JTAG -- component cycloneiii_jtag generic ( lpm_type : string := "cycloneiii_jtag" ); port ( tms : in std_logic := '0'; tck : in std_logic := '0'; tdi : in std_logic := '0'; --REM_CYCyclone III ntrst : in std_logic := '0'; tdoutap : in std_logic := '0'; tdouser : in std_logic := '0'; tdo: out std_logic; tmsutap: out std_logic; tckutap: out std_logic; tdiutap: out std_logic; shiftuser: out std_logic; clkdruser: out std_logic; updateuser: out std_logic; runidleuser: out std_logic; usr1user: out std_logic ); end component; -- -- -- CYCLONEIII_CRCBLOCK -- -- component cycloneiii_crcblock generic ( oscillator_divider : integer := 1; lpm_type : string := "cycloneiii_crcblock" ); port ( clk : in std_logic := '0'; shiftnld : in std_logic := '0'; ldsrc : in std_logic := '0'; crcerror : out std_logic; regout : out std_logic ); end component; -- -- -- CYCLONEIII_OSCILLATOR -- -- component cycloneiii_oscillator generic ( lpm_type: string := "cycloneiii_oscillator" ); port ( oscena : in std_logic; clkout : out std_logic ); end component; end cycloneiii_components;
-------------------------------------------------------------------------------- -- file name : sip_vp680_host_if.vhd -- -- author : P. Kortekaas -- -- company : 4dsp -- -- item : number -- -- language : vhdl -- -------------------------------------------------------------------------------- -- description -- =========== -- -- -- notes: -------------------------------------------------------------------------------- -- -- disclaimer: limited warranty and disclaimer. these designs are -- provided to you as is. 4dsp specifically disclaims any -- implied warranties of merchantability, non-infringement, or -- fitness for a particular purpose. 4dsp does not warrant that -- the functions contained in these designs will meet your -- requirements, or that the operation of these designs will be -- uninterrupted or error free, or that defects in the designs -- will be corrected. furthermore, 4dsp does not warrant or -- make any representations regarding use or the results of the -- use of the designs in terms of correctness, accuracy, -- reliability, or otherwise. -- -- limitation of liability. in no event will 4dsp or its -- licensors be liable for any loss of data, lost profits, cost -- or procurement of substitute goods or services, or for any -- special, incidental, consequential, or indirect damages -- arising from the use or operation of the designs or -- accompanying documentation, however caused and on any theory -- of liability. this limitation will apply even if 4dsp -- has been advised of the possibility of such damage. this -- limitation shall apply not-withstanding the failure of the -- essential purpose of any limited remedies herein. -- ---------------------------------------------- -- -------------------------------------------------------------------------------- -------------------------------------------------------------------------------- -- Specify libraries -------------------------------------------------------------------------------- library ieee; use ieee.std_logic_unsigned.all; use ieee.std_logic_misc.all; use ieee.std_logic_arith.all; use ieee.std_logic_1164.all; library std; use std.textio.all; library work; use work.txt_util.all; use work.std_logic_textio.all; -------------------------------------------------------------------------------- -- Entity declaration -------------------------------------------------------------------------------- entity generic_host_emu is generic ( global_start_addr_gen : std_logic_vector(27 downto 0); global_stop_addr_gen : std_logic_vector(27 downto 0); private_start_addr_gen : std_logic_vector(27 downto 0); private_stop_addr_gen : std_logic_vector(27 downto 0) ); port ( --Wormhole 'cmdclk_out' of type 'cmdclk_out': cmdclk_out_cmdclk : out std_logic; --Wormhole 'cmd_in' of type 'cmd_in': cmd_in_cmdin : in std_logic_vector(63 downto 0); cmd_in_cmdin_val : in std_logic; --Wormhole 'cmd_out' of type 'cmd_out': cmd_out_cmdout : out std_logic_vector(63 downto 0); cmd_out_cmdout_val : out std_logic; --Wormhole 'ifpga_rst_out' of type 'ifpga_rst_out': ifpga_rst_out_ifpga_rst : out std_logic; --Wormhole 'clk' of type 'clkin': clk_clkin : in std_logic_vector(31 downto 0); --Wormhole 'rst' of type 'rst_in': rst_rstin : in std_logic_vector(31 downto 0); --Wormhole 'ext_vp680_host_if' of type 'ext_vp680_host_if': sys_clk : in std_logic; sys_reset_n : in std_logic; --Wormhole 'in_data' of type 'wh_in': in_data_in_stop : out std_logic; in_data_in_dval : in std_logic; in_data_in_data : in std_logic_vector(63 downto 0); --Wormhole 'out_data' of type 'wh_out': out_data_out_stop : in std_logic; out_data_out_dval : out std_logic; out_data_out_data : out std_logic_vector(63 downto 0) ); end entity generic_host_emu; -------------------------------------------------------------------------------- -- Architecture declaration -------------------------------------------------------------------------------- architecture behavioral of generic_host_emu is -------------------------------------------------------------------------------- -- Constant declarations -------------------------------------------------------------------------------- type std2d_32b is array(natural range <>) of std_logic_vector(31 downto 0); ----------------------------------------------------------------------------------- -- Signal declarations ----------------------------------------------------------------------------------- signal clk_cmd : std_logic := '0'; signal clk125m : std_logic := '0'; signal out_cmd_val : std_logic := '0'; signal out_cmd : std_logic_vector(63 downto 0) := (others => '0'); signal in_cmd_val : std_logic; signal in_cmd : std_logic_vector(63 downto 0); signal write_data_val : std_logic := '0'; signal write_data : std_logic_vector(63 downto 0) := (others => '0'); signal read_data_stop : std_logic := '1'; signal read_data_val : std_logic; signal read_data : std_logic_vector(63 downto 0); ----------------------------------------------------------------------------------- -- Component declarations ----------------------------------------------------------------------------------- begin -------------------------------------------------------------------------------- -- Clock generation -------------------------------------------------------------------------------- clk_cmd <= sys_clk; clk125m <= sys_clk; -------------------------------------------------------------------------------- -- Perform SIP register read and writes -------------------------------------------------------------------------------- process file CMD_SCRIPT : text; file INPUT_FILE : text; variable BAR_array :std2d_32b(15 downto 0); variable BAR_idx :integer range 16 downto 0 :=0; variable textline : line; variable sip_command : string(1 to 80); variable char1 : string(1 to 1); variable WaitDelay : integer := 0; variable sip_addr : std_logic_vector(31 downto 0); variable sip_bar_idx : std_logic_vector(3 downto 0); variable sip_data : std_logic_vector(31 downto 0); variable dmapush_size : std_logic_vector(31 downto 0); variable dmapull_size : std_logic_vector(31 downto 0); -- Procedure for writing a register procedure cmd_write(addr : in std_logic_vector(31 downto 0); data : in std_logic_vector(31 downto 0)) is begin wait until clk_cmd = '0'; out_cmd_val <= '1'; out_cmd <= x"1" & addr(27 downto 0) & data; wait until clk_cmd = '1'; wait until clk_cmd = '0'; out_cmd_val <= '0'; REPORT "CMD Write: ADDR 0x" & hstr(addr) & " DATA " & str(conv_integer(data)); end procedure cmd_write; -- Procedure for reading a register procedure cmd_read(addr : in std_logic_vector(31 downto 0); data : inout std_logic_vector(31 downto 0)) is begin wait until clk_cmd = '0'; out_cmd_val <= '1'; out_cmd <= x"2" & addr(27 downto 0) & conv_std_logic_vector(0, 32); wait until clk_cmd = '1'; wait until clk_cmd = '0'; out_cmd_val <= '0'; wait until in_cmd_val = '1'; data := in_cmd(31 downto 0); REPORT "CMD Read: ADDR 0x" & hstr(addr) & " DATA " & str(conv_integer(data)); end procedure cmd_read; -- Procedure for pushing DMA to FPGA B procedure dma_push(dma_size : in std_logic_vector(31 downto 0)) is variable textline : line; variable size : integer; variable dma_data : std_logic_vector(63 downto 0); begin size := conv_integer(dma_size); while (size > 0) loop wait until clk125m = '0'; --if (in0_stop = '0') then readline(INPUT_FILE, textline); hread(textline, dma_data); write_data_val <= '1'; write_data <= dma_data; wait until clk125m = '1'; wait until clk125m = '0'; write_data_val <= '0'; size := size - 8; --end if; end loop; REPORT "DMA Push, Size " & str(conv_integer(dma_size)) & " bytes"; end procedure dma_push; -- Procedure for pulling DMA from FPGA B procedure dma_pull(dma_size : in std_logic_vector(31 downto 0)) is variable size : integer; begin -- This precedure only pulls down the stop signal for the right amount of time -- data that comes available is written to file by the "Write data to file" -- process elsewhere in this file. size := conv_integer(dma_size); -- Start reading fast, untill 8 words (64 bytes) left while (size > 64) loop wait until clk125m = '1'; read_data_stop <= '0'; if (read_data_val = '1') then size := size - 8; end if; wait until clk125m = '0'; end loop; -- Read the last words slowly while (size > 0) loop wait until clk125m = '1'; read_data_stop <= '0'; if (read_data_val = '1') then size := size - 8; end if; wait until clk125m = '0'; wait until clk125m = '1'; read_data_stop <= '1'; if (read_data_val = '1') then size := size - 8; end if; wait until clk125m = '0'; wait until clk125m = '1'; if (read_data_val = '1') then size := size - 8; end if; wait until clk125m = '0'; end loop; read_data_stop <= '1'; REPORT "DMA Pull, Size " & str(conv_integer(dma_size)) & " bytes"; end procedure dma_pull; variable fstatus: file_open_status; -- declare file variable sip_mode:std_logic:='0'; begin --script should start with 16 BAR definitions for i in 1 to 15 loop BAR_array(i) := (others=>'0'); end loop; BAR_idx :=0; wait for 1 us; --open advanced sip script which is not compatible with the software sip script parser file_open(fstatus,CMD_SCRIPT, "sip_cmd.asip", read_mode); if (fstatus=OPEN_OK) then sip_mode :='1'; else file_open(fstatus,CMD_SCRIPT, "sip_cmd.sip", read_mode); sip_mode := '0'; end if; file_open(INPUT_FILE, "input.txt", read_mode); while not endfile(CMD_SCRIPT) loop readline(CMD_SCRIPT, textline); next when textline'length = 0; -- Skip empty lines -- Reset command for i in 1 to sip_command'length loop sip_command(i to i) := " "; end loop; -- Read command for i in 1 to sip_command'length loop -- Exit when exeeding the number of characters on the line exit when i > textline'length; read(textline, sip_command(i to i)); -- Comment if (sip_command(1 to 1) = ";") then read(textline, sip_command(1 to textline'length)); REPORT(sip_command) SEVERITY warning; exit; end if; if(sip_mode='1') then --assign to next BAR if (sip_command(1 to 7) = "BAR_ADR") then -- Collect address char1 := " "; while (char1 /= "x") loop read(textline, char1); end loop; hread(textline, BAR_array(BAR_idx)); BAR_idx := BAR_idx + 1; exit; end if; end if; -- Write access if (sip_command(1 to 7) = "REG_SET") then -- Collect address char1 := " "; while (char1 /= "x") loop read(textline, char1); end loop; hread(textline, sip_addr); -- Collect data char1 := " "; while (char1 /= "x") loop read(textline, char1); end loop; hread(textline, sip_data); if(sip_mode='1') then -- Collect BAR index char1 := " "; while (char1 /= "x") loop read(textline, char1); end loop; hread(textline, sip_bar_idx); -- Write cmd_write(sip_addr+BAR_array(conv_integer(sip_bar_idx)), sip_data); else cmd_write(sip_addr, sip_data); end if; exit; end if; -- Read access if (sip_command(1 to 7) = "REG_GET") then -- Collect address char1 := " "; while (char1 /= "x") loop read(textline, char1); end loop; hread(textline, sip_addr); if(sip_mode='1') then -- Collect BAR index char1 := " "; while (char1 /= "x") loop read(textline, char1); end loop; hread(textline, sip_bar_idx); -- Read cmd_read(sip_addr+BAR_array(conv_integer(sip_bar_idx)), sip_data); else cmd_read(sip_addr, sip_data); end if; exit; end if; -- DMA Push access (from host to card) if (sip_command(1 to 7) = "DMAPUSH") then -- Collect size char1 := " "; while (char1 /= "x") loop read(textline, char1); end loop; hread(textline, dmapush_size); -- DMA to FPGA B dma_push(dmapush_size); exit; end if; -- DMA Pull access (from card to host) if (sip_command(1 to 7) = "DMAPULL") then -- Collect size char1 := " "; while (char1 /= "x") loop read(textline, char1); end loop; hread(textline, dmapull_size); -- DMA from FPGA B dma_pull(dmapull_size); exit; end if; -- Wait if (sip_command(1 to 4) = "WAIT") then char1 := " "; while (char1 /= "=") loop read(textline, char1); end loop; read(textline, WaitDelay); REPORT("Wait for " & str(WaitDelay) & " us"); for i in 1 to WaitDelay loop wait for 1 us; end loop; exit; end if; end loop; wait for 1 us; end loop; file_close(CMD_SCRIPT); file_close(INPUT_FILE); REPORT("Ready with reading SIP CMD script!"); wait for 10 us; REPORT "Testbench ended" severity warning; wait; end process; -------------------------------------------------------------------------------- -- Write data to file -------------------------------------------------------------------------------- process file OUTPUT_FILE : text; variable textline : line; begin file_open(OUTPUT_FILE, "output.txt", write_mode); file_close(OUTPUT_FILE); while true loop wait until clk125m = '1'; if (read_data_val = '1') then file_open(OUTPUT_FILE, "output.txt", append_mode); hwrite(textline, read_data); writeline(OUTPUT_FILE, textline); file_close(OUTPUT_FILE); end if; wait until clk125m = '0'; end loop; wait; end process; -------------------------------------------------------------------------------- -- Connect entity -------------------------------------------------------------------------------- --Wormhole 'cmdclk_out' of type 'cmdclk_out': cmdclk_out_cmdclk <= clk_cmd; --Wormhole 'cmd_out' of type 'cmd_out': cmd_out_cmdout <= out_cmd; cmd_out_cmdout_val <= out_cmd_val; --Wormhole 'cmd_in' of type 'cmd_in': in_cmd <= cmd_in_cmdin; in_cmd_val <= cmd_in_cmdin_val; --Wormhole 'ext_fc6301_host_if' of type 'ext_fc6301_host_if': ifpga_rst_out_ifpga_rst <= not sys_reset_n; --Wormhole 'in_data' of type 'wh_in': in_data_in_stop <= read_data_stop; read_data_val <= in_data_in_dval; read_data <= in_data_in_data; --Wormhole 'out_data' of type 'wh_out': out_data_out_dval <= write_data_val; out_data_out_data <= write_data; -------------------------------------------------------------------------------- -- End -------------------------------------------------------------------------------- end architecture behavioral;
-------------------------------------------------------------------------------- -- file name : sip_vp680_host_if.vhd -- -- author : P. Kortekaas -- -- company : 4dsp -- -- item : number -- -- language : vhdl -- -------------------------------------------------------------------------------- -- description -- =========== -- -- -- notes: -------------------------------------------------------------------------------- -- -- disclaimer: limited warranty and disclaimer. these designs are -- provided to you as is. 4dsp specifically disclaims any -- implied warranties of merchantability, non-infringement, or -- fitness for a particular purpose. 4dsp does not warrant that -- the functions contained in these designs will meet your -- requirements, or that the operation of these designs will be -- uninterrupted or error free, or that defects in the designs -- will be corrected. furthermore, 4dsp does not warrant or -- make any representations regarding use or the results of the -- use of the designs in terms of correctness, accuracy, -- reliability, or otherwise. -- -- limitation of liability. in no event will 4dsp or its -- licensors be liable for any loss of data, lost profits, cost -- or procurement of substitute goods or services, or for any -- special, incidental, consequential, or indirect damages -- arising from the use or operation of the designs or -- accompanying documentation, however caused and on any theory -- of liability. this limitation will apply even if 4dsp -- has been advised of the possibility of such damage. this -- limitation shall apply not-withstanding the failure of the -- essential purpose of any limited remedies herein. -- ---------------------------------------------- -- -------------------------------------------------------------------------------- -------------------------------------------------------------------------------- -- Specify libraries -------------------------------------------------------------------------------- library ieee; use ieee.std_logic_unsigned.all; use ieee.std_logic_misc.all; use ieee.std_logic_arith.all; use ieee.std_logic_1164.all; library std; use std.textio.all; library work; use work.txt_util.all; use work.std_logic_textio.all; -------------------------------------------------------------------------------- -- Entity declaration -------------------------------------------------------------------------------- entity generic_host_emu is generic ( global_start_addr_gen : std_logic_vector(27 downto 0); global_stop_addr_gen : std_logic_vector(27 downto 0); private_start_addr_gen : std_logic_vector(27 downto 0); private_stop_addr_gen : std_logic_vector(27 downto 0) ); port ( --Wormhole 'cmdclk_out' of type 'cmdclk_out': cmdclk_out_cmdclk : out std_logic; --Wormhole 'cmd_in' of type 'cmd_in': cmd_in_cmdin : in std_logic_vector(63 downto 0); cmd_in_cmdin_val : in std_logic; --Wormhole 'cmd_out' of type 'cmd_out': cmd_out_cmdout : out std_logic_vector(63 downto 0); cmd_out_cmdout_val : out std_logic; --Wormhole 'ifpga_rst_out' of type 'ifpga_rst_out': ifpga_rst_out_ifpga_rst : out std_logic; --Wormhole 'clk' of type 'clkin': clk_clkin : in std_logic_vector(31 downto 0); --Wormhole 'rst' of type 'rst_in': rst_rstin : in std_logic_vector(31 downto 0); --Wormhole 'ext_vp680_host_if' of type 'ext_vp680_host_if': sys_clk : in std_logic; sys_reset_n : in std_logic; --Wormhole 'in_data' of type 'wh_in': in_data_in_stop : out std_logic; in_data_in_dval : in std_logic; in_data_in_data : in std_logic_vector(63 downto 0); --Wormhole 'out_data' of type 'wh_out': out_data_out_stop : in std_logic; out_data_out_dval : out std_logic; out_data_out_data : out std_logic_vector(63 downto 0) ); end entity generic_host_emu; -------------------------------------------------------------------------------- -- Architecture declaration -------------------------------------------------------------------------------- architecture behavioral of generic_host_emu is -------------------------------------------------------------------------------- -- Constant declarations -------------------------------------------------------------------------------- type std2d_32b is array(natural range <>) of std_logic_vector(31 downto 0); ----------------------------------------------------------------------------------- -- Signal declarations ----------------------------------------------------------------------------------- signal clk_cmd : std_logic := '0'; signal clk125m : std_logic := '0'; signal out_cmd_val : std_logic := '0'; signal out_cmd : std_logic_vector(63 downto 0) := (others => '0'); signal in_cmd_val : std_logic; signal in_cmd : std_logic_vector(63 downto 0); signal write_data_val : std_logic := '0'; signal write_data : std_logic_vector(63 downto 0) := (others => '0'); signal read_data_stop : std_logic := '1'; signal read_data_val : std_logic; signal read_data : std_logic_vector(63 downto 0); ----------------------------------------------------------------------------------- -- Component declarations ----------------------------------------------------------------------------------- begin -------------------------------------------------------------------------------- -- Clock generation -------------------------------------------------------------------------------- clk_cmd <= sys_clk; clk125m <= sys_clk; -------------------------------------------------------------------------------- -- Perform SIP register read and writes -------------------------------------------------------------------------------- process file CMD_SCRIPT : text; file INPUT_FILE : text; variable BAR_array :std2d_32b(15 downto 0); variable BAR_idx :integer range 16 downto 0 :=0; variable textline : line; variable sip_command : string(1 to 80); variable char1 : string(1 to 1); variable WaitDelay : integer := 0; variable sip_addr : std_logic_vector(31 downto 0); variable sip_bar_idx : std_logic_vector(3 downto 0); variable sip_data : std_logic_vector(31 downto 0); variable dmapush_size : std_logic_vector(31 downto 0); variable dmapull_size : std_logic_vector(31 downto 0); -- Procedure for writing a register procedure cmd_write(addr : in std_logic_vector(31 downto 0); data : in std_logic_vector(31 downto 0)) is begin wait until clk_cmd = '0'; out_cmd_val <= '1'; out_cmd <= x"1" & addr(27 downto 0) & data; wait until clk_cmd = '1'; wait until clk_cmd = '0'; out_cmd_val <= '0'; REPORT "CMD Write: ADDR 0x" & hstr(addr) & " DATA " & str(conv_integer(data)); end procedure cmd_write; -- Procedure for reading a register procedure cmd_read(addr : in std_logic_vector(31 downto 0); data : inout std_logic_vector(31 downto 0)) is begin wait until clk_cmd = '0'; out_cmd_val <= '1'; out_cmd <= x"2" & addr(27 downto 0) & conv_std_logic_vector(0, 32); wait until clk_cmd = '1'; wait until clk_cmd = '0'; out_cmd_val <= '0'; wait until in_cmd_val = '1'; data := in_cmd(31 downto 0); REPORT "CMD Read: ADDR 0x" & hstr(addr) & " DATA " & str(conv_integer(data)); end procedure cmd_read; -- Procedure for pushing DMA to FPGA B procedure dma_push(dma_size : in std_logic_vector(31 downto 0)) is variable textline : line; variable size : integer; variable dma_data : std_logic_vector(63 downto 0); begin size := conv_integer(dma_size); while (size > 0) loop wait until clk125m = '0'; --if (in0_stop = '0') then readline(INPUT_FILE, textline); hread(textline, dma_data); write_data_val <= '1'; write_data <= dma_data; wait until clk125m = '1'; wait until clk125m = '0'; write_data_val <= '0'; size := size - 8; --end if; end loop; REPORT "DMA Push, Size " & str(conv_integer(dma_size)) & " bytes"; end procedure dma_push; -- Procedure for pulling DMA from FPGA B procedure dma_pull(dma_size : in std_logic_vector(31 downto 0)) is variable size : integer; begin -- This precedure only pulls down the stop signal for the right amount of time -- data that comes available is written to file by the "Write data to file" -- process elsewhere in this file. size := conv_integer(dma_size); -- Start reading fast, untill 8 words (64 bytes) left while (size > 64) loop wait until clk125m = '1'; read_data_stop <= '0'; if (read_data_val = '1') then size := size - 8; end if; wait until clk125m = '0'; end loop; -- Read the last words slowly while (size > 0) loop wait until clk125m = '1'; read_data_stop <= '0'; if (read_data_val = '1') then size := size - 8; end if; wait until clk125m = '0'; wait until clk125m = '1'; read_data_stop <= '1'; if (read_data_val = '1') then size := size - 8; end if; wait until clk125m = '0'; wait until clk125m = '1'; if (read_data_val = '1') then size := size - 8; end if; wait until clk125m = '0'; end loop; read_data_stop <= '1'; REPORT "DMA Pull, Size " & str(conv_integer(dma_size)) & " bytes"; end procedure dma_pull; variable fstatus: file_open_status; -- declare file variable sip_mode:std_logic:='0'; begin --script should start with 16 BAR definitions for i in 1 to 15 loop BAR_array(i) := (others=>'0'); end loop; BAR_idx :=0; wait for 1 us; --open advanced sip script which is not compatible with the software sip script parser file_open(fstatus,CMD_SCRIPT, "sip_cmd.asip", read_mode); if (fstatus=OPEN_OK) then sip_mode :='1'; else file_open(fstatus,CMD_SCRIPT, "sip_cmd.sip", read_mode); sip_mode := '0'; end if; file_open(INPUT_FILE, "input.txt", read_mode); while not endfile(CMD_SCRIPT) loop readline(CMD_SCRIPT, textline); next when textline'length = 0; -- Skip empty lines -- Reset command for i in 1 to sip_command'length loop sip_command(i to i) := " "; end loop; -- Read command for i in 1 to sip_command'length loop -- Exit when exeeding the number of characters on the line exit when i > textline'length; read(textline, sip_command(i to i)); -- Comment if (sip_command(1 to 1) = ";") then read(textline, sip_command(1 to textline'length)); REPORT(sip_command) SEVERITY warning; exit; end if; if(sip_mode='1') then --assign to next BAR if (sip_command(1 to 7) = "BAR_ADR") then -- Collect address char1 := " "; while (char1 /= "x") loop read(textline, char1); end loop; hread(textline, BAR_array(BAR_idx)); BAR_idx := BAR_idx + 1; exit; end if; end if; -- Write access if (sip_command(1 to 7) = "REG_SET") then -- Collect address char1 := " "; while (char1 /= "x") loop read(textline, char1); end loop; hread(textline, sip_addr); -- Collect data char1 := " "; while (char1 /= "x") loop read(textline, char1); end loop; hread(textline, sip_data); if(sip_mode='1') then -- Collect BAR index char1 := " "; while (char1 /= "x") loop read(textline, char1); end loop; hread(textline, sip_bar_idx); -- Write cmd_write(sip_addr+BAR_array(conv_integer(sip_bar_idx)), sip_data); else cmd_write(sip_addr, sip_data); end if; exit; end if; -- Read access if (sip_command(1 to 7) = "REG_GET") then -- Collect address char1 := " "; while (char1 /= "x") loop read(textline, char1); end loop; hread(textline, sip_addr); if(sip_mode='1') then -- Collect BAR index char1 := " "; while (char1 /= "x") loop read(textline, char1); end loop; hread(textline, sip_bar_idx); -- Read cmd_read(sip_addr+BAR_array(conv_integer(sip_bar_idx)), sip_data); else cmd_read(sip_addr, sip_data); end if; exit; end if; -- DMA Push access (from host to card) if (sip_command(1 to 7) = "DMAPUSH") then -- Collect size char1 := " "; while (char1 /= "x") loop read(textline, char1); end loop; hread(textline, dmapush_size); -- DMA to FPGA B dma_push(dmapush_size); exit; end if; -- DMA Pull access (from card to host) if (sip_command(1 to 7) = "DMAPULL") then -- Collect size char1 := " "; while (char1 /= "x") loop read(textline, char1); end loop; hread(textline, dmapull_size); -- DMA from FPGA B dma_pull(dmapull_size); exit; end if; -- Wait if (sip_command(1 to 4) = "WAIT") then char1 := " "; while (char1 /= "=") loop read(textline, char1); end loop; read(textline, WaitDelay); REPORT("Wait for " & str(WaitDelay) & " us"); for i in 1 to WaitDelay loop wait for 1 us; end loop; exit; end if; end loop; wait for 1 us; end loop; file_close(CMD_SCRIPT); file_close(INPUT_FILE); REPORT("Ready with reading SIP CMD script!"); wait for 10 us; REPORT "Testbench ended" severity warning; wait; end process; -------------------------------------------------------------------------------- -- Write data to file -------------------------------------------------------------------------------- process file OUTPUT_FILE : text; variable textline : line; begin file_open(OUTPUT_FILE, "output.txt", write_mode); file_close(OUTPUT_FILE); while true loop wait until clk125m = '1'; if (read_data_val = '1') then file_open(OUTPUT_FILE, "output.txt", append_mode); hwrite(textline, read_data); writeline(OUTPUT_FILE, textline); file_close(OUTPUT_FILE); end if; wait until clk125m = '0'; end loop; wait; end process; -------------------------------------------------------------------------------- -- Connect entity -------------------------------------------------------------------------------- --Wormhole 'cmdclk_out' of type 'cmdclk_out': cmdclk_out_cmdclk <= clk_cmd; --Wormhole 'cmd_out' of type 'cmd_out': cmd_out_cmdout <= out_cmd; cmd_out_cmdout_val <= out_cmd_val; --Wormhole 'cmd_in' of type 'cmd_in': in_cmd <= cmd_in_cmdin; in_cmd_val <= cmd_in_cmdin_val; --Wormhole 'ext_fc6301_host_if' of type 'ext_fc6301_host_if': ifpga_rst_out_ifpga_rst <= not sys_reset_n; --Wormhole 'in_data' of type 'wh_in': in_data_in_stop <= read_data_stop; read_data_val <= in_data_in_dval; read_data <= in_data_in_data; --Wormhole 'out_data' of type 'wh_out': out_data_out_dval <= write_data_val; out_data_out_data <= write_data; -------------------------------------------------------------------------------- -- End -------------------------------------------------------------------------------- end architecture behavioral;
-------------------------------------------------------------------------------- -- file name : sip_vp680_host_if.vhd -- -- author : P. Kortekaas -- -- company : 4dsp -- -- item : number -- -- language : vhdl -- -------------------------------------------------------------------------------- -- description -- =========== -- -- -- notes: -------------------------------------------------------------------------------- -- -- disclaimer: limited warranty and disclaimer. these designs are -- provided to you as is. 4dsp specifically disclaims any -- implied warranties of merchantability, non-infringement, or -- fitness for a particular purpose. 4dsp does not warrant that -- the functions contained in these designs will meet your -- requirements, or that the operation of these designs will be -- uninterrupted or error free, or that defects in the designs -- will be corrected. furthermore, 4dsp does not warrant or -- make any representations regarding use or the results of the -- use of the designs in terms of correctness, accuracy, -- reliability, or otherwise. -- -- limitation of liability. in no event will 4dsp or its -- licensors be liable for any loss of data, lost profits, cost -- or procurement of substitute goods or services, or for any -- special, incidental, consequential, or indirect damages -- arising from the use or operation of the designs or -- accompanying documentation, however caused and on any theory -- of liability. this limitation will apply even if 4dsp -- has been advised of the possibility of such damage. this -- limitation shall apply not-withstanding the failure of the -- essential purpose of any limited remedies herein. -- ---------------------------------------------- -- -------------------------------------------------------------------------------- -------------------------------------------------------------------------------- -- Specify libraries -------------------------------------------------------------------------------- library ieee; use ieee.std_logic_unsigned.all; use ieee.std_logic_misc.all; use ieee.std_logic_arith.all; use ieee.std_logic_1164.all; library std; use std.textio.all; library work; use work.txt_util.all; use work.std_logic_textio.all; -------------------------------------------------------------------------------- -- Entity declaration -------------------------------------------------------------------------------- entity generic_host_emu is generic ( global_start_addr_gen : std_logic_vector(27 downto 0); global_stop_addr_gen : std_logic_vector(27 downto 0); private_start_addr_gen : std_logic_vector(27 downto 0); private_stop_addr_gen : std_logic_vector(27 downto 0) ); port ( --Wormhole 'cmdclk_out' of type 'cmdclk_out': cmdclk_out_cmdclk : out std_logic; --Wormhole 'cmd_in' of type 'cmd_in': cmd_in_cmdin : in std_logic_vector(63 downto 0); cmd_in_cmdin_val : in std_logic; --Wormhole 'cmd_out' of type 'cmd_out': cmd_out_cmdout : out std_logic_vector(63 downto 0); cmd_out_cmdout_val : out std_logic; --Wormhole 'ifpga_rst_out' of type 'ifpga_rst_out': ifpga_rst_out_ifpga_rst : out std_logic; --Wormhole 'clk' of type 'clkin': clk_clkin : in std_logic_vector(31 downto 0); --Wormhole 'rst' of type 'rst_in': rst_rstin : in std_logic_vector(31 downto 0); --Wormhole 'ext_vp680_host_if' of type 'ext_vp680_host_if': sys_clk : in std_logic; sys_reset_n : in std_logic; --Wormhole 'in_data' of type 'wh_in': in_data_in_stop : out std_logic; in_data_in_dval : in std_logic; in_data_in_data : in std_logic_vector(63 downto 0); --Wormhole 'out_data' of type 'wh_out': out_data_out_stop : in std_logic; out_data_out_dval : out std_logic; out_data_out_data : out std_logic_vector(63 downto 0) ); end entity generic_host_emu; -------------------------------------------------------------------------------- -- Architecture declaration -------------------------------------------------------------------------------- architecture behavioral of generic_host_emu is -------------------------------------------------------------------------------- -- Constant declarations -------------------------------------------------------------------------------- type std2d_32b is array(natural range <>) of std_logic_vector(31 downto 0); ----------------------------------------------------------------------------------- -- Signal declarations ----------------------------------------------------------------------------------- signal clk_cmd : std_logic := '0'; signal clk125m : std_logic := '0'; signal out_cmd_val : std_logic := '0'; signal out_cmd : std_logic_vector(63 downto 0) := (others => '0'); signal in_cmd_val : std_logic; signal in_cmd : std_logic_vector(63 downto 0); signal write_data_val : std_logic := '0'; signal write_data : std_logic_vector(63 downto 0) := (others => '0'); signal read_data_stop : std_logic := '1'; signal read_data_val : std_logic; signal read_data : std_logic_vector(63 downto 0); ----------------------------------------------------------------------------------- -- Component declarations ----------------------------------------------------------------------------------- begin -------------------------------------------------------------------------------- -- Clock generation -------------------------------------------------------------------------------- clk_cmd <= sys_clk; clk125m <= sys_clk; -------------------------------------------------------------------------------- -- Perform SIP register read and writes -------------------------------------------------------------------------------- process file CMD_SCRIPT : text; file INPUT_FILE : text; variable BAR_array :std2d_32b(15 downto 0); variable BAR_idx :integer range 16 downto 0 :=0; variable textline : line; variable sip_command : string(1 to 80); variable char1 : string(1 to 1); variable WaitDelay : integer := 0; variable sip_addr : std_logic_vector(31 downto 0); variable sip_bar_idx : std_logic_vector(3 downto 0); variable sip_data : std_logic_vector(31 downto 0); variable dmapush_size : std_logic_vector(31 downto 0); variable dmapull_size : std_logic_vector(31 downto 0); -- Procedure for writing a register procedure cmd_write(addr : in std_logic_vector(31 downto 0); data : in std_logic_vector(31 downto 0)) is begin wait until clk_cmd = '0'; out_cmd_val <= '1'; out_cmd <= x"1" & addr(27 downto 0) & data; wait until clk_cmd = '1'; wait until clk_cmd = '0'; out_cmd_val <= '0'; REPORT "CMD Write: ADDR 0x" & hstr(addr) & " DATA " & str(conv_integer(data)); end procedure cmd_write; -- Procedure for reading a register procedure cmd_read(addr : in std_logic_vector(31 downto 0); data : inout std_logic_vector(31 downto 0)) is begin wait until clk_cmd = '0'; out_cmd_val <= '1'; out_cmd <= x"2" & addr(27 downto 0) & conv_std_logic_vector(0, 32); wait until clk_cmd = '1'; wait until clk_cmd = '0'; out_cmd_val <= '0'; wait until in_cmd_val = '1'; data := in_cmd(31 downto 0); REPORT "CMD Read: ADDR 0x" & hstr(addr) & " DATA " & str(conv_integer(data)); end procedure cmd_read; -- Procedure for pushing DMA to FPGA B procedure dma_push(dma_size : in std_logic_vector(31 downto 0)) is variable textline : line; variable size : integer; variable dma_data : std_logic_vector(63 downto 0); begin size := conv_integer(dma_size); while (size > 0) loop wait until clk125m = '0'; --if (in0_stop = '0') then readline(INPUT_FILE, textline); hread(textline, dma_data); write_data_val <= '1'; write_data <= dma_data; wait until clk125m = '1'; wait until clk125m = '0'; write_data_val <= '0'; size := size - 8; --end if; end loop; REPORT "DMA Push, Size " & str(conv_integer(dma_size)) & " bytes"; end procedure dma_push; -- Procedure for pulling DMA from FPGA B procedure dma_pull(dma_size : in std_logic_vector(31 downto 0)) is variable size : integer; begin -- This precedure only pulls down the stop signal for the right amount of time -- data that comes available is written to file by the "Write data to file" -- process elsewhere in this file. size := conv_integer(dma_size); -- Start reading fast, untill 8 words (64 bytes) left while (size > 64) loop wait until clk125m = '1'; read_data_stop <= '0'; if (read_data_val = '1') then size := size - 8; end if; wait until clk125m = '0'; end loop; -- Read the last words slowly while (size > 0) loop wait until clk125m = '1'; read_data_stop <= '0'; if (read_data_val = '1') then size := size - 8; end if; wait until clk125m = '0'; wait until clk125m = '1'; read_data_stop <= '1'; if (read_data_val = '1') then size := size - 8; end if; wait until clk125m = '0'; wait until clk125m = '1'; if (read_data_val = '1') then size := size - 8; end if; wait until clk125m = '0'; end loop; read_data_stop <= '1'; REPORT "DMA Pull, Size " & str(conv_integer(dma_size)) & " bytes"; end procedure dma_pull; variable fstatus: file_open_status; -- declare file variable sip_mode:std_logic:='0'; begin --script should start with 16 BAR definitions for i in 1 to 15 loop BAR_array(i) := (others=>'0'); end loop; BAR_idx :=0; wait for 1 us; --open advanced sip script which is not compatible with the software sip script parser file_open(fstatus,CMD_SCRIPT, "sip_cmd.asip", read_mode); if (fstatus=OPEN_OK) then sip_mode :='1'; else file_open(fstatus,CMD_SCRIPT, "sip_cmd.sip", read_mode); sip_mode := '0'; end if; file_open(INPUT_FILE, "input.txt", read_mode); while not endfile(CMD_SCRIPT) loop readline(CMD_SCRIPT, textline); next when textline'length = 0; -- Skip empty lines -- Reset command for i in 1 to sip_command'length loop sip_command(i to i) := " "; end loop; -- Read command for i in 1 to sip_command'length loop -- Exit when exeeding the number of characters on the line exit when i > textline'length; read(textline, sip_command(i to i)); -- Comment if (sip_command(1 to 1) = ";") then read(textline, sip_command(1 to textline'length)); REPORT(sip_command) SEVERITY warning; exit; end if; if(sip_mode='1') then --assign to next BAR if (sip_command(1 to 7) = "BAR_ADR") then -- Collect address char1 := " "; while (char1 /= "x") loop read(textline, char1); end loop; hread(textline, BAR_array(BAR_idx)); BAR_idx := BAR_idx + 1; exit; end if; end if; -- Write access if (sip_command(1 to 7) = "REG_SET") then -- Collect address char1 := " "; while (char1 /= "x") loop read(textline, char1); end loop; hread(textline, sip_addr); -- Collect data char1 := " "; while (char1 /= "x") loop read(textline, char1); end loop; hread(textline, sip_data); if(sip_mode='1') then -- Collect BAR index char1 := " "; while (char1 /= "x") loop read(textline, char1); end loop; hread(textline, sip_bar_idx); -- Write cmd_write(sip_addr+BAR_array(conv_integer(sip_bar_idx)), sip_data); else cmd_write(sip_addr, sip_data); end if; exit; end if; -- Read access if (sip_command(1 to 7) = "REG_GET") then -- Collect address char1 := " "; while (char1 /= "x") loop read(textline, char1); end loop; hread(textline, sip_addr); if(sip_mode='1') then -- Collect BAR index char1 := " "; while (char1 /= "x") loop read(textline, char1); end loop; hread(textline, sip_bar_idx); -- Read cmd_read(sip_addr+BAR_array(conv_integer(sip_bar_idx)), sip_data); else cmd_read(sip_addr, sip_data); end if; exit; end if; -- DMA Push access (from host to card) if (sip_command(1 to 7) = "DMAPUSH") then -- Collect size char1 := " "; while (char1 /= "x") loop read(textline, char1); end loop; hread(textline, dmapush_size); -- DMA to FPGA B dma_push(dmapush_size); exit; end if; -- DMA Pull access (from card to host) if (sip_command(1 to 7) = "DMAPULL") then -- Collect size char1 := " "; while (char1 /= "x") loop read(textline, char1); end loop; hread(textline, dmapull_size); -- DMA from FPGA B dma_pull(dmapull_size); exit; end if; -- Wait if (sip_command(1 to 4) = "WAIT") then char1 := " "; while (char1 /= "=") loop read(textline, char1); end loop; read(textline, WaitDelay); REPORT("Wait for " & str(WaitDelay) & " us"); for i in 1 to WaitDelay loop wait for 1 us; end loop; exit; end if; end loop; wait for 1 us; end loop; file_close(CMD_SCRIPT); file_close(INPUT_FILE); REPORT("Ready with reading SIP CMD script!"); wait for 10 us; REPORT "Testbench ended" severity warning; wait; end process; -------------------------------------------------------------------------------- -- Write data to file -------------------------------------------------------------------------------- process file OUTPUT_FILE : text; variable textline : line; begin file_open(OUTPUT_FILE, "output.txt", write_mode); file_close(OUTPUT_FILE); while true loop wait until clk125m = '1'; if (read_data_val = '1') then file_open(OUTPUT_FILE, "output.txt", append_mode); hwrite(textline, read_data); writeline(OUTPUT_FILE, textline); file_close(OUTPUT_FILE); end if; wait until clk125m = '0'; end loop; wait; end process; -------------------------------------------------------------------------------- -- Connect entity -------------------------------------------------------------------------------- --Wormhole 'cmdclk_out' of type 'cmdclk_out': cmdclk_out_cmdclk <= clk_cmd; --Wormhole 'cmd_out' of type 'cmd_out': cmd_out_cmdout <= out_cmd; cmd_out_cmdout_val <= out_cmd_val; --Wormhole 'cmd_in' of type 'cmd_in': in_cmd <= cmd_in_cmdin; in_cmd_val <= cmd_in_cmdin_val; --Wormhole 'ext_fc6301_host_if' of type 'ext_fc6301_host_if': ifpga_rst_out_ifpga_rst <= not sys_reset_n; --Wormhole 'in_data' of type 'wh_in': in_data_in_stop <= read_data_stop; read_data_val <= in_data_in_dval; read_data <= in_data_in_data; --Wormhole 'out_data' of type 'wh_out': out_data_out_dval <= write_data_val; out_data_out_data <= write_data; -------------------------------------------------------------------------------- -- End -------------------------------------------------------------------------------- end architecture behavioral;
-------------------------------------------------------------------------------- -- file name : sip_vp680_host_if.vhd -- -- author : P. Kortekaas -- -- company : 4dsp -- -- item : number -- -- language : vhdl -- -------------------------------------------------------------------------------- -- description -- =========== -- -- -- notes: -------------------------------------------------------------------------------- -- -- disclaimer: limited warranty and disclaimer. these designs are -- provided to you as is. 4dsp specifically disclaims any -- implied warranties of merchantability, non-infringement, or -- fitness for a particular purpose. 4dsp does not warrant that -- the functions contained in these designs will meet your -- requirements, or that the operation of these designs will be -- uninterrupted or error free, or that defects in the designs -- will be corrected. furthermore, 4dsp does not warrant or -- make any representations regarding use or the results of the -- use of the designs in terms of correctness, accuracy, -- reliability, or otherwise. -- -- limitation of liability. in no event will 4dsp or its -- licensors be liable for any loss of data, lost profits, cost -- or procurement of substitute goods or services, or for any -- special, incidental, consequential, or indirect damages -- arising from the use or operation of the designs or -- accompanying documentation, however caused and on any theory -- of liability. this limitation will apply even if 4dsp -- has been advised of the possibility of such damage. this -- limitation shall apply not-withstanding the failure of the -- essential purpose of any limited remedies herein. -- ---------------------------------------------- -- -------------------------------------------------------------------------------- -------------------------------------------------------------------------------- -- Specify libraries -------------------------------------------------------------------------------- library ieee; use ieee.std_logic_unsigned.all; use ieee.std_logic_misc.all; use ieee.std_logic_arith.all; use ieee.std_logic_1164.all; library std; use std.textio.all; library work; use work.txt_util.all; use work.std_logic_textio.all; -------------------------------------------------------------------------------- -- Entity declaration -------------------------------------------------------------------------------- entity generic_host_emu is generic ( global_start_addr_gen : std_logic_vector(27 downto 0); global_stop_addr_gen : std_logic_vector(27 downto 0); private_start_addr_gen : std_logic_vector(27 downto 0); private_stop_addr_gen : std_logic_vector(27 downto 0) ); port ( --Wormhole 'cmdclk_out' of type 'cmdclk_out': cmdclk_out_cmdclk : out std_logic; --Wormhole 'cmd_in' of type 'cmd_in': cmd_in_cmdin : in std_logic_vector(63 downto 0); cmd_in_cmdin_val : in std_logic; --Wormhole 'cmd_out' of type 'cmd_out': cmd_out_cmdout : out std_logic_vector(63 downto 0); cmd_out_cmdout_val : out std_logic; --Wormhole 'ifpga_rst_out' of type 'ifpga_rst_out': ifpga_rst_out_ifpga_rst : out std_logic; --Wormhole 'clk' of type 'clkin': clk_clkin : in std_logic_vector(31 downto 0); --Wormhole 'rst' of type 'rst_in': rst_rstin : in std_logic_vector(31 downto 0); --Wormhole 'ext_vp680_host_if' of type 'ext_vp680_host_if': sys_clk : in std_logic; sys_reset_n : in std_logic; --Wormhole 'in_data' of type 'wh_in': in_data_in_stop : out std_logic; in_data_in_dval : in std_logic; in_data_in_data : in std_logic_vector(63 downto 0); --Wormhole 'out_data' of type 'wh_out': out_data_out_stop : in std_logic; out_data_out_dval : out std_logic; out_data_out_data : out std_logic_vector(63 downto 0) ); end entity generic_host_emu; -------------------------------------------------------------------------------- -- Architecture declaration -------------------------------------------------------------------------------- architecture behavioral of generic_host_emu is -------------------------------------------------------------------------------- -- Constant declarations -------------------------------------------------------------------------------- type std2d_32b is array(natural range <>) of std_logic_vector(31 downto 0); ----------------------------------------------------------------------------------- -- Signal declarations ----------------------------------------------------------------------------------- signal clk_cmd : std_logic := '0'; signal clk125m : std_logic := '0'; signal out_cmd_val : std_logic := '0'; signal out_cmd : std_logic_vector(63 downto 0) := (others => '0'); signal in_cmd_val : std_logic; signal in_cmd : std_logic_vector(63 downto 0); signal write_data_val : std_logic := '0'; signal write_data : std_logic_vector(63 downto 0) := (others => '0'); signal read_data_stop : std_logic := '1'; signal read_data_val : std_logic; signal read_data : std_logic_vector(63 downto 0); ----------------------------------------------------------------------------------- -- Component declarations ----------------------------------------------------------------------------------- begin -------------------------------------------------------------------------------- -- Clock generation -------------------------------------------------------------------------------- clk_cmd <= sys_clk; clk125m <= sys_clk; -------------------------------------------------------------------------------- -- Perform SIP register read and writes -------------------------------------------------------------------------------- process file CMD_SCRIPT : text; file INPUT_FILE : text; variable BAR_array :std2d_32b(15 downto 0); variable BAR_idx :integer range 16 downto 0 :=0; variable textline : line; variable sip_command : string(1 to 80); variable char1 : string(1 to 1); variable WaitDelay : integer := 0; variable sip_addr : std_logic_vector(31 downto 0); variable sip_bar_idx : std_logic_vector(3 downto 0); variable sip_data : std_logic_vector(31 downto 0); variable dmapush_size : std_logic_vector(31 downto 0); variable dmapull_size : std_logic_vector(31 downto 0); -- Procedure for writing a register procedure cmd_write(addr : in std_logic_vector(31 downto 0); data : in std_logic_vector(31 downto 0)) is begin wait until clk_cmd = '0'; out_cmd_val <= '1'; out_cmd <= x"1" & addr(27 downto 0) & data; wait until clk_cmd = '1'; wait until clk_cmd = '0'; out_cmd_val <= '0'; REPORT "CMD Write: ADDR 0x" & hstr(addr) & " DATA " & str(conv_integer(data)); end procedure cmd_write; -- Procedure for reading a register procedure cmd_read(addr : in std_logic_vector(31 downto 0); data : inout std_logic_vector(31 downto 0)) is begin wait until clk_cmd = '0'; out_cmd_val <= '1'; out_cmd <= x"2" & addr(27 downto 0) & conv_std_logic_vector(0, 32); wait until clk_cmd = '1'; wait until clk_cmd = '0'; out_cmd_val <= '0'; wait until in_cmd_val = '1'; data := in_cmd(31 downto 0); REPORT "CMD Read: ADDR 0x" & hstr(addr) & " DATA " & str(conv_integer(data)); end procedure cmd_read; -- Procedure for pushing DMA to FPGA B procedure dma_push(dma_size : in std_logic_vector(31 downto 0)) is variable textline : line; variable size : integer; variable dma_data : std_logic_vector(63 downto 0); begin size := conv_integer(dma_size); while (size > 0) loop wait until clk125m = '0'; --if (in0_stop = '0') then readline(INPUT_FILE, textline); hread(textline, dma_data); write_data_val <= '1'; write_data <= dma_data; wait until clk125m = '1'; wait until clk125m = '0'; write_data_val <= '0'; size := size - 8; --end if; end loop; REPORT "DMA Push, Size " & str(conv_integer(dma_size)) & " bytes"; end procedure dma_push; -- Procedure for pulling DMA from FPGA B procedure dma_pull(dma_size : in std_logic_vector(31 downto 0)) is variable size : integer; begin -- This precedure only pulls down the stop signal for the right amount of time -- data that comes available is written to file by the "Write data to file" -- process elsewhere in this file. size := conv_integer(dma_size); -- Start reading fast, untill 8 words (64 bytes) left while (size > 64) loop wait until clk125m = '1'; read_data_stop <= '0'; if (read_data_val = '1') then size := size - 8; end if; wait until clk125m = '0'; end loop; -- Read the last words slowly while (size > 0) loop wait until clk125m = '1'; read_data_stop <= '0'; if (read_data_val = '1') then size := size - 8; end if; wait until clk125m = '0'; wait until clk125m = '1'; read_data_stop <= '1'; if (read_data_val = '1') then size := size - 8; end if; wait until clk125m = '0'; wait until clk125m = '1'; if (read_data_val = '1') then size := size - 8; end if; wait until clk125m = '0'; end loop; read_data_stop <= '1'; REPORT "DMA Pull, Size " & str(conv_integer(dma_size)) & " bytes"; end procedure dma_pull; variable fstatus: file_open_status; -- declare file variable sip_mode:std_logic:='0'; begin --script should start with 16 BAR definitions for i in 1 to 15 loop BAR_array(i) := (others=>'0'); end loop; BAR_idx :=0; wait for 1 us; --open advanced sip script which is not compatible with the software sip script parser file_open(fstatus,CMD_SCRIPT, "sip_cmd.asip", read_mode); if (fstatus=OPEN_OK) then sip_mode :='1'; else file_open(fstatus,CMD_SCRIPT, "sip_cmd.sip", read_mode); sip_mode := '0'; end if; file_open(INPUT_FILE, "input.txt", read_mode); while not endfile(CMD_SCRIPT) loop readline(CMD_SCRIPT, textline); next when textline'length = 0; -- Skip empty lines -- Reset command for i in 1 to sip_command'length loop sip_command(i to i) := " "; end loop; -- Read command for i in 1 to sip_command'length loop -- Exit when exeeding the number of characters on the line exit when i > textline'length; read(textline, sip_command(i to i)); -- Comment if (sip_command(1 to 1) = ";") then read(textline, sip_command(1 to textline'length)); REPORT(sip_command) SEVERITY warning; exit; end if; if(sip_mode='1') then --assign to next BAR if (sip_command(1 to 7) = "BAR_ADR") then -- Collect address char1 := " "; while (char1 /= "x") loop read(textline, char1); end loop; hread(textline, BAR_array(BAR_idx)); BAR_idx := BAR_idx + 1; exit; end if; end if; -- Write access if (sip_command(1 to 7) = "REG_SET") then -- Collect address char1 := " "; while (char1 /= "x") loop read(textline, char1); end loop; hread(textline, sip_addr); -- Collect data char1 := " "; while (char1 /= "x") loop read(textline, char1); end loop; hread(textline, sip_data); if(sip_mode='1') then -- Collect BAR index char1 := " "; while (char1 /= "x") loop read(textline, char1); end loop; hread(textline, sip_bar_idx); -- Write cmd_write(sip_addr+BAR_array(conv_integer(sip_bar_idx)), sip_data); else cmd_write(sip_addr, sip_data); end if; exit; end if; -- Read access if (sip_command(1 to 7) = "REG_GET") then -- Collect address char1 := " "; while (char1 /= "x") loop read(textline, char1); end loop; hread(textline, sip_addr); if(sip_mode='1') then -- Collect BAR index char1 := " "; while (char1 /= "x") loop read(textline, char1); end loop; hread(textline, sip_bar_idx); -- Read cmd_read(sip_addr+BAR_array(conv_integer(sip_bar_idx)), sip_data); else cmd_read(sip_addr, sip_data); end if; exit; end if; -- DMA Push access (from host to card) if (sip_command(1 to 7) = "DMAPUSH") then -- Collect size char1 := " "; while (char1 /= "x") loop read(textline, char1); end loop; hread(textline, dmapush_size); -- DMA to FPGA B dma_push(dmapush_size); exit; end if; -- DMA Pull access (from card to host) if (sip_command(1 to 7) = "DMAPULL") then -- Collect size char1 := " "; while (char1 /= "x") loop read(textline, char1); end loop; hread(textline, dmapull_size); -- DMA from FPGA B dma_pull(dmapull_size); exit; end if; -- Wait if (sip_command(1 to 4) = "WAIT") then char1 := " "; while (char1 /= "=") loop read(textline, char1); end loop; read(textline, WaitDelay); REPORT("Wait for " & str(WaitDelay) & " us"); for i in 1 to WaitDelay loop wait for 1 us; end loop; exit; end if; end loop; wait for 1 us; end loop; file_close(CMD_SCRIPT); file_close(INPUT_FILE); REPORT("Ready with reading SIP CMD script!"); wait for 10 us; REPORT "Testbench ended" severity warning; wait; end process; -------------------------------------------------------------------------------- -- Write data to file -------------------------------------------------------------------------------- process file OUTPUT_FILE : text; variable textline : line; begin file_open(OUTPUT_FILE, "output.txt", write_mode); file_close(OUTPUT_FILE); while true loop wait until clk125m = '1'; if (read_data_val = '1') then file_open(OUTPUT_FILE, "output.txt", append_mode); hwrite(textline, read_data); writeline(OUTPUT_FILE, textline); file_close(OUTPUT_FILE); end if; wait until clk125m = '0'; end loop; wait; end process; -------------------------------------------------------------------------------- -- Connect entity -------------------------------------------------------------------------------- --Wormhole 'cmdclk_out' of type 'cmdclk_out': cmdclk_out_cmdclk <= clk_cmd; --Wormhole 'cmd_out' of type 'cmd_out': cmd_out_cmdout <= out_cmd; cmd_out_cmdout_val <= out_cmd_val; --Wormhole 'cmd_in' of type 'cmd_in': in_cmd <= cmd_in_cmdin; in_cmd_val <= cmd_in_cmdin_val; --Wormhole 'ext_fc6301_host_if' of type 'ext_fc6301_host_if': ifpga_rst_out_ifpga_rst <= not sys_reset_n; --Wormhole 'in_data' of type 'wh_in': in_data_in_stop <= read_data_stop; read_data_val <= in_data_in_dval; read_data <= in_data_in_data; --Wormhole 'out_data' of type 'wh_out': out_data_out_dval <= write_data_val; out_data_out_data <= write_data; -------------------------------------------------------------------------------- -- End -------------------------------------------------------------------------------- end architecture behavioral;
-- Copyright (C) 2002 Morgan Kaufmann Publishers, Inc -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA library ieee; use ieee.std_logic_1164.all; entity reg_ctrl is port ( reg_addr_decoded, rd, wr, io_en, cpu_clk : in std_ulogic; reg_rd, reg_wr : out std_ulogic ); end entity reg_ctrl; -------------------------------------------------- architecture bool_eqn of reg_ctrl is begin rd_ctrl : reg_rd <= reg_addr_decoded and rd and io_en; rw_ctrl : reg_wr <= reg_addr_decoded and wr and io_en and not cpu_clk; end architecture bool_eqn;
-- Copyright (C) 2002 Morgan Kaufmann Publishers, Inc -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA library ieee; use ieee.std_logic_1164.all; entity reg_ctrl is port ( reg_addr_decoded, rd, wr, io_en, cpu_clk : in std_ulogic; reg_rd, reg_wr : out std_ulogic ); end entity reg_ctrl; -------------------------------------------------- architecture bool_eqn of reg_ctrl is begin rd_ctrl : reg_rd <= reg_addr_decoded and rd and io_en; rw_ctrl : reg_wr <= reg_addr_decoded and wr and io_en and not cpu_clk; end architecture bool_eqn;
-- Copyright (C) 2002 Morgan Kaufmann Publishers, Inc -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA library ieee; use ieee.std_logic_1164.all; entity reg_ctrl is port ( reg_addr_decoded, rd, wr, io_en, cpu_clk : in std_ulogic; reg_rd, reg_wr : out std_ulogic ); end entity reg_ctrl; -------------------------------------------------- architecture bool_eqn of reg_ctrl is begin rd_ctrl : reg_rd <= reg_addr_decoded and rd and io_en; rw_ctrl : reg_wr <= reg_addr_decoded and wr and io_en and not cpu_clk; end architecture bool_eqn;
---------------------------------------------------------------------------------- -- Company: LARC - Escola Politecnica - University of Sao Paulo -- Engineer: Pedro Maat C. Massolino -- -- Create Date: 05/12/2012 -- Design Name: Solving_Key_Equation_1_v2 -- Module Name: Solving_Key_Equation_1_v2 -- Project Name: McEliece QD-Goppa Decoder -- Target Devices: Any -- Tool versions: Xilinx ISE 13.3 WebPack -- -- Description: -- -- The 2nd step in Goppa Code Decoding. -- -- This circuit solves the polynomial key equation sigma with the polynomial syndrome. -- To solve the key equation, this circuit employs a modified extended euclidean algorithm -- The modification is made to stop the algorithm when polynomial, represented here as G, has -- degree less or equal than the polynomial key equation sigma desired degree. -- The syndrome is the input and expected to be of degree 2*final_degree, and after computations -- polynomial C, will hold sigma with degree less or equal to final_degree. -- -- This is the second circuit version. It is a non pipeline version of the algorithm, -- each coefficient takes more than 1 cycle to be computed. -- A more optimized version with a pipeline approach was made called solving_key_equation_2. -- -- Parameters -- -- gf_2_m : -- -- The size of the field used in this circuit. This parameter depends of the -- Goppa code used. -- -- final_degree : -- -- The final degree size expected for polynomial sigma to have. This parameter depends -- of the Goppa code used. -- -- size_final_degree : -- -- The number of bits necessary to hold the polynomial with degree of final_degree, which -- has final_degree + 1 coefficients. This is ceil(log2(final_degree+1)). -- -- Dependencies: -- -- VHDL-93 -- -- controller_solving_key_equation_1_v2 Rev 1.0 -- register_nbits Rev 1.0 -- register_rst_nbits Rev 1.0 -- counter_decrement_load_nbits Rev 1.0 -- counter_decrement_load_rst_nbits Rev 1.0 -- mult_gf_2_m Rev 1.0 -- -- Revision: -- Revision 1.0 -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.NUMERIC_STD.ALL; entity solving_key_equation_1_v2 is Generic( -- GOPPA [2048, 1751, 27, 11] -- gf_2_m : integer range 1 to 20 := 11; final_degree : integer := 27; size_final_degree : integer := 5 -- GOPPA [2048, 1498, 50, 11] -- -- gf_2_m : integer range 1 to 20 := 11; -- final_degree : integer := 50; -- size_final_degree : integer := 6 -- GOPPA [3307, 2515, 66, 12] -- -- gf_2_m : integer range 1 to 20 := 11; -- final_degree : integer := 50; -- size_final_degree : integer := 6 -- QD-GOPPA [2528, 2144, 32, 12] -- -- gf_2_m : integer range 1 to 20 := 12; -- final_degree : integer := 32; -- size_final_degree : integer := 5 -- QD-GOPPA [2816, 2048, 64, 12] -- -- gf_2_m : integer range 1 to 20 := 12; -- final_degree : integer := 64; -- size_final_degree : integer := 6 -- QD-GOPPA [3328, 2560, 64, 12] -- -- gf_2_m : integer range 1 to 20 := 12; -- final_degree : integer := 64; -- size_final_degree : integer := 6 -- QD-GOPPA [7296, 5632, 128, 13] -- -- gf_2_m : integer range 1 to 20 := 13; -- final_degree : integer := 128; -- size_final_degree : integer := 7 ); Port( clk : in STD_LOGIC; rst : in STD_LOGIC; ready_inv : in STD_LOGIC; value_FB : in STD_LOGIC_VECTOR((gf_2_m - 1) downto 0); value_GC : in STD_LOGIC_VECTOR((gf_2_m - 1) downto 0); value_inv : in STD_LOGIC_VECTOR((gf_2_m - 1) downto 0); signal_inv : out STD_LOGIC; key_equation_found : out STD_LOGIC; write_enable_FB : out STD_LOGIC; write_enable_GC : out STD_LOGIC; new_value_inv : out STD_LOGIC_VECTOR((gf_2_m - 1) downto 0); new_value_FB : out STD_LOGIC_VECTOR((gf_2_m - 1) downto 0); new_value_GC : out STD_LOGIC_VECTOR((gf_2_m - 1) downto 0); address_FB : out STD_LOGIC_VECTOR((size_final_degree + 1) downto 0); address_GC : out STD_LOGIC_VECTOR((size_final_degree + 1) downto 0) ); end solving_key_equation_1_v2; architecture Behavioral of solving_key_equation_1_v2 is component controller_solving_key_equation_1_v2 Port ( clk : in STD_LOGIC; rst : in STD_LOGIC; FB_equal_zero : in STD_LOGIC; i_equal_zero : in STD_LOGIC; i_minus_j_less_than_zero : in STD_LOGIC; degree_G_less_equal_final_degree : in STD_LOGIC; degree_F_less_than_degree_G : in STD_LOGIC; degree_B_equal_degree_C_plus_j : in STD_LOGIC; degree_B_less_than_degree_C_plus_j : in STD_LOGIC; reg_looking_degree_q : in STD_LOGIC_VECTOR(0 downto 0); key_equation_found : out STD_LOGIC; signal_inv : out STD_LOGIC; sel_new_value_inv : out STD_LOGIC; write_enable_FB : out STD_LOGIC; write_enable_GC : out STD_LOGIC; sel_base_mul : out STD_LOGIC; reg_h_ce : out STD_LOGIC; ctr_i_ce : out STD_LOGIC; ctr_i_load : out STD_LOGIC; ctr_i_rst : out STD_LOGIC; sel_ctr_i_rst_value : out STD_LOGIC; sel_ctr_i_d : out STD_LOGIC; reg_j_ce : out STD_LOGIC; reg_j_rst : out STD_LOGIC; reg_FB_ce : out STD_LOGIC; reg_FB_rst : out STD_LOGIC; sel_reg_FB : out STD_LOGIC; sel_load_new_value_FB : out STD_LOGIC; reg_GC_ce : out STD_LOGIC; reg_GC_rst : out STD_LOGIC; sel_reg_GC : out STD_LOGIC; ctr_degree_F_ce : out STD_LOGIC; ctr_degree_F_load : out STD_LOGIC; ctr_degree_F_rst : out STD_LOGIC; reg_degree_G_ce : out STD_LOGIC; reg_degree_G_rst : out STD_LOGIC; ctr_degree_B_ce : out STD_LOGIC; ctr_degree_B_load : out STD_LOGIC; ctr_degree_B_rst : out STD_LOGIC; sel_ctr_degree_B : out STD_LOGIC; reg_degree_C_ce : out STD_LOGIC; reg_degree_C_rst : out STD_LOGIC; reg_looking_degree_d : out STD_LOGIC_VECTOR(0 downto 0); reg_looking_degree_ce : out STD_LOGIC; reg_swap_ce : out STD_LOGIC; reg_swap_rst : out STD_LOGIC; sel_address_FB : out STD_LOGIC; sel_address_GC : out STD_LOGIC; ctr_address_FB_ce : out STD_LOGIC; ctr_address_FB_load : out STD_LOGIC; ctr_address_GC_ce : out STD_LOGIC; ctr_address_GC_load : out STD_LOGIC; BC_calculation : out STD_LOGIC; enable_external_swap : out STD_LOGIC ); end component; component register_nbits Generic (size : integer); Port ( d : in STD_LOGIC_VECTOR ((size - 1) downto 0); clk : in STD_LOGIC; ce : in STD_LOGIC; q : out STD_LOGIC_VECTOR ((size - 1) downto 0) ); end component; component register_rst_nbits Generic (size : integer); Port ( d : in STD_LOGIC_VECTOR ((size - 1) downto 0); clk : in STD_LOGIC; ce : in STD_LOGIC; rst : in STD_LOGIC; rst_value : in STD_LOGIC_VECTOR ((size - 1) downto 0); q : out STD_LOGIC_VECTOR ((size - 1) downto 0) ); end component; component counter_decrement_load_rst_nbits Generic ( size : integer; decrement_value : integer ); Port ( d : in STD_LOGIC_VECTOR ((size - 1) downto 0); clk : in STD_LOGIC; ce : in STD_LOGIC; load : in STD_LOGIC; rst : in STD_LOGIC; rst_value : in STD_LOGIC_VECTOR((size - 1) downto 0); q : out STD_LOGIC_VECTOR((size - 1) downto 0) ); end component; component counter_decrement_load_nbits Generic ( size : integer; decrement_value : integer ); Port ( d : in STD_LOGIC_VECTOR ((size - 1) downto 0); clk : in STD_LOGIC; ce : in STD_LOGIC; load : in STD_LOGIC; q : out STD_LOGIC_VECTOR((size - 1) downto 0) ); end component; component mult_gf_2_m Generic (gf_2_m : integer range 1 to 20 := 11); Port ( a : in STD_LOGIC_VECTOR((gf_2_m - 1) downto 0); b: in STD_LOGIC_VECTOR((gf_2_m - 1) downto 0); o : out STD_LOGIC_VECTOR((gf_2_m - 1) downto 0) ); end component; signal base_mult_a : STD_LOGIC_VECTOR((gf_2_m - 1) downto 0); signal base_mult_b : STD_LOGIC_VECTOR((gf_2_m - 1) downto 0); signal base_mult_o : STD_LOGIC_VECTOR((gf_2_m - 1) downto 0); signal sel_base_mul : STD_LOGIC; signal reg_h_d : STD_LOGIC_VECTOR((gf_2_m - 1) downto 0); signal reg_h_ce : STD_LOGIC; signal reg_h_q : STD_LOGIC_VECTOR((gf_2_m - 1) downto 0); signal reg_inv_d : STD_LOGIC_VECTOR((gf_2_m - 1) downto 0); signal reg_inv_ce : STD_LOGIC; signal reg_inv_q : STD_LOGIC_VECTOR((gf_2_m - 1) downto 0); signal ctr_i_d : STD_LOGIC_VECTOR((size_final_degree + 1) downto 0); signal ctr_i_ce : STD_LOGIC; signal ctr_i_load : STD_LOGIC; signal ctr_i_rst : STD_LOGIC; signal ctr_i_rst_value : STD_LOGIC_VECTOR((size_final_degree + 1) downto 0); signal ctr_i_q : STD_LOGIC_VECTOR((size_final_degree + 1) downto 0); signal sel_ctr_i_d : STD_LOGIC; signal sel_ctr_i_rst_value : STD_LOGIC; constant ctr_i_rst_value_F : STD_LOGIC_VECTOR((size_final_degree + 1) downto 0) := std_logic_vector(to_unsigned(2*final_degree - 1,size_final_degree + 2)); constant ctr_i_rst_value_B : STD_LOGIC_VECTOR((size_final_degree + 1) downto 0) := std_logic_vector(to_unsigned(final_degree,size_final_degree + 2)); signal reg_j_d : STD_LOGIC_VECTOR((size_final_degree + 1) downto 0); signal reg_j_ce : STD_LOGIC; signal reg_j_rst : STD_LOGIC; signal reg_j_rst_value : STD_LOGIC_VECTOR((size_final_degree + 1) downto 0) := std_logic_vector(to_unsigned(0,size_final_degree + 2)); signal reg_j_q : STD_LOGIC_VECTOR((size_final_degree + 1) downto 0); signal reg_FB_d : STD_LOGIC_VECTOR((gf_2_m - 1) downto 0); signal reg_FB_ce : STD_LOGIC; signal reg_FB_rst : STD_LOGIC; constant reg_FB_rst_value : STD_LOGIC_VECTOR((gf_2_m - 1) downto 0) := (others=> '0'); signal reg_FB_q : STD_LOGIC_VECTOR((gf_2_m - 1) downto 0); signal sel_reg_FB : STD_LOGIC; signal reg_GC_d : STD_LOGIC_VECTOR((gf_2_m - 1) downto 0); signal reg_GC_ce : STD_LOGIC; signal reg_GC_rst : STD_LOGIC; constant reg_GC_rst_value : STD_LOGIC_VECTOR((gf_2_m - 1) downto 0) := (others=> '0'); signal reg_GC_q : STD_LOGIC_VECTOR((gf_2_m - 1) downto 0); signal sel_reg_GC : STD_LOGIC; signal ctr_degree_F_d : STD_LOGIC_VECTOR((size_final_degree + 1) downto 0); signal ctr_degree_F_ce : STD_LOGIC; signal ctr_degree_F_load : STD_LOGIC; signal ctr_degree_F_rst : STD_LOGIC; constant ctr_degree_F_rst_value : STD_LOGIC_VECTOR((size_final_degree + 1) downto 0) := std_logic_vector(to_unsigned(2*final_degree - 1,size_final_degree + 2)); signal ctr_degree_F_q : STD_LOGIC_VECTOR((size_final_degree + 1) downto 0); signal reg_degree_G_d : STD_LOGIC_VECTOR((size_final_degree + 1) downto 0); signal reg_degree_G_ce : STD_LOGIC; signal reg_degree_G_rst : STD_LOGIC; constant reg_degree_G_rst_value : STD_LOGIC_VECTOR((size_final_degree + 1) downto 0) := std_logic_vector(to_unsigned(2*final_degree,size_final_degree + 2)); signal reg_degree_G_q : STD_LOGIC_VECTOR((size_final_degree + 1) downto 0); signal ctr_degree_B_d : STD_LOGIC_VECTOR((size_final_degree + 1) downto 0); signal ctr_degree_B_ce : STD_LOGIC; signal ctr_degree_B_load : STD_LOGIC; signal ctr_degree_B_rst : STD_LOGIC; constant ctr_degree_B_rst_value : STD_LOGIC_VECTOR((size_final_degree + 1) downto 0) := std_logic_vector(to_unsigned(0,size_final_degree + 2)); signal ctr_degree_B_q : STD_LOGIC_VECTOR((size_final_degree + 1) downto 0); signal sel_ctr_degree_B : STD_LOGIC; signal reg_degree_C_d : STD_LOGIC_VECTOR((size_final_degree + 1) downto 0); signal reg_degree_C_ce : STD_LOGIC; signal reg_degree_C_rst : STD_LOGIC; constant reg_degree_C_rst_value : STD_LOGIC_VECTOR((size_final_degree + 1) downto 0) := std_logic_vector(to_unsigned(0,size_final_degree + 2)); signal reg_degree_C_q : STD_LOGIC_VECTOR((size_final_degree + 1) downto 0); signal reg_looking_degree_d : STD_LOGIC_VECTOR(0 downto 0); signal reg_looking_degree_ce : STD_LOGIC; signal reg_looking_degree_q : STD_LOGIC_VECTOR(0 downto 0); signal reg_swap_d : STD_LOGIC_VECTOR(0 downto 0); signal reg_swap_ce : STD_LOGIC; signal reg_swap_rst : STD_LOGIC; constant reg_swap_rst_value : STD_LOGIC_VECTOR(0 downto 0) := "0"; signal reg_swap_q : STD_LOGIC_VECTOR(0 downto 0); signal i_minus_j : STD_LOGIC_VECTOR((size_final_degree + 1) downto 0); signal degree_C_plus_j : STD_LOGIC_VECTOR((size_final_degree + 1) downto 0); signal int_value_FB : STD_LOGIC_VECTOR((gf_2_m - 1) downto 0); signal int_value_GC : STD_LOGIC_VECTOR((gf_2_m - 1) downto 0); signal sel_load_new_value_FB : STD_LOGIC; signal int_new_value_FB : STD_LOGIC_VECTOR((gf_2_m - 1) downto 0); signal int_new_value_GC : STD_LOGIC_VECTOR((gf_2_m - 1) downto 0); signal int_write_enable_FB : STD_LOGIC; signal int_write_enable_GC : STD_LOGIC; signal address_i_FB : STD_LOGIC_VECTOR((size_final_degree + 1) downto 0); signal address_degree_F : STD_LOGIC_VECTOR((size_final_degree + 1) downto 0); signal address_degree_G : STD_LOGIC_VECTOR((size_final_degree + 1) downto 0); signal address_i_minus_j_GC : STD_LOGIC_VECTOR((size_final_degree + 1) downto 0); signal sel_address_FB : STD_LOGIC; signal sel_address_GC : STD_LOGIC; signal ctr_address_FB_d : STD_LOGIC_VECTOR((size_final_degree + 1) downto 0); signal ctr_address_FB_ce : STD_LOGIC; signal ctr_address_FB_load : STD_LOGIC; signal ctr_address_FB_q : STD_LOGIC_VECTOR((size_final_degree + 1) downto 0); signal ctr_address_GC_d : STD_LOGIC_VECTOR((size_final_degree + 1) downto 0); signal ctr_address_GC_ce : STD_LOGIC; signal ctr_address_GC_load : STD_LOGIC; signal ctr_address_GC_q : STD_LOGIC_VECTOR((size_final_degree + 1) downto 0); signal BC_calculation : STD_LOGIC; signal enable_external_swap : STD_LOGIC; signal sel_new_value_inv : STD_LOGIC; signal FB_equal_zero : STD_LOGIC; signal i_equal_zero : STD_LOGIC; signal i_minus_j_less_than_zero : STD_LOGIC; signal degree_G_less_equal_final_degree : STD_LOGIC; signal degree_F_less_than_degree_G : STD_LOGIC; signal degree_B_equal_degree_C_plus_j : STD_LOGIC; signal degree_B_less_than_degree_C_plus_j : STD_LOGIC; begin controller : controller_solving_key_equation_1_v2 Port Map( clk => clk, rst => rst, FB_equal_zero => FB_equal_zero, i_equal_zero => i_equal_zero, i_minus_j_less_than_zero => i_minus_j_less_than_zero, degree_G_less_equal_final_degree => degree_G_less_equal_final_degree, degree_F_less_than_degree_G => degree_F_less_than_degree_G, degree_B_equal_degree_C_plus_j => degree_B_equal_degree_C_plus_j, degree_B_less_than_degree_C_plus_j => degree_B_less_than_degree_C_plus_j, reg_looking_degree_q => reg_looking_degree_q, key_equation_found => key_equation_found, signal_inv => signal_inv, sel_new_value_inv => sel_new_value_inv, write_enable_FB => int_write_enable_FB, write_enable_GC => int_write_enable_GC, sel_base_mul => sel_base_mul, reg_h_ce => reg_h_ce, ctr_i_ce => ctr_i_ce, ctr_i_load => ctr_i_load, ctr_i_rst => ctr_i_rst, sel_ctr_i_rst_value => sel_ctr_i_rst_value, sel_ctr_i_d => sel_ctr_i_d, reg_j_ce => reg_j_ce, reg_j_rst => reg_j_rst, reg_FB_ce => reg_FB_ce, reg_FB_rst => reg_FB_rst, sel_reg_FB => sel_reg_FB, sel_load_new_value_FB => sel_load_new_value_FB, reg_GC_ce => reg_GC_ce, reg_GC_rst => reg_GC_rst, sel_reg_GC => sel_reg_GC, ctr_degree_F_ce => ctr_degree_F_ce, ctr_degree_F_load => ctr_degree_F_load, ctr_degree_F_rst => ctr_degree_F_rst, reg_degree_G_ce => reg_degree_G_ce, reg_degree_G_rst => reg_degree_G_rst, ctr_degree_B_ce => ctr_degree_B_ce, ctr_degree_B_load => ctr_degree_B_load, ctr_degree_B_rst => ctr_degree_B_rst, sel_ctr_degree_B => sel_ctr_degree_B, reg_degree_C_ce => reg_degree_C_ce, reg_degree_C_rst => reg_degree_C_rst, reg_looking_degree_d => reg_looking_degree_d, reg_looking_degree_ce => reg_looking_degree_ce, reg_swap_ce => reg_swap_ce, reg_swap_rst => reg_swap_rst, sel_address_FB => sel_address_FB, sel_address_GC => sel_address_GC, ctr_address_FB_ce => ctr_address_FB_ce, ctr_address_FB_load => ctr_address_FB_load, ctr_address_GC_ce => ctr_address_GC_ce, ctr_address_GC_load => ctr_address_GC_load, BC_calculation => BC_calculation, enable_external_swap => enable_external_swap ); base_mult : mult_gf_2_m Generic Map( gf_2_m => gf_2_m ) Port Map( a => base_mult_a, b => base_mult_b, o => base_mult_o ); reg_h : register_nbits Generic Map( size => gf_2_m ) Port Map( d => reg_h_d, clk => clk, ce => reg_h_ce, q => reg_h_q ); reg_inv : register_nbits Generic Map( size => gf_2_m ) Port Map( d => reg_inv_d, clk => clk, ce => reg_inv_ce, q => reg_inv_q ); ctr_i : counter_decrement_load_rst_nbits Generic Map( size => size_final_degree+2, decrement_value => 1 ) Port Map( d => ctr_i_d, clk => clk, ce => ctr_i_ce, load => ctr_i_load, rst => ctr_i_rst, rst_value => ctr_i_rst_value, q => ctr_i_q ); reg_j : register_rst_nbits Generic Map( size => size_final_degree+2 ) Port Map( d => reg_j_d, clk => clk, ce => reg_j_ce, rst => reg_j_rst, rst_value => reg_j_rst_value, q => reg_j_q ); reg_FB : register_rst_nbits Generic Map( size => gf_2_m ) Port Map( d => reg_FB_d, clk => clk, rst => reg_FB_rst, rst_value => reg_FB_rst_value, ce => reg_FB_ce, q => reg_FB_q ); reg_GC : register_rst_nbits Generic Map( size => gf_2_m ) Port Map( d => reg_GC_d, clk => clk, rst => reg_GC_rst, rst_value => reg_GC_rst_value, ce => reg_GC_ce, q => reg_GC_q ); ctr_degree_F : counter_decrement_load_rst_nbits Generic Map( size => size_final_degree+2, decrement_value => 1 ) Port Map( d => ctr_degree_F_d, clk => clk, ce => ctr_degree_F_ce, load => ctr_degree_F_load, rst => ctr_degree_F_rst, rst_value => ctr_degree_F_rst_value, q => ctr_degree_F_q ); reg_degree_G : register_rst_nbits Generic Map( size => size_final_degree+2 ) Port Map( d => reg_degree_G_d, clk => clk, rst => reg_degree_G_rst, rst_value => reg_degree_G_rst_value, ce => reg_degree_G_ce, q => reg_degree_G_q ); ctr_degree_B : counter_decrement_load_rst_nbits Generic Map( size => size_final_degree+2, decrement_value => 1 ) Port Map( d => ctr_degree_B_d, clk => clk, ce => ctr_degree_B_ce, load => ctr_degree_B_load, rst => ctr_degree_B_rst, rst_value => ctr_degree_B_rst_value, q => ctr_degree_B_q ); reg_degree_C : register_rst_nbits Generic Map( size => size_final_degree+2 ) Port Map( d => reg_degree_C_d, clk => clk, rst => reg_degree_C_rst, rst_value => reg_degree_C_rst_value, ce => reg_degree_C_ce, q => reg_degree_C_q ); ctr_address_FB : counter_decrement_load_nbits Generic Map( size => size_final_degree+2, decrement_value => 1 ) Port Map( d => ctr_address_FB_d, clk => clk, ce => ctr_address_FB_ce, load => ctr_address_FB_load, q => ctr_address_FB_q ); ctr_address_GC : counter_decrement_load_nbits Generic Map( size => size_final_degree+2, decrement_value => 1 ) Port Map( d => ctr_address_GC_d, clk => clk, ce => ctr_address_GC_ce, load => ctr_address_GC_load, q => ctr_address_GC_q ); reg_looking_degree : register_nbits Generic Map( size => 1 ) Port Map( d => reg_looking_degree_d, clk => clk, ce => reg_looking_degree_ce, q => reg_looking_degree_q ); reg_swap : register_rst_nbits Generic Map( size => 1 ) Port Map( d => reg_swap_d, clk => clk, ce => reg_swap_ce, rst => reg_swap_rst, rst_value => reg_swap_rst_value, q => reg_swap_q ); base_mult_a <= reg_inv_q when sel_base_mul = '1' else reg_h_q; base_mult_b <= reg_FB_q when sel_base_mul = '1' else reg_GC_q; reg_h_d <= base_mult_o; reg_inv_d <= value_inv; reg_inv_ce <= ready_inv; ctr_i_d <= ctr_degree_F_q when sel_ctr_i_d = '1' else degree_C_plus_j; ctr_i_rst_value <= ctr_i_rst_value_F when sel_ctr_i_rst_value = '1' else ctr_i_rst_value_B; reg_j_d <= std_logic_vector(unsigned(ctr_degree_F_q) - unsigned(reg_degree_G_q)); reg_FB_d <= (base_mult_o xor reg_FB_q) when sel_load_new_value_FB = '1' else std_logic_vector(to_unsigned(1, reg_FB_d'length)) when sel_reg_FB = '1' else int_value_FB; reg_GC_d <= std_logic_vector(to_unsigned(1, reg_GC_d'length)) when sel_reg_GC = '1' else int_value_GC; ctr_degree_F_d <= reg_degree_G_q; reg_degree_G_d <= ctr_degree_F_q; ctr_degree_B_d <= degree_C_plus_j when sel_ctr_degree_B = '1' else reg_degree_C_q; degree_C_plus_j <= std_logic_vector(unsigned(reg_degree_C_q) + unsigned(reg_j_q)); i_minus_j <= std_logic_vector(unsigned(ctr_i_q) - unsigned(reg_j_q)); reg_degree_C_d <= ctr_degree_B_q; reg_swap_d <= not reg_swap_q; int_new_value_FB <= reg_FB_q; int_new_value_GC <= reg_GC_q; int_value_FB <= value_GC when reg_swap_q = "1" else value_FB; int_value_GC <= value_FB when reg_swap_q = "1" else value_GC; new_value_inv <= int_new_value_FB when sel_new_value_inv = '1' else int_new_value_GC; new_value_FB <= int_new_value_GC when (reg_swap_q(0) and enable_external_swap) = '1' else int_new_value_FB; new_value_GC <= int_new_value_FB when (reg_swap_q(0) and enable_external_swap) = '1' else int_new_value_GC; write_enable_FB <= int_write_enable_GC when (reg_swap_q(0) and enable_external_swap) = '1' else int_write_enable_FB; write_enable_GC <= int_write_enable_FB when (reg_swap_q(0) and enable_external_swap) = '1' else int_write_enable_GC; address_i_FB <= std_logic_vector(to_unsigned(2*final_degree + 1, address_i_FB'length) + unsigned(ctr_i_q)) when BC_calculation = '1' else ctr_i_q; address_degree_F <= ctr_degree_F_q; address_degree_G <= reg_degree_G_q; address_i_minus_j_GC <= std_logic_vector(to_unsigned(2*final_degree + 1, address_i_minus_j_GC'length) + unsigned(i_minus_j)) when BC_calculation = '1' else i_minus_j; ctr_address_FB_d <= address_degree_F when sel_address_FB = '1' else address_i_FB; ctr_address_GC_d <= address_degree_G when sel_address_GC = '1' else address_i_minus_j_GC; address_FB <= ctr_address_GC_q when (reg_swap_q(0) and enable_external_swap) = '1' else ctr_address_FB_q; address_GC <= ctr_address_FB_q when (reg_swap_q(0) and enable_external_swap) = '1' else ctr_address_GC_q; FB_equal_zero <= '1' when (int_new_value_FB = std_logic_vector(to_unsigned(0,reg_FB_q'length))) else '0'; i_equal_zero <= '1' when (ctr_i_q = std_logic_vector(to_unsigned(0,ctr_i_q'length))) else '0'; i_minus_j_less_than_zero <= '1' when (signed(i_minus_j) < to_signed(0,i_minus_j'length)) else '0'; degree_G_less_equal_final_degree <= '1' when (unsigned(reg_degree_G_q) <= to_unsigned(final_degree-1,reg_degree_G_q'length)) else '0'; degree_F_less_than_degree_G <= '1' when (unsigned(ctr_degree_F_q) < unsigned(reg_degree_G_q)) else '0'; degree_B_equal_degree_C_plus_j <= '1' when (ctr_degree_B_q = degree_C_plus_j) else '0'; degree_B_less_than_degree_C_plus_j <= '1' when (unsigned(ctr_degree_B_q) < unsigned(degree_C_plus_j)) else '0'; end Behavioral;
-- ------------------------------------------------------------------- -- Design: -- -- Package for VHDL text output -- -- Note: -- ----- -- This package uses the VHDL 95 standard. -- If VHDL 95 is not supported by your simulator -- you need to comment out the file access functions. -- -- The package provides a means to output text and -- manipulate strings. -- -- The basic usage is like this: >> print(s); << -- (where s is any string) -- To print something which is not a string it has to be converted -- into a string first. For this purpose the package contains -- conversion functions called >> str(...) <<. -- For example a std_logic_vector slv would be printed like this: -- >> print(str(slv)); <<. To print several items on one line the -- items have to concatenated as strings with the "&" operator eg: -- >> print("The value of slv is "& str(slv)); << -- The string functions can also be used in assert statements as shown -- in the example below: -- >> assert DIN = "0101" << -- >> report "DIN = "& str(DIN)& " expected 0101 " << -- >> severity Error; << -- -- -- -- ------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use std.textio.all; package txt_util is -- prints a message to the screen procedure print(text: string); -- prints the message when active -- useful for debug switches procedure print(active: boolean; text: string); -- converts std_logic into a character function chr(sl: std_logic) return character; -- converts std_logic into a string (1 to 1) function str(sl: std_logic) return string; -- converts std_logic_vector into a string (binary base) function str(slv: std_logic_vector) return string; -- converts boolean into a string function str(b: boolean) return string; -- converts an integer into a single character -- (can also be used for hex conversion and other bases) function chr(int: integer) return character; -- converts integer into string using specified base function str(int: integer; base: integer) return string; -- converts integer to string, using base 10 function str(int: integer) return string; -- convert std_logic_vector into a string in hex format function hstr(slv: std_logic_vector) return string; -- functions to manipulate strings ----------------------------------- -- convert a character to upper case function to_upper(c: character) return character; -- convert a character to lower case function to_lower(c: character) return character; -- convert a string to upper case function to_upper(s: string) return string; -- convert a string to lower case function to_lower(s: string) return string; -- functions to convert strings into other formats -------------------------------------------------- -- converts a character into std_logic function to_std_logic(c: character) return std_logic; -- converts a string into std_logic_vector function to_std_logic_vector(s: string) return std_logic_vector; -- file I/O ----------- -- read variable length string from input file procedure str_read(file in_file: TEXT; res_string: out string); -- print string to a file and start new line procedure print(file out_file: TEXT; new_string: in string); -- print character to a file and start new line procedure print(file out_file: TEXT; char: in character); end txt_util; package body txt_util is -- prints text to the screen procedure print(text: string) is variable msg_line: line; begin write(msg_line, text); writeline(output, msg_line); end print; -- prints text to the screen when active procedure print(active: boolean; text: string) is begin if active then print(text); end if; end print; -- converts std_logic into a character function chr(sl: std_logic) return character is variable c: character; begin case sl is when 'U' => c:= 'U'; when 'X' => c:= 'X'; when '0' => c:= '0'; when '1' => c:= '1'; when 'Z' => c:= 'Z'; when 'W' => c:= 'W'; when 'L' => c:= 'L'; when 'H' => c:= 'H'; when '-' => c:= '-'; end case; return c; end chr; -- converts std_logic into a string (1 to 1) function str(sl: std_logic) return string is variable s: string(1 to 1); begin s(1) := chr(sl); return s; end str; -- converts std_logic_vector into a string (binary base) -- (this also takes care of the fact that the range of -- a string is natural while a std_logic_vector may -- have an integer range) function str(slv: std_logic_vector) return string is variable result : string (1 to slv'length); variable r : integer; begin r := 1; for i in slv'range loop result(r) := chr(slv(i)); r := r + 1; end loop; return result; end str; function str(b: boolean) return string is begin if b then return "true"; else return "false"; end if; end str; -- converts an integer into a character -- for 0 to 9 the obvious mapping is used, higher -- values are mapped to the characters A-Z -- (this is usefull for systems with base > 10) -- (adapted from Steve Vogwell's posting in comp.lang.vhdl) function chr(int: integer) return character is variable c: character; begin case int is when 0 => c := '0'; when 1 => c := '1'; when 2 => c := '2'; when 3 => c := '3'; when 4 => c := '4'; when 5 => c := '5'; when 6 => c := '6'; when 7 => c := '7'; when 8 => c := '8'; when 9 => c := '9'; when 10 => c := 'A'; when 11 => c := 'B'; when 12 => c := 'C'; when 13 => c := 'D'; when 14 => c := 'E'; when 15 => c := 'F'; when 16 => c := 'G'; when 17 => c := 'H'; when 18 => c := 'I'; when 19 => c := 'J'; when 20 => c := 'K'; when 21 => c := 'L'; when 22 => c := 'M'; when 23 => c := 'N'; when 24 => c := 'O'; when 25 => c := 'P'; when 26 => c := 'Q'; when 27 => c := 'R'; when 28 => c := 'S'; when 29 => c := 'T'; when 30 => c := 'U'; when 31 => c := 'V'; when 32 => c := 'W'; when 33 => c := 'X'; when 34 => c := 'Y'; when 35 => c := 'Z'; when others => c := '?'; end case; return c; end chr; -- convert integer to string using specified base -- (adapted from Steve Vogwell's posting in comp.lang.vhdl) function str(int: integer; base: integer) return string is variable temp: string(1 to 10); variable num: integer; variable abs_int: integer; variable len: integer := 1; variable power: integer := 1; begin -- bug fix for negative numbers abs_int := abs(int); num := abs_int; while num >= base loop -- Determine how many len := len + 1; -- characters required num := num / base; -- to represent the end loop ; -- number. for i in len downto 1 loop -- Convert the number to temp(i) := chr(abs_int/power mod base); -- a string starting power := power * base; -- with the right hand end loop ; -- side. -- return result and add sign if required if int < 0 then return '-'& temp(1 to len); else return temp(1 to len); end if; end str; -- convert integer to string, using base 10 function str(int: integer) return string is begin return str(int, 10) ; end str; -- converts a std_logic_vector into a hex string. function hstr(slv: std_logic_vector) return string is variable hexlen: integer; variable longslv : std_logic_vector(67 downto 0) := (others => '0'); variable hex : string(1 to 16); variable fourbit : std_logic_vector(3 downto 0); begin hexlen := (slv'left+1)/4; if (slv'left+1) mod 4 /= 0 then hexlen := hexlen + 1; end if; longslv(slv'left downto 0) := slv; for i in (hexlen -1) downto 0 loop fourbit := longslv(((i*4)+3) downto (i*4)); case fourbit is when "0000" => hex(hexlen -I) := '0'; when "0001" => hex(hexlen -I) := '1'; when "0010" => hex(hexlen -I) := '2'; when "0011" => hex(hexlen -I) := '3'; when "0100" => hex(hexlen -I) := '4'; when "0101" => hex(hexlen -I) := '5'; when "0110" => hex(hexlen -I) := '6'; when "0111" => hex(hexlen -I) := '7'; when "1000" => hex(hexlen -I) := '8'; when "1001" => hex(hexlen -I) := '9'; when "1010" => hex(hexlen -I) := 'A'; when "1011" => hex(hexlen -I) := 'B'; when "1100" => hex(hexlen -I) := 'C'; when "1101" => hex(hexlen -I) := 'D'; when "1110" => hex(hexlen -I) := 'E'; when "1111" => hex(hexlen -I) := 'F'; when "ZZZZ" => hex(hexlen -I) := 'z'; when "UUUU" => hex(hexlen -I) := 'u'; when "XXXX" => hex(hexlen -I) := 'x'; when others => hex(hexlen -I) := '?'; end case; end loop; return hex(1 to hexlen); end hstr; -- functions to manipulate strings ----------------------------------- -- convert a character to upper case function to_upper(c: character) return character is variable u: character; begin case c is when 'a' => u := 'A'; when 'b' => u := 'B'; when 'c' => u := 'C'; when 'd' => u := 'D'; when 'e' => u := 'E'; when 'f' => u := 'F'; when 'g' => u := 'G'; when 'h' => u := 'H'; when 'i' => u := 'I'; when 'j' => u := 'J'; when 'k' => u := 'K'; when 'l' => u := 'L'; when 'm' => u := 'M'; when 'n' => u := 'N'; when 'o' => u := 'O'; when 'p' => u := 'P'; when 'q' => u := 'Q'; when 'r' => u := 'R'; when 's' => u := 'S'; when 't' => u := 'T'; when 'u' => u := 'U'; when 'v' => u := 'V'; when 'w' => u := 'W'; when 'x' => u := 'X'; when 'y' => u := 'Y'; when 'z' => u := 'Z'; when others => u := c; end case; return u; end to_upper; -- convert a character to lower case function to_lower(c: character) return character is variable l: character; begin case c is when 'A' => l := 'a'; when 'B' => l := 'b'; when 'C' => l := 'c'; when 'D' => l := 'd'; when 'E' => l := 'e'; when 'F' => l := 'f'; when 'G' => l := 'g'; when 'H' => l := 'h'; when 'I' => l := 'i'; when 'J' => l := 'j'; when 'K' => l := 'k'; when 'L' => l := 'l'; when 'M' => l := 'm'; when 'N' => l := 'n'; when 'O' => l := 'o'; when 'P' => l := 'p'; when 'Q' => l := 'q'; when 'R' => l := 'r'; when 'S' => l := 's'; when 'T' => l := 't'; when 'U' => l := 'u'; when 'V' => l := 'v'; when 'W' => l := 'w'; when 'X' => l := 'x'; when 'Y' => l := 'y'; when 'Z' => l := 'z'; when others => l := c; end case; return l; end to_lower; -- convert a string to upper case function to_upper(s: string) return string is variable uppercase: string (s'range); begin for i in s'range loop uppercase(i):= to_upper(s(i)); end loop; return uppercase; end to_upper; -- convert a string to lower case function to_lower(s: string) return string is variable lowercase: string (s'range); begin for i in s'range loop lowercase(i):= to_lower(s(i)); end loop; return lowercase; end to_lower; -- functions to convert strings into other types -- converts a character into a std_logic function to_std_logic(c: character) return std_logic is variable sl: std_logic; begin case c is when 'U' => sl := 'U'; when 'X' => sl := 'X'; when '0' => sl := '0'; when '1' => sl := '1'; when 'Z' => sl := 'Z'; when 'W' => sl := 'W'; when 'L' => sl := 'L'; when 'H' => sl := 'H'; when '-' => sl := '-'; when others => sl := 'X'; end case; return sl; end to_std_logic; -- converts a string into std_logic_vector function to_std_logic_vector(s: string) return std_logic_vector is variable slv: std_logic_vector(s'high-s'low downto 0); variable k: integer; begin k := s'high-s'low; for i in s'range loop slv(k) := to_std_logic(s(i)); k := k - 1; end loop; return slv; end to_std_logic_vector; ---------------- -- file I/O -- ---------------- -- read variable length string from input file procedure str_read(file in_file: TEXT; res_string: out string) is variable l: line; variable c: character; variable is_string: boolean; begin readline(in_file, l); -- clear the contents of the result string for i in res_string'range loop res_string(i) := ' '; end loop; -- read all characters of the line, up to the length -- of the results string for i in res_string'range loop read(l, c, is_string); res_string(i) := c; if not is_string then -- found end of line exit; end if; end loop; end str_read; -- print string to a file procedure print(file out_file: TEXT; new_string: in string) is variable l: line; begin write(l, new_string); writeline(out_file, l); end print; -- print character to a file and start new line procedure print(file out_file: TEXT; char: in character) is variable l: line; begin write(l, char); writeline(out_file, l); end print; -- appends contents of a string to a file until line feed occurs -- (LF is considered to be the end of the string) procedure str_write(file out_file: TEXT; new_string: in string) is begin for i in new_string'range loop print(out_file, new_string(i)); if new_string(i) = LF then -- end of string exit; end if; end loop; end str_write; end txt_util;
-- ------------------------------------------------------------------- -- Design: -- -- Package for VHDL text output -- -- Note: -- ----- -- This package uses the VHDL 95 standard. -- If VHDL 95 is not supported by your simulator -- you need to comment out the file access functions. -- -- The package provides a means to output text and -- manipulate strings. -- -- The basic usage is like this: >> print(s); << -- (where s is any string) -- To print something which is not a string it has to be converted -- into a string first. For this purpose the package contains -- conversion functions called >> str(...) <<. -- For example a std_logic_vector slv would be printed like this: -- >> print(str(slv)); <<. To print several items on one line the -- items have to concatenated as strings with the "&" operator eg: -- >> print("The value of slv is "& str(slv)); << -- The string functions can also be used in assert statements as shown -- in the example below: -- >> assert DIN = "0101" << -- >> report "DIN = "& str(DIN)& " expected 0101 " << -- >> severity Error; << -- -- -- -- ------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use std.textio.all; package txt_util is -- prints a message to the screen procedure print(text: string); -- prints the message when active -- useful for debug switches procedure print(active: boolean; text: string); -- converts std_logic into a character function chr(sl: std_logic) return character; -- converts std_logic into a string (1 to 1) function str(sl: std_logic) return string; -- converts std_logic_vector into a string (binary base) function str(slv: std_logic_vector) return string; -- converts boolean into a string function str(b: boolean) return string; -- converts an integer into a single character -- (can also be used for hex conversion and other bases) function chr(int: integer) return character; -- converts integer into string using specified base function str(int: integer; base: integer) return string; -- converts integer to string, using base 10 function str(int: integer) return string; -- convert std_logic_vector into a string in hex format function hstr(slv: std_logic_vector) return string; -- functions to manipulate strings ----------------------------------- -- convert a character to upper case function to_upper(c: character) return character; -- convert a character to lower case function to_lower(c: character) return character; -- convert a string to upper case function to_upper(s: string) return string; -- convert a string to lower case function to_lower(s: string) return string; -- functions to convert strings into other formats -------------------------------------------------- -- converts a character into std_logic function to_std_logic(c: character) return std_logic; -- converts a string into std_logic_vector function to_std_logic_vector(s: string) return std_logic_vector; -- file I/O ----------- -- read variable length string from input file procedure str_read(file in_file: TEXT; res_string: out string); -- print string to a file and start new line procedure print(file out_file: TEXT; new_string: in string); -- print character to a file and start new line procedure print(file out_file: TEXT; char: in character); end txt_util; package body txt_util is -- prints text to the screen procedure print(text: string) is variable msg_line: line; begin write(msg_line, text); writeline(output, msg_line); end print; -- prints text to the screen when active procedure print(active: boolean; text: string) is begin if active then print(text); end if; end print; -- converts std_logic into a character function chr(sl: std_logic) return character is variable c: character; begin case sl is when 'U' => c:= 'U'; when 'X' => c:= 'X'; when '0' => c:= '0'; when '1' => c:= '1'; when 'Z' => c:= 'Z'; when 'W' => c:= 'W'; when 'L' => c:= 'L'; when 'H' => c:= 'H'; when '-' => c:= '-'; end case; return c; end chr; -- converts std_logic into a string (1 to 1) function str(sl: std_logic) return string is variable s: string(1 to 1); begin s(1) := chr(sl); return s; end str; -- converts std_logic_vector into a string (binary base) -- (this also takes care of the fact that the range of -- a string is natural while a std_logic_vector may -- have an integer range) function str(slv: std_logic_vector) return string is variable result : string (1 to slv'length); variable r : integer; begin r := 1; for i in slv'range loop result(r) := chr(slv(i)); r := r + 1; end loop; return result; end str; function str(b: boolean) return string is begin if b then return "true"; else return "false"; end if; end str; -- converts an integer into a character -- for 0 to 9 the obvious mapping is used, higher -- values are mapped to the characters A-Z -- (this is usefull for systems with base > 10) -- (adapted from Steve Vogwell's posting in comp.lang.vhdl) function chr(int: integer) return character is variable c: character; begin case int is when 0 => c := '0'; when 1 => c := '1'; when 2 => c := '2'; when 3 => c := '3'; when 4 => c := '4'; when 5 => c := '5'; when 6 => c := '6'; when 7 => c := '7'; when 8 => c := '8'; when 9 => c := '9'; when 10 => c := 'A'; when 11 => c := 'B'; when 12 => c := 'C'; when 13 => c := 'D'; when 14 => c := 'E'; when 15 => c := 'F'; when 16 => c := 'G'; when 17 => c := 'H'; when 18 => c := 'I'; when 19 => c := 'J'; when 20 => c := 'K'; when 21 => c := 'L'; when 22 => c := 'M'; when 23 => c := 'N'; when 24 => c := 'O'; when 25 => c := 'P'; when 26 => c := 'Q'; when 27 => c := 'R'; when 28 => c := 'S'; when 29 => c := 'T'; when 30 => c := 'U'; when 31 => c := 'V'; when 32 => c := 'W'; when 33 => c := 'X'; when 34 => c := 'Y'; when 35 => c := 'Z'; when others => c := '?'; end case; return c; end chr; -- convert integer to string using specified base -- (adapted from Steve Vogwell's posting in comp.lang.vhdl) function str(int: integer; base: integer) return string is variable temp: string(1 to 10); variable num: integer; variable abs_int: integer; variable len: integer := 1; variable power: integer := 1; begin -- bug fix for negative numbers abs_int := abs(int); num := abs_int; while num >= base loop -- Determine how many len := len + 1; -- characters required num := num / base; -- to represent the end loop ; -- number. for i in len downto 1 loop -- Convert the number to temp(i) := chr(abs_int/power mod base); -- a string starting power := power * base; -- with the right hand end loop ; -- side. -- return result and add sign if required if int < 0 then return '-'& temp(1 to len); else return temp(1 to len); end if; end str; -- convert integer to string, using base 10 function str(int: integer) return string is begin return str(int, 10) ; end str; -- converts a std_logic_vector into a hex string. function hstr(slv: std_logic_vector) return string is variable hexlen: integer; variable longslv : std_logic_vector(67 downto 0) := (others => '0'); variable hex : string(1 to 16); variable fourbit : std_logic_vector(3 downto 0); begin hexlen := (slv'left+1)/4; if (slv'left+1) mod 4 /= 0 then hexlen := hexlen + 1; end if; longslv(slv'left downto 0) := slv; for i in (hexlen -1) downto 0 loop fourbit := longslv(((i*4)+3) downto (i*4)); case fourbit is when "0000" => hex(hexlen -I) := '0'; when "0001" => hex(hexlen -I) := '1'; when "0010" => hex(hexlen -I) := '2'; when "0011" => hex(hexlen -I) := '3'; when "0100" => hex(hexlen -I) := '4'; when "0101" => hex(hexlen -I) := '5'; when "0110" => hex(hexlen -I) := '6'; when "0111" => hex(hexlen -I) := '7'; when "1000" => hex(hexlen -I) := '8'; when "1001" => hex(hexlen -I) := '9'; when "1010" => hex(hexlen -I) := 'A'; when "1011" => hex(hexlen -I) := 'B'; when "1100" => hex(hexlen -I) := 'C'; when "1101" => hex(hexlen -I) := 'D'; when "1110" => hex(hexlen -I) := 'E'; when "1111" => hex(hexlen -I) := 'F'; when "ZZZZ" => hex(hexlen -I) := 'z'; when "UUUU" => hex(hexlen -I) := 'u'; when "XXXX" => hex(hexlen -I) := 'x'; when others => hex(hexlen -I) := '?'; end case; end loop; return hex(1 to hexlen); end hstr; -- functions to manipulate strings ----------------------------------- -- convert a character to upper case function to_upper(c: character) return character is variable u: character; begin case c is when 'a' => u := 'A'; when 'b' => u := 'B'; when 'c' => u := 'C'; when 'd' => u := 'D'; when 'e' => u := 'E'; when 'f' => u := 'F'; when 'g' => u := 'G'; when 'h' => u := 'H'; when 'i' => u := 'I'; when 'j' => u := 'J'; when 'k' => u := 'K'; when 'l' => u := 'L'; when 'm' => u := 'M'; when 'n' => u := 'N'; when 'o' => u := 'O'; when 'p' => u := 'P'; when 'q' => u := 'Q'; when 'r' => u := 'R'; when 's' => u := 'S'; when 't' => u := 'T'; when 'u' => u := 'U'; when 'v' => u := 'V'; when 'w' => u := 'W'; when 'x' => u := 'X'; when 'y' => u := 'Y'; when 'z' => u := 'Z'; when others => u := c; end case; return u; end to_upper; -- convert a character to lower case function to_lower(c: character) return character is variable l: character; begin case c is when 'A' => l := 'a'; when 'B' => l := 'b'; when 'C' => l := 'c'; when 'D' => l := 'd'; when 'E' => l := 'e'; when 'F' => l := 'f'; when 'G' => l := 'g'; when 'H' => l := 'h'; when 'I' => l := 'i'; when 'J' => l := 'j'; when 'K' => l := 'k'; when 'L' => l := 'l'; when 'M' => l := 'm'; when 'N' => l := 'n'; when 'O' => l := 'o'; when 'P' => l := 'p'; when 'Q' => l := 'q'; when 'R' => l := 'r'; when 'S' => l := 's'; when 'T' => l := 't'; when 'U' => l := 'u'; when 'V' => l := 'v'; when 'W' => l := 'w'; when 'X' => l := 'x'; when 'Y' => l := 'y'; when 'Z' => l := 'z'; when others => l := c; end case; return l; end to_lower; -- convert a string to upper case function to_upper(s: string) return string is variable uppercase: string (s'range); begin for i in s'range loop uppercase(i):= to_upper(s(i)); end loop; return uppercase; end to_upper; -- convert a string to lower case function to_lower(s: string) return string is variable lowercase: string (s'range); begin for i in s'range loop lowercase(i):= to_lower(s(i)); end loop; return lowercase; end to_lower; -- functions to convert strings into other types -- converts a character into a std_logic function to_std_logic(c: character) return std_logic is variable sl: std_logic; begin case c is when 'U' => sl := 'U'; when 'X' => sl := 'X'; when '0' => sl := '0'; when '1' => sl := '1'; when 'Z' => sl := 'Z'; when 'W' => sl := 'W'; when 'L' => sl := 'L'; when 'H' => sl := 'H'; when '-' => sl := '-'; when others => sl := 'X'; end case; return sl; end to_std_logic; -- converts a string into std_logic_vector function to_std_logic_vector(s: string) return std_logic_vector is variable slv: std_logic_vector(s'high-s'low downto 0); variable k: integer; begin k := s'high-s'low; for i in s'range loop slv(k) := to_std_logic(s(i)); k := k - 1; end loop; return slv; end to_std_logic_vector; ---------------- -- file I/O -- ---------------- -- read variable length string from input file procedure str_read(file in_file: TEXT; res_string: out string) is variable l: line; variable c: character; variable is_string: boolean; begin readline(in_file, l); -- clear the contents of the result string for i in res_string'range loop res_string(i) := ' '; end loop; -- read all characters of the line, up to the length -- of the results string for i in res_string'range loop read(l, c, is_string); res_string(i) := c; if not is_string then -- found end of line exit; end if; end loop; end str_read; -- print string to a file procedure print(file out_file: TEXT; new_string: in string) is variable l: line; begin write(l, new_string); writeline(out_file, l); end print; -- print character to a file and start new line procedure print(file out_file: TEXT; char: in character) is variable l: line; begin write(l, char); writeline(out_file, l); end print; -- appends contents of a string to a file until line feed occurs -- (LF is considered to be the end of the string) procedure str_write(file out_file: TEXT; new_string: in string) is begin for i in new_string'range loop print(out_file, new_string(i)); if new_string(i) = LF then -- end of string exit; end if; end loop; end str_write; end txt_util;
library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity sum_gen is generic ( N : integer := 32); Port ( A: In std_logic_vector(N-1 downto 0); B: In std_logic_vector(N-1 downto 0); Cin: In std_logic_vector(N/4 downto 0); S: Out std_logic_vector(N-1 downto 0) ); end sum_gen; architecture STRUCTURAL of sum_gen is component carry_sel_gen generic( N : integer := 4); Port ( A: In std_logic_vector(N-1 downto 0); B: In std_logic_vector(N-1 downto 0); Ci: In std_logic; S: Out std_logic_vector(N-1 downto 0); Co: Out std_logic); end component; begin csel_gen: for i in 0 to N/4-1 generate csel_N: carry_sel_gen port map(A((i+1)*4-1 downto i*4),B((i+1)*4-1 downto i*4),Cin(i),S((i+1)*4-1 downto i*4),open); end generate csel_gen; end STRUCTURAL;
library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity sum_gen is generic ( N : integer := 32); Port ( A: In std_logic_vector(N-1 downto 0); B: In std_logic_vector(N-1 downto 0); Cin: In std_logic_vector(N/4 downto 0); S: Out std_logic_vector(N-1 downto 0) ); end sum_gen; architecture STRUCTURAL of sum_gen is component carry_sel_gen generic( N : integer := 4); Port ( A: In std_logic_vector(N-1 downto 0); B: In std_logic_vector(N-1 downto 0); Ci: In std_logic; S: Out std_logic_vector(N-1 downto 0); Co: Out std_logic); end component; begin csel_gen: for i in 0 to N/4-1 generate csel_N: carry_sel_gen port map(A((i+1)*4-1 downto i*4),B((i+1)*4-1 downto i*4),Cin(i),S((i+1)*4-1 downto i*4),open); end generate csel_gen; end STRUCTURAL;
---------------------------------------------------------------------------------- ---------------------------------------------------------------------------- -- Author: Elod Gyorgy -- Copyright 2014 Digilent, Inc. ---------------------------------------------------------------------------- -- -- Create Date: 14:55:31 04/07/2011 -- Design Name: -- Module Name: TWIUtils - Package -- Project Name: TWI Master Controller Reference Design -- Target Devices: -- Tool versions: -- Description: This package provides enumeration types for TWI (Two-Wire -- Interface) bus status and error conditions. -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- package TWIUtils is type busState_type is (busUnknown, busBusy, busFree); type error_type is (errArb, errNAck); end TWIUtils; package body TWIUtils is end TWIUtils; ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------- -- Author: Elod Gyorgy -- Copyright 2014 Digilent, Inc. ---------------------------------------------------------------------------- -- -- Create Date: 14:55:31 04/07/2011 -- Design Name: -- Module Name: TWICtl - Behavioral -- Project Name: TWI Master Controller Reference Design -- Target Devices: -- Tool versions: -- Description: TWICtl is a reusabled Master Controller implementation of the -- TWI protocol. It uses 7-bit addressing and was tested in STANDARD I2C mode. -- FAST mode should also be theoretically possible, although it has not been -- tested. It adheres to arbitration rules, thus supporting multi-master TWI -- buses. Slave-wait is also supported. -- -- -- Dependencies: digilent.TWIUtils package - TWICtl.vhd -- -- Revision: -- Revision 1.02 - Added bus unblock function -- Revision 1.01 - Bugfix: stop condition might be prevented device read -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; use IEEE.math_real.all; -- Use the package defined above use work.TWIUtils.ALL; entity TWICtl is ---------------------------------------------------------------------------------- -- Title : Mode of operation -- Description: The controller can be instructed to initiate/continue/stop a -- data transfer using the strobe (STB_I, MSG_I) signals. Data flow management is -- provided by the done (DONE_O) and error (ERR_O, ERRTYPE_O) signals. Output -- signals are synchronous to CLK and input signals must also be synchronous to -- CLK. Signals are active-high. -- Fast-track instructions (single byte transfer): -- -put the TWI address on A_I -- -if data is written put it on D_I -- -assert STB_I -- -when DONE_O pulse arrives, read data is present on D_O, if any -- -repeat, or deassert STB_I -- Detailed data transfer flow: -- -when DONE_O is low, the controller is ready to accept commands -- -data transfer can be initiated by putting a TWI slave address on the A_I -- bus and providing a strobe (STB_I) -- -the direction of data transfer (read/write) is determined by the LSB of the -- address (0-write, 1-read) -- -in case of a 'write' the data byte should also be present on the D_I bus -- prior to the arrival of the strobe (STB_I) -- -once the data byte gets read/written, DONE_I pulses high for one CLK cycle -- -in case of an error, ERR_O will pulse high together with DONE_I; ERR_O low -- together with DONE_I high indicates success -- -after DONE_I pulses high there is a 1/4 TWI period time frame when the next -- strobe can be sent; this is useful, when multiple bytes are sent/received -- in a single transfer packet; for ex. for write transfers, a new byte can -- be put on the D_I and STB_I provided; -- -if no new strobe is provided, the transfer will end -- -if a new strobe is provided, but the address changed, the current transfer -- will end and a new will begin -- -starting a new transfer can be forced with the MSG_I pin; if asserted with -- a strobe, the data byte will be written/read in a new packet; the advantage -- of this is relevant only in multi-master buses: rather than waiting for the -- current transfer to end and the bus to be released, a new transfer can be -- initiated without giving up the control over the bus ---------------------------------------------------------------------------------- generic ( CLOCKFREQ : natural := 50; ATTEMPT_SLAVE_UNBLOCK : boolean := false --setting this true will attempt --to drive a few clock pulses for a slave to allow to finish a previous --interrupted read transfer, otherwise the bus might remain locked up ); -- input CLK frequency in MHz port ( MSG_I : in STD_LOGIC; --new message STB_I : in STD_LOGIC; --strobe A_I : in STD_LOGIC_VECTOR (7 downto 0); --address input bus D_I : in STD_LOGIC_VECTOR (7 downto 0); --data input bus D_O : out STD_LOGIC_VECTOR (7 downto 0); --data output bus DONE_O : out STD_LOGIC; --done status signal ERR_O : out STD_LOGIC; --error status ERRTYPE_O : out error_type; --error type CLK : in std_logic; SRST : in std_logic; ---------------------------------------------------------------------------------- -- TWI bus signals ---------------------------------------------------------------------------------- SDA : inout std_logic; --TWI SDA SCL : inout std_logic --TWI SCL ); end TWICtl; architecture Behavioral of TWICtl is attribute fsm_encoding: string; constant FSCL : natural := 400_000; --in Hz SCL clock frequency constant TIMEOUT : natural := 10; --in ms TWI timeout for slave wait period constant TSCL_CYCLES : natural := natural(ceil(real(CLOCKFREQ*1_000_000/FSCL))); constant TIMEOUT_CYCLES : natural := natural(ceil(real(CLOCKFREQ*TIMEOUT*1_000))); type state_type is (stIdle, stStart, stRead, stWrite, stError, stStop, stSAck, stMAck, stMNAckStop, stMNAckStart, stStopError); signal state, nstate : state_type; attribute fsm_encoding of state: signal is "gray"; signal sync_sda, sync_scl : std_logic_vector(2 downto 0); signal dSda, ddSda, dScl : std_logic; signal fStart, fStop : std_logic; signal busState : busState_type := busUnknown; signal errTypeR, errType : error_type; signal busFreeCnt, sclCnt : natural range TSCL_CYCLES downto 0 := TSCL_CYCLES; signal timeOutCnt : natural range TIMEOUT_CYCLES downto 0 := TIMEOUT_CYCLES; signal slaveWait, arbLost : std_logic; signal dataByte, loadByte, currAddr : std_logic_vector(7 downto 0); --shift register and parallel load signal rSda, rScl : std_logic := '1'; signal subState : std_logic_vector(1 downto 0) := "00"; signal latchData, latchAddr, iDone, iErr, iSda, iScl, shiftBit, dataBitOut, rwBit, addrNData : std_logic; signal bitCount : natural range 0 to 7 := 7; signal int_Rst : std_logic := '0'; begin ---------------------------------------------------------------------------------- --Bus State detection ---------------------------------------------------------------------------------- SYNC_FFS: process(CLK) begin if Rising_Edge(CLK) then sync_sda(0) <= SDA; sync_sda(1) <= sync_sda(0); sync_sda(2) <= sync_sda(1); sync_scl(0) <= SCL; sync_scl(1) <= sync_scl(0); sync_scl(2) <= sync_scl(1); end if; end process; dSda <= sync_sda(1); ddSda <= sync_sda(2); dScl <= sync_scl(1); fStart <= dScl and not dSda and ddSda; --if SCL high while SDA falling, start condition fStop <= dScl and dSda and not ddSda; --if SCL high while SDA rising, stop condition TWISTATE: process(CLK) begin if Rising_Edge(CLK) then if (int_Rst = '1') then busState <= busUnknown; elsif (fStart = '1') then --If START condition detected, bus is busy busState <= busBusy; elsif (busFreeCnt = 0) then --We counted down tBUF, so it must be free busState <= busFree; end if; end if; end process; TBUF_CNT: process(CLK) begin if Rising_Edge(CLK) then if (dSCL = '0' or dSDA = '0' or int_Rst = '1') then busFreeCnt <= TSCL_CYCLES; elsif (dSCL = '1' and dSDA = '1') then busFreeCnt <= busFreeCnt - 1; --counting down 1 SCL period on free bus end if; end if; end process; ---------------------------------------------------------------------------------- --Slave devices can insert wait states by keeping SCL low ---------------------------------------------------------------------------------- slaveWait <= '1' when (dSCL = '0' and rScl = '1') else '0'; ---------------------------------------------------------------------------------- --If the SDA line does not correspond to the transmitted data while the SCL line --is at the HIGH level the master lost an arbitration to another master. ---------------------------------------------------------------------------------- arbLost <= '1' when (dSCL = '1' and dSDA = '0' and rSda = '1') else '0'; ---------------------------------------------------------------------------------- -- Internal reset signal ---------------------------------------------------------------------------------- RST_PROC: process (CLK) begin if Rising_Edge(CLK) then if (state = stIdle and SRST = '0') then int_Rst <= '0'; elsif (SRST = '1') then int_Rst <= '1'; end if; end if; end process; ---------------------------------------------------------------------------------- -- SCL period counter ---------------------------------------------------------------------------------- SCL_CNT: process (CLK) begin if Rising_Edge(CLK) then if (sclCnt = 0 or state = stIdle) then sclCnt <= TSCL_CYCLES/4; elsif (slaveWait = '0') then -- clock synchronization with other masters sclCnt <= sclCnt - 1; end if; end if; end process; ---------------------------------------------------------------------------------- -- Slave timeout to determine a locked-up bus ---------------------------------------------------------------------------------- UnblockTimeout: if ATTEMPT_SLAVE_UNBLOCK generate TIMEOUT_CNT: process (CLK) begin if Rising_Edge(CLK) then if (state /= stIdle or busState = busFree or ((ddSda xor dSda) = '1')) then timeOutCnt <= TIMEOUT_CYCLES; else timeOutCnt <= timeOutCnt - 1; end if; end if; end process; end generate; ---------------------------------------------------------------------------------- -- Title: Data byte shift register -- Description: Stores the byte to be written or the byte read depending on the -- transfer direction. ---------------------------------------------------------------------------------- DATABYTE_SHREG: process (CLK) begin if Rising_Edge(CLK) then if ((latchData = '1' or latchAddr = '1') and sclCnt = 0) then dataByte <= loadByte; --latch address/data bitCount <= 7; --set flag so that we know what is the byte we are sending if (latchData = '1') then addrNData <= '0'; else addrNData <= '1'; end if; elsif (shiftBit = '1' and sclCnt = 0) then dataByte <= dataByte(dataByte'high-1 downto 0) & dSDA; bitCount <= bitCount - 1; end if; end if; end process; loadByte <= A_I when latchAddr = '1' else D_I; dataBitOut <= dataByte(dataByte'high); D_O <= dataByte; ---------------------------------------------------------------------------------- -- Title: Current address register -- Description: Stores the TWI slave address ---------------------------------------------------------------------------------- CURRADDR_REG: process (CLK) begin if Rising_Edge(CLK) then if (latchAddr = '1') then currAddr <= A_I; --latch address/data end if; end if; end process; rwBit <= currAddr(0); ---------------------------------------------------------------------------------- -- Title: Substate counter -- Description: Divides each state into 4, to respect the setup and hold times of -- the TWI bus. ---------------------------------------------------------------------------------- SUBSTATE_CNT: process (CLK) begin if Rising_Edge(CLK) then if (state = stIdle) then subState <= "00"; elsif (sclCnt = 0) then subState <= subState + 1; end if; end if; end process; SYNC_PROC: process (CLK) begin if Rising_Edge(CLK) then state <= nstate; rSda <= iSda; rScl <= iScl; if (int_Rst = '1') then DONE_O <= '0'; ERR_O <= '0'; errTypeR <= errType; else DONE_O <= iDone; ERR_O <= iErr; errTypeR <= errType; end if; end if; end process; OUTPUT_DECODE: process (nstate, subState, state, errTypeR, dataByte(0), sclCnt, bitCount, rSda, rScl, dataBitOut, arbLost, dSda, addrNData) begin iSda <= rSda; --no change by default iScl <= rScl; iDone <= '0'; iErr <= '0'; errType <= errTypeR; --keep error type shiftBit <= '0'; latchAddr <= '0'; latchData <= '0'; if (state = stStart) then case (subState) is when "00" => iSda <= '1'; --keep SCL when "01" => iSda <= '1'; iScl <= '1'; when "10" => iSda <= '0'; iScl <= '1'; when "11" => iSda <= '0'; iScl <= '0'; when others => end case; end if; if (state = stStop or state = stStopError) then case (subState) is when "00" => iSda <= '0'; --keep SCL when "01" => iSda <= '0'; iScl <= '1'; when "10" => iSda <= '1'; iScl <= '1'; when "11" => --we will only reach this is there is an arbitration error --keep SDA; iScl <= '0'; --need to toggle clock when others => end case; end if; if (state = stRead or state = stSAck) then case (subState) is when "00" => iSda <= '1'; --this will be 'Z' on SDA --keep SCL when "01" => --keep SDA iScl <= '1'; when "10" => --keep SDA iScl <= '1'; when "11" => --keep SDA iScl <= '0'; when others => end case; end if; if (state = stWrite) then case (subState) is when "00" => iSda <= dataBitOut; --keep SCL when "01" => --keep SDA iScl <= '1'; when "10" => --keep SDA iScl <= '1'; when "11" => --keep SDA iScl <= '0'; when others => end case; end if; if (state = stMAck) then case (subState) is when "00" => iSda <= '0'; -- acknowledge by writing 0 --keep SCL when "01" => --keep SDA iScl <= '1'; when "10" => --keep SDA iScl <= '1'; when "11" => --keep SDA iScl <= '0'; when others => end case; end if; if (state = stMNAckStop or state = stMNAckStart) then case (subState) is when "00" => iSda <= '1'; -- not acknowledge by writing 1 --keep SCL when "01" => --keep SDA iScl <= '1'; when "10" => --keep SDA iScl <= '1'; when "11" => --keep SDA iScl <= '0'; when others => end case; end if; if (state = stSAck and sclCnt = 0 and subState = "01") then if (dSda = '1') then iDone <= '1'; iErr <= '1'; --not acknowledged errType <= errNAck; elsif (addrNData = '0') then --we are done only when the data is sent too after the address iDone <= '1'; end if; end if; if (state = stRead and subState = "01" and sclCnt = 0 and bitCount = 0) then iDone <= '1'; --read done end if; if (state = stWrite and arbLost = '1') then iDone <= '1'; --write done iErr <= '1'; --we lost the arbitration errType <= errArb; end if; if ((state = stWrite and sclCnt = 0 and subState = "11") or --shift at end of bit ((state = stSAck or state = stRead) and subState = "01")) then --read in middle of bit shiftBit <= '1'; end if; if (state = stStart) then latchAddr <= '1'; end if; if (state = stSAck and subState = "11") then --get the data byte for the next write latchData <= '1'; end if; end process; NEXT_STATE_DECODE: process (state, busState, slaveWait, arbLost, STB_I, MSG_I, SRST, subState, bitCount, int_Rst, dataByte, A_I, currAddr, rwBit, sclCnt, addrNData) begin nstate <= state; --default is to stay in current state case (state) is when stIdle => if (STB_I = '1' and busState = busFree and SRST = '0') then nstate <= stStart; elsif (ATTEMPT_SLAVE_UNBLOCK and timeOutCnt = 0) then nstate <= stStop; end if; when stStart => if (sclCnt = 0) then if (int_Rst = '1') then nstate <= stStop; elsif (subState = "11") then nstate <= stWrite; end if; end if; when stWrite => if (arbLost = '1') then nstate <= stIdle; elsif (sclCnt = 0) then if (int_Rst = '1') then nstate <= stStop; elsif (subState = "11" and bitCount = 0) then nstate <= stSAck; end if; end if; when stSAck => if (sclCnt = 0) then if (int_Rst = '1' or (subState = "11" and dataByte(0) = '1')) then nstate <= stStop; elsif (subState = "11") then if (addrNData = '1') then --if we have just sent the address, tx/rx the data too if (rwBit = '1') then nstate <= stRead; else nstate <= stWrite; end if; elsif (STB_I = '1') then if (MSG_I = '1' or currAddr /= A_I) then nstate <= stStart; else if (rwBit = '1') then nstate <= stRead; else nstate <= stWrite; end if; end if; else nstate <= stStop; end if; end if; end if; when stStop => --bugfix: if device is driving SDA low (read transfer) we cannot send stop bit --check the arbitration flag if (subState = "10" and sclCnt = 0 and arbLost = '0') then nstate <= stIdle; end if; --stay here, if stop bit cannot be sent, pulse clock an retry when stRead => if (sclCnt = 0) then if (int_Rst = '1') then nstate <= stStop; elsif (subState = "11" and bitCount = 7) then --bitCount will underflow if (STB_I = '1') then if (MSG_I = '1' or currAddr /= A_I) then nstate <= stMNAckStart; else nstate <= stMAck; end if; else nstate <= stMNAckStop; end if; end if; end if; when stMAck => if (sclCnt = 0) then if (int_Rst = '1') then nstate <= stStop; elsif (subState = "11") then nstate <= stRead; end if; end if; when stMNAckStart => if (arbLost = '1') then nstate <= stIdle; -- arbitration lost, back off, no error because we got all the data elsif (sclCnt = 0) then if (int_Rst = '1') then nstate <= stStop; elsif (subState = "11") then nstate <= stStart; end if; end if; when stMNAckStop => if (arbLost = '1') then nstate <= stIdle; -- arbitration lost, back off, no error because we got all the data elsif (sclCnt = 0) then if (int_Rst = '1') then nstate <= stStop; elsif (subState = "11") then nstate <= stStop; end if; end if; when others => nstate <= stIdle; end case; end process; ---------------------------------------------------------------------------------- -- Open-drain outputs for bi-directional SDA and SCL ---------------------------------------------------------------------------------- SDA <= 'Z' when rSDA = '1' else '0'; SCL <= 'Z' when rSCL = '1' else '0'; end Behavioral;
library verilog; use verilog.vl_types.all; entity counter741_vlg_check_tst is port( Qout : in vl_logic_vector(7 downto 0); second : in vl_logic; sampler_rx : in vl_logic ); end counter741_vlg_check_tst;
library ieee; use ieee.std_logic_1164.all; entity encoder_behav is port( input : in std_logic_vector(5 downto 0); output : out std_logic_vector(2 downto 0) ); end entity encoder_behav; architecture behav of encoder_behav is begin process(input) begin case input is when "000001" => output <= "000"; when "000010" => output <= "001"; when "000100" => output <= "010"; when "001000" => output <= "011"; when "010000" => output <= "100"; when "100000" => output <= "101"; when others => output <= "XXX"; end case; end process; end behav; library ieee; use ieee.std_logic_1164.all; entity encoder_behav_test_bench is end encoder_behav_test_bench; architecture behavior of encoder_behav_test_bench is -- Component Declaration for the Encoder Behavioral Model Test Bench component encoder_behav port( input : in std_logic_vector(5 downto 0); output : out std_logic_vector(2 downto 0) ); end component; -- inputs signal input : std_logic_vector(5 downto 0) := (others => '0'); -- outputs signal output : std_logic_vector(2 downto 0); begin -- Instantiate the Encoder Test Bench test_bench: encoder_behav port map ( input => input, output => output ); -- Stimulus process stim_proc: process begin -- hold reset state for 50 ns. wait for 50 ns; input <= "000000"; wait for 50 ns; input <= "000001"; wait for 50 ns; input <= "000010"; wait for 50 ns; input <= "000011"; wait for 50 ns; input <= "000100"; wait for 50 ns; input <= "000101"; wait for 50 ns; input <= "000110"; wait for 50 ns; input <= "000111"; wait for 50 ns; input <= "001000"; wait for 50 ns; input <= "001001"; wait for 50 ns; input <= "001010"; wait for 50 ns; input <= "001011"; wait for 50 ns; input <= "001100"; wait for 50 ns; input <= "001101"; wait for 50 ns; input <= "001110"; wait for 50 ns; input <= "001111"; wait for 50 ns; input <= "010000"; wait for 50 ns; input <= "010001"; wait for 50 ns; input <= "010010"; wait for 50 ns; input <= "010011"; wait for 50 ns; input <= "010100"; wait for 50 ns; input <= "010101"; wait for 50 ns; input <= "010110"; wait for 50 ns; input <= "010111"; wait for 50 ns; input <= "011000"; wait for 50 ns; input <= "011001"; wait for 50 ns; input <= "011010"; wait for 50 ns; input <= "011011"; wait for 50 ns; input <= "011100"; wait for 50 ns; input <= "011101"; wait for 50 ns; input <= "011110"; wait for 50 ns; input <= "011111"; wait for 50 ns; input <= "100000"; wait for 50 ns; input <= "100001"; wait for 50 ns; input <= "100010"; wait for 50 ns; input <= "100011"; wait for 50 ns; input <= "100100"; wait for 50 ns; input <= "100101"; wait for 50 ns; input <= "100110"; wait for 50 ns; input <= "100111"; wait for 50 ns; input <= "101000"; wait for 50 ns; input <= "101001"; wait for 50 ns; input <= "101010"; wait for 50 ns; input <= "101011"; wait for 50 ns; input <= "101100"; wait for 50 ns; input <= "101101"; wait for 50 ns; input <= "101110"; wait for 50 ns; input <= "101111"; wait for 50 ns; input <= "110000"; wait for 50 ns; input <= "110001"; wait for 50 ns; input <= "110010"; wait for 50 ns; input <= "110011"; wait for 50 ns; input <= "110100"; wait for 50 ns; input <= "110101"; wait for 50 ns; input <= "110110"; wait for 50 ns; input <= "110111"; wait for 50 ns; input <= "111000"; wait for 50 ns; input <= "111001"; wait for 50 ns; input <= "111010"; wait for 50 ns; input <= "111011"; wait for 50 ns; input <= "111100"; wait for 50 ns; input <= "111101"; wait for 50 ns; input <= "111110"; wait for 50 ns; input <= "111111"; wait; end process; end;
entity tb_rec2 is end tb_rec2; library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; architecture behav of tb_rec2 is signal clk : std_logic; signal sl_in : std_logic; signal slv_in : std_logic_vector(7 downto 0); signal int_in : integer range 0 to 15; signal usig_in : unsigned(7 downto 0); signal sl_out : std_logic; signal slv_out : std_logic_vector(7 downto 0); signal int_out : integer range 0 to 15; signal usig_out : unsigned(7 downto 0); begin dut: entity work.rec2 port map ( clk => clk, sl_in => sl_in, slv_in => slv_in, int_in => int_in, usig_in => usig_in, sl_out => sl_out, slv_out => slv_out, int_out => int_out, usig_out => usig_out); process begin clk <= '0'; sl_in <= '1'; slv_in <= x"12"; int_in <= 13; usig_in <= x"d5"; wait for 1 ns; clk <= '1'; wait for 1 ns; assert sl_out = '1' severity failure; assert slv_out = x"12" severity failure; assert int_out = 13 severity failure; assert usig_out = x"d5" severity failure; sl_in <= '0'; slv_in <= x"9b"; int_in <= 3; usig_in <= x"72"; clk <= '0'; wait for 1 ns; clk <= '1'; wait for 1 ns; assert sl_out = '0' severity failure; assert slv_out = x"9b" severity failure; assert int_out = 3 severity failure; assert usig_out = x"72" severity failure; wait; end process; end behav;
------------------------------------------------------------------------------- -- Title : Useful functions for testbenches -- Project : ------------------------------------------------------------------------------- -- File : test_pkg.vhd -- Author : aylons <aylons@LNLS190> -- Company : -- Created : 2015-05-07 -- Last update: 2015-11-30 -- Last update: 2015-07-15 -- Platform : -- Standard : VHDL'93/02 ------------------------------------------------------------------------------- -- Description: This package contains useful functions and procedures for -- testbenches: clock, reset and ce generators, data reader and writer from -- files, etc...> ------------------------------------------------------------------------------- -- Copyright (c) 2015 -- This program is free software: you can redistribute it and/or -- modify it under the terms of the GNU Lesser General Public License -- as published by the Free Software Foundation, either version 3 of -- the License, or (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, but -- WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU -- Lesser General Public License for more details. -- -- You should have received a copy of the GNU Lesser General Public -- License along with this program. If not, see -- <http://www.gnu.org/licenses/>. ------------------------------------------------------------------------------- -- Revisions : -- Date Version Author Description -- 2015-05-07 1.0 aylons Created ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use ieee.math_real.all; library std; use std.textio.all; package test_pkg is -- generate basic clock signals : clock and clock enable procedure p_clk_gen(signal clk : out std_ulogic; constant c_FREQ : real); procedure p_ce_gen(signal clk : in std_ulogic; signal ce : out std_ulogic; signal rst : in std_ulogic; constant c_CYCLES : positive); procedure p_rst_gen(signal clk : in std_ulogic; signal rst : out std_ulogic; constant c_CYCLES : positive); procedure p_pwm_gen(signal clk : in std_ulogic; signal rst : in std_ulogic; signal pwm : out std_ulogic; constant c_on_CYCLE : positive; constant c_off_CYCLE : positive); -- Read and write integer data from/to TSV, easily read/written by Octave: type array_signed is array(natural range <>) of signed; procedure p_read_tsv_file_signed ( constant c_INPUT_FILE_NAME : string; constant c_SAMPLES_PER_LINE : positive; constant c_OUTPUT_WIDTH : positive; signal clk : in std_ulogic; signal rst : in std_ulogic; signal ce : in std_ulogic; signal req : in std_ulogic; signal sample : out array_signed; signal valid : out std_ulogic; signal end_of_file : out std_ulogic); procedure p_write_tsv_file_signed ( constant c_OUTPUT_FILE_NAME : string; constant c_SAMPLES_PER_LINE : positive; constant c_OUTPUT_WIDTH : positive; signal clk : in std_ulogic; signal rst : in std_ulogic; signal ce : in std_ulogic; signal sample : in array_signed; signal valid : in std_ulogic; signal end_of_file : in std_ulogic); end test_pkg; package body test_pkg is ----------------------------------------------------------------------------- ----------------------------------------------------------------------------- -- procedure: clk_gen -- Generates clock signal, given any frequency. ----------------------------------------------------------------------------- ----------------------------------------------------------------------------- procedure p_clk_gen( signal clk : out std_ulogic; constant c_FREQ : real) is constant c_PERIOD : time := 1 sec / c_FREQ; -- Full period constant c_HIGH_TIME : time := c_PERIOD / 2; -- High time constant c_LOW_TIME : time := c_PERIOD - c_HIGH_TIME; -- Low time; always >= HIGH_TIME begin -- Check the arguments assert (c_HIGH_TIME /= 0 fs) report "clk: High time is zero; time resolution to large for frequency" severity failure; -- Generate a clock cycle loop clk <= '1'; wait for c_HIGH_TIME; clk <= '0'; wait for c_LOW_TIME; end loop; end procedure; ----------------------------------------------------------------------------- ----------------------------------------------------------------------------- -- procedure: p_rst_gen -- Keeps reset up until c_CYCLES clock cycles ----------------------------------------------------------------------------- ----------------------------------------------------------------------------- procedure p_rst_gen ( signal clk : in std_ulogic; signal rst : out std_ulogic; constant c_CYCLES : positive) is variable v_cycle_count : natural := c_CYCLES; begin -- procedure p_rst_gen loop wait until rising_edge(clk); if v_cycle_count = 0 then rst <= '0'; else v_cycle_count := v_cycle_count -1; end if; end loop; end procedure p_rst_gen; ----------------------------------------------------------------------------- ----------------------------------------------------------------------------- -- procedure: ce_gen -- Generates one clock enable signals every c_CYCLES number of clock periods. ----------------------------------------------------------------------------- ----------------------------------------------------------------------------- procedure p_ce_gen ( signal clk : in std_ulogic; signal ce : out std_ulogic; signal rst : in std_ulogic; constant c_CYCLES : positive) is variable ce_count : natural := c_CYCLES; begin -- procedure p_ce_gen loop -- generate one ce every ce_CYCLES clocks wait until rising_edge(clk) and rst = '0'; ce_count := ce_count - 1; if ce_count = 0 then ce <= '1'; ce_count := c_CYCLES; else ce <= '0'; end if; end loop; end procedure p_ce_gen; ----------------------------------------------------------------------------- ----------------------------------------------------------------------------- -- procedure: pwm_gen -- Generates a clock dependent PWM signal with configurable ON and OFF cycles. ----------------------------------------------------------------------------- ----------------------------------------------------------------------------- procedure p_pwm_gen( signal clk : in std_ulogic; signal rst : in std_ulogic; signal pwm : out std_ulogic; constant c_on_CYCLE : positive; constant c_off_CYCLE : positive) is variable on_count : natural := c_on_CYCLE; variable off_count : natural := c_off_CYCLE; begin -- procedure p_ce_gen loop -- generate one ce every ce_CYCLES clocks wait until rising_edge(clk) and rst = '0'; if on_count > 0 then on_count := on_count-1; pwm <= '1'; elsif off_count > 1 then off_count := off_count-1; pwm <= '0'; else on_count := c_on_CYCLE; off_count := c_off_CYCLE; pwm <= '0'; end if; end loop; end procedure; ----------------------------------------------------------------------------- ----------------------------------------------------------------------------- -- procedure: p_read_tsv_file_signed -- Given a file name and clock, output signed vectors representing stimulus -- in the file. ----------------------------------------------------------------------------- ----------------------------------------------------------------------------- procedure p_read_tsv_file_signed ( constant c_INPUT_FILE_NAME : string; constant c_SAMPLES_PER_LINE : positive; constant c_OUTPUT_WIDTH : positive; signal clk : in std_ulogic; signal rst : in std_ulogic; signal ce : in std_ulogic; signal req : in std_ulogic; signal sample : out array_signed; signal valid : out std_ulogic; signal end_of_file : out std_ulogic) is file input_file : text open read_mode is c_INPUT_FILE_NAME; variable cur_line : line; variable input : integer; begin -- procedure p_read_tsv_file_signed end_of_file <= '0'; valid <= '0'; wait until rst = '0'; loop wait until falling_edge(clk) and ce = '1'; if endfile(input_file) then end_of_file <= '1'; else if req = '1' then valid <= '1'; readline(input_file, cur_line); for cur_sample in 0 to c_SAMPLES_PER_LINE-1 loop read(cur_line, input); sample(cur_sample) <= to_signed(input, c_OUTPUT_WIDTH); end loop; else valid <= '0'; end if; end if; end loop; end procedure p_read_tsv_file_signed; ----------------------------------------------------------------------------- ----------------------------------------------------------------------------- -- procedure: p_write_tsv_file_signed -- Given a file name and clock, write data from signed vectors to an output -- file, until end_of_file is set. ----------------------------------------------------------------------------- ----------------------------------------------------------------------------- procedure p_write_tsv_file_signed ( constant c_OUTPUT_FILE_NAME : string; constant c_SAMPLES_PER_LINE : positive; constant c_OUTPUT_WIDTH : positive; signal clk : in std_ulogic; signal rst : in std_ulogic; signal ce : in std_ulogic; signal sample : in array_signed; signal valid : in std_ulogic; signal end_of_file : in std_ulogic) is file output_file : text open write_mode is c_OUTPUT_FILE_NAME; variable cur_line : line; variable output : integer; begin -- procedure p_write_tsv_file_signed wait until rst = '0'; loop wait until rising_edge(clk) and ce = '1'; if valid = '1' then for cur_sample in 0 to c_SAMPLES_PER_LINE-1 loop output := to_integer(sample(cur_sample)); write(cur_line, output); write(cur_line, ht); end loop; writeline(output_file, cur_line); end if; if end_of_file = '1' then assert(false) report "End of file signal received" severity failure; end if; end loop; end procedure p_write_tsv_file_signed; end package body test_pkg;
------------------------------------------------------------------------------- -- Title : Useful functions for testbenches -- Project : ------------------------------------------------------------------------------- -- File : test_pkg.vhd -- Author : aylons <aylons@LNLS190> -- Company : -- Created : 2015-05-07 -- Last update: 2015-11-30 -- Last update: 2015-07-15 -- Platform : -- Standard : VHDL'93/02 ------------------------------------------------------------------------------- -- Description: This package contains useful functions and procedures for -- testbenches: clock, reset and ce generators, data reader and writer from -- files, etc...> ------------------------------------------------------------------------------- -- Copyright (c) 2015 -- This program is free software: you can redistribute it and/or -- modify it under the terms of the GNU Lesser General Public License -- as published by the Free Software Foundation, either version 3 of -- the License, or (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, but -- WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU -- Lesser General Public License for more details. -- -- You should have received a copy of the GNU Lesser General Public -- License along with this program. If not, see -- <http://www.gnu.org/licenses/>. ------------------------------------------------------------------------------- -- Revisions : -- Date Version Author Description -- 2015-05-07 1.0 aylons Created ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use ieee.math_real.all; library std; use std.textio.all; package test_pkg is -- generate basic clock signals : clock and clock enable procedure p_clk_gen(signal clk : out std_ulogic; constant c_FREQ : real); procedure p_ce_gen(signal clk : in std_ulogic; signal ce : out std_ulogic; signal rst : in std_ulogic; constant c_CYCLES : positive); procedure p_rst_gen(signal clk : in std_ulogic; signal rst : out std_ulogic; constant c_CYCLES : positive); procedure p_pwm_gen(signal clk : in std_ulogic; signal rst : in std_ulogic; signal pwm : out std_ulogic; constant c_on_CYCLE : positive; constant c_off_CYCLE : positive); -- Read and write integer data from/to TSV, easily read/written by Octave: type array_signed is array(natural range <>) of signed; procedure p_read_tsv_file_signed ( constant c_INPUT_FILE_NAME : string; constant c_SAMPLES_PER_LINE : positive; constant c_OUTPUT_WIDTH : positive; signal clk : in std_ulogic; signal rst : in std_ulogic; signal ce : in std_ulogic; signal req : in std_ulogic; signal sample : out array_signed; signal valid : out std_ulogic; signal end_of_file : out std_ulogic); procedure p_write_tsv_file_signed ( constant c_OUTPUT_FILE_NAME : string; constant c_SAMPLES_PER_LINE : positive; constant c_OUTPUT_WIDTH : positive; signal clk : in std_ulogic; signal rst : in std_ulogic; signal ce : in std_ulogic; signal sample : in array_signed; signal valid : in std_ulogic; signal end_of_file : in std_ulogic); end test_pkg; package body test_pkg is ----------------------------------------------------------------------------- ----------------------------------------------------------------------------- -- procedure: clk_gen -- Generates clock signal, given any frequency. ----------------------------------------------------------------------------- ----------------------------------------------------------------------------- procedure p_clk_gen( signal clk : out std_ulogic; constant c_FREQ : real) is constant c_PERIOD : time := 1 sec / c_FREQ; -- Full period constant c_HIGH_TIME : time := c_PERIOD / 2; -- High time constant c_LOW_TIME : time := c_PERIOD - c_HIGH_TIME; -- Low time; always >= HIGH_TIME begin -- Check the arguments assert (c_HIGH_TIME /= 0 fs) report "clk: High time is zero; time resolution to large for frequency" severity failure; -- Generate a clock cycle loop clk <= '1'; wait for c_HIGH_TIME; clk <= '0'; wait for c_LOW_TIME; end loop; end procedure; ----------------------------------------------------------------------------- ----------------------------------------------------------------------------- -- procedure: p_rst_gen -- Keeps reset up until c_CYCLES clock cycles ----------------------------------------------------------------------------- ----------------------------------------------------------------------------- procedure p_rst_gen ( signal clk : in std_ulogic; signal rst : out std_ulogic; constant c_CYCLES : positive) is variable v_cycle_count : natural := c_CYCLES; begin -- procedure p_rst_gen loop wait until rising_edge(clk); if v_cycle_count = 0 then rst <= '0'; else v_cycle_count := v_cycle_count -1; end if; end loop; end procedure p_rst_gen; ----------------------------------------------------------------------------- ----------------------------------------------------------------------------- -- procedure: ce_gen -- Generates one clock enable signals every c_CYCLES number of clock periods. ----------------------------------------------------------------------------- ----------------------------------------------------------------------------- procedure p_ce_gen ( signal clk : in std_ulogic; signal ce : out std_ulogic; signal rst : in std_ulogic; constant c_CYCLES : positive) is variable ce_count : natural := c_CYCLES; begin -- procedure p_ce_gen loop -- generate one ce every ce_CYCLES clocks wait until rising_edge(clk) and rst = '0'; ce_count := ce_count - 1; if ce_count = 0 then ce <= '1'; ce_count := c_CYCLES; else ce <= '0'; end if; end loop; end procedure p_ce_gen; ----------------------------------------------------------------------------- ----------------------------------------------------------------------------- -- procedure: pwm_gen -- Generates a clock dependent PWM signal with configurable ON and OFF cycles. ----------------------------------------------------------------------------- ----------------------------------------------------------------------------- procedure p_pwm_gen( signal clk : in std_ulogic; signal rst : in std_ulogic; signal pwm : out std_ulogic; constant c_on_CYCLE : positive; constant c_off_CYCLE : positive) is variable on_count : natural := c_on_CYCLE; variable off_count : natural := c_off_CYCLE; begin -- procedure p_ce_gen loop -- generate one ce every ce_CYCLES clocks wait until rising_edge(clk) and rst = '0'; if on_count > 0 then on_count := on_count-1; pwm <= '1'; elsif off_count > 1 then off_count := off_count-1; pwm <= '0'; else on_count := c_on_CYCLE; off_count := c_off_CYCLE; pwm <= '0'; end if; end loop; end procedure; ----------------------------------------------------------------------------- ----------------------------------------------------------------------------- -- procedure: p_read_tsv_file_signed -- Given a file name and clock, output signed vectors representing stimulus -- in the file. ----------------------------------------------------------------------------- ----------------------------------------------------------------------------- procedure p_read_tsv_file_signed ( constant c_INPUT_FILE_NAME : string; constant c_SAMPLES_PER_LINE : positive; constant c_OUTPUT_WIDTH : positive; signal clk : in std_ulogic; signal rst : in std_ulogic; signal ce : in std_ulogic; signal req : in std_ulogic; signal sample : out array_signed; signal valid : out std_ulogic; signal end_of_file : out std_ulogic) is file input_file : text open read_mode is c_INPUT_FILE_NAME; variable cur_line : line; variable input : integer; begin -- procedure p_read_tsv_file_signed end_of_file <= '0'; valid <= '0'; wait until rst = '0'; loop wait until falling_edge(clk) and ce = '1'; if endfile(input_file) then end_of_file <= '1'; else if req = '1' then valid <= '1'; readline(input_file, cur_line); for cur_sample in 0 to c_SAMPLES_PER_LINE-1 loop read(cur_line, input); sample(cur_sample) <= to_signed(input, c_OUTPUT_WIDTH); end loop; else valid <= '0'; end if; end if; end loop; end procedure p_read_tsv_file_signed; ----------------------------------------------------------------------------- ----------------------------------------------------------------------------- -- procedure: p_write_tsv_file_signed -- Given a file name and clock, write data from signed vectors to an output -- file, until end_of_file is set. ----------------------------------------------------------------------------- ----------------------------------------------------------------------------- procedure p_write_tsv_file_signed ( constant c_OUTPUT_FILE_NAME : string; constant c_SAMPLES_PER_LINE : positive; constant c_OUTPUT_WIDTH : positive; signal clk : in std_ulogic; signal rst : in std_ulogic; signal ce : in std_ulogic; signal sample : in array_signed; signal valid : in std_ulogic; signal end_of_file : in std_ulogic) is file output_file : text open write_mode is c_OUTPUT_FILE_NAME; variable cur_line : line; variable output : integer; begin -- procedure p_write_tsv_file_signed wait until rst = '0'; loop wait until rising_edge(clk) and ce = '1'; if valid = '1' then for cur_sample in 0 to c_SAMPLES_PER_LINE-1 loop output := to_integer(sample(cur_sample)); write(cur_line, output); write(cur_line, ht); end loop; writeline(output_file, cur_line); end if; if end_of_file = '1' then assert(false) report "End of file signal received" severity failure; end if; end loop; end procedure p_write_tsv_file_signed; end package body test_pkg;
-------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 10:28:34 10/04/2017 -- Design Name: -- Module Name: C:/Users/Kalugy/Documents/xilinx/procesadordefinitivo/TBALU.vhd -- Project Name: procesadordefinitivo -- Target Device: -- Tool versions: -- Description: -- -- VHDL Test Bench Created by ISE for module: ALU -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- -- Notes: -- This testbench has been automatically generated using types std_logic and -- std_logic_vector for the ports of the unit under test. Xilinx recommends -- that these types always be used for the top-level I/O of a design in order -- to guarantee that the testbench will bind correctly to the post-implementation -- simulation model. -------------------------------------------------------------------------------- LIBRARY ieee; USE ieee.std_logic_1164.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --USE ieee.numeric_std.ALL; ENTITY TBALU IS END TBALU; ARCHITECTURE behavior OF TBALU IS -- Component Declaration for the Unit Under Test (UUT) COMPONENT ALU PORT( OPER1 : IN std_logic_vector(31 downto 0); OPER2 : IN std_logic_vector(31 downto 0); ALURESULT : OUT std_logic_vector(31 downto 0); ALUOP : IN std_logic_vector(5 downto 0) ); END COMPONENT; --Inputs signal OPER1 : std_logic_vector(31 downto 0) := (others => '0'); signal OPER2 : std_logic_vector(31 downto 0) := (others => '0'); signal ALUOP : std_logic_vector(5 downto 0) := (others => '0'); --Outputs signal ALURESULT : std_logic_vector(31 downto 0); -- No clocks detected in port list. Replace <clock> below with -- appropriate port name BEGIN -- Instantiate the Unit Under Test (UUT) uut: ALU PORT MAP ( OPER1 => OPER1, OPER2 => OPER2, ALURESULT => ALURESULT, ALUOP => ALUOP ); -- Stimulus process stim_proc: process begin -- hold reset state for 100 ns. OPER1 <= "00000000000000000000000000000000"; OPER2 <= "00000000000000000000000000000011"; ALUOP <= "000000"; wait for 100 ns; OPER1 <= "00000000000000000000000000000011"; OPER2 <= "00000000000000000000000000000011"; ALUOP <= "000000"; wait for 100 ns; OPER1 <= "00000000000000000000000000000000"; OPER2 <= "00000000000000000000000000000011"; ALUOP <= "000010"; wait for 100 ns; OPER1 <= "00000000000000000000000000000011"; OPER2 <= "00000000000000000000000000000011"; ALUOP <= "000100"; wait for 100 ns; -- insert stimulus here wait; end process; END;
-------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 10:28:34 10/04/2017 -- Design Name: -- Module Name: C:/Users/Kalugy/Documents/xilinx/procesadordefinitivo/TBALU.vhd -- Project Name: procesadordefinitivo -- Target Device: -- Tool versions: -- Description: -- -- VHDL Test Bench Created by ISE for module: ALU -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- -- Notes: -- This testbench has been automatically generated using types std_logic and -- std_logic_vector for the ports of the unit under test. Xilinx recommends -- that these types always be used for the top-level I/O of a design in order -- to guarantee that the testbench will bind correctly to the post-implementation -- simulation model. -------------------------------------------------------------------------------- LIBRARY ieee; USE ieee.std_logic_1164.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --USE ieee.numeric_std.ALL; ENTITY TBALU IS END TBALU; ARCHITECTURE behavior OF TBALU IS -- Component Declaration for the Unit Under Test (UUT) COMPONENT ALU PORT( OPER1 : IN std_logic_vector(31 downto 0); OPER2 : IN std_logic_vector(31 downto 0); ALURESULT : OUT std_logic_vector(31 downto 0); ALUOP : IN std_logic_vector(5 downto 0) ); END COMPONENT; --Inputs signal OPER1 : std_logic_vector(31 downto 0) := (others => '0'); signal OPER2 : std_logic_vector(31 downto 0) := (others => '0'); signal ALUOP : std_logic_vector(5 downto 0) := (others => '0'); --Outputs signal ALURESULT : std_logic_vector(31 downto 0); -- No clocks detected in port list. Replace <clock> below with -- appropriate port name BEGIN -- Instantiate the Unit Under Test (UUT) uut: ALU PORT MAP ( OPER1 => OPER1, OPER2 => OPER2, ALURESULT => ALURESULT, ALUOP => ALUOP ); -- Stimulus process stim_proc: process begin -- hold reset state for 100 ns. OPER1 <= "00000000000000000000000000000000"; OPER2 <= "00000000000000000000000000000011"; ALUOP <= "000000"; wait for 100 ns; OPER1 <= "00000000000000000000000000000011"; OPER2 <= "00000000000000000000000000000011"; ALUOP <= "000000"; wait for 100 ns; OPER1 <= "00000000000000000000000000000000"; OPER2 <= "00000000000000000000000000000011"; ALUOP <= "000010"; wait for 100 ns; OPER1 <= "00000000000000000000000000000011"; OPER2 <= "00000000000000000000000000000011"; ALUOP <= "000100"; wait for 100 ns; -- insert stimulus here wait; end process; END;
-------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 10:28:34 10/04/2017 -- Design Name: -- Module Name: C:/Users/Kalugy/Documents/xilinx/procesadordefinitivo/TBALU.vhd -- Project Name: procesadordefinitivo -- Target Device: -- Tool versions: -- Description: -- -- VHDL Test Bench Created by ISE for module: ALU -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- -- Notes: -- This testbench has been automatically generated using types std_logic and -- std_logic_vector for the ports of the unit under test. Xilinx recommends -- that these types always be used for the top-level I/O of a design in order -- to guarantee that the testbench will bind correctly to the post-implementation -- simulation model. -------------------------------------------------------------------------------- LIBRARY ieee; USE ieee.std_logic_1164.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --USE ieee.numeric_std.ALL; ENTITY TBALU IS END TBALU; ARCHITECTURE behavior OF TBALU IS -- Component Declaration for the Unit Under Test (UUT) COMPONENT ALU PORT( OPER1 : IN std_logic_vector(31 downto 0); OPER2 : IN std_logic_vector(31 downto 0); ALURESULT : OUT std_logic_vector(31 downto 0); ALUOP : IN std_logic_vector(5 downto 0) ); END COMPONENT; --Inputs signal OPER1 : std_logic_vector(31 downto 0) := (others => '0'); signal OPER2 : std_logic_vector(31 downto 0) := (others => '0'); signal ALUOP : std_logic_vector(5 downto 0) := (others => '0'); --Outputs signal ALURESULT : std_logic_vector(31 downto 0); -- No clocks detected in port list. Replace <clock> below with -- appropriate port name BEGIN -- Instantiate the Unit Under Test (UUT) uut: ALU PORT MAP ( OPER1 => OPER1, OPER2 => OPER2, ALURESULT => ALURESULT, ALUOP => ALUOP ); -- Stimulus process stim_proc: process begin -- hold reset state for 100 ns. OPER1 <= "00000000000000000000000000000000"; OPER2 <= "00000000000000000000000000000011"; ALUOP <= "000000"; wait for 100 ns; OPER1 <= "00000000000000000000000000000011"; OPER2 <= "00000000000000000000000000000011"; ALUOP <= "000000"; wait for 100 ns; OPER1 <= "00000000000000000000000000000000"; OPER2 <= "00000000000000000000000000000011"; ALUOP <= "000010"; wait for 100 ns; OPER1 <= "00000000000000000000000000000011"; OPER2 <= "00000000000000000000000000000011"; ALUOP <= "000100"; wait for 100 ns; -- insert stimulus here wait; end process; END;
package uart_chipsim_pkg is procedure uart_chipsim_init(stop_unitl_connected : boolean); attribute foreign of uart_chipsim_init : procedure is "VHPIDIRECT uart_chipsim_init"; -- if the function returns a positive integer, it is a valid value -- if the function returns a negative value it is either -- TIMEOUT, meaning that nothing was read -- or HANGUP, meaning that the client disconnected function uart_chipsim_read(timeout_value : integer) return integer; attribute foreign of uart_chipsim_read : function is "VHPIDIRECT uart_chipsim_read"; procedure uart_chipsim_write(x : integer); attribute foreign of uart_chipsim_write : procedure is "VHPIDIRECT uart_chipsim_write"; procedure uart_chipsim_flush; attribute foreign of uart_chipsim_flush : procedure is "VHPIDIRECT uart_chipsim_flush"; shared variable my_var : integer := 43; end package; package body uart_chipsim_pkg is procedure uart_chipsim_init(stop_unitl_connected : boolean) is begin assert false report "VHPI" severity failure; end procedure; function uart_chipsim_read(timeout_value : integer) return integer is begin assert false report "VHPI" severity failure; return 0; end function; procedure uart_chipsim_write(x : integer) is begin assert false report "VHPI" severity failure; end procedure; procedure uart_chipsim_flush is begin assert false report "VHPI" severity failure; end procedure; end package body; library ieee; use ieee.math_real.log2; use ieee.math_real.ceil; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use work.uart_chipsim_pkg.all; entity uart_chipsim is generic ( g_wait_until_connected : boolean := true; g_continue_after_disconnect : boolean := true; g_baud_rate : integer := 9600 ); port ( tx_o : out std_logic; rx_i : in std_logic ); end entity; architecture simulation of uart_chipsim is signal tx : std_logic := '1'; signal clk_internal : std_logic := '1'; constant clk_internal_period : time := 100 ms / g_baud_rate; signal value_from_file : integer := -1; signal tx_dat, rx_dat : std_logic_vector(7 downto 0) := (others => '0'); signal tx_stb, rx_stb : std_logic := '0'; signal tx_stall : std_logic := '0'; function fix_rx_dat(rx : std_logic_vector(7 downto 0)) return std_logic_vector is variable result : std_logic_vector(rx'range) := rx; begin for i in result'range loop if result(i) /= '1' then result(i) := '0'; end if; end loop; return result; end function; begin -- clock generation clk_internal <= not clk_internal after clk_internal_period/2; -- instantiate a uart serializer uart_tx_inst: entity work.uart_tx generic map ( g_clk_freq => g_baud_rate*10, g_baud_rate => g_baud_rate, g_bits => 8) port map ( clk_i => clk_internal, dat_i => tx_dat, stb_i => tx_stb, stall_o => tx_stall, tx_o => tx_o ); uart_rx_inst: entity work.uart_rx generic map ( g_clk_freq => g_baud_rate*10, g_baud_rate => g_baud_rate, g_bits => 8) port map ( clk_i => clk_internal, dat_o => rx_dat, stb_o => rx_stb, rx_i => rx_i ); main: process variable client_connected : boolean; variable stop_until_client_connects : boolean := g_wait_until_connected; begin wait until rising_edge(clk_internal); while true loop uart_chipsim_init(stop_until_client_connects); stop_until_client_connects := not g_continue_after_disconnect; client_connected := true; while client_connected loop wait until rising_edge(clk_internal); -- get value from device if value_from_file < 0 then value_from_file <= uart_chipsim_read(timeout_value=>0); if value_from_file = -2 then client_connected := false; end if; end if; -- provide value to simulation tx_stb <= '0'; if value_from_file >= 0 and tx_stall = '0' then tx_dat <= std_logic_vector(to_signed(value_from_file,8)); tx_stb <= '1'; value_from_file <= -1; end if; if rx_stb = '1' then uart_chipsim_write(to_integer(unsigned(fix_rx_dat(rx_dat)))); uart_chipsim_flush; end if; end loop; end loop; end process; end architecture;
------------------------------------------------------------------------------- -- -- File: tb_TestAD96xx_92xxSPI_Model_all.vhd -- Author: Tudor Gherman -- Original Project: ZmodScopeController -- Date: 11 May 2020 -- ------------------------------------------------------------------------------- -- (c) 2020 Copyright Digilent Incorporated -- All Rights Reserved -- -- This program is free software; distributed under the terms of BSD 3-clause -- license ("Revised BSD License", "New BSD License", or "Modified BSD License") -- -- Redistribution and use in source and binary forms, with or without modification, -- are permitted provided that the following conditions are met: -- -- 1. Redistributions of source code must retain the above copyright notice, this -- list of conditions and the following disclaimer. -- 2. Redistributions in binary form must reproduce the above copyright notice, -- this list of conditions and the following disclaimer in the documentation -- and/or other materials provided with the distribution. -- 3. Neither the name(s) of the above-listed copyright holder(s) nor the names -- of its contributors may be used to endorse or promote products derived -- from this software without specific prior written permission. -- -- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" -- AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE -- IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE -- ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE LIABLE -- FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL -- DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR -- SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER -- CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, -- OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE -- OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. -- ------------------------------------------------------------------------------- -- -- Test bench used to instantiate the tb_TestAD96xx_92xxSPI_Model as multiple -- entities so that all supported errors are inserted in the SPI transactions -- initiated. This test bench is used to test if the tb_TestAD96xx_92xxSPI_Model -- correctly reports the deliberately inserted errors. -- ------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; entity tb_TestAD96xx_92xxSPI_Model_all is Generic ( -- Parameter identifying the Zmod: -- 0 -> Zmod Scope 1410 - 105 (AD9648) -- 1 -> Zmod Scope 1010 - 40 (AD9204) -- 2 -> Zmod Scope 1010 - 125 (AD9608) -- 3 -> Zmod Scope 1210 - 40 (AD9231) -- 4 -> Zmod Scope 1210 - 125 (AD9628) -- 5 -> Zmod Scope 1410 - 40 (AD9251) -- 6 -> Zmod Scope 1410 - 125 (AD9648) kZmodID : integer range 0 to 6 := 0 ); end tb_TestAD96xx_92xxSPI_Model_all; architecture Behavioral of tb_TestAD96xx_92xxSPI_Model_all is begin -- Test the ADI_2WireSPI_Model for a write operation and no -- error inserted. InstWrNoErr: entity work.tb_TestAD96xx_92xxSPI_Model Generic Map( kZmodID => kZmodID, kErrorType => 0, kCmdRdWr => '0', kCmdAddr => "0000000000101", kCmdData => x"AA" ); -- Test the ADI_2WireSPI_Model for a read operation and no -- error inserted. InstRdNoErr: entity work.tb_TestAD96xx_92xxSPI_Model Generic Map( kZmodID => kZmodID, kErrorType => 0, kCmdRdWr => '1', kCmdAddr => "0000000000101", kCmdData => x"AA" ); -- Test the ADI_2WireSPI_Model for a write operation with a -- sSDIO to sSPI_Clk Setup Time error inserted for the SPI transaction. InstWrData2ClkSetupErr: entity work.tb_TestAD96xx_92xxSPI_Model Generic Map( kZmodID => kZmodID, kErrorType => 1, kCmdRdWr => '0', kCmdAddr => "0000000000101", kCmdData => x"AA" ); -- Test the ADI_2WireSPI_Model for a write operation with a -- CS to sSPI_Clk and a data to sSPI_Clk setup time error inserted -- for the SPI transaction. InstWrCs2ClkSetupErr: entity work.tb_TestAD96xx_92xxSPI_Model Generic Map( kZmodID => kZmodID, kErrorType => 2, kCmdRdWr => '0', kCmdAddr => "0000000000101", kCmdData => x"AA" ); -- Test the ADI_2WireSPI_Model for a write operation with a -- sSDIO to sSPI_Clk hold time error inserted for the SPI transaction. InstWrData2ClkHoldErr: entity work.tb_TestAD96xx_92xxSPI_Model Generic Map( kZmodID => kZmodID, kErrorType => 3, kCmdRdWr => '0', kCmdAddr => "0000000000101", kCmdData => x"AA" ); -- Test the ADI_2WireSPI_Model for a write operation with a -- sCS to sSPI_Clk hold time error inserted for the SPI transaction. InstWrCs2ClkHoldErr: entity work.tb_TestAD96xx_92xxSPI_Model Generic Map( kZmodID => kZmodID, kErrorType => 4, kCmdRdWr => '0', kCmdAddr => "0000000000101", kCmdData => x"AA" ); -- Test the ADI_2WireSPI_Model for a write operation with a -- pulse width errors and a SPI clock period error inserted -- for the SPI transaction. InstSclkPulsePeriodErr : entity work.tb_TestAD96xx_92xxSPI_Model Generic Map( kZmodID => kZmodID, kErrorType => 5, kCmdRdWr => '0', kCmdAddr => "0000000000101", kCmdData => x"AA" ); -- Test the ADI_2WireSPI_Model for a write operation with an -- extra address bit error inserted for the SPI transaction. InstNoBitErr : entity work.tb_TestAD96xx_92xxSPI_Model Generic Map( kZmodID => kZmodID, kErrorType => 6, kCmdRdWr => '0', kCmdAddr => "0000000000101", kCmdData => x"AA" ); end Behavioral;
------------------------------------------------------------------------------- -- -- File: tb_TestAD96xx_92xxSPI_Model_all.vhd -- Author: Tudor Gherman -- Original Project: ZmodScopeController -- Date: 11 May 2020 -- ------------------------------------------------------------------------------- -- (c) 2020 Copyright Digilent Incorporated -- All Rights Reserved -- -- This program is free software; distributed under the terms of BSD 3-clause -- license ("Revised BSD License", "New BSD License", or "Modified BSD License") -- -- Redistribution and use in source and binary forms, with or without modification, -- are permitted provided that the following conditions are met: -- -- 1. Redistributions of source code must retain the above copyright notice, this -- list of conditions and the following disclaimer. -- 2. Redistributions in binary form must reproduce the above copyright notice, -- this list of conditions and the following disclaimer in the documentation -- and/or other materials provided with the distribution. -- 3. Neither the name(s) of the above-listed copyright holder(s) nor the names -- of its contributors may be used to endorse or promote products derived -- from this software without specific prior written permission. -- -- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" -- AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE -- IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE -- ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE LIABLE -- FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL -- DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR -- SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER -- CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, -- OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE -- OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. -- ------------------------------------------------------------------------------- -- -- Test bench used to instantiate the tb_TestAD96xx_92xxSPI_Model as multiple -- entities so that all supported errors are inserted in the SPI transactions -- initiated. This test bench is used to test if the tb_TestAD96xx_92xxSPI_Model -- correctly reports the deliberately inserted errors. -- ------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; entity tb_TestAD96xx_92xxSPI_Model_all is Generic ( -- Parameter identifying the Zmod: -- 0 -> Zmod Scope 1410 - 105 (AD9648) -- 1 -> Zmod Scope 1010 - 40 (AD9204) -- 2 -> Zmod Scope 1010 - 125 (AD9608) -- 3 -> Zmod Scope 1210 - 40 (AD9231) -- 4 -> Zmod Scope 1210 - 125 (AD9628) -- 5 -> Zmod Scope 1410 - 40 (AD9251) -- 6 -> Zmod Scope 1410 - 125 (AD9648) kZmodID : integer range 0 to 6 := 0 ); end tb_TestAD96xx_92xxSPI_Model_all; architecture Behavioral of tb_TestAD96xx_92xxSPI_Model_all is begin -- Test the ADI_2WireSPI_Model for a write operation and no -- error inserted. InstWrNoErr: entity work.tb_TestAD96xx_92xxSPI_Model Generic Map( kZmodID => kZmodID, kErrorType => 0, kCmdRdWr => '0', kCmdAddr => "0000000000101", kCmdData => x"AA" ); -- Test the ADI_2WireSPI_Model for a read operation and no -- error inserted. InstRdNoErr: entity work.tb_TestAD96xx_92xxSPI_Model Generic Map( kZmodID => kZmodID, kErrorType => 0, kCmdRdWr => '1', kCmdAddr => "0000000000101", kCmdData => x"AA" ); -- Test the ADI_2WireSPI_Model for a write operation with a -- sSDIO to sSPI_Clk Setup Time error inserted for the SPI transaction. InstWrData2ClkSetupErr: entity work.tb_TestAD96xx_92xxSPI_Model Generic Map( kZmodID => kZmodID, kErrorType => 1, kCmdRdWr => '0', kCmdAddr => "0000000000101", kCmdData => x"AA" ); -- Test the ADI_2WireSPI_Model for a write operation with a -- CS to sSPI_Clk and a data to sSPI_Clk setup time error inserted -- for the SPI transaction. InstWrCs2ClkSetupErr: entity work.tb_TestAD96xx_92xxSPI_Model Generic Map( kZmodID => kZmodID, kErrorType => 2, kCmdRdWr => '0', kCmdAddr => "0000000000101", kCmdData => x"AA" ); -- Test the ADI_2WireSPI_Model for a write operation with a -- sSDIO to sSPI_Clk hold time error inserted for the SPI transaction. InstWrData2ClkHoldErr: entity work.tb_TestAD96xx_92xxSPI_Model Generic Map( kZmodID => kZmodID, kErrorType => 3, kCmdRdWr => '0', kCmdAddr => "0000000000101", kCmdData => x"AA" ); -- Test the ADI_2WireSPI_Model for a write operation with a -- sCS to sSPI_Clk hold time error inserted for the SPI transaction. InstWrCs2ClkHoldErr: entity work.tb_TestAD96xx_92xxSPI_Model Generic Map( kZmodID => kZmodID, kErrorType => 4, kCmdRdWr => '0', kCmdAddr => "0000000000101", kCmdData => x"AA" ); -- Test the ADI_2WireSPI_Model for a write operation with a -- pulse width errors and a SPI clock period error inserted -- for the SPI transaction. InstSclkPulsePeriodErr : entity work.tb_TestAD96xx_92xxSPI_Model Generic Map( kZmodID => kZmodID, kErrorType => 5, kCmdRdWr => '0', kCmdAddr => "0000000000101", kCmdData => x"AA" ); -- Test the ADI_2WireSPI_Model for a write operation with an -- extra address bit error inserted for the SPI transaction. InstNoBitErr : entity work.tb_TestAD96xx_92xxSPI_Model Generic Map( kZmodID => kZmodID, kErrorType => 6, kCmdRdWr => '0', kCmdAddr => "0000000000101", kCmdData => x"AA" ); end Behavioral;
-- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:ip:fifo_generator:13.1 -- IP Revision: 2 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; LIBRARY fifo_generator_v13_1_2; USE fifo_generator_v13_1_2.fifo_generator_v13_1_2; ENTITY fifo_generator_rx_inst IS PORT ( clk : IN STD_LOGIC; rst : IN STD_LOGIC; din : IN STD_LOGIC_VECTOR(63 DOWNTO 0); wr_en : IN STD_LOGIC; rd_en : IN STD_LOGIC; dout : OUT STD_LOGIC_VECTOR(63 DOWNTO 0); full : OUT STD_LOGIC; empty : OUT STD_LOGIC ); END fifo_generator_rx_inst; ARCHITECTURE fifo_generator_rx_inst_arch OF fifo_generator_rx_inst IS ATTRIBUTE DowngradeIPIdentifiedWarnings : STRING; ATTRIBUTE DowngradeIPIdentifiedWarnings OF fifo_generator_rx_inst_arch: ARCHITECTURE IS "yes"; COMPONENT fifo_generator_v13_1_2 IS GENERIC ( C_COMMON_CLOCK : INTEGER; C_SELECT_XPM : INTEGER; C_COUNT_TYPE : INTEGER; C_DATA_COUNT_WIDTH : INTEGER; C_DEFAULT_VALUE : STRING; C_DIN_WIDTH : INTEGER; C_DOUT_RST_VAL : STRING; C_DOUT_WIDTH : INTEGER; C_ENABLE_RLOCS : INTEGER; C_FAMILY : STRING; C_FULL_FLAGS_RST_VAL : INTEGER; C_HAS_ALMOST_EMPTY : INTEGER; C_HAS_ALMOST_FULL : INTEGER; C_HAS_BACKUP : INTEGER; C_HAS_DATA_COUNT : INTEGER; C_HAS_INT_CLK : INTEGER; C_HAS_MEMINIT_FILE : INTEGER; C_HAS_OVERFLOW : INTEGER; C_HAS_RD_DATA_COUNT : INTEGER; C_HAS_RD_RST : INTEGER; C_HAS_RST : INTEGER; C_HAS_SRST : INTEGER; C_HAS_UNDERFLOW : INTEGER; C_HAS_VALID : INTEGER; C_HAS_WR_ACK : INTEGER; C_HAS_WR_DATA_COUNT : INTEGER; C_HAS_WR_RST : INTEGER; C_IMPLEMENTATION_TYPE : INTEGER; C_INIT_WR_PNTR_VAL : INTEGER; C_MEMORY_TYPE : INTEGER; C_MIF_FILE_NAME : STRING; C_OPTIMIZATION_MODE : INTEGER; C_OVERFLOW_LOW : INTEGER; C_PRELOAD_LATENCY : INTEGER; C_PRELOAD_REGS : INTEGER; C_PRIM_FIFO_TYPE : STRING; C_PROG_EMPTY_THRESH_ASSERT_VAL : INTEGER; C_PROG_EMPTY_THRESH_NEGATE_VAL : INTEGER; C_PROG_EMPTY_TYPE : INTEGER; C_PROG_FULL_THRESH_ASSERT_VAL : INTEGER; C_PROG_FULL_THRESH_NEGATE_VAL : INTEGER; C_PROG_FULL_TYPE : INTEGER; C_RD_DATA_COUNT_WIDTH : INTEGER; C_RD_DEPTH : INTEGER; C_RD_FREQ : INTEGER; C_RD_PNTR_WIDTH : INTEGER; C_UNDERFLOW_LOW : INTEGER; C_USE_DOUT_RST : INTEGER; C_USE_ECC : INTEGER; C_USE_EMBEDDED_REG : INTEGER; C_USE_PIPELINE_REG : INTEGER; C_POWER_SAVING_MODE : INTEGER; C_USE_FIFO16_FLAGS : INTEGER; C_USE_FWFT_DATA_COUNT : INTEGER; C_VALID_LOW : INTEGER; C_WR_ACK_LOW : INTEGER; C_WR_DATA_COUNT_WIDTH : INTEGER; C_WR_DEPTH : INTEGER; C_WR_FREQ : INTEGER; C_WR_PNTR_WIDTH : INTEGER; C_WR_RESPONSE_LATENCY : INTEGER; C_MSGON_VAL : INTEGER; C_ENABLE_RST_SYNC : INTEGER; C_EN_SAFETY_CKT : INTEGER; C_ERROR_INJECTION_TYPE : INTEGER; C_SYNCHRONIZER_STAGE : INTEGER; C_INTERFACE_TYPE : INTEGER; C_AXI_TYPE : INTEGER; C_HAS_AXI_WR_CHANNEL : INTEGER; C_HAS_AXI_RD_CHANNEL : INTEGER; C_HAS_SLAVE_CE : INTEGER; C_HAS_MASTER_CE : INTEGER; C_ADD_NGC_CONSTRAINT : INTEGER; C_USE_COMMON_OVERFLOW : INTEGER; C_USE_COMMON_UNDERFLOW : INTEGER; C_USE_DEFAULT_SETTINGS : INTEGER; C_AXI_ID_WIDTH : INTEGER; C_AXI_ADDR_WIDTH : INTEGER; C_AXI_DATA_WIDTH : INTEGER; C_AXI_LEN_WIDTH : INTEGER; C_AXI_LOCK_WIDTH : INTEGER; C_HAS_AXI_ID : INTEGER; C_HAS_AXI_AWUSER : INTEGER; C_HAS_AXI_WUSER : INTEGER; C_HAS_AXI_BUSER : INTEGER; C_HAS_AXI_ARUSER : INTEGER; C_HAS_AXI_RUSER : INTEGER; C_AXI_ARUSER_WIDTH : INTEGER; C_AXI_AWUSER_WIDTH : INTEGER; C_AXI_WUSER_WIDTH : INTEGER; C_AXI_BUSER_WIDTH : INTEGER; C_AXI_RUSER_WIDTH : INTEGER; C_HAS_AXIS_TDATA : INTEGER; C_HAS_AXIS_TID : INTEGER; C_HAS_AXIS_TDEST : INTEGER; C_HAS_AXIS_TUSER : INTEGER; C_HAS_AXIS_TREADY : INTEGER; C_HAS_AXIS_TLAST : INTEGER; C_HAS_AXIS_TSTRB : INTEGER; C_HAS_AXIS_TKEEP : INTEGER; C_AXIS_TDATA_WIDTH : INTEGER; C_AXIS_TID_WIDTH : INTEGER; C_AXIS_TDEST_WIDTH : INTEGER; C_AXIS_TUSER_WIDTH : INTEGER; C_AXIS_TSTRB_WIDTH : INTEGER; C_AXIS_TKEEP_WIDTH : INTEGER; C_WACH_TYPE : INTEGER; C_WDCH_TYPE : INTEGER; C_WRCH_TYPE : INTEGER; C_RACH_TYPE : INTEGER; C_RDCH_TYPE : INTEGER; C_AXIS_TYPE : INTEGER; C_IMPLEMENTATION_TYPE_WACH : INTEGER; C_IMPLEMENTATION_TYPE_WDCH : INTEGER; C_IMPLEMENTATION_TYPE_WRCH : INTEGER; C_IMPLEMENTATION_TYPE_RACH : INTEGER; C_IMPLEMENTATION_TYPE_RDCH : INTEGER; C_IMPLEMENTATION_TYPE_AXIS : INTEGER; C_APPLICATION_TYPE_WACH : INTEGER; C_APPLICATION_TYPE_WDCH : INTEGER; C_APPLICATION_TYPE_WRCH : INTEGER; C_APPLICATION_TYPE_RACH : INTEGER; C_APPLICATION_TYPE_RDCH : INTEGER; C_APPLICATION_TYPE_AXIS : INTEGER; C_PRIM_FIFO_TYPE_WACH : STRING; C_PRIM_FIFO_TYPE_WDCH : STRING; C_PRIM_FIFO_TYPE_WRCH : STRING; C_PRIM_FIFO_TYPE_RACH : STRING; C_PRIM_FIFO_TYPE_RDCH : STRING; C_PRIM_FIFO_TYPE_AXIS : STRING; C_USE_ECC_WACH : INTEGER; C_USE_ECC_WDCH : INTEGER; C_USE_ECC_WRCH : INTEGER; C_USE_ECC_RACH : INTEGER; C_USE_ECC_RDCH : INTEGER; C_USE_ECC_AXIS : INTEGER; C_ERROR_INJECTION_TYPE_WACH : INTEGER; C_ERROR_INJECTION_TYPE_WDCH : INTEGER; C_ERROR_INJECTION_TYPE_WRCH : INTEGER; C_ERROR_INJECTION_TYPE_RACH : INTEGER; C_ERROR_INJECTION_TYPE_RDCH : INTEGER; C_ERROR_INJECTION_TYPE_AXIS : INTEGER; C_DIN_WIDTH_WACH : INTEGER; C_DIN_WIDTH_WDCH : INTEGER; C_DIN_WIDTH_WRCH : INTEGER; C_DIN_WIDTH_RACH : INTEGER; C_DIN_WIDTH_RDCH : INTEGER; C_DIN_WIDTH_AXIS : INTEGER; C_WR_DEPTH_WACH : INTEGER; C_WR_DEPTH_WDCH : INTEGER; C_WR_DEPTH_WRCH : INTEGER; C_WR_DEPTH_RACH : INTEGER; C_WR_DEPTH_RDCH : INTEGER; C_WR_DEPTH_AXIS : INTEGER; C_WR_PNTR_WIDTH_WACH : INTEGER; C_WR_PNTR_WIDTH_WDCH : INTEGER; C_WR_PNTR_WIDTH_WRCH : INTEGER; C_WR_PNTR_WIDTH_RACH : INTEGER; C_WR_PNTR_WIDTH_RDCH : INTEGER; C_WR_PNTR_WIDTH_AXIS : INTEGER; C_HAS_DATA_COUNTS_WACH : INTEGER; C_HAS_DATA_COUNTS_WDCH : INTEGER; C_HAS_DATA_COUNTS_WRCH : INTEGER; C_HAS_DATA_COUNTS_RACH : INTEGER; C_HAS_DATA_COUNTS_RDCH : INTEGER; C_HAS_DATA_COUNTS_AXIS : INTEGER; C_HAS_PROG_FLAGS_WACH : INTEGER; C_HAS_PROG_FLAGS_WDCH : INTEGER; C_HAS_PROG_FLAGS_WRCH : INTEGER; C_HAS_PROG_FLAGS_RACH : INTEGER; C_HAS_PROG_FLAGS_RDCH : INTEGER; C_HAS_PROG_FLAGS_AXIS : INTEGER; C_PROG_FULL_TYPE_WACH : INTEGER; C_PROG_FULL_TYPE_WDCH : INTEGER; C_PROG_FULL_TYPE_WRCH : INTEGER; C_PROG_FULL_TYPE_RACH : INTEGER; C_PROG_FULL_TYPE_RDCH : INTEGER; C_PROG_FULL_TYPE_AXIS : INTEGER; C_PROG_FULL_THRESH_ASSERT_VAL_WACH : INTEGER; C_PROG_FULL_THRESH_ASSERT_VAL_WDCH : INTEGER; C_PROG_FULL_THRESH_ASSERT_VAL_WRCH : INTEGER; C_PROG_FULL_THRESH_ASSERT_VAL_RACH : INTEGER; C_PROG_FULL_THRESH_ASSERT_VAL_RDCH : INTEGER; C_PROG_FULL_THRESH_ASSERT_VAL_AXIS : INTEGER; C_PROG_EMPTY_TYPE_WACH : INTEGER; C_PROG_EMPTY_TYPE_WDCH : INTEGER; C_PROG_EMPTY_TYPE_WRCH : INTEGER; C_PROG_EMPTY_TYPE_RACH : INTEGER; C_PROG_EMPTY_TYPE_RDCH : INTEGER; C_PROG_EMPTY_TYPE_AXIS : INTEGER; C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH : INTEGER; C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH : INTEGER; C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH : INTEGER; C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH : INTEGER; C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH : INTEGER; C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS : INTEGER; C_REG_SLICE_MODE_WACH : INTEGER; C_REG_SLICE_MODE_WDCH : INTEGER; C_REG_SLICE_MODE_WRCH : INTEGER; C_REG_SLICE_MODE_RACH : INTEGER; C_REG_SLICE_MODE_RDCH : INTEGER; C_REG_SLICE_MODE_AXIS : INTEGER ); PORT ( backup : IN STD_LOGIC; backup_marker : IN STD_LOGIC; clk : IN STD_LOGIC; rst : IN STD_LOGIC; srst : IN STD_LOGIC; wr_clk : IN STD_LOGIC; wr_rst : IN STD_LOGIC; rd_clk : IN STD_LOGIC; rd_rst : IN STD_LOGIC; din : IN STD_LOGIC_VECTOR(63 DOWNTO 0); wr_en : IN STD_LOGIC; rd_en : IN STD_LOGIC; prog_empty_thresh : IN STD_LOGIC_VECTOR(11 DOWNTO 0); prog_empty_thresh_assert : IN STD_LOGIC_VECTOR(11 DOWNTO 0); prog_empty_thresh_negate : IN STD_LOGIC_VECTOR(11 DOWNTO 0); prog_full_thresh : IN STD_LOGIC_VECTOR(11 DOWNTO 0); prog_full_thresh_assert : IN STD_LOGIC_VECTOR(11 DOWNTO 0); prog_full_thresh_negate : IN STD_LOGIC_VECTOR(11 DOWNTO 0); int_clk : IN STD_LOGIC; injectdbiterr : IN STD_LOGIC; injectsbiterr : IN STD_LOGIC; sleep : IN STD_LOGIC; dout : OUT STD_LOGIC_VECTOR(63 DOWNTO 0); full : OUT STD_LOGIC; almost_full : OUT STD_LOGIC; wr_ack : OUT STD_LOGIC; overflow : OUT STD_LOGIC; empty : OUT STD_LOGIC; almost_empty : OUT STD_LOGIC; valid : OUT STD_LOGIC; underflow : OUT STD_LOGIC; data_count : OUT STD_LOGIC_VECTOR(11 DOWNTO 0); rd_data_count : OUT STD_LOGIC_VECTOR(11 DOWNTO 0); wr_data_count : OUT STD_LOGIC_VECTOR(11 DOWNTO 0); prog_full : OUT STD_LOGIC; prog_empty : OUT STD_LOGIC; sbiterr : OUT STD_LOGIC; dbiterr : OUT STD_LOGIC; wr_rst_busy : OUT STD_LOGIC; rd_rst_busy : OUT STD_LOGIC; m_aclk : IN STD_LOGIC; s_aclk : IN STD_LOGIC; s_aresetn : IN STD_LOGIC; m_aclk_en : IN STD_LOGIC; s_aclk_en : IN STD_LOGIC; s_axi_awid : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_awaddr : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_awlen : IN STD_LOGIC_VECTOR(7 DOWNTO 0); s_axi_awsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0); s_axi_awburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_awlock : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_awcache : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_awprot : IN STD_LOGIC_VECTOR(2 DOWNTO 0); s_axi_awqos : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_awregion : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_awuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_awvalid : IN STD_LOGIC; s_axi_awready : OUT STD_LOGIC; s_axi_wid : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_wdata : IN STD_LOGIC_VECTOR(63 DOWNTO 0); s_axi_wstrb : IN STD_LOGIC_VECTOR(7 DOWNTO 0); s_axi_wlast : IN STD_LOGIC; s_axi_wuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_wvalid : IN STD_LOGIC; s_axi_wready : OUT STD_LOGIC; s_axi_bid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_buser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_bvalid : OUT STD_LOGIC; s_axi_bready : IN STD_LOGIC; m_axi_awid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_awaddr : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); m_axi_awlen : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); m_axi_awsize : OUT STD_LOGIC_VECTOR(2 DOWNTO 0); m_axi_awburst : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); m_axi_awlock : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_awcache : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_awprot : OUT STD_LOGIC_VECTOR(2 DOWNTO 0); m_axi_awqos : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_awregion : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_awuser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_awvalid : OUT STD_LOGIC; m_axi_awready : IN STD_LOGIC; m_axi_wid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_wdata : OUT STD_LOGIC_VECTOR(63 DOWNTO 0); m_axi_wstrb : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); m_axi_wlast : OUT STD_LOGIC; m_axi_wuser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_wvalid : OUT STD_LOGIC; m_axi_wready : IN STD_LOGIC; m_axi_bid : IN STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_bresp : IN STD_LOGIC_VECTOR(1 DOWNTO 0); m_axi_buser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_bvalid : IN STD_LOGIC; m_axi_bready : OUT STD_LOGIC; s_axi_arid : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_araddr : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_arlen : IN STD_LOGIC_VECTOR(7 DOWNTO 0); s_axi_arsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0); s_axi_arburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_arlock : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_arcache : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_arprot : IN STD_LOGIC_VECTOR(2 DOWNTO 0); s_axi_arqos : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_arregion : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_aruser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_arvalid : IN STD_LOGIC; s_axi_arready : OUT STD_LOGIC; s_axi_rid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_rdata : OUT STD_LOGIC_VECTOR(63 DOWNTO 0); s_axi_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_rlast : OUT STD_LOGIC; s_axi_ruser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_rvalid : OUT STD_LOGIC; s_axi_rready : IN STD_LOGIC; m_axi_arid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_araddr : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); m_axi_arlen : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); m_axi_arsize : OUT STD_LOGIC_VECTOR(2 DOWNTO 0); m_axi_arburst : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); m_axi_arlock : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_arcache : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_arprot : OUT STD_LOGIC_VECTOR(2 DOWNTO 0); m_axi_arqos : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_arregion : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_aruser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_arvalid : OUT STD_LOGIC; m_axi_arready : IN STD_LOGIC; m_axi_rid : IN STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_rdata : IN STD_LOGIC_VECTOR(63 DOWNTO 0); m_axi_rresp : IN STD_LOGIC_VECTOR(1 DOWNTO 0); m_axi_rlast : IN STD_LOGIC; m_axi_ruser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_rvalid : IN STD_LOGIC; m_axi_rready : OUT STD_LOGIC; s_axis_tvalid : IN STD_LOGIC; s_axis_tready : OUT STD_LOGIC; s_axis_tdata : IN STD_LOGIC_VECTOR(7 DOWNTO 0); s_axis_tstrb : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_tkeep : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_tlast : IN STD_LOGIC; s_axis_tid : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_tdest : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_tuser : IN STD_LOGIC_VECTOR(3 DOWNTO 0); m_axis_tvalid : OUT STD_LOGIC; m_axis_tready : IN STD_LOGIC; m_axis_tdata : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); m_axis_tstrb : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axis_tkeep : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axis_tlast : OUT STD_LOGIC; m_axis_tid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axis_tdest : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axis_tuser : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); axi_aw_injectsbiterr : IN STD_LOGIC; axi_aw_injectdbiterr : IN STD_LOGIC; axi_aw_prog_full_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0); axi_aw_prog_empty_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0); axi_aw_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_aw_wr_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_aw_rd_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_aw_sbiterr : OUT STD_LOGIC; axi_aw_dbiterr : OUT STD_LOGIC; axi_aw_overflow : OUT STD_LOGIC; axi_aw_underflow : OUT STD_LOGIC; axi_aw_prog_full : OUT STD_LOGIC; axi_aw_prog_empty : OUT STD_LOGIC; axi_w_injectsbiterr : IN STD_LOGIC; axi_w_injectdbiterr : IN STD_LOGIC; axi_w_prog_full_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0); axi_w_prog_empty_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0); axi_w_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axi_w_wr_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axi_w_rd_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axi_w_sbiterr : OUT STD_LOGIC; axi_w_dbiterr : OUT STD_LOGIC; axi_w_overflow : OUT STD_LOGIC; axi_w_underflow : OUT STD_LOGIC; axi_w_prog_full : OUT STD_LOGIC; axi_w_prog_empty : OUT STD_LOGIC; axi_b_injectsbiterr : IN STD_LOGIC; axi_b_injectdbiterr : IN STD_LOGIC; axi_b_prog_full_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0); axi_b_prog_empty_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0); axi_b_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_b_wr_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_b_rd_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_b_sbiterr : OUT STD_LOGIC; axi_b_dbiterr : OUT STD_LOGIC; axi_b_overflow : OUT STD_LOGIC; axi_b_underflow : OUT STD_LOGIC; axi_b_prog_full : OUT STD_LOGIC; axi_b_prog_empty : OUT STD_LOGIC; axi_ar_injectsbiterr : IN STD_LOGIC; axi_ar_injectdbiterr : IN STD_LOGIC; axi_ar_prog_full_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0); axi_ar_prog_empty_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0); axi_ar_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_ar_wr_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_ar_rd_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_ar_sbiterr : OUT STD_LOGIC; axi_ar_dbiterr : OUT STD_LOGIC; axi_ar_overflow : OUT STD_LOGIC; axi_ar_underflow : OUT STD_LOGIC; axi_ar_prog_full : OUT STD_LOGIC; axi_ar_prog_empty : OUT STD_LOGIC; axi_r_injectsbiterr : IN STD_LOGIC; axi_r_injectdbiterr : IN STD_LOGIC; axi_r_prog_full_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0); axi_r_prog_empty_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0); axi_r_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axi_r_wr_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axi_r_rd_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axi_r_sbiterr : OUT STD_LOGIC; axi_r_dbiterr : OUT STD_LOGIC; axi_r_overflow : OUT STD_LOGIC; axi_r_underflow : OUT STD_LOGIC; axi_r_prog_full : OUT STD_LOGIC; axi_r_prog_empty : OUT STD_LOGIC; axis_injectsbiterr : IN STD_LOGIC; axis_injectdbiterr : IN STD_LOGIC; axis_prog_full_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0); axis_prog_empty_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0); axis_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axis_wr_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axis_rd_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axis_sbiterr : OUT STD_LOGIC; axis_dbiterr : OUT STD_LOGIC; axis_overflow : OUT STD_LOGIC; axis_underflow : OUT STD_LOGIC; axis_prog_full : OUT STD_LOGIC; axis_prog_empty : OUT STD_LOGIC ); END COMPONENT fifo_generator_v13_1_2; ATTRIBUTE X_CORE_INFO : STRING; ATTRIBUTE X_CORE_INFO OF fifo_generator_rx_inst_arch: ARCHITECTURE IS "fifo_generator_v13_1_2,Vivado 2016.3"; ATTRIBUTE CHECK_LICENSE_TYPE : STRING; ATTRIBUTE CHECK_LICENSE_TYPE OF fifo_generator_rx_inst_arch : ARCHITECTURE IS "fifo_generator_rx_inst,fifo_generator_v13_1_2,{}"; ATTRIBUTE CORE_GENERATION_INFO : STRING; ATTRIBUTE CORE_GENERATION_INFO OF fifo_generator_rx_inst_arch: ARCHITECTURE IS "fifo_generator_rx_inst,fifo_generator_v13_1_2,{x_ipProduct=Vivado 2016.3,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=fifo_generator,x_ipVersion=13.1,x_ipCoreRevision=2,x_ipLanguage=VERILOG,x_ipSimLanguage=MIXED,C_COMMON_CLOCK=1,C_SELECT_XPM=0,C_COUNT_TYPE=0,C_DATA_COUNT_WIDTH=12,C_DEFAULT_VALUE=BlankString,C_DIN_WIDTH=64,C_DOUT_RST_VAL=0,C_DOUT_WIDTH=64,C_ENABLE_RLOCS=0,C_FAMILY=kintex7,C_FULL_FLAGS_RST_VAL=1,C_HAS_ALMOST_EMPTY=0,C_HAS_ALMOST_FULL=0,C_HAS_BACKUP=0,C_HAS_DATA_COUNT=0,C_HAS_INT_" & "CLK=0,C_HAS_MEMINIT_FILE=0,C_HAS_OVERFLOW=0,C_HAS_RD_DATA_COUNT=0,C_HAS_RD_RST=0,C_HAS_RST=1,C_HAS_SRST=0,C_HAS_UNDERFLOW=0,C_HAS_VALID=0,C_HAS_WR_ACK=0,C_HAS_WR_DATA_COUNT=0,C_HAS_WR_RST=0,C_IMPLEMENTATION_TYPE=0,C_INIT_WR_PNTR_VAL=0,C_MEMORY_TYPE=1,C_MIF_FILE_NAME=BlankString,C_OPTIMIZATION_MODE=0,C_OVERFLOW_LOW=0,C_PRELOAD_LATENCY=1,C_PRELOAD_REGS=0,C_PRIM_FIFO_TYPE=4kx9,C_PROG_EMPTY_THRESH_ASSERT_VAL=2,C_PROG_EMPTY_THRESH_NEGATE_VAL=3,C_PROG_EMPTY_TYPE=0,C_PROG_FULL_THRESH_ASSERT_VAL=4094,C_" & "PROG_FULL_THRESH_NEGATE_VAL=4093,C_PROG_FULL_TYPE=0,C_RD_DATA_COUNT_WIDTH=12,C_RD_DEPTH=4096,C_RD_FREQ=1,C_RD_PNTR_WIDTH=12,C_UNDERFLOW_LOW=0,C_USE_DOUT_RST=1,C_USE_ECC=0,C_USE_EMBEDDED_REG=0,C_USE_PIPELINE_REG=0,C_POWER_SAVING_MODE=0,C_USE_FIFO16_FLAGS=0,C_USE_FWFT_DATA_COUNT=0,C_VALID_LOW=0,C_WR_ACK_LOW=0,C_WR_DATA_COUNT_WIDTH=12,C_WR_DEPTH=4096,C_WR_FREQ=1,C_WR_PNTR_WIDTH=12,C_WR_RESPONSE_LATENCY=1,C_MSGON_VAL=1,C_ENABLE_RST_SYNC=1,C_EN_SAFETY_CKT=0,C_ERROR_INJECTION_TYPE=0,C_SYNCHRONIZER_STA" & "GE=2,C_INTERFACE_TYPE=0,C_AXI_TYPE=1,C_HAS_AXI_WR_CHANNEL=1,C_HAS_AXI_RD_CHANNEL=1,C_HAS_SLAVE_CE=0,C_HAS_MASTER_CE=0,C_ADD_NGC_CONSTRAINT=0,C_USE_COMMON_OVERFLOW=0,C_USE_COMMON_UNDERFLOW=0,C_USE_DEFAULT_SETTINGS=0,C_AXI_ID_WIDTH=1,C_AXI_ADDR_WIDTH=32,C_AXI_DATA_WIDTH=64,C_AXI_LEN_WIDTH=8,C_AXI_LOCK_WIDTH=1,C_HAS_AXI_ID=0,C_HAS_AXI_AWUSER=0,C_HAS_AXI_WUSER=0,C_HAS_AXI_BUSER=0,C_HAS_AXI_ARUSER=0,C_HAS_AXI_RUSER=0,C_AXI_ARUSER_WIDTH=1,C_AXI_AWUSER_WIDTH=1,C_AXI_WUSER_WIDTH=1,C_AXI_BUSER_WIDTH=1,C_" & "AXI_RUSER_WIDTH=1,C_HAS_AXIS_TDATA=1,C_HAS_AXIS_TID=0,C_HAS_AXIS_TDEST=0,C_HAS_AXIS_TUSER=1,C_HAS_AXIS_TREADY=1,C_HAS_AXIS_TLAST=0,C_HAS_AXIS_TSTRB=0,C_HAS_AXIS_TKEEP=0,C_AXIS_TDATA_WIDTH=8,C_AXIS_TID_WIDTH=1,C_AXIS_TDEST_WIDTH=1,C_AXIS_TUSER_WIDTH=4,C_AXIS_TSTRB_WIDTH=1,C_AXIS_TKEEP_WIDTH=1,C_WACH_TYPE=0,C_WDCH_TYPE=0,C_WRCH_TYPE=0,C_RACH_TYPE=0,C_RDCH_TYPE=0,C_AXIS_TYPE=0,C_IMPLEMENTATION_TYPE_WACH=1,C_IMPLEMENTATION_TYPE_WDCH=1,C_IMPLEMENTATION_TYPE_WRCH=1,C_IMPLEMENTATION_TYPE_RACH=1,C_IMPLE" & "MENTATION_TYPE_RDCH=1,C_IMPLEMENTATION_TYPE_AXIS=1,C_APPLICATION_TYPE_WACH=0,C_APPLICATION_TYPE_WDCH=0,C_APPLICATION_TYPE_WRCH=0,C_APPLICATION_TYPE_RACH=0,C_APPLICATION_TYPE_RDCH=0,C_APPLICATION_TYPE_AXIS=0,C_PRIM_FIFO_TYPE_WACH=512x36,C_PRIM_FIFO_TYPE_WDCH=1kx36,C_PRIM_FIFO_TYPE_WRCH=512x36,C_PRIM_FIFO_TYPE_RACH=512x36,C_PRIM_FIFO_TYPE_RDCH=1kx36,C_PRIM_FIFO_TYPE_AXIS=1kx18,C_USE_ECC_WACH=0,C_USE_ECC_WDCH=0,C_USE_ECC_WRCH=0,C_USE_ECC_RACH=0,C_USE_ECC_RDCH=0,C_USE_ECC_AXIS=0,C_ERROR_INJECTION_TY" & "PE_WACH=0,C_ERROR_INJECTION_TYPE_WDCH=0,C_ERROR_INJECTION_TYPE_WRCH=0,C_ERROR_INJECTION_TYPE_RACH=0,C_ERROR_INJECTION_TYPE_RDCH=0,C_ERROR_INJECTION_TYPE_AXIS=0,C_DIN_WIDTH_WACH=1,C_DIN_WIDTH_WDCH=64,C_DIN_WIDTH_WRCH=2,C_DIN_WIDTH_RACH=32,C_DIN_WIDTH_RDCH=64,C_DIN_WIDTH_AXIS=1,C_WR_DEPTH_WACH=16,C_WR_DEPTH_WDCH=1024,C_WR_DEPTH_WRCH=16,C_WR_DEPTH_RACH=16,C_WR_DEPTH_RDCH=1024,C_WR_DEPTH_AXIS=1024,C_WR_PNTR_WIDTH_WACH=4,C_WR_PNTR_WIDTH_WDCH=10,C_WR_PNTR_WIDTH_WRCH=4,C_WR_PNTR_WIDTH_RACH=4,C_WR_PNTR_" & "WIDTH_RDCH=10,C_WR_PNTR_WIDTH_AXIS=10,C_HAS_DATA_COUNTS_WACH=0,C_HAS_DATA_COUNTS_WDCH=0,C_HAS_DATA_COUNTS_WRCH=0,C_HAS_DATA_COUNTS_RACH=0,C_HAS_DATA_COUNTS_RDCH=0,C_HAS_DATA_COUNTS_AXIS=0,C_HAS_PROG_FLAGS_WACH=0,C_HAS_PROG_FLAGS_WDCH=0,C_HAS_PROG_FLAGS_WRCH=0,C_HAS_PROG_FLAGS_RACH=0,C_HAS_PROG_FLAGS_RDCH=0,C_HAS_PROG_FLAGS_AXIS=0,C_PROG_FULL_TYPE_WACH=0,C_PROG_FULL_TYPE_WDCH=0,C_PROG_FULL_TYPE_WRCH=0,C_PROG_FULL_TYPE_RACH=0,C_PROG_FULL_TYPE_RDCH=0,C_PROG_FULL_TYPE_AXIS=0,C_PROG_FULL_THRESH_ASSER" & "T_VAL_WACH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_WDCH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_WRCH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_RACH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_RDCH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_AXIS=1023,C_PROG_EMPTY_TYPE_WACH=0,C_PROG_EMPTY_TYPE_WDCH=0,C_PROG_EMPTY_TYPE_WRCH=0,C_PROG_EMPTY_TYPE_RACH=0,C_PROG_EMPTY_TYPE_RDCH=0,C_PROG_EMPTY_TYPE_AXIS=0,C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH=1022,C_PROG_EMPT" & "Y_THRESH_ASSERT_VAL_RACH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS=1022,C_REG_SLICE_MODE_WACH=0,C_REG_SLICE_MODE_WDCH=0,C_REG_SLICE_MODE_WRCH=0,C_REG_SLICE_MODE_RACH=0,C_REG_SLICE_MODE_RDCH=0,C_REG_SLICE_MODE_AXIS=0}"; ATTRIBUTE X_INTERFACE_INFO : STRING; ATTRIBUTE X_INTERFACE_INFO OF clk: SIGNAL IS "xilinx.com:signal:clock:1.0 core_clk CLK"; ATTRIBUTE X_INTERFACE_INFO OF din: SIGNAL IS "xilinx.com:interface:fifo_write:1.0 FIFO_WRITE WR_DATA"; ATTRIBUTE X_INTERFACE_INFO OF wr_en: SIGNAL IS "xilinx.com:interface:fifo_write:1.0 FIFO_WRITE WR_EN"; ATTRIBUTE X_INTERFACE_INFO OF rd_en: SIGNAL IS "xilinx.com:interface:fifo_read:1.0 FIFO_READ RD_EN"; ATTRIBUTE X_INTERFACE_INFO OF dout: SIGNAL IS "xilinx.com:interface:fifo_read:1.0 FIFO_READ RD_DATA"; ATTRIBUTE X_INTERFACE_INFO OF full: SIGNAL IS "xilinx.com:interface:fifo_write:1.0 FIFO_WRITE FULL"; ATTRIBUTE X_INTERFACE_INFO OF empty: SIGNAL IS "xilinx.com:interface:fifo_read:1.0 FIFO_READ EMPTY"; BEGIN U0 : fifo_generator_v13_1_2 GENERIC MAP ( C_COMMON_CLOCK => 1, C_SELECT_XPM => 0, C_COUNT_TYPE => 0, C_DATA_COUNT_WIDTH => 12, C_DEFAULT_VALUE => "BlankString", C_DIN_WIDTH => 64, C_DOUT_RST_VAL => "0", C_DOUT_WIDTH => 64, C_ENABLE_RLOCS => 0, C_FAMILY => "kintex7", C_FULL_FLAGS_RST_VAL => 1, C_HAS_ALMOST_EMPTY => 0, C_HAS_ALMOST_FULL => 0, C_HAS_BACKUP => 0, C_HAS_DATA_COUNT => 0, C_HAS_INT_CLK => 0, C_HAS_MEMINIT_FILE => 0, C_HAS_OVERFLOW => 0, C_HAS_RD_DATA_COUNT => 0, C_HAS_RD_RST => 0, C_HAS_RST => 1, C_HAS_SRST => 0, C_HAS_UNDERFLOW => 0, C_HAS_VALID => 0, C_HAS_WR_ACK => 0, C_HAS_WR_DATA_COUNT => 0, C_HAS_WR_RST => 0, C_IMPLEMENTATION_TYPE => 0, C_INIT_WR_PNTR_VAL => 0, C_MEMORY_TYPE => 1, C_MIF_FILE_NAME => "BlankString", C_OPTIMIZATION_MODE => 0, C_OVERFLOW_LOW => 0, C_PRELOAD_LATENCY => 1, C_PRELOAD_REGS => 0, C_PRIM_FIFO_TYPE => "4kx9", C_PROG_EMPTY_THRESH_ASSERT_VAL => 2, C_PROG_EMPTY_THRESH_NEGATE_VAL => 3, C_PROG_EMPTY_TYPE => 0, C_PROG_FULL_THRESH_ASSERT_VAL => 4094, C_PROG_FULL_THRESH_NEGATE_VAL => 4093, C_PROG_FULL_TYPE => 0, C_RD_DATA_COUNT_WIDTH => 12, C_RD_DEPTH => 4096, C_RD_FREQ => 1, C_RD_PNTR_WIDTH => 12, C_UNDERFLOW_LOW => 0, C_USE_DOUT_RST => 1, C_USE_ECC => 0, C_USE_EMBEDDED_REG => 0, C_USE_PIPELINE_REG => 0, C_POWER_SAVING_MODE => 0, C_USE_FIFO16_FLAGS => 0, C_USE_FWFT_DATA_COUNT => 0, C_VALID_LOW => 0, C_WR_ACK_LOW => 0, C_WR_DATA_COUNT_WIDTH => 12, C_WR_DEPTH => 4096, C_WR_FREQ => 1, C_WR_PNTR_WIDTH => 12, C_WR_RESPONSE_LATENCY => 1, C_MSGON_VAL => 1, C_ENABLE_RST_SYNC => 1, C_EN_SAFETY_CKT => 0, C_ERROR_INJECTION_TYPE => 0, C_SYNCHRONIZER_STAGE => 2, C_INTERFACE_TYPE => 0, C_AXI_TYPE => 1, C_HAS_AXI_WR_CHANNEL => 1, C_HAS_AXI_RD_CHANNEL => 1, C_HAS_SLAVE_CE => 0, C_HAS_MASTER_CE => 0, C_ADD_NGC_CONSTRAINT => 0, C_USE_COMMON_OVERFLOW => 0, C_USE_COMMON_UNDERFLOW => 0, C_USE_DEFAULT_SETTINGS => 0, C_AXI_ID_WIDTH => 1, C_AXI_ADDR_WIDTH => 32, C_AXI_DATA_WIDTH => 64, C_AXI_LEN_WIDTH => 8, C_AXI_LOCK_WIDTH => 1, C_HAS_AXI_ID => 0, C_HAS_AXI_AWUSER => 0, C_HAS_AXI_WUSER => 0, C_HAS_AXI_BUSER => 0, C_HAS_AXI_ARUSER => 0, C_HAS_AXI_RUSER => 0, C_AXI_ARUSER_WIDTH => 1, C_AXI_AWUSER_WIDTH => 1, C_AXI_WUSER_WIDTH => 1, C_AXI_BUSER_WIDTH => 1, C_AXI_RUSER_WIDTH => 1, C_HAS_AXIS_TDATA => 1, C_HAS_AXIS_TID => 0, C_HAS_AXIS_TDEST => 0, C_HAS_AXIS_TUSER => 1, C_HAS_AXIS_TREADY => 1, C_HAS_AXIS_TLAST => 0, C_HAS_AXIS_TSTRB => 0, C_HAS_AXIS_TKEEP => 0, C_AXIS_TDATA_WIDTH => 8, C_AXIS_TID_WIDTH => 1, C_AXIS_TDEST_WIDTH => 1, C_AXIS_TUSER_WIDTH => 4, C_AXIS_TSTRB_WIDTH => 1, C_AXIS_TKEEP_WIDTH => 1, C_WACH_TYPE => 0, C_WDCH_TYPE => 0, C_WRCH_TYPE => 0, C_RACH_TYPE => 0, C_RDCH_TYPE => 0, C_AXIS_TYPE => 0, C_IMPLEMENTATION_TYPE_WACH => 1, C_IMPLEMENTATION_TYPE_WDCH => 1, C_IMPLEMENTATION_TYPE_WRCH => 1, C_IMPLEMENTATION_TYPE_RACH => 1, C_IMPLEMENTATION_TYPE_RDCH => 1, C_IMPLEMENTATION_TYPE_AXIS => 1, C_APPLICATION_TYPE_WACH => 0, C_APPLICATION_TYPE_WDCH => 0, C_APPLICATION_TYPE_WRCH => 0, C_APPLICATION_TYPE_RACH => 0, C_APPLICATION_TYPE_RDCH => 0, C_APPLICATION_TYPE_AXIS => 0, C_PRIM_FIFO_TYPE_WACH => "512x36", C_PRIM_FIFO_TYPE_WDCH => "1kx36", C_PRIM_FIFO_TYPE_WRCH => "512x36", C_PRIM_FIFO_TYPE_RACH => "512x36", C_PRIM_FIFO_TYPE_RDCH => "1kx36", C_PRIM_FIFO_TYPE_AXIS => "1kx18", C_USE_ECC_WACH => 0, C_USE_ECC_WDCH => 0, C_USE_ECC_WRCH => 0, C_USE_ECC_RACH => 0, C_USE_ECC_RDCH => 0, C_USE_ECC_AXIS => 0, C_ERROR_INJECTION_TYPE_WACH => 0, C_ERROR_INJECTION_TYPE_WDCH => 0, C_ERROR_INJECTION_TYPE_WRCH => 0, C_ERROR_INJECTION_TYPE_RACH => 0, C_ERROR_INJECTION_TYPE_RDCH => 0, C_ERROR_INJECTION_TYPE_AXIS => 0, C_DIN_WIDTH_WACH => 1, C_DIN_WIDTH_WDCH => 64, C_DIN_WIDTH_WRCH => 2, C_DIN_WIDTH_RACH => 32, C_DIN_WIDTH_RDCH => 64, C_DIN_WIDTH_AXIS => 1, C_WR_DEPTH_WACH => 16, C_WR_DEPTH_WDCH => 1024, C_WR_DEPTH_WRCH => 16, C_WR_DEPTH_RACH => 16, C_WR_DEPTH_RDCH => 1024, C_WR_DEPTH_AXIS => 1024, C_WR_PNTR_WIDTH_WACH => 4, C_WR_PNTR_WIDTH_WDCH => 10, C_WR_PNTR_WIDTH_WRCH => 4, C_WR_PNTR_WIDTH_RACH => 4, C_WR_PNTR_WIDTH_RDCH => 10, C_WR_PNTR_WIDTH_AXIS => 10, C_HAS_DATA_COUNTS_WACH => 0, C_HAS_DATA_COUNTS_WDCH => 0, C_HAS_DATA_COUNTS_WRCH => 0, C_HAS_DATA_COUNTS_RACH => 0, C_HAS_DATA_COUNTS_RDCH => 0, C_HAS_DATA_COUNTS_AXIS => 0, C_HAS_PROG_FLAGS_WACH => 0, C_HAS_PROG_FLAGS_WDCH => 0, C_HAS_PROG_FLAGS_WRCH => 0, C_HAS_PROG_FLAGS_RACH => 0, C_HAS_PROG_FLAGS_RDCH => 0, C_HAS_PROG_FLAGS_AXIS => 0, C_PROG_FULL_TYPE_WACH => 0, C_PROG_FULL_TYPE_WDCH => 0, C_PROG_FULL_TYPE_WRCH => 0, C_PROG_FULL_TYPE_RACH => 0, C_PROG_FULL_TYPE_RDCH => 0, C_PROG_FULL_TYPE_AXIS => 0, C_PROG_FULL_THRESH_ASSERT_VAL_WACH => 1023, C_PROG_FULL_THRESH_ASSERT_VAL_WDCH => 1023, C_PROG_FULL_THRESH_ASSERT_VAL_WRCH => 1023, C_PROG_FULL_THRESH_ASSERT_VAL_RACH => 1023, C_PROG_FULL_THRESH_ASSERT_VAL_RDCH => 1023, C_PROG_FULL_THRESH_ASSERT_VAL_AXIS => 1023, C_PROG_EMPTY_TYPE_WACH => 0, C_PROG_EMPTY_TYPE_WDCH => 0, C_PROG_EMPTY_TYPE_WRCH => 0, C_PROG_EMPTY_TYPE_RACH => 0, C_PROG_EMPTY_TYPE_RDCH => 0, C_PROG_EMPTY_TYPE_AXIS => 0, C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH => 1022, C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH => 1022, C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH => 1022, C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH => 1022, C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH => 1022, C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS => 1022, C_REG_SLICE_MODE_WACH => 0, C_REG_SLICE_MODE_WDCH => 0, C_REG_SLICE_MODE_WRCH => 0, C_REG_SLICE_MODE_RACH => 0, C_REG_SLICE_MODE_RDCH => 0, C_REG_SLICE_MODE_AXIS => 0 ) PORT MAP ( backup => '0', backup_marker => '0', clk => clk, rst => rst, srst => '0', wr_clk => '0', wr_rst => '0', rd_clk => '0', rd_rst => '0', din => din, wr_en => wr_en, rd_en => rd_en, prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 12)), prog_empty_thresh_assert => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 12)), prog_empty_thresh_negate => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 12)), prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 12)), prog_full_thresh_assert => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 12)), prog_full_thresh_negate => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 12)), int_clk => '0', injectdbiterr => '0', injectsbiterr => '0', sleep => '0', dout => dout, full => full, empty => empty, m_aclk => '0', s_aclk => '0', s_aresetn => '0', m_aclk_en => '0', s_aclk_en => '0', s_axi_awid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_awaddr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)), s_axi_awlen => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)), s_axi_awsize => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)), s_axi_awburst => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)), s_axi_awlock => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_awcache => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), s_axi_awprot => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)), s_axi_awqos => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), s_axi_awregion => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), s_axi_awuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_awvalid => '0', s_axi_wid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_wdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 64)), s_axi_wstrb => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)), s_axi_wlast => '0', s_axi_wuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_wvalid => '0', s_axi_bready => '0', m_axi_awready => '0', m_axi_wready => '0', m_axi_bid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), m_axi_bresp => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)), m_axi_buser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), m_axi_bvalid => '0', s_axi_arid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_araddr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)), s_axi_arlen => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)), s_axi_arsize => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)), s_axi_arburst => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)), s_axi_arlock => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_arcache => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), s_axi_arprot => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)), s_axi_arqos => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), s_axi_arregion => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), s_axi_aruser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_arvalid => '0', s_axi_rready => '0', m_axi_arready => '0', m_axi_rid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), m_axi_rdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 64)), m_axi_rresp => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)), m_axi_rlast => '0', m_axi_ruser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), m_axi_rvalid => '0', s_axis_tvalid => '0', s_axis_tdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)), s_axis_tstrb => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_tkeep => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_tlast => '0', s_axis_tid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_tdest => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), m_axis_tready => '0', axi_aw_injectsbiterr => '0', axi_aw_injectdbiterr => '0', axi_aw_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), axi_aw_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), axi_w_injectsbiterr => '0', axi_w_injectdbiterr => '0', axi_w_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)), axi_w_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)), axi_b_injectsbiterr => '0', axi_b_injectdbiterr => '0', axi_b_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), axi_b_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), axi_ar_injectsbiterr => '0', axi_ar_injectdbiterr => '0', axi_ar_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), axi_ar_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), axi_r_injectsbiterr => '0', axi_r_injectdbiterr => '0', axi_r_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)), axi_r_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)), axis_injectsbiterr => '0', axis_injectdbiterr => '0', axis_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)), axis_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)) ); END fifo_generator_rx_inst_arch;
------------------------------------------------------------------------------- -- Title : Encoder Module -- Project : Loa ------------------------------------------------------------------------------- -- Platform : Spartan 3 ------------------------------------------------------------------------------- -- Description: Connectes a quadrature decoder with a 16-bit counter to -- the internal bus system. ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library work; use work.bus_pkg.all; use work.encoder_module_pkg.all; use work.quadrature_decoder_pkg.all; use work.up_down_counter_pkg.all; ------------------------------------------------------------------------------- entity encoder_module is generic ( BASE_ADDRESS : integer range 0 to 16#7FFF# ); port ( encoder_p : in encoder_type; index_p : in std_logic; -- index can be used to reset the -- counter, set to '0' if not used load_p : in std_logic; -- Save the current encoder value in a -- buffer register bus_o : out busdevice_out_type; bus_i : in busdevice_in_type; clk : in std_logic ); end encoder_module; ------------------------------------------------------------------------------- architecture behavioral of encoder_module is type encoder_module_type is record counter : std_logic_vector(15 downto 0); data_out : std_logic_vector(15 downto 0); end record; signal r, rin : encoder_module_type := (data_out => (others => '0'), counter => (others => '0')); signal step : std_logic := '0'; signal up_down : std_logic := '0'; -- Direction for the counter ('1' = up, '0' = down) signal decode_error : std_logic; -- Decoding Error (A and B lines changes at the same time), currently not used signal counter : std_logic_vector(15 downto 0); begin seq_proc : process(clk) begin if rising_edge(clk) then r <= rin; end if; end process seq_proc; comb_proc : process(bus_i, counter, load_p, r) variable v : encoder_module_type; begin v := r; v.data_out := (others => '0'); -- Load counter into own buffer if load_p = '1' then v.counter := counter; end if; -- Check Bus Address if bus_i.addr = std_logic_vector(to_unsigned(BASE_ADDRESS, 15)) then if bus_i.we = '1' then -- TODO elsif bus_i.re = '1' then v.data_out := r.counter; end if; end if; rin <= v; end process comb_proc; bus_o.data <= r.data_out; decoder : quadrature_decoder port map ( encoder_p => encoder_p, step_p => step, dir_p => up_down, error_p => decode_error, clk => clk); up_down_counter_1 : up_down_counter generic map ( WIDTH => 16) port map ( clk_en_p => step, up_down_p => up_down, value_p => counter, reset => '0', clk => clk); end behavioral;
------------------------------------------------------------------------------- -- Title : Encoder Module -- Project : Loa ------------------------------------------------------------------------------- -- Platform : Spartan 3 ------------------------------------------------------------------------------- -- Description: Connectes a quadrature decoder with a 16-bit counter to -- the internal bus system. ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library work; use work.bus_pkg.all; use work.encoder_module_pkg.all; use work.quadrature_decoder_pkg.all; use work.up_down_counter_pkg.all; ------------------------------------------------------------------------------- entity encoder_module is generic ( BASE_ADDRESS : integer range 0 to 16#7FFF# ); port ( encoder_p : in encoder_type; index_p : in std_logic; -- index can be used to reset the -- counter, set to '0' if not used load_p : in std_logic; -- Save the current encoder value in a -- buffer register bus_o : out busdevice_out_type; bus_i : in busdevice_in_type; clk : in std_logic ); end encoder_module; ------------------------------------------------------------------------------- architecture behavioral of encoder_module is type encoder_module_type is record counter : std_logic_vector(15 downto 0); data_out : std_logic_vector(15 downto 0); end record; signal r, rin : encoder_module_type := (data_out => (others => '0'), counter => (others => '0')); signal step : std_logic := '0'; signal up_down : std_logic := '0'; -- Direction for the counter ('1' = up, '0' = down) signal decode_error : std_logic; -- Decoding Error (A and B lines changes at the same time), currently not used signal counter : std_logic_vector(15 downto 0); begin seq_proc : process(clk) begin if rising_edge(clk) then r <= rin; end if; end process seq_proc; comb_proc : process(bus_i, counter, load_p, r) variable v : encoder_module_type; begin v := r; v.data_out := (others => '0'); -- Load counter into own buffer if load_p = '1' then v.counter := counter; end if; -- Check Bus Address if bus_i.addr = std_logic_vector(to_unsigned(BASE_ADDRESS, 15)) then if bus_i.we = '1' then -- TODO elsif bus_i.re = '1' then v.data_out := r.counter; end if; end if; rin <= v; end process comb_proc; bus_o.data <= r.data_out; decoder : quadrature_decoder port map ( encoder_p => encoder_p, step_p => step, dir_p => up_down, error_p => decode_error, clk => clk); up_down_counter_1 : up_down_counter generic map ( WIDTH => 16) port map ( clk_en_p => step, up_down_p => up_down, value_p => counter, reset => '0', clk => clk); end behavioral;
-- $Id$ -- -- Copyright 2011- by Walter F.J. Mueller <[email protected]> -- -- This program is free software; you may redistribute and/or modify it under -- the terms of the GNU General Public License as published by the Free -- Software Foundation, either version 2, or at your option any later version. -- -- This program is distributed in the hope that it will be useful, but -- WITHOUT ANY WARRANTY, without even the implied warranty of MERCHANTABILITY -- or FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for complete details. -- ------------------------------------------------------------------------------ -- Module Name: tb_avmb_core - sim -- Description: Test bench for avmb - core device handling -- -- To test: generic, any avmb target -- -- Target Devices: generic -- Tool versions: xst 13.4; ghdl 0.29 -- Revision History: -- Date Rev Version Comment -- 2012-02-04 ??? 1.0 Initial version ------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use ieee.std_logic_textio.all; use std.textio.all; use work.slvtypes.all; use work.serport.all; use work.simbus.all; entity tb_avmb_core is port ( I_SWI : out slv4; -- mb switches I_BTN : out slv1 -- mb button ); end tb_avmb_core; architecture sim of tb_avmb_core is signal R_SWI : slv4 := (others=>'0'); signal R_BTN : slv1 := (others=>'0'); constant sbaddr_swi: slv8 := slv(to_unsigned( 16,8)); constant sbaddr_btn: slv8 := slv(to_unsigned( 17,8)); begin proc_simbus: process (SB_VAL) begin if SB_VAL'event and to_x01(SB_VAL)='1' then if SB_ADDR = sbaddr_swi then R_SWI <= to_x01(SB_DATA(R_SWI'range)); end if; if SB_ADDR = sbaddr_btn then R_BTN <= to_x01(SB_DATA(R_BTN'range)); end if; end if; end process proc_simbus; I_SWI <= R_SWI; I_BTN <= R_BTN; end sim;
---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.numeric_std.all; use IEEE.std_logic_unsigned.all; entity PSR_Modifier is Port ( oper1 : in STD_LOGIC_VECTOR (31 downto 0); oper2 : in STD_LOGIC_VECTOR (31 downto 0); aluop : in STD_LOGIC_VECTOR (5 downto 0); aluResult : in STD_LOGIC_VECTOR (31 downto 0); conditionalCodes : out STD_LOGIC_VECTOR (3 downto 0)); end PSR_Modifier; architecture Behavioral of PSR_Modifier is begin process(aluop,oper1,oper2,aluResult) begin if (aluop="010001" or aluop="010101" or aluop="010010" or aluop="010110" or aluop="010011" or aluop="010111") then -- ANDcc,ANDNcc,ORcc,ORNcc,XORcc,XNORcc conditionalCodes(3)<= aluResult(31); --N if aluResult="00000000000000000000000000000000" then --Z conditionalCodes(2)<='1'; else conditionalCodes(2)<='0'; end if; conditionalCodes(1)<= '0'; --V conditionalCodes(0)<= '0'; --C elsif (aluop="010000" or aluop="011000") then --ADDcc, ADDXcc conditionalCodes(3)<= aluResult(31); --N if aluResult="00000000000000000000000000000000" then --Z conditionalCodes(2)<='1'; else conditionalCodes(2)<='0'; end if; conditionalCodes(1)<=(oper1(31) and oper2(31) and (not aluResult(31))) or ((not oper1(31)) and (not oper2(31)) and aluResult(31)); conditionalCodes(0)<=(oper1(31) and oper2(31)) or ((not aluResult(31)) and (oper1(31) or oper2(31))); elsif (aluop="010100" or aluop="011100") then --SUBcc, SUBXcc conditionalCodes(3)<= aluResult(31); --N if aluResult="00000000000000000000000000000000" then --Z conditionalCodes(2)<='1'; else conditionalCodes(2)<='0'; end if; conditionalCodes(1)<=(oper1(31) and (not oper2(31)) and (not aluResult(31))) or ((not oper1(31)) and oper2(31) and aluResult(31)); conditionalCodes(0)<=((not oper1(31)) and oper2(31)) or (aluResult(31) and ((not oper1(31)) or oper2(31))); end if; end process; end Behavioral;
---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.numeric_std.all; use IEEE.std_logic_unsigned.all; entity PSR_Modifier is Port ( oper1 : in STD_LOGIC_VECTOR (31 downto 0); oper2 : in STD_LOGIC_VECTOR (31 downto 0); aluop : in STD_LOGIC_VECTOR (5 downto 0); aluResult : in STD_LOGIC_VECTOR (31 downto 0); conditionalCodes : out STD_LOGIC_VECTOR (3 downto 0)); end PSR_Modifier; architecture Behavioral of PSR_Modifier is begin process(aluop,oper1,oper2,aluResult) begin if (aluop="010001" or aluop="010101" or aluop="010010" or aluop="010110" or aluop="010011" or aluop="010111") then -- ANDcc,ANDNcc,ORcc,ORNcc,XORcc,XNORcc conditionalCodes(3)<= aluResult(31); --N if aluResult="00000000000000000000000000000000" then --Z conditionalCodes(2)<='1'; else conditionalCodes(2)<='0'; end if; conditionalCodes(1)<= '0'; --V conditionalCodes(0)<= '0'; --C elsif (aluop="010000" or aluop="011000") then --ADDcc, ADDXcc conditionalCodes(3)<= aluResult(31); --N if aluResult="00000000000000000000000000000000" then --Z conditionalCodes(2)<='1'; else conditionalCodes(2)<='0'; end if; conditionalCodes(1)<=(oper1(31) and oper2(31) and (not aluResult(31))) or ((not oper1(31)) and (not oper2(31)) and aluResult(31)); conditionalCodes(0)<=(oper1(31) and oper2(31)) or ((not aluResult(31)) and (oper1(31) or oper2(31))); elsif (aluop="010100" or aluop="011100") then --SUBcc, SUBXcc conditionalCodes(3)<= aluResult(31); --N if aluResult="00000000000000000000000000000000" then --Z conditionalCodes(2)<='1'; else conditionalCodes(2)<='0'; end if; conditionalCodes(1)<=(oper1(31) and (not oper2(31)) and (not aluResult(31))) or ((not oper1(31)) and oper2(31) and aluResult(31)); conditionalCodes(0)<=((not oper1(31)) and oper2(31)) or (aluResult(31) and ((not oper1(31)) or oper2(31))); end if; end process; end Behavioral;
---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.numeric_std.all; use IEEE.std_logic_unsigned.all; entity PSR_Modifier is Port ( oper1 : in STD_LOGIC_VECTOR (31 downto 0); oper2 : in STD_LOGIC_VECTOR (31 downto 0); aluop : in STD_LOGIC_VECTOR (5 downto 0); aluResult : in STD_LOGIC_VECTOR (31 downto 0); conditionalCodes : out STD_LOGIC_VECTOR (3 downto 0)); end PSR_Modifier; architecture Behavioral of PSR_Modifier is begin process(aluop,oper1,oper2,aluResult) begin if (aluop="010001" or aluop="010101" or aluop="010010" or aluop="010110" or aluop="010011" or aluop="010111") then -- ANDcc,ANDNcc,ORcc,ORNcc,XORcc,XNORcc conditionalCodes(3)<= aluResult(31); --N if aluResult="00000000000000000000000000000000" then --Z conditionalCodes(2)<='1'; else conditionalCodes(2)<='0'; end if; conditionalCodes(1)<= '0'; --V conditionalCodes(0)<= '0'; --C elsif (aluop="010000" or aluop="011000") then --ADDcc, ADDXcc conditionalCodes(3)<= aluResult(31); --N if aluResult="00000000000000000000000000000000" then --Z conditionalCodes(2)<='1'; else conditionalCodes(2)<='0'; end if; conditionalCodes(1)<=(oper1(31) and oper2(31) and (not aluResult(31))) or ((not oper1(31)) and (not oper2(31)) and aluResult(31)); conditionalCodes(0)<=(oper1(31) and oper2(31)) or ((not aluResult(31)) and (oper1(31) or oper2(31))); elsif (aluop="010100" or aluop="011100") then --SUBcc, SUBXcc conditionalCodes(3)<= aluResult(31); --N if aluResult="00000000000000000000000000000000" then --Z conditionalCodes(2)<='1'; else conditionalCodes(2)<='0'; end if; conditionalCodes(1)<=(oper1(31) and (not oper2(31)) and (not aluResult(31))) or ((not oper1(31)) and oper2(31) and aluResult(31)); conditionalCodes(0)<=((not oper1(31)) and oper2(31)) or (aluResult(31) and ((not oper1(31)) or oper2(31))); end if; end process; end Behavioral;
--Copyright (C) 2016 Siavoosh Payandeh Azad library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_misc.all; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; use work.component_pack.all; entity FIFO_credit_based is generic ( DATA_WIDTH: integer := 32 ); port ( reset: in std_logic; clk: in std_logic; RX: in std_logic_vector(DATA_WIDTH-1 downto 0); valid_in: in std_logic; read_en_N : in std_logic; read_en_E : in std_logic; read_en_W : in std_logic; read_en_S : in std_logic; read_en_L : in std_logic; credit_out: out std_logic; empty_out: out std_logic; Data_out: out std_logic_vector(DATA_WIDTH-1 downto 0); fault_info, health_info: out std_logic; -- fault injector shift register with serial input signals TCK: in std_logic; SE: in std_logic; -- shift enable UE: in std_logic; -- update enable SI: in std_logic; -- serial Input SO: out std_logic; -- serial output -- Checker outputs -- Functional checkers err_empty_full, err_empty_read_en, err_full_write_en, err_state_in_onehot, err_read_pointer_in_onehot, err_write_pointer_in_onehot, -- Structural checkers err_write_en_write_pointer, err_not_write_en_write_pointer, err_read_pointer_write_pointer_not_empty, err_read_pointer_write_pointer_empty, err_read_pointer_write_pointer_not_full, err_read_pointer_write_pointer_full, err_read_pointer_increment, err_read_pointer_not_increment, err_write_en, err_not_write_en, err_not_write_en1, err_not_write_en2, err_read_en_mismatch, err_read_en_mismatch1, -- Newly added checkers for FIFO with packet drop and fault classifier support! err_fake_credit_read_en_fake_credit_counter_in_increment, err_not_fake_credit_not_read_en_fake_credit_counter_not_zero_fake_credit_counter_in_decrement, err_not_fake_credit_read_en_fake_credit_counter_in_not_change, err_fake_credit_not_read_en_fake_credit_counter_in_not_change, err_not_fake_credit_not_read_en_fake_credit_counter_zero_fake_credit_counter_in_not_change, err_fake_credit_read_en_credit_out, err_not_fake_credit_not_read_en_fake_credit_counter_not_zero_credit_out, err_not_fake_credit_not_read_en_fake_credit_counter_zero_not_credit_out, -- Checkers for Packet Dropping FSM of FIFO err_state_out_Idle_not_fault_out_valid_in_state_in_Header_flit, err_state_out_Idle_not_fault_out_valid_in_state_in_not_change, err_state_out_Idle_not_fault_out_not_fake_credit, err_state_out_Idle_not_fault_out_not_fault_info_in, err_state_out_Idle_not_fault_out_faulty_packet_in_faulty_packet_out_equal, err_state_out_Idle_fault_out_fake_credit, err_state_out_Idle_fault_out_state_in_Packet_drop, err_state_out_Idle_fault_out_fault_info_in, err_state_out_Idle_fault_out_faulty_packet_in, err_state_out_Idle_not_health_info, err_state_out_Idle_not_write_fake_flit, err_state_out_Header_flit_valid_in_not_fault_out_flit_type_Body_state_in_Body_flit, err_state_out_Header_flit_valid_in_not_fault_out_flit_type_Tail_state_in_Tail_flit, err_state_out_Header_flit_valid_in_not_fault_out_not_write_fake_flit, err_state_out_Header_flit_valid_in_not_fault_out_not_fault_info_in, err_state_out_Header_flit_valid_in_not_fault_out_faulty_packet_in_faulty_packet_out_not_change, err_state_out_Header_flit_valid_in_fault_out_write_fake_flit, err_state_out_Header_flit_valid_in_fault_out_state_in_Packet_drop, err_state_out_Header_flit_valid_in_fault_out_fault_info_in, err_state_out_Header_flit_valid_in_fault_out_faulty_packet_in, err_state_out_Header_flit_not_valid_in_state_in_state_out_not_change, err_state_out_Header_flit_not_valid_in_faulty_packet_in_faulty_packet_out_not_change, err_state_out_Header_flit_not_valid_in_not_fault_info_in, err_state_out_Header_flit_not_valid_in_not_write_fake_flit, err_state_out_Header_flit_or_Body_flit_not_fake_credit, err_state_out_Body_flit_valid_in_not_fault_out_state_in_state_out_not_change, err_state_out_Body_flit_valid_in_not_fault_out_state_in_Tail_flit, err_state_out_Body_flit_valid_in_not_fault_out_health_info, err_state_out_Body_flit_valid_in_not_fault_out_not_write_fake_flit, err_state_out_Body_flit_valid_in_not_fault_out_fault_info_in, err_state_out_Body_flit_valid_in_not_fault_out_faulty_packet_in_faulty_packet_out_not_change, err_state_out_Body_flit_valid_in_fault_out_write_fake_flit, err_state_out_Body_flit_valid_in_fault_out_state_in_Packet_drop, err_state_out_Body_flit_valid_in_fault_out_fault_info_in, err_state_out_Body_flit_valid_in_fault_out_faulty_packet_in, err_state_out_Body_flit_not_valid_in_state_in_state_out_not_change, err_state_out_Body_flit_not_valid_in_faulty_packet_in_faulty_packet_out_not_change, err_state_out_Body_flit_not_valid_in_not_fault_info_in, err_state_out_Body_flit_valid_in_not_fault_out_flit_type_not_tail_not_health_info, err_state_out_Body_flit_valid_in_fault_out_not_health_info, err_state_out_Body_flit_valid_in_not_health_info, err_state_out_Body_flit_not_fake_credit, err_state_out_Body_flit_not_valid_in_not_write_fake_flit, err_state_out_Tail_flit_valid_in_not_fault_out_flit_type_Header_state_in_Header_flit, err_state_out_Tail_flit_valid_in_not_fault_out_not_fake_credit, err_state_out_Tail_flit_valid_in_not_fault_out_not_fault_info_in, err_state_out_Tail_flit_valid_in_not_fault_out_faulty_packet_in_faulty_packet_out_not_change, err_state_out_Tail_flit_valid_in_fault_out_fake_credit, err_state_out_Tail_flit_valid_in_fault_out_state_in_Packet_drop, err_state_out_Tail_flit_valid_in_fault_out_fault_info_in, err_state_out_Tail_flit_valid_in_fault_out_faulty_packet_in, err_state_out_Tail_flit_not_valid_in_state_in_Idle, err_state_out_Tail_flit_not_valid_in_faulty_packet_in_faulty_packet_in_not_change, err_state_out_Tail_flit_not_valid_in_not_fault_info_in, err_state_out_Tail_flit_not_valid_in_not_fake_credit, err_state_out_Tail_flit_not_write_fake_flit, err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Header_not_fault_out_not_fake_credit, err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Header_not_fault_out_not_faulty_packet_in, err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Header_not_fault_out_state_in_Header_flit, err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Header_not_fault_out_write_fake_flit, err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Tail_not_fault_out_not_faulty_packet_in, err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Tail_not_fault_out_not_state_in_Idle, err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Tail_not_fault_out_fake_credit, err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_invalid_fault_out_fake_credit, err_state_out_Packet_drop_faulty_packet_out_not_valid_in_flit_type_body_or_invalid_fault_out_faulty_packet_in_faulty_packet_out_not_change, err_state_out_Packet_drop_faulty_packet_out_flit_type_invalid_fault_out_state_in_state_out_not_change, err_state_out_Packet_drop_faulty_packet_out_not_valid_in_faulty_packet_in_faulty_packet_out_equal, err_state_out_Packet_drop_faulty_packet_out_not_valid_in_state_in_state_out_not_change, err_state_out_Packet_drop_faulty_packet_out_not_valid_in_not_write_fake_flit, err_state_out_Packet_drop_faulty_packet_out_not_valid_in_not_fake_credit, err_state_out_Packet_drop_not_faulty_packet_out_state_in_state_out_not_change, err_state_out_Packet_drop_not_faulty_packet_out_faulty_packet_in_faulty_packet_out_not_change, err_state_out_Packet_drop_not_faulty_packet_out_not_fake_credit, err_state_out_Packet_drop_faulty_packet_out_not_valid_in_or_flit_type_not_header_or_fault_out_not_write_fake_flit, err_state_out_Packet_drop_not_faulty_packet_out_not_write_fake_flit, err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Header_fault_out_state_in_state_out_not_change, err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Tail_fault_out_state_in_state_out_not_change, err_fault_info_fault_info_out_equal, err_state_out_Packet_drop_not_valid_in_state_in_state_out_equal, err_state_out_Tail_flit_valid_in_not_fault_out_flit_type_not_Header_state_in_state_out_equal, err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Header_not_fault_info_in, err_state_out_Packet_drop_faulty_packet_out_not_valid_in_or_flit_type_not_Header_not_not_fault_info_in : out std_logic ); end FIFO_credit_based; architecture behavior of FIFO_credit_based is ---------------------------------------- -- Signals related to fault injection -- ---------------------------------------- -- Total: 8 bits signal FI_add_sta: std_logic_vector(7 downto 0); -- 6 bits for fault injection location address (ceil of log2(44) = 6) -- 2 bits for type of fault (SA0 or SA1) signal non_faulty_signals: std_logic_vector (43 downto 0); -- 44 bits for internal- and output-related signals (non-faulty) signal faulty_signals: std_logic_vector(43 downto 0); -- 44 bits for internal- and output-related signals (with single stuck-at fault injected in one of them) ---------------------------------------- ---------------------------------------- signal read_pointer, read_pointer_in, write_pointer, write_pointer_in: std_logic_vector(3 downto 0); signal full, empty: std_logic; signal read_en, write_en: std_logic; signal FIFO_MEM_1, FIFO_MEM_1_in : std_logic_vector(DATA_WIDTH-1 downto 0); signal FIFO_MEM_2, FIFO_MEM_2_in : std_logic_vector(DATA_WIDTH-1 downto 0); signal FIFO_MEM_3, FIFO_MEM_3_in : std_logic_vector(DATA_WIDTH-1 downto 0); signal FIFO_MEM_4, FIFO_MEM_4_in : std_logic_vector(DATA_WIDTH-1 downto 0); -- Packet Dropping FSM states encoded as one-hot (because of checkers for one-bit error detection) CONSTANT Idle: std_logic_vector (4 downto 0) := "00001"; CONSTANT Header_flit: std_logic_vector (4 downto 0) := "00010"; CONSTANT Body_flit: std_logic_vector (4 downto 0) := "00100"; CONSTANT Tail_flit: std_logic_vector (4 downto 0) := "01000"; CONSTANT Packet_drop: std_logic_vector (4 downto 0) := "10000"; signal fault_info_in, fault_info_out: std_logic; signal faulty_packet_in, faulty_packet_out: std_logic; signal xor_all, fault_out: std_logic; signal state_out, state_in : std_logic_vector(4 downto 0); -- : state_type; signal fake_credit, credit_in, write_fake_flit: std_logic; signal fake_credit_counter, fake_credit_counter_in: std_logic_vector(1 downto 0); -- Signal(s) needed for FIFO control part checkers signal fault_info_sig, health_info_sig : std_logic; -- Signal(s) used for creating the chain of injected fault locations (Control Part of FIFO only) -- Total: 44 bits ??!! -- FIFO's control part internal-related signals signal read_pointer_faulty, read_pointer_in_faulty : std_logic_vector(3 downto 0); signal write_pointer_faulty, write_pointer_in_faulty : std_logic_vector(3 downto 0); signal full_faulty, read_en_faulty, write_en_faulty : std_logic; signal fake_credit_faulty : std_logic; signal fake_credit_counter_faulty, fake_credit_counter_in_faulty : std_logic_vector(1 downto 0); signal state_out_faulty, state_in_faulty : std_logic_vector (4 downto 0); signal fault_info_out_faulty, fault_info_in_faulty : std_logic; signal faulty_packet_out_faulty, faulty_packet_in_faulty : std_logic; --signal flit_type_faulty : std_logic; -- ??!! (Actually, flit_type is an alias, showing RX from bits 31 downto 29, maybe we can define it as a signal for injection) (Not sure yet !) signal fault_out_faulty, write_fake_flit_faulty : std_logic; -- FIFO's control part output-related signals signal credit_in_faulty : std_logic; -- ??!! (Actually, it is credit_in, which is the previous value of credit_out in FIFO) signal empty_faulty : std_logic; signal fault_info_sig_faulty : std_logic; -- ??!! (which goes to the fault_info output of FIFO) signal health_info_sig_faulty : std_logic; -- ??!! (which goes to the health_info output of FIFO) begin -------------------------------------------------------------------------------------------- -- block diagram of the FIFO! -------------------------------------------------------------------------------------------- -- circular buffer structure -- <--- WriteP -- --------------------------------- -- | 3 | 2 | 1 | 0 | -- --------------------------------- -- <--- readP -------------------------------------------------------------------------------------------- -- Packet drop state machine -- +---+ No +---+ No -- | | Flit | | Flit -- | v | v -- healthy +--------+ +--------+ -- +---header-->| | | |-------------------+ -- | +->| Header |---Healthy body-->| Body |------------+ | -- | | +--------+ +--------+ | | -- | | | ^ | Healthy | ^ Healthy | -- | | | | | body | | Tail | -- | | | | | +---+ | | -- | | | | | v | -- +--------+ | | | | +--------+ | -- No +-->| | | | | +-----------------Healthy Tail------>| | | -- Flit| | IDLE | | | | | Tail |--)--+ -- +---| | | | +-----------Healthy Header--------------| | | | -- +--------+ | | +--------+ | | -- ^ | ^ | Faulty No Faulty | | -- | | | | Flit Flit Flit | | -- | | | | +------------+ +---+ +---+ | | -- | | | + --Healthy------+ | | | | | | | -- | | | header | v | v | v | | -- | | | +------------------+ | | -- | | +----Healthy Tail-----| Packet | | | -- | +-------Faulty Flit----->| Drop |<-----------------------+ | -- | +------------------+ | -- +-------------------------------------------------No Flit------------------+ -- ------------------------------------------------------------------------------------------------ ------------------------------------- ---- Related to fault injection ----- ------------------------------------- -- Total: 44 bits -- Still not sure whether to include flit_type or not ??!! -- credit_in is actually the previous value of credit_out in FIFO !! -- for fault_info and health_info outputs, not sure whether to include them or the signals with _sig suffix in their names ??!! non_faulty_signals <= read_pointer & read_pointer_in & write_pointer & write_pointer_in & full & read_en & write_en & fake_credit & fake_credit_counter & fake_credit_counter_in & state_out & state_in & fault_info_out & fault_info_in & faulty_packet_out & faulty_packet_in & fault_out & write_fake_flit & credit_in & empty & fault_info_sig & health_info_sig; -- Fault injector module instantiation FI: fault_injector generic map(DATA_WIDTH => 44, ADDRESS_WIDTH => 6) port map (data_in=> non_faulty_signals , address => FI_add_sta(7 downto 2), sta_0=> FI_add_sta(1), sta_1=> FI_add_sta(0), data_out=> faulty_signals ); -- Extracting faulty values for internal- and output-related signals -- Total: 44 bits read_pointer_faulty <= faulty_signals (43 downto 40); read_pointer_in_faulty <= faulty_signals (39 downto 36); write_pointer_faulty <= faulty_signals (35 downto 32); write_pointer_in_faulty <= faulty_signals (31 downto 28); full_faulty <= faulty_signals (27); read_en_faulty <= faulty_signals (26); write_en_faulty <= faulty_signals (25); fake_credit_faulty <= faulty_signals (24); fake_credit_counter_faulty <= faulty_signals (23 downto 22); fake_credit_counter_in_faulty <= faulty_signals (21 downto 20); state_out_faulty <= faulty_signals (19 downto 15); state_in_faulty <= faulty_signals (14 downto 10); fault_info_out_faulty <= faulty_signals (9); fault_info_in_faulty <= faulty_signals (8); faulty_packet_out_faulty <= faulty_signals (7); faulty_packet_in_faulty <= faulty_signals (6); fault_out_faulty <= faulty_signals (5); write_fake_flit_faulty <= faulty_signals (4); credit_in_faulty <= faulty_signals (3); empty_faulty <= faulty_signals (2); fault_info_sig_faulty <= faulty_signals (1); health_info_sig_faulty <= faulty_signals (0); -- Total: 8 bits -- We only use the shift register with serial in for : -- (1) feeding the values of address width -- (the address where the single stuck-at fault should be injected) -- (2) feeding the values of the type of fault (stuck-at-1 (SA1) or stuck-at-0 (SA0) or no fault) SR: shift_register_serial_in generic map(REG_WIDTH => 8) port map ( TCK=> TCK, reset=>reset, SE=> SE, UE=> UE, SI=> SI, SO=> SO, data_out_parallel=> FI_add_sta ); ------------------------------------- ------------------------------------- -- FIFO control part with packet drop and fault classifier support checkers instantiation FIFO_control_part_checkers: FIFO_credit_based_control_part_checkers port map ( valid_in => valid_in, read_en_N => read_en_N, read_en_E => read_en_E, read_en_W => read_en_W, read_en_S => read_en_S, read_en_L => read_en_L, read_pointer => read_pointer_faulty, read_pointer_in => read_pointer_in_faulty, write_pointer => write_pointer_faulty, write_pointer_in => write_pointer_in_faulty, credit_out => credit_in_faulty, -- correct ?! (credit_in in FIFO is actually the previous value of credit_out, going to the input of a register) empty_out => empty_faulty, full_out => full_faulty, read_en_out => read_en_faulty, write_en_out => write_en_faulty, fake_credit => fake_credit_faulty, fake_credit_counter => fake_credit_counter_faulty, fake_credit_counter_in => fake_credit_counter_in_faulty, state_out => state_out_faulty, state_in => state_in_faulty, fault_info => fault_info_sig_faulty, -- connected to signal fault_info_out => fault_info_out_faulty, fault_info_in => fault_info_in_faulty, health_info => health_info_sig_faulty, -- connected to signal faulty_packet_out => faulty_packet_out_faulty, faulty_packet_in => faulty_packet_in_faulty, flit_type => RX(DATA_WIDTH-1 downto DATA_WIDTH-3), -- Behrad: Not sure about this yet ?! fault_out => fault_out_faulty, write_fake_flit => write_fake_flit_faulty, -- Functional checkers err_empty_full => err_empty_full, err_empty_read_en => err_empty_read_en, err_full_write_en => err_full_write_en, err_state_in_onehot => err_state_in_onehot, err_read_pointer_in_onehot => err_read_pointer_in_onehot, err_write_pointer_in_onehot => err_write_pointer_in_onehot, -- Structural checkers err_write_en_write_pointer => err_write_en_write_pointer, err_not_write_en_write_pointer => err_not_write_en_write_pointer, err_read_pointer_write_pointer_not_empty => err_read_pointer_write_pointer_not_empty, err_read_pointer_write_pointer_empty => err_read_pointer_write_pointer_empty, err_read_pointer_write_pointer_not_full => err_read_pointer_write_pointer_not_full, err_read_pointer_write_pointer_full => err_read_pointer_write_pointer_full, err_read_pointer_increment => err_read_pointer_increment, err_read_pointer_not_increment => err_read_pointer_not_increment, err_write_en => err_write_en, err_not_write_en => err_not_write_en, err_not_write_en1 => err_not_write_en1, err_not_write_en2 => err_not_write_en2, err_read_en_mismatch => err_read_en_mismatch, err_read_en_mismatch1 => err_read_en_mismatch1, -- Newly added checkers for FIFO with packet drop and fault classifier support! err_fake_credit_read_en_fake_credit_counter_in_increment => err_fake_credit_read_en_fake_credit_counter_in_increment, err_not_fake_credit_not_read_en_fake_credit_counter_not_zero_fake_credit_counter_in_decrement => err_not_fake_credit_not_read_en_fake_credit_counter_not_zero_fake_credit_counter_in_decrement, err_not_fake_credit_read_en_fake_credit_counter_in_not_change => err_not_fake_credit_read_en_fake_credit_counter_in_not_change, err_fake_credit_not_read_en_fake_credit_counter_in_not_change => err_fake_credit_not_read_en_fake_credit_counter_in_not_change, err_not_fake_credit_not_read_en_fake_credit_counter_zero_fake_credit_counter_in_not_change => err_not_fake_credit_not_read_en_fake_credit_counter_zero_fake_credit_counter_in_not_change, err_fake_credit_read_en_credit_out => err_fake_credit_read_en_credit_out, err_not_fake_credit_not_read_en_fake_credit_counter_not_zero_credit_out => err_not_fake_credit_not_read_en_fake_credit_counter_not_zero_credit_out, err_not_fake_credit_not_read_en_fake_credit_counter_zero_not_credit_out => err_not_fake_credit_not_read_en_fake_credit_counter_zero_not_credit_out, -- Checkers for Packet Dropping FSM of FIFO err_state_out_Idle_not_fault_out_valid_in_state_in_Header_flit => err_state_out_Idle_not_fault_out_valid_in_state_in_Header_flit, err_state_out_Idle_not_fault_out_valid_in_state_in_not_change => err_state_out_Idle_not_fault_out_valid_in_state_in_not_change, err_state_out_Idle_not_fault_out_not_fake_credit => err_state_out_Idle_not_fault_out_not_fake_credit, err_state_out_Idle_not_fault_out_not_fault_info_in => err_state_out_Idle_not_fault_out_not_fault_info_in, err_state_out_Idle_not_fault_out_faulty_packet_in_faulty_packet_out_equal => err_state_out_Idle_not_fault_out_faulty_packet_in_faulty_packet_out_equal, err_state_out_Idle_fault_out_fake_credit => err_state_out_Idle_fault_out_fake_credit, err_state_out_Idle_fault_out_state_in_Packet_drop => err_state_out_Idle_fault_out_state_in_Packet_drop, err_state_out_Idle_fault_out_fault_info_in => err_state_out_Idle_fault_out_fault_info_in, err_state_out_Idle_fault_out_faulty_packet_in => err_state_out_Idle_fault_out_faulty_packet_in, err_state_out_Idle_not_health_info => err_state_out_Idle_not_health_info, err_state_out_Idle_not_write_fake_flit => err_state_out_Idle_not_write_fake_flit, err_state_out_Header_flit_valid_in_not_fault_out_flit_type_Body_state_in_Body_flit => err_state_out_Header_flit_valid_in_not_fault_out_flit_type_Body_state_in_Body_flit, err_state_out_Header_flit_valid_in_not_fault_out_flit_type_Tail_state_in_Tail_flit => err_state_out_Header_flit_valid_in_not_fault_out_flit_type_Tail_state_in_Tail_flit, err_state_out_Header_flit_valid_in_not_fault_out_not_write_fake_flit => err_state_out_Header_flit_valid_in_not_fault_out_not_write_fake_flit, err_state_out_Header_flit_valid_in_not_fault_out_not_fault_info_in => err_state_out_Header_flit_valid_in_not_fault_out_not_fault_info_in, err_state_out_Header_flit_valid_in_not_fault_out_faulty_packet_in_faulty_packet_out_not_change => err_state_out_Header_flit_valid_in_not_fault_out_faulty_packet_in_faulty_packet_out_not_change, err_state_out_Header_flit_valid_in_fault_out_write_fake_flit => err_state_out_Header_flit_valid_in_fault_out_write_fake_flit, err_state_out_Header_flit_valid_in_fault_out_state_in_Packet_drop => err_state_out_Header_flit_valid_in_fault_out_state_in_Packet_drop, err_state_out_Header_flit_valid_in_fault_out_fault_info_in => err_state_out_Header_flit_valid_in_fault_out_fault_info_in, err_state_out_Header_flit_valid_in_fault_out_faulty_packet_in => err_state_out_Header_flit_valid_in_fault_out_faulty_packet_in, err_state_out_Header_flit_not_valid_in_state_in_state_out_not_change => err_state_out_Header_flit_not_valid_in_state_in_state_out_not_change, err_state_out_Header_flit_not_valid_in_faulty_packet_in_faulty_packet_out_not_change => err_state_out_Header_flit_not_valid_in_faulty_packet_in_faulty_packet_out_not_change, err_state_out_Header_flit_not_valid_in_not_fault_info_in => err_state_out_Header_flit_not_valid_in_not_fault_info_in, err_state_out_Header_flit_not_valid_in_not_write_fake_flit => err_state_out_Header_flit_not_valid_in_not_write_fake_flit, err_state_out_Header_flit_or_Body_flit_not_fake_credit => err_state_out_Header_flit_or_Body_flit_not_fake_credit, err_state_out_Body_flit_valid_in_not_fault_out_state_in_state_out_not_change => err_state_out_Body_flit_valid_in_not_fault_out_state_in_state_out_not_change, err_state_out_Body_flit_valid_in_not_fault_out_state_in_Tail_flit => err_state_out_Body_flit_valid_in_not_fault_out_state_in_Tail_flit, err_state_out_Body_flit_valid_in_not_fault_out_health_info => err_state_out_Body_flit_valid_in_not_fault_out_health_info, err_state_out_Body_flit_valid_in_not_fault_out_not_write_fake_flit => err_state_out_Body_flit_valid_in_not_fault_out_not_write_fake_flit, err_state_out_Body_flit_valid_in_not_fault_out_fault_info_in => err_state_out_Body_flit_valid_in_not_fault_out_fault_info_in, err_state_out_Body_flit_valid_in_not_fault_out_faulty_packet_in_faulty_packet_out_not_change => err_state_out_Body_flit_valid_in_not_fault_out_faulty_packet_in_faulty_packet_out_not_change, err_state_out_Body_flit_valid_in_fault_out_write_fake_flit => err_state_out_Body_flit_valid_in_fault_out_write_fake_flit, err_state_out_Body_flit_valid_in_fault_out_state_in_Packet_drop => err_state_out_Body_flit_valid_in_fault_out_state_in_Packet_drop, err_state_out_Body_flit_valid_in_fault_out_fault_info_in => err_state_out_Body_flit_valid_in_fault_out_fault_info_in, err_state_out_Body_flit_valid_in_fault_out_faulty_packet_in => err_state_out_Body_flit_valid_in_fault_out_faulty_packet_in, err_state_out_Body_flit_not_valid_in_state_in_state_out_not_change => err_state_out_Body_flit_not_valid_in_state_in_state_out_not_change, err_state_out_Body_flit_not_valid_in_faulty_packet_in_faulty_packet_out_not_change => err_state_out_Body_flit_not_valid_in_faulty_packet_in_faulty_packet_out_not_change, err_state_out_Body_flit_not_valid_in_not_fault_info_in => err_state_out_Body_flit_not_valid_in_not_fault_info_in, err_state_out_Body_flit_valid_in_not_fault_out_flit_type_not_tail_not_health_info => err_state_out_Body_flit_valid_in_not_fault_out_flit_type_not_tail_not_health_info, err_state_out_Body_flit_valid_in_fault_out_not_health_info => err_state_out_Body_flit_valid_in_fault_out_not_health_info, err_state_out_Body_flit_valid_in_not_health_info => err_state_out_Body_flit_valid_in_not_health_info, err_state_out_Body_flit_not_fake_credit => err_state_out_Body_flit_not_fake_credit, err_state_out_Body_flit_not_valid_in_not_write_fake_flit => err_state_out_Body_flit_not_valid_in_not_write_fake_flit, err_state_out_Tail_flit_valid_in_not_fault_out_flit_type_Header_state_in_Header_flit => err_state_out_Tail_flit_valid_in_not_fault_out_flit_type_Header_state_in_Header_flit, err_state_out_Tail_flit_valid_in_not_fault_out_not_fake_credit => err_state_out_Tail_flit_valid_in_not_fault_out_not_fake_credit, err_state_out_Tail_flit_valid_in_not_fault_out_not_fault_info_in => err_state_out_Tail_flit_valid_in_not_fault_out_not_fault_info_in, err_state_out_Tail_flit_valid_in_not_fault_out_faulty_packet_in_faulty_packet_out_not_change => err_state_out_Tail_flit_valid_in_not_fault_out_faulty_packet_in_faulty_packet_out_not_change, err_state_out_Tail_flit_valid_in_fault_out_fake_credit => err_state_out_Tail_flit_valid_in_fault_out_fake_credit, err_state_out_Tail_flit_valid_in_fault_out_state_in_Packet_drop => err_state_out_Tail_flit_valid_in_fault_out_state_in_Packet_drop, err_state_out_Tail_flit_valid_in_fault_out_fault_info_in => err_state_out_Tail_flit_valid_in_fault_out_fault_info_in, err_state_out_Tail_flit_valid_in_fault_out_faulty_packet_in => err_state_out_Tail_flit_valid_in_fault_out_faulty_packet_in, err_state_out_Tail_flit_not_valid_in_state_in_Idle => err_state_out_Tail_flit_not_valid_in_state_in_Idle, err_state_out_Tail_flit_not_valid_in_faulty_packet_in_faulty_packet_in_not_change => err_state_out_Tail_flit_not_valid_in_faulty_packet_in_faulty_packet_in_not_change, err_state_out_Tail_flit_not_valid_in_not_fault_info_in => err_state_out_Tail_flit_not_valid_in_not_fault_info_in, err_state_out_Tail_flit_not_valid_in_not_fake_credit => err_state_out_Tail_flit_not_valid_in_not_fake_credit, err_state_out_Tail_flit_not_write_fake_flit => err_state_out_Tail_flit_not_write_fake_flit, err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Header_not_fault_out_not_fake_credit => err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Header_not_fault_out_not_fake_credit, err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Header_not_fault_out_not_faulty_packet_in => err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Header_not_fault_out_not_faulty_packet_in, err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Header_not_fault_out_state_in_Header_flit => err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Header_not_fault_out_state_in_Header_flit, err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Header_not_fault_out_write_fake_flit => err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Header_not_fault_out_write_fake_flit, err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Tail_not_fault_out_not_faulty_packet_in => err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Tail_not_fault_out_not_faulty_packet_in, err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Tail_not_fault_out_not_state_in_Idle => err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Tail_not_fault_out_not_state_in_Idle, err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Tail_not_fault_out_fake_credit => err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Tail_not_fault_out_fake_credit, err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_invalid_fault_out_fake_credit => err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_invalid_fault_out_fake_credit, err_state_out_Packet_drop_faulty_packet_out_not_valid_in_flit_type_body_or_invalid_fault_out_faulty_packet_in_faulty_packet_out_not_change => err_state_out_Packet_drop_faulty_packet_out_not_valid_in_flit_type_body_or_invalid_fault_out_faulty_packet_in_faulty_packet_out_not_change, err_state_out_Packet_drop_faulty_packet_out_flit_type_invalid_fault_out_state_in_state_out_not_change => err_state_out_Packet_drop_faulty_packet_out_flit_type_invalid_fault_out_state_in_state_out_not_change, err_state_out_Packet_drop_faulty_packet_out_not_valid_in_faulty_packet_in_faulty_packet_out_equal => err_state_out_Packet_drop_faulty_packet_out_not_valid_in_faulty_packet_in_faulty_packet_out_equal, err_state_out_Packet_drop_faulty_packet_out_not_valid_in_state_in_state_out_not_change => err_state_out_Packet_drop_faulty_packet_out_not_valid_in_state_in_state_out_not_change, err_state_out_Packet_drop_faulty_packet_out_not_valid_in_not_write_fake_flit => err_state_out_Packet_drop_faulty_packet_out_not_valid_in_not_write_fake_flit, err_state_out_Packet_drop_faulty_packet_out_not_valid_in_not_fake_credit => err_state_out_Packet_drop_faulty_packet_out_not_valid_in_not_fake_credit, err_state_out_Packet_drop_not_faulty_packet_out_state_in_state_out_not_change => err_state_out_Packet_drop_not_faulty_packet_out_state_in_state_out_not_change, err_state_out_Packet_drop_not_faulty_packet_out_faulty_packet_in_faulty_packet_out_not_change => err_state_out_Packet_drop_not_faulty_packet_out_faulty_packet_in_faulty_packet_out_not_change, err_state_out_Packet_drop_not_faulty_packet_out_not_fake_credit => err_state_out_Packet_drop_not_faulty_packet_out_not_fake_credit, err_state_out_Packet_drop_faulty_packet_out_not_valid_in_or_flit_type_not_header_or_fault_out_not_write_fake_flit => err_state_out_Packet_drop_faulty_packet_out_not_valid_in_or_flit_type_not_header_or_fault_out_not_write_fake_flit, err_state_out_Packet_drop_not_faulty_packet_out_not_write_fake_flit => err_state_out_Packet_drop_not_faulty_packet_out_not_write_fake_flit, err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Header_fault_out_state_in_state_out_not_change => err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Header_fault_out_state_in_state_out_not_change, err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Tail_fault_out_state_in_state_out_not_change => err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Tail_fault_out_state_in_state_out_not_change, err_fault_info_fault_info_out_equal => err_fault_info_fault_info_out_equal, err_state_out_Packet_drop_not_valid_in_state_in_state_out_equal => err_state_out_Packet_drop_not_valid_in_state_in_state_out_equal, err_state_out_Tail_flit_valid_in_not_fault_out_flit_type_not_Header_state_in_state_out_equal => err_state_out_Tail_flit_valid_in_not_fault_out_flit_type_not_Header_state_in_state_out_equal, err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Header_not_fault_info_in => err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Header_not_fault_info_in, err_state_out_Packet_drop_faulty_packet_out_not_valid_in_or_flit_type_not_Header_not_not_fault_info_in => err_state_out_Packet_drop_faulty_packet_out_not_valid_in_or_flit_type_not_Header_not_not_fault_info_in ); -- Becuase of checkers we did this fault_info <= fault_info_sig; -- Not sure yet ?! health_info <= health_info_sig; -- Not sure yet ?! -- Sequential part process (clk, reset)begin if reset = '0' then read_pointer <= "0001"; write_pointer <= "0001"; FIFO_MEM_1 <= (others=>'0'); FIFO_MEM_2 <= (others=>'0'); FIFO_MEM_3 <= (others=>'0'); FIFO_MEM_4 <= (others=>'0'); fake_credit_counter <= (others=>'0'); faulty_packet_out <= '0'; credit_out <= '0'; state_out <= Idle; fault_info_out <= '0'; elsif clk'event and clk = '1' then write_pointer <= write_pointer_in; read_pointer <= read_pointer_in; state_out <= state_in; faulty_packet_out <= faulty_packet_in; credit_out <= credit_in; fake_credit_counter <= fake_credit_counter_in; if write_en = '1' then --write into the memory FIFO_MEM_1 <= FIFO_MEM_1_in; FIFO_MEM_2 <= FIFO_MEM_2_in; FIFO_MEM_3 <= FIFO_MEM_3_in; FIFO_MEM_4 <= FIFO_MEM_4_in; end if; fault_info_out <= fault_info_in; end if; end process; -- Anything below here is pure combinational -- combinatorial part fault_info_sig <= fault_info_out; process(fake_credit, read_en, fake_credit_counter) begin fake_credit_counter_in <= fake_credit_counter; credit_in <= '0'; if fake_credit = '1' and read_en = '1' then fake_credit_counter_in <= fake_credit_counter + 1 ; end if; if fake_credit = '1' or read_en ='1' then credit_in <= '1'; end if; if fake_credit = '0' and read_en = '0' and fake_credit_counter > 0 then fake_credit_counter_in <= fake_credit_counter - 1 ; credit_in <= '1'; end if; end process; process(valid_in, RX) begin xor_all <= '0'; if valid_in = '1' then xor_all <= XOR_REDUCE(RX(DATA_WIDTH-1 downto 1)); end if; end process; process(valid_in, RX, xor_all)begin fault_out <= '0'; if valid_in = '1' and xor_all /= RX(0) then fault_out <= '1'; end if; end process; process(RX, faulty_packet_out, fault_out, write_pointer, FIFO_MEM_1, FIFO_MEM_2, FIFO_MEM_3, FIFO_MEM_4, state_out, valid_in) begin -- this is the default value of the memory! case( write_pointer ) is when "0001" => FIFO_MEM_1_in <= RX; FIFO_MEM_2_in <= FIFO_MEM_2; FIFO_MEM_3_in <= FIFO_MEM_3; FIFO_MEM_4_in <= FIFO_MEM_4; when "0010" => FIFO_MEM_1_in <= FIFO_MEM_1; FIFO_MEM_2_in <= RX; FIFO_MEM_3_in <= FIFO_MEM_3; FIFO_MEM_4_in <= FIFO_MEM_4; when "0100" => FIFO_MEM_1_in <= FIFO_MEM_1; FIFO_MEM_2_in <= FIFO_MEM_2; FIFO_MEM_3_in <= RX; FIFO_MEM_4_in <= FIFO_MEM_4; when others => FIFO_MEM_1_in <= FIFO_MEM_1; FIFO_MEM_2_in <= FIFO_MEM_2; FIFO_MEM_3_in <= FIFO_MEM_3; FIFO_MEM_4_in <= RX; end case ; --some defaults fault_info_in <= '0'; health_info_sig <= '0'; fake_credit <= '0'; state_in <= state_out; faulty_packet_in <= faulty_packet_out; write_fake_flit <= '0'; case(state_out) is when Idle => if fault_out = '0' then if valid_in = '1' then state_in <= Header_flit; else state_in <= state_out; end if; else fake_credit <= '1'; FIFO_MEM_1_in <= FIFO_MEM_1; FIFO_MEM_2_in <= FIFO_MEM_2; FIFO_MEM_3_in <= FIFO_MEM_3; FIFO_MEM_4_in <= FIFO_MEM_4; state_in <= Packet_drop; fault_info_in <= '1'; faulty_packet_in <= '1'; end if; when Header_flit => if valid_in = '1' then if fault_out = '0' then if RX(DATA_WIDTH-1 downto DATA_WIDTH-3) = "010" then state_in <= Body_flit; elsif RX(DATA_WIDTH-1 downto DATA_WIDTH-3) = "100" then state_in <= Tail_flit; else -- we should not be here! state_in <= state_out; end if; else -- fault_out = '1' write_fake_flit <= '1'; case( write_pointer ) is when "0001" => FIFO_MEM_1_in <= fake_tail; FIFO_MEM_2_in <= FIFO_MEM_2; FIFO_MEM_3_in <= FIFO_MEM_3; FIFO_MEM_4_in <= FIFO_MEM_4; when "0010" => FIFO_MEM_1_in <= FIFO_MEM_1; FIFO_MEM_2_in <= fake_tail; FIFO_MEM_3_in <= FIFO_MEM_3; FIFO_MEM_4_in <= FIFO_MEM_4; when "0100" => FIFO_MEM_1_in <= FIFO_MEM_1; FIFO_MEM_2_in <= FIFO_MEM_2; FIFO_MEM_3_in <= fake_tail; FIFO_MEM_4_in <= FIFO_MEM_4; when others => FIFO_MEM_1_in <= FIFO_MEM_1; FIFO_MEM_2_in <= FIFO_MEM_2; FIFO_MEM_3_in <= FIFO_MEM_3; FIFO_MEM_4_in <= fake_tail; end case ; state_in <= Packet_drop; fault_info_in <= '1'; faulty_packet_in <= '1'; end if; else state_in <= state_out; end if; when Body_flit => if valid_in = '1' then if fault_out = '0' then if RX(DATA_WIDTH-1 downto DATA_WIDTH-3) = "010" then state_in <= state_out; elsif RX(DATA_WIDTH-1 downto DATA_WIDTH-3) = "100" then state_in <= Tail_flit; health_info_sig <= '1'; else -- we should not be here! state_in <= state_out; end if; else -- fault_out = '1' write_fake_flit <= '1'; case( write_pointer ) is when "0001" => FIFO_MEM_1_in <= fake_tail; FIFO_MEM_2_in <= FIFO_MEM_2; FIFO_MEM_3_in <= FIFO_MEM_3; FIFO_MEM_4_in <= FIFO_MEM_4; when "0010" => FIFO_MEM_1_in <= FIFO_MEM_1; FIFO_MEM_2_in <= fake_tail; FIFO_MEM_3_in <= FIFO_MEM_3; FIFO_MEM_4_in <= FIFO_MEM_4; when "0100" => FIFO_MEM_1_in <= FIFO_MEM_1; FIFO_MEM_2_in <= FIFO_MEM_2; FIFO_MEM_3_in <= fake_tail; FIFO_MEM_4_in <= FIFO_MEM_4; when others => FIFO_MEM_1_in <= FIFO_MEM_1; FIFO_MEM_2_in <= FIFO_MEM_2; FIFO_MEM_3_in <= FIFO_MEM_3; FIFO_MEM_4_in <= fake_tail; end case ; state_in <= Packet_drop; fault_info_in <= '1'; faulty_packet_in <= '1'; end if; else state_in <= state_out; end if; when Tail_flit => if valid_in = '1' then if fault_out = '0' then if RX(DATA_WIDTH-1 downto DATA_WIDTH-3) = "001" then state_in <= Header_flit; else state_in <= state_out; end if; else -- fault_out = '1' fake_credit <= '1'; FIFO_MEM_1_in <= FIFO_MEM_1; FIFO_MEM_2_in <= FIFO_MEM_2; FIFO_MEM_3_in <= FIFO_MEM_3; FIFO_MEM_4_in <= FIFO_MEM_4; state_in <= Packet_drop; fault_info_in <= '1'; faulty_packet_in <= '1'; end if; else state_in <= Idle; end if; when Packet_drop => state_in <= state_out; if faulty_packet_out = '1' then report "FIFO dropping packet at" & time'image(now) &"!" severity note; if valid_in = '1' and RX(DATA_WIDTH-1 downto DATA_WIDTH-3) = "001" and fault_out = '0' then faulty_packet_in <= '0'; state_in <= Header_flit; write_fake_flit <= '1'; case( write_pointer ) is when "0001" => FIFO_MEM_1_in <= RX; FIFO_MEM_2_in <= FIFO_MEM_2; FIFO_MEM_3_in <= FIFO_MEM_3; FIFO_MEM_4_in <= FIFO_MEM_4; when "0010" => FIFO_MEM_1_in <= FIFO_MEM_1; FIFO_MEM_2_in <= RX; FIFO_MEM_3_in <= FIFO_MEM_3; FIFO_MEM_4_in <= FIFO_MEM_4; when "0100" => FIFO_MEM_1_in <= FIFO_MEM_1; FIFO_MEM_2_in <= FIFO_MEM_2; FIFO_MEM_3_in <= RX; FIFO_MEM_4_in <= FIFO_MEM_4; when others => FIFO_MEM_1_in <= FIFO_MEM_1; FIFO_MEM_2_in <= FIFO_MEM_2; FIFO_MEM_3_in <= FIFO_MEM_3; FIFO_MEM_4_in <= RX; end case ; elsif valid_in = '1' and RX(DATA_WIDTH-1 downto DATA_WIDTH-3) = "100" and fault_out = '0' then FIFO_MEM_1_in <= FIFO_MEM_1; FIFO_MEM_2_in <= FIFO_MEM_2; FIFO_MEM_3_in <= FIFO_MEM_3; FIFO_MEM_4_in <= FIFO_MEM_4; faulty_packet_in <= '0'; state_in <= Idle; fake_credit <= '1'; else -- fault_out might have been '1' if valid_in = '1' and RX(DATA_WIDTH-1 downto DATA_WIDTH-3) = "001" then fault_info_in <= '1'; end if; if valid_in = '1' then fake_credit <= '1'; end if; FIFO_MEM_1_in <= FIFO_MEM_1; FIFO_MEM_2_in <= FIFO_MEM_2; FIFO_MEM_3_in <= FIFO_MEM_3; FIFO_MEM_4_in <= FIFO_MEM_4; state_in <= state_out; end if; end if; when others => state_in <= state_out; end case; end process; process(read_pointer, FIFO_MEM_1, FIFO_MEM_2, FIFO_MEM_3, FIFO_MEM_4)begin case( read_pointer ) is when "0001" => Data_out <= FIFO_MEM_1; when "0010" => Data_out <= FIFO_MEM_2; when "0100" => Data_out <= FIFO_MEM_3; when others => Data_out <= FIFO_MEM_4; end case ; end process; read_en <= (read_en_N or read_en_E or read_en_W or read_en_S or read_en_L) and not empty; empty_out <= empty; process(write_en, write_pointer)begin write_pointer_in <= write_pointer; if write_en = '1' then write_pointer_in <= write_pointer(2 downto 0)&write_pointer(3); end if; end process; process(read_en, empty, read_pointer)begin read_pointer_in <= read_pointer; if (read_en = '1' and empty = '0') then read_pointer_in <= read_pointer(2 downto 0)&read_pointer(3); end if; end process; process(full, valid_in, write_fake_flit, faulty_packet_out, fault_out) begin write_en <= '0'; if valid_in = '1' and ((faulty_packet_out = '0' and fault_out = '0') or write_fake_flit = '1') and full ='0' then write_en <= '1'; end if; end process; process(write_pointer, read_pointer) begin empty <= '0'; full <= '0'; if read_pointer = write_pointer then empty <= '1'; end if; -- if write_pointer = read_pointer>>1 then if write_pointer = read_pointer(0)&read_pointer(3 downto 1) then full <= '1'; end if; end process; end;
--Copyright (C) 2016 Siavoosh Payandeh Azad library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_misc.all; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; use work.component_pack.all; entity FIFO_credit_based is generic ( DATA_WIDTH: integer := 32 ); port ( reset: in std_logic; clk: in std_logic; RX: in std_logic_vector(DATA_WIDTH-1 downto 0); valid_in: in std_logic; read_en_N : in std_logic; read_en_E : in std_logic; read_en_W : in std_logic; read_en_S : in std_logic; read_en_L : in std_logic; credit_out: out std_logic; empty_out: out std_logic; Data_out: out std_logic_vector(DATA_WIDTH-1 downto 0); fault_info, health_info: out std_logic; -- fault injector shift register with serial input signals TCK: in std_logic; SE: in std_logic; -- shift enable UE: in std_logic; -- update enable SI: in std_logic; -- serial Input SO: out std_logic; -- serial output -- Checker outputs -- Functional checkers err_empty_full, err_empty_read_en, err_full_write_en, err_state_in_onehot, err_read_pointer_in_onehot, err_write_pointer_in_onehot, -- Structural checkers err_write_en_write_pointer, err_not_write_en_write_pointer, err_read_pointer_write_pointer_not_empty, err_read_pointer_write_pointer_empty, err_read_pointer_write_pointer_not_full, err_read_pointer_write_pointer_full, err_read_pointer_increment, err_read_pointer_not_increment, err_write_en, err_not_write_en, err_not_write_en1, err_not_write_en2, err_read_en_mismatch, err_read_en_mismatch1, -- Newly added checkers for FIFO with packet drop and fault classifier support! err_fake_credit_read_en_fake_credit_counter_in_increment, err_not_fake_credit_not_read_en_fake_credit_counter_not_zero_fake_credit_counter_in_decrement, err_not_fake_credit_read_en_fake_credit_counter_in_not_change, err_fake_credit_not_read_en_fake_credit_counter_in_not_change, err_not_fake_credit_not_read_en_fake_credit_counter_zero_fake_credit_counter_in_not_change, err_fake_credit_read_en_credit_out, err_not_fake_credit_not_read_en_fake_credit_counter_not_zero_credit_out, err_not_fake_credit_not_read_en_fake_credit_counter_zero_not_credit_out, -- Checkers for Packet Dropping FSM of FIFO err_state_out_Idle_not_fault_out_valid_in_state_in_Header_flit, err_state_out_Idle_not_fault_out_valid_in_state_in_not_change, err_state_out_Idle_not_fault_out_not_fake_credit, err_state_out_Idle_not_fault_out_not_fault_info_in, err_state_out_Idle_not_fault_out_faulty_packet_in_faulty_packet_out_equal, err_state_out_Idle_fault_out_fake_credit, err_state_out_Idle_fault_out_state_in_Packet_drop, err_state_out_Idle_fault_out_fault_info_in, err_state_out_Idle_fault_out_faulty_packet_in, err_state_out_Idle_not_health_info, err_state_out_Idle_not_write_fake_flit, err_state_out_Header_flit_valid_in_not_fault_out_flit_type_Body_state_in_Body_flit, err_state_out_Header_flit_valid_in_not_fault_out_flit_type_Tail_state_in_Tail_flit, err_state_out_Header_flit_valid_in_not_fault_out_not_write_fake_flit, err_state_out_Header_flit_valid_in_not_fault_out_not_fault_info_in, err_state_out_Header_flit_valid_in_not_fault_out_faulty_packet_in_faulty_packet_out_not_change, err_state_out_Header_flit_valid_in_fault_out_write_fake_flit, err_state_out_Header_flit_valid_in_fault_out_state_in_Packet_drop, err_state_out_Header_flit_valid_in_fault_out_fault_info_in, err_state_out_Header_flit_valid_in_fault_out_faulty_packet_in, err_state_out_Header_flit_not_valid_in_state_in_state_out_not_change, err_state_out_Header_flit_not_valid_in_faulty_packet_in_faulty_packet_out_not_change, err_state_out_Header_flit_not_valid_in_not_fault_info_in, err_state_out_Header_flit_not_valid_in_not_write_fake_flit, err_state_out_Header_flit_or_Body_flit_not_fake_credit, err_state_out_Body_flit_valid_in_not_fault_out_state_in_state_out_not_change, err_state_out_Body_flit_valid_in_not_fault_out_state_in_Tail_flit, err_state_out_Body_flit_valid_in_not_fault_out_health_info, err_state_out_Body_flit_valid_in_not_fault_out_not_write_fake_flit, err_state_out_Body_flit_valid_in_not_fault_out_fault_info_in, err_state_out_Body_flit_valid_in_not_fault_out_faulty_packet_in_faulty_packet_out_not_change, err_state_out_Body_flit_valid_in_fault_out_write_fake_flit, err_state_out_Body_flit_valid_in_fault_out_state_in_Packet_drop, err_state_out_Body_flit_valid_in_fault_out_fault_info_in, err_state_out_Body_flit_valid_in_fault_out_faulty_packet_in, err_state_out_Body_flit_not_valid_in_state_in_state_out_not_change, err_state_out_Body_flit_not_valid_in_faulty_packet_in_faulty_packet_out_not_change, err_state_out_Body_flit_not_valid_in_not_fault_info_in, err_state_out_Body_flit_valid_in_not_fault_out_flit_type_not_tail_not_health_info, err_state_out_Body_flit_valid_in_fault_out_not_health_info, err_state_out_Body_flit_valid_in_not_health_info, err_state_out_Body_flit_not_fake_credit, err_state_out_Body_flit_not_valid_in_not_write_fake_flit, err_state_out_Tail_flit_valid_in_not_fault_out_flit_type_Header_state_in_Header_flit, err_state_out_Tail_flit_valid_in_not_fault_out_not_fake_credit, err_state_out_Tail_flit_valid_in_not_fault_out_not_fault_info_in, err_state_out_Tail_flit_valid_in_not_fault_out_faulty_packet_in_faulty_packet_out_not_change, err_state_out_Tail_flit_valid_in_fault_out_fake_credit, err_state_out_Tail_flit_valid_in_fault_out_state_in_Packet_drop, err_state_out_Tail_flit_valid_in_fault_out_fault_info_in, err_state_out_Tail_flit_valid_in_fault_out_faulty_packet_in, err_state_out_Tail_flit_not_valid_in_state_in_Idle, err_state_out_Tail_flit_not_valid_in_faulty_packet_in_faulty_packet_in_not_change, err_state_out_Tail_flit_not_valid_in_not_fault_info_in, err_state_out_Tail_flit_not_valid_in_not_fake_credit, err_state_out_Tail_flit_not_write_fake_flit, err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Header_not_fault_out_not_fake_credit, err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Header_not_fault_out_not_faulty_packet_in, err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Header_not_fault_out_state_in_Header_flit, err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Header_not_fault_out_write_fake_flit, err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Tail_not_fault_out_not_faulty_packet_in, err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Tail_not_fault_out_not_state_in_Idle, err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Tail_not_fault_out_fake_credit, err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_invalid_fault_out_fake_credit, err_state_out_Packet_drop_faulty_packet_out_not_valid_in_flit_type_body_or_invalid_fault_out_faulty_packet_in_faulty_packet_out_not_change, err_state_out_Packet_drop_faulty_packet_out_flit_type_invalid_fault_out_state_in_state_out_not_change, err_state_out_Packet_drop_faulty_packet_out_not_valid_in_faulty_packet_in_faulty_packet_out_equal, err_state_out_Packet_drop_faulty_packet_out_not_valid_in_state_in_state_out_not_change, err_state_out_Packet_drop_faulty_packet_out_not_valid_in_not_write_fake_flit, err_state_out_Packet_drop_faulty_packet_out_not_valid_in_not_fake_credit, err_state_out_Packet_drop_not_faulty_packet_out_state_in_state_out_not_change, err_state_out_Packet_drop_not_faulty_packet_out_faulty_packet_in_faulty_packet_out_not_change, err_state_out_Packet_drop_not_faulty_packet_out_not_fake_credit, err_state_out_Packet_drop_faulty_packet_out_not_valid_in_or_flit_type_not_header_or_fault_out_not_write_fake_flit, err_state_out_Packet_drop_not_faulty_packet_out_not_write_fake_flit, err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Header_fault_out_state_in_state_out_not_change, err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Tail_fault_out_state_in_state_out_not_change, err_fault_info_fault_info_out_equal, err_state_out_Packet_drop_not_valid_in_state_in_state_out_equal, err_state_out_Tail_flit_valid_in_not_fault_out_flit_type_not_Header_state_in_state_out_equal, err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Header_not_fault_info_in, err_state_out_Packet_drop_faulty_packet_out_not_valid_in_or_flit_type_not_Header_not_not_fault_info_in : out std_logic ); end FIFO_credit_based; architecture behavior of FIFO_credit_based is ---------------------------------------- -- Signals related to fault injection -- ---------------------------------------- -- Total: 8 bits signal FI_add_sta: std_logic_vector(7 downto 0); -- 6 bits for fault injection location address (ceil of log2(44) = 6) -- 2 bits for type of fault (SA0 or SA1) signal non_faulty_signals: std_logic_vector (43 downto 0); -- 44 bits for internal- and output-related signals (non-faulty) signal faulty_signals: std_logic_vector(43 downto 0); -- 44 bits for internal- and output-related signals (with single stuck-at fault injected in one of them) ---------------------------------------- ---------------------------------------- signal read_pointer, read_pointer_in, write_pointer, write_pointer_in: std_logic_vector(3 downto 0); signal full, empty: std_logic; signal read_en, write_en: std_logic; signal FIFO_MEM_1, FIFO_MEM_1_in : std_logic_vector(DATA_WIDTH-1 downto 0); signal FIFO_MEM_2, FIFO_MEM_2_in : std_logic_vector(DATA_WIDTH-1 downto 0); signal FIFO_MEM_3, FIFO_MEM_3_in : std_logic_vector(DATA_WIDTH-1 downto 0); signal FIFO_MEM_4, FIFO_MEM_4_in : std_logic_vector(DATA_WIDTH-1 downto 0); -- Packet Dropping FSM states encoded as one-hot (because of checkers for one-bit error detection) CONSTANT Idle: std_logic_vector (4 downto 0) := "00001"; CONSTANT Header_flit: std_logic_vector (4 downto 0) := "00010"; CONSTANT Body_flit: std_logic_vector (4 downto 0) := "00100"; CONSTANT Tail_flit: std_logic_vector (4 downto 0) := "01000"; CONSTANT Packet_drop: std_logic_vector (4 downto 0) := "10000"; signal fault_info_in, fault_info_out: std_logic; signal faulty_packet_in, faulty_packet_out: std_logic; signal xor_all, fault_out: std_logic; signal state_out, state_in : std_logic_vector(4 downto 0); -- : state_type; signal fake_credit, credit_in, write_fake_flit: std_logic; signal fake_credit_counter, fake_credit_counter_in: std_logic_vector(1 downto 0); -- Signal(s) needed for FIFO control part checkers signal fault_info_sig, health_info_sig : std_logic; -- Signal(s) used for creating the chain of injected fault locations (Control Part of FIFO only) -- Total: 44 bits ??!! -- FIFO's control part internal-related signals signal read_pointer_faulty, read_pointer_in_faulty : std_logic_vector(3 downto 0); signal write_pointer_faulty, write_pointer_in_faulty : std_logic_vector(3 downto 0); signal full_faulty, read_en_faulty, write_en_faulty : std_logic; signal fake_credit_faulty : std_logic; signal fake_credit_counter_faulty, fake_credit_counter_in_faulty : std_logic_vector(1 downto 0); signal state_out_faulty, state_in_faulty : std_logic_vector (4 downto 0); signal fault_info_out_faulty, fault_info_in_faulty : std_logic; signal faulty_packet_out_faulty, faulty_packet_in_faulty : std_logic; --signal flit_type_faulty : std_logic; -- ??!! (Actually, flit_type is an alias, showing RX from bits 31 downto 29, maybe we can define it as a signal for injection) (Not sure yet !) signal fault_out_faulty, write_fake_flit_faulty : std_logic; -- FIFO's control part output-related signals signal credit_in_faulty : std_logic; -- ??!! (Actually, it is credit_in, which is the previous value of credit_out in FIFO) signal empty_faulty : std_logic; signal fault_info_sig_faulty : std_logic; -- ??!! (which goes to the fault_info output of FIFO) signal health_info_sig_faulty : std_logic; -- ??!! (which goes to the health_info output of FIFO) begin -------------------------------------------------------------------------------------------- -- block diagram of the FIFO! -------------------------------------------------------------------------------------------- -- circular buffer structure -- <--- WriteP -- --------------------------------- -- | 3 | 2 | 1 | 0 | -- --------------------------------- -- <--- readP -------------------------------------------------------------------------------------------- -- Packet drop state machine -- +---+ No +---+ No -- | | Flit | | Flit -- | v | v -- healthy +--------+ +--------+ -- +---header-->| | | |-------------------+ -- | +->| Header |---Healthy body-->| Body |------------+ | -- | | +--------+ +--------+ | | -- | | | ^ | Healthy | ^ Healthy | -- | | | | | body | | Tail | -- | | | | | +---+ | | -- | | | | | v | -- +--------+ | | | | +--------+ | -- No +-->| | | | | +-----------------Healthy Tail------>| | | -- Flit| | IDLE | | | | | Tail |--)--+ -- +---| | | | +-----------Healthy Header--------------| | | | -- +--------+ | | +--------+ | | -- ^ | ^ | Faulty No Faulty | | -- | | | | Flit Flit Flit | | -- | | | | +------------+ +---+ +---+ | | -- | | | + --Healthy------+ | | | | | | | -- | | | header | v | v | v | | -- | | | +------------------+ | | -- | | +----Healthy Tail-----| Packet | | | -- | +-------Faulty Flit----->| Drop |<-----------------------+ | -- | +------------------+ | -- +-------------------------------------------------No Flit------------------+ -- ------------------------------------------------------------------------------------------------ ------------------------------------- ---- Related to fault injection ----- ------------------------------------- -- Total: 44 bits -- Still not sure whether to include flit_type or not ??!! -- credit_in is actually the previous value of credit_out in FIFO !! -- for fault_info and health_info outputs, not sure whether to include them or the signals with _sig suffix in their names ??!! non_faulty_signals <= read_pointer & read_pointer_in & write_pointer & write_pointer_in & full & read_en & write_en & fake_credit & fake_credit_counter & fake_credit_counter_in & state_out & state_in & fault_info_out & fault_info_in & faulty_packet_out & faulty_packet_in & fault_out & write_fake_flit & credit_in & empty & fault_info_sig & health_info_sig; -- Fault injector module instantiation FI: fault_injector generic map(DATA_WIDTH => 44, ADDRESS_WIDTH => 6) port map (data_in=> non_faulty_signals , address => FI_add_sta(7 downto 2), sta_0=> FI_add_sta(1), sta_1=> FI_add_sta(0), data_out=> faulty_signals ); -- Extracting faulty values for internal- and output-related signals -- Total: 44 bits read_pointer_faulty <= faulty_signals (43 downto 40); read_pointer_in_faulty <= faulty_signals (39 downto 36); write_pointer_faulty <= faulty_signals (35 downto 32); write_pointer_in_faulty <= faulty_signals (31 downto 28); full_faulty <= faulty_signals (27); read_en_faulty <= faulty_signals (26); write_en_faulty <= faulty_signals (25); fake_credit_faulty <= faulty_signals (24); fake_credit_counter_faulty <= faulty_signals (23 downto 22); fake_credit_counter_in_faulty <= faulty_signals (21 downto 20); state_out_faulty <= faulty_signals (19 downto 15); state_in_faulty <= faulty_signals (14 downto 10); fault_info_out_faulty <= faulty_signals (9); fault_info_in_faulty <= faulty_signals (8); faulty_packet_out_faulty <= faulty_signals (7); faulty_packet_in_faulty <= faulty_signals (6); fault_out_faulty <= faulty_signals (5); write_fake_flit_faulty <= faulty_signals (4); credit_in_faulty <= faulty_signals (3); empty_faulty <= faulty_signals (2); fault_info_sig_faulty <= faulty_signals (1); health_info_sig_faulty <= faulty_signals (0); -- Total: 8 bits -- We only use the shift register with serial in for : -- (1) feeding the values of address width -- (the address where the single stuck-at fault should be injected) -- (2) feeding the values of the type of fault (stuck-at-1 (SA1) or stuck-at-0 (SA0) or no fault) SR: shift_register_serial_in generic map(REG_WIDTH => 8) port map ( TCK=> TCK, reset=>reset, SE=> SE, UE=> UE, SI=> SI, SO=> SO, data_out_parallel=> FI_add_sta ); ------------------------------------- ------------------------------------- -- FIFO control part with packet drop and fault classifier support checkers instantiation FIFO_control_part_checkers: FIFO_credit_based_control_part_checkers port map ( valid_in => valid_in, read_en_N => read_en_N, read_en_E => read_en_E, read_en_W => read_en_W, read_en_S => read_en_S, read_en_L => read_en_L, read_pointer => read_pointer_faulty, read_pointer_in => read_pointer_in_faulty, write_pointer => write_pointer_faulty, write_pointer_in => write_pointer_in_faulty, credit_out => credit_in_faulty, -- correct ?! (credit_in in FIFO is actually the previous value of credit_out, going to the input of a register) empty_out => empty_faulty, full_out => full_faulty, read_en_out => read_en_faulty, write_en_out => write_en_faulty, fake_credit => fake_credit_faulty, fake_credit_counter => fake_credit_counter_faulty, fake_credit_counter_in => fake_credit_counter_in_faulty, state_out => state_out_faulty, state_in => state_in_faulty, fault_info => fault_info_sig_faulty, -- connected to signal fault_info_out => fault_info_out_faulty, fault_info_in => fault_info_in_faulty, health_info => health_info_sig_faulty, -- connected to signal faulty_packet_out => faulty_packet_out_faulty, faulty_packet_in => faulty_packet_in_faulty, flit_type => RX(DATA_WIDTH-1 downto DATA_WIDTH-3), -- Behrad: Not sure about this yet ?! fault_out => fault_out_faulty, write_fake_flit => write_fake_flit_faulty, -- Functional checkers err_empty_full => err_empty_full, err_empty_read_en => err_empty_read_en, err_full_write_en => err_full_write_en, err_state_in_onehot => err_state_in_onehot, err_read_pointer_in_onehot => err_read_pointer_in_onehot, err_write_pointer_in_onehot => err_write_pointer_in_onehot, -- Structural checkers err_write_en_write_pointer => err_write_en_write_pointer, err_not_write_en_write_pointer => err_not_write_en_write_pointer, err_read_pointer_write_pointer_not_empty => err_read_pointer_write_pointer_not_empty, err_read_pointer_write_pointer_empty => err_read_pointer_write_pointer_empty, err_read_pointer_write_pointer_not_full => err_read_pointer_write_pointer_not_full, err_read_pointer_write_pointer_full => err_read_pointer_write_pointer_full, err_read_pointer_increment => err_read_pointer_increment, err_read_pointer_not_increment => err_read_pointer_not_increment, err_write_en => err_write_en, err_not_write_en => err_not_write_en, err_not_write_en1 => err_not_write_en1, err_not_write_en2 => err_not_write_en2, err_read_en_mismatch => err_read_en_mismatch, err_read_en_mismatch1 => err_read_en_mismatch1, -- Newly added checkers for FIFO with packet drop and fault classifier support! err_fake_credit_read_en_fake_credit_counter_in_increment => err_fake_credit_read_en_fake_credit_counter_in_increment, err_not_fake_credit_not_read_en_fake_credit_counter_not_zero_fake_credit_counter_in_decrement => err_not_fake_credit_not_read_en_fake_credit_counter_not_zero_fake_credit_counter_in_decrement, err_not_fake_credit_read_en_fake_credit_counter_in_not_change => err_not_fake_credit_read_en_fake_credit_counter_in_not_change, err_fake_credit_not_read_en_fake_credit_counter_in_not_change => err_fake_credit_not_read_en_fake_credit_counter_in_not_change, err_not_fake_credit_not_read_en_fake_credit_counter_zero_fake_credit_counter_in_not_change => err_not_fake_credit_not_read_en_fake_credit_counter_zero_fake_credit_counter_in_not_change, err_fake_credit_read_en_credit_out => err_fake_credit_read_en_credit_out, err_not_fake_credit_not_read_en_fake_credit_counter_not_zero_credit_out => err_not_fake_credit_not_read_en_fake_credit_counter_not_zero_credit_out, err_not_fake_credit_not_read_en_fake_credit_counter_zero_not_credit_out => err_not_fake_credit_not_read_en_fake_credit_counter_zero_not_credit_out, -- Checkers for Packet Dropping FSM of FIFO err_state_out_Idle_not_fault_out_valid_in_state_in_Header_flit => err_state_out_Idle_not_fault_out_valid_in_state_in_Header_flit, err_state_out_Idle_not_fault_out_valid_in_state_in_not_change => err_state_out_Idle_not_fault_out_valid_in_state_in_not_change, err_state_out_Idle_not_fault_out_not_fake_credit => err_state_out_Idle_not_fault_out_not_fake_credit, err_state_out_Idle_not_fault_out_not_fault_info_in => err_state_out_Idle_not_fault_out_not_fault_info_in, err_state_out_Idle_not_fault_out_faulty_packet_in_faulty_packet_out_equal => err_state_out_Idle_not_fault_out_faulty_packet_in_faulty_packet_out_equal, err_state_out_Idle_fault_out_fake_credit => err_state_out_Idle_fault_out_fake_credit, err_state_out_Idle_fault_out_state_in_Packet_drop => err_state_out_Idle_fault_out_state_in_Packet_drop, err_state_out_Idle_fault_out_fault_info_in => err_state_out_Idle_fault_out_fault_info_in, err_state_out_Idle_fault_out_faulty_packet_in => err_state_out_Idle_fault_out_faulty_packet_in, err_state_out_Idle_not_health_info => err_state_out_Idle_not_health_info, err_state_out_Idle_not_write_fake_flit => err_state_out_Idle_not_write_fake_flit, err_state_out_Header_flit_valid_in_not_fault_out_flit_type_Body_state_in_Body_flit => err_state_out_Header_flit_valid_in_not_fault_out_flit_type_Body_state_in_Body_flit, err_state_out_Header_flit_valid_in_not_fault_out_flit_type_Tail_state_in_Tail_flit => err_state_out_Header_flit_valid_in_not_fault_out_flit_type_Tail_state_in_Tail_flit, err_state_out_Header_flit_valid_in_not_fault_out_not_write_fake_flit => err_state_out_Header_flit_valid_in_not_fault_out_not_write_fake_flit, err_state_out_Header_flit_valid_in_not_fault_out_not_fault_info_in => err_state_out_Header_flit_valid_in_not_fault_out_not_fault_info_in, err_state_out_Header_flit_valid_in_not_fault_out_faulty_packet_in_faulty_packet_out_not_change => err_state_out_Header_flit_valid_in_not_fault_out_faulty_packet_in_faulty_packet_out_not_change, err_state_out_Header_flit_valid_in_fault_out_write_fake_flit => err_state_out_Header_flit_valid_in_fault_out_write_fake_flit, err_state_out_Header_flit_valid_in_fault_out_state_in_Packet_drop => err_state_out_Header_flit_valid_in_fault_out_state_in_Packet_drop, err_state_out_Header_flit_valid_in_fault_out_fault_info_in => err_state_out_Header_flit_valid_in_fault_out_fault_info_in, err_state_out_Header_flit_valid_in_fault_out_faulty_packet_in => err_state_out_Header_flit_valid_in_fault_out_faulty_packet_in, err_state_out_Header_flit_not_valid_in_state_in_state_out_not_change => err_state_out_Header_flit_not_valid_in_state_in_state_out_not_change, err_state_out_Header_flit_not_valid_in_faulty_packet_in_faulty_packet_out_not_change => err_state_out_Header_flit_not_valid_in_faulty_packet_in_faulty_packet_out_not_change, err_state_out_Header_flit_not_valid_in_not_fault_info_in => err_state_out_Header_flit_not_valid_in_not_fault_info_in, err_state_out_Header_flit_not_valid_in_not_write_fake_flit => err_state_out_Header_flit_not_valid_in_not_write_fake_flit, err_state_out_Header_flit_or_Body_flit_not_fake_credit => err_state_out_Header_flit_or_Body_flit_not_fake_credit, err_state_out_Body_flit_valid_in_not_fault_out_state_in_state_out_not_change => err_state_out_Body_flit_valid_in_not_fault_out_state_in_state_out_not_change, err_state_out_Body_flit_valid_in_not_fault_out_state_in_Tail_flit => err_state_out_Body_flit_valid_in_not_fault_out_state_in_Tail_flit, err_state_out_Body_flit_valid_in_not_fault_out_health_info => err_state_out_Body_flit_valid_in_not_fault_out_health_info, err_state_out_Body_flit_valid_in_not_fault_out_not_write_fake_flit => err_state_out_Body_flit_valid_in_not_fault_out_not_write_fake_flit, err_state_out_Body_flit_valid_in_not_fault_out_fault_info_in => err_state_out_Body_flit_valid_in_not_fault_out_fault_info_in, err_state_out_Body_flit_valid_in_not_fault_out_faulty_packet_in_faulty_packet_out_not_change => err_state_out_Body_flit_valid_in_not_fault_out_faulty_packet_in_faulty_packet_out_not_change, err_state_out_Body_flit_valid_in_fault_out_write_fake_flit => err_state_out_Body_flit_valid_in_fault_out_write_fake_flit, err_state_out_Body_flit_valid_in_fault_out_state_in_Packet_drop => err_state_out_Body_flit_valid_in_fault_out_state_in_Packet_drop, err_state_out_Body_flit_valid_in_fault_out_fault_info_in => err_state_out_Body_flit_valid_in_fault_out_fault_info_in, err_state_out_Body_flit_valid_in_fault_out_faulty_packet_in => err_state_out_Body_flit_valid_in_fault_out_faulty_packet_in, err_state_out_Body_flit_not_valid_in_state_in_state_out_not_change => err_state_out_Body_flit_not_valid_in_state_in_state_out_not_change, err_state_out_Body_flit_not_valid_in_faulty_packet_in_faulty_packet_out_not_change => err_state_out_Body_flit_not_valid_in_faulty_packet_in_faulty_packet_out_not_change, err_state_out_Body_flit_not_valid_in_not_fault_info_in => err_state_out_Body_flit_not_valid_in_not_fault_info_in, err_state_out_Body_flit_valid_in_not_fault_out_flit_type_not_tail_not_health_info => err_state_out_Body_flit_valid_in_not_fault_out_flit_type_not_tail_not_health_info, err_state_out_Body_flit_valid_in_fault_out_not_health_info => err_state_out_Body_flit_valid_in_fault_out_not_health_info, err_state_out_Body_flit_valid_in_not_health_info => err_state_out_Body_flit_valid_in_not_health_info, err_state_out_Body_flit_not_fake_credit => err_state_out_Body_flit_not_fake_credit, err_state_out_Body_flit_not_valid_in_not_write_fake_flit => err_state_out_Body_flit_not_valid_in_not_write_fake_flit, err_state_out_Tail_flit_valid_in_not_fault_out_flit_type_Header_state_in_Header_flit => err_state_out_Tail_flit_valid_in_not_fault_out_flit_type_Header_state_in_Header_flit, err_state_out_Tail_flit_valid_in_not_fault_out_not_fake_credit => err_state_out_Tail_flit_valid_in_not_fault_out_not_fake_credit, err_state_out_Tail_flit_valid_in_not_fault_out_not_fault_info_in => err_state_out_Tail_flit_valid_in_not_fault_out_not_fault_info_in, err_state_out_Tail_flit_valid_in_not_fault_out_faulty_packet_in_faulty_packet_out_not_change => err_state_out_Tail_flit_valid_in_not_fault_out_faulty_packet_in_faulty_packet_out_not_change, err_state_out_Tail_flit_valid_in_fault_out_fake_credit => err_state_out_Tail_flit_valid_in_fault_out_fake_credit, err_state_out_Tail_flit_valid_in_fault_out_state_in_Packet_drop => err_state_out_Tail_flit_valid_in_fault_out_state_in_Packet_drop, err_state_out_Tail_flit_valid_in_fault_out_fault_info_in => err_state_out_Tail_flit_valid_in_fault_out_fault_info_in, err_state_out_Tail_flit_valid_in_fault_out_faulty_packet_in => err_state_out_Tail_flit_valid_in_fault_out_faulty_packet_in, err_state_out_Tail_flit_not_valid_in_state_in_Idle => err_state_out_Tail_flit_not_valid_in_state_in_Idle, err_state_out_Tail_flit_not_valid_in_faulty_packet_in_faulty_packet_in_not_change => err_state_out_Tail_flit_not_valid_in_faulty_packet_in_faulty_packet_in_not_change, err_state_out_Tail_flit_not_valid_in_not_fault_info_in => err_state_out_Tail_flit_not_valid_in_not_fault_info_in, err_state_out_Tail_flit_not_valid_in_not_fake_credit => err_state_out_Tail_flit_not_valid_in_not_fake_credit, err_state_out_Tail_flit_not_write_fake_flit => err_state_out_Tail_flit_not_write_fake_flit, err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Header_not_fault_out_not_fake_credit => err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Header_not_fault_out_not_fake_credit, err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Header_not_fault_out_not_faulty_packet_in => err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Header_not_fault_out_not_faulty_packet_in, err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Header_not_fault_out_state_in_Header_flit => err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Header_not_fault_out_state_in_Header_flit, err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Header_not_fault_out_write_fake_flit => err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Header_not_fault_out_write_fake_flit, err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Tail_not_fault_out_not_faulty_packet_in => err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Tail_not_fault_out_not_faulty_packet_in, err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Tail_not_fault_out_not_state_in_Idle => err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Tail_not_fault_out_not_state_in_Idle, err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Tail_not_fault_out_fake_credit => err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Tail_not_fault_out_fake_credit, err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_invalid_fault_out_fake_credit => err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_invalid_fault_out_fake_credit, err_state_out_Packet_drop_faulty_packet_out_not_valid_in_flit_type_body_or_invalid_fault_out_faulty_packet_in_faulty_packet_out_not_change => err_state_out_Packet_drop_faulty_packet_out_not_valid_in_flit_type_body_or_invalid_fault_out_faulty_packet_in_faulty_packet_out_not_change, err_state_out_Packet_drop_faulty_packet_out_flit_type_invalid_fault_out_state_in_state_out_not_change => err_state_out_Packet_drop_faulty_packet_out_flit_type_invalid_fault_out_state_in_state_out_not_change, err_state_out_Packet_drop_faulty_packet_out_not_valid_in_faulty_packet_in_faulty_packet_out_equal => err_state_out_Packet_drop_faulty_packet_out_not_valid_in_faulty_packet_in_faulty_packet_out_equal, err_state_out_Packet_drop_faulty_packet_out_not_valid_in_state_in_state_out_not_change => err_state_out_Packet_drop_faulty_packet_out_not_valid_in_state_in_state_out_not_change, err_state_out_Packet_drop_faulty_packet_out_not_valid_in_not_write_fake_flit => err_state_out_Packet_drop_faulty_packet_out_not_valid_in_not_write_fake_flit, err_state_out_Packet_drop_faulty_packet_out_not_valid_in_not_fake_credit => err_state_out_Packet_drop_faulty_packet_out_not_valid_in_not_fake_credit, err_state_out_Packet_drop_not_faulty_packet_out_state_in_state_out_not_change => err_state_out_Packet_drop_not_faulty_packet_out_state_in_state_out_not_change, err_state_out_Packet_drop_not_faulty_packet_out_faulty_packet_in_faulty_packet_out_not_change => err_state_out_Packet_drop_not_faulty_packet_out_faulty_packet_in_faulty_packet_out_not_change, err_state_out_Packet_drop_not_faulty_packet_out_not_fake_credit => err_state_out_Packet_drop_not_faulty_packet_out_not_fake_credit, err_state_out_Packet_drop_faulty_packet_out_not_valid_in_or_flit_type_not_header_or_fault_out_not_write_fake_flit => err_state_out_Packet_drop_faulty_packet_out_not_valid_in_or_flit_type_not_header_or_fault_out_not_write_fake_flit, err_state_out_Packet_drop_not_faulty_packet_out_not_write_fake_flit => err_state_out_Packet_drop_not_faulty_packet_out_not_write_fake_flit, err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Header_fault_out_state_in_state_out_not_change => err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Header_fault_out_state_in_state_out_not_change, err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Tail_fault_out_state_in_state_out_not_change => err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Tail_fault_out_state_in_state_out_not_change, err_fault_info_fault_info_out_equal => err_fault_info_fault_info_out_equal, err_state_out_Packet_drop_not_valid_in_state_in_state_out_equal => err_state_out_Packet_drop_not_valid_in_state_in_state_out_equal, err_state_out_Tail_flit_valid_in_not_fault_out_flit_type_not_Header_state_in_state_out_equal => err_state_out_Tail_flit_valid_in_not_fault_out_flit_type_not_Header_state_in_state_out_equal, err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Header_not_fault_info_in => err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Header_not_fault_info_in, err_state_out_Packet_drop_faulty_packet_out_not_valid_in_or_flit_type_not_Header_not_not_fault_info_in => err_state_out_Packet_drop_faulty_packet_out_not_valid_in_or_flit_type_not_Header_not_not_fault_info_in ); -- Becuase of checkers we did this fault_info <= fault_info_sig; -- Not sure yet ?! health_info <= health_info_sig; -- Not sure yet ?! -- Sequential part process (clk, reset)begin if reset = '0' then read_pointer <= "0001"; write_pointer <= "0001"; FIFO_MEM_1 <= (others=>'0'); FIFO_MEM_2 <= (others=>'0'); FIFO_MEM_3 <= (others=>'0'); FIFO_MEM_4 <= (others=>'0'); fake_credit_counter <= (others=>'0'); faulty_packet_out <= '0'; credit_out <= '0'; state_out <= Idle; fault_info_out <= '0'; elsif clk'event and clk = '1' then write_pointer <= write_pointer_in; read_pointer <= read_pointer_in; state_out <= state_in; faulty_packet_out <= faulty_packet_in; credit_out <= credit_in; fake_credit_counter <= fake_credit_counter_in; if write_en = '1' then --write into the memory FIFO_MEM_1 <= FIFO_MEM_1_in; FIFO_MEM_2 <= FIFO_MEM_2_in; FIFO_MEM_3 <= FIFO_MEM_3_in; FIFO_MEM_4 <= FIFO_MEM_4_in; end if; fault_info_out <= fault_info_in; end if; end process; -- Anything below here is pure combinational -- combinatorial part fault_info_sig <= fault_info_out; process(fake_credit, read_en, fake_credit_counter) begin fake_credit_counter_in <= fake_credit_counter; credit_in <= '0'; if fake_credit = '1' and read_en = '1' then fake_credit_counter_in <= fake_credit_counter + 1 ; end if; if fake_credit = '1' or read_en ='1' then credit_in <= '1'; end if; if fake_credit = '0' and read_en = '0' and fake_credit_counter > 0 then fake_credit_counter_in <= fake_credit_counter - 1 ; credit_in <= '1'; end if; end process; process(valid_in, RX) begin xor_all <= '0'; if valid_in = '1' then xor_all <= XOR_REDUCE(RX(DATA_WIDTH-1 downto 1)); end if; end process; process(valid_in, RX, xor_all)begin fault_out <= '0'; if valid_in = '1' and xor_all /= RX(0) then fault_out <= '1'; end if; end process; process(RX, faulty_packet_out, fault_out, write_pointer, FIFO_MEM_1, FIFO_MEM_2, FIFO_MEM_3, FIFO_MEM_4, state_out, valid_in) begin -- this is the default value of the memory! case( write_pointer ) is when "0001" => FIFO_MEM_1_in <= RX; FIFO_MEM_2_in <= FIFO_MEM_2; FIFO_MEM_3_in <= FIFO_MEM_3; FIFO_MEM_4_in <= FIFO_MEM_4; when "0010" => FIFO_MEM_1_in <= FIFO_MEM_1; FIFO_MEM_2_in <= RX; FIFO_MEM_3_in <= FIFO_MEM_3; FIFO_MEM_4_in <= FIFO_MEM_4; when "0100" => FIFO_MEM_1_in <= FIFO_MEM_1; FIFO_MEM_2_in <= FIFO_MEM_2; FIFO_MEM_3_in <= RX; FIFO_MEM_4_in <= FIFO_MEM_4; when others => FIFO_MEM_1_in <= FIFO_MEM_1; FIFO_MEM_2_in <= FIFO_MEM_2; FIFO_MEM_3_in <= FIFO_MEM_3; FIFO_MEM_4_in <= RX; end case ; --some defaults fault_info_in <= '0'; health_info_sig <= '0'; fake_credit <= '0'; state_in <= state_out; faulty_packet_in <= faulty_packet_out; write_fake_flit <= '0'; case(state_out) is when Idle => if fault_out = '0' then if valid_in = '1' then state_in <= Header_flit; else state_in <= state_out; end if; else fake_credit <= '1'; FIFO_MEM_1_in <= FIFO_MEM_1; FIFO_MEM_2_in <= FIFO_MEM_2; FIFO_MEM_3_in <= FIFO_MEM_3; FIFO_MEM_4_in <= FIFO_MEM_4; state_in <= Packet_drop; fault_info_in <= '1'; faulty_packet_in <= '1'; end if; when Header_flit => if valid_in = '1' then if fault_out = '0' then if RX(DATA_WIDTH-1 downto DATA_WIDTH-3) = "010" then state_in <= Body_flit; elsif RX(DATA_WIDTH-1 downto DATA_WIDTH-3) = "100" then state_in <= Tail_flit; else -- we should not be here! state_in <= state_out; end if; else -- fault_out = '1' write_fake_flit <= '1'; case( write_pointer ) is when "0001" => FIFO_MEM_1_in <= fake_tail; FIFO_MEM_2_in <= FIFO_MEM_2; FIFO_MEM_3_in <= FIFO_MEM_3; FIFO_MEM_4_in <= FIFO_MEM_4; when "0010" => FIFO_MEM_1_in <= FIFO_MEM_1; FIFO_MEM_2_in <= fake_tail; FIFO_MEM_3_in <= FIFO_MEM_3; FIFO_MEM_4_in <= FIFO_MEM_4; when "0100" => FIFO_MEM_1_in <= FIFO_MEM_1; FIFO_MEM_2_in <= FIFO_MEM_2; FIFO_MEM_3_in <= fake_tail; FIFO_MEM_4_in <= FIFO_MEM_4; when others => FIFO_MEM_1_in <= FIFO_MEM_1; FIFO_MEM_2_in <= FIFO_MEM_2; FIFO_MEM_3_in <= FIFO_MEM_3; FIFO_MEM_4_in <= fake_tail; end case ; state_in <= Packet_drop; fault_info_in <= '1'; faulty_packet_in <= '1'; end if; else state_in <= state_out; end if; when Body_flit => if valid_in = '1' then if fault_out = '0' then if RX(DATA_WIDTH-1 downto DATA_WIDTH-3) = "010" then state_in <= state_out; elsif RX(DATA_WIDTH-1 downto DATA_WIDTH-3) = "100" then state_in <= Tail_flit; health_info_sig <= '1'; else -- we should not be here! state_in <= state_out; end if; else -- fault_out = '1' write_fake_flit <= '1'; case( write_pointer ) is when "0001" => FIFO_MEM_1_in <= fake_tail; FIFO_MEM_2_in <= FIFO_MEM_2; FIFO_MEM_3_in <= FIFO_MEM_3; FIFO_MEM_4_in <= FIFO_MEM_4; when "0010" => FIFO_MEM_1_in <= FIFO_MEM_1; FIFO_MEM_2_in <= fake_tail; FIFO_MEM_3_in <= FIFO_MEM_3; FIFO_MEM_4_in <= FIFO_MEM_4; when "0100" => FIFO_MEM_1_in <= FIFO_MEM_1; FIFO_MEM_2_in <= FIFO_MEM_2; FIFO_MEM_3_in <= fake_tail; FIFO_MEM_4_in <= FIFO_MEM_4; when others => FIFO_MEM_1_in <= FIFO_MEM_1; FIFO_MEM_2_in <= FIFO_MEM_2; FIFO_MEM_3_in <= FIFO_MEM_3; FIFO_MEM_4_in <= fake_tail; end case ; state_in <= Packet_drop; fault_info_in <= '1'; faulty_packet_in <= '1'; end if; else state_in <= state_out; end if; when Tail_flit => if valid_in = '1' then if fault_out = '0' then if RX(DATA_WIDTH-1 downto DATA_WIDTH-3) = "001" then state_in <= Header_flit; else state_in <= state_out; end if; else -- fault_out = '1' fake_credit <= '1'; FIFO_MEM_1_in <= FIFO_MEM_1; FIFO_MEM_2_in <= FIFO_MEM_2; FIFO_MEM_3_in <= FIFO_MEM_3; FIFO_MEM_4_in <= FIFO_MEM_4; state_in <= Packet_drop; fault_info_in <= '1'; faulty_packet_in <= '1'; end if; else state_in <= Idle; end if; when Packet_drop => state_in <= state_out; if faulty_packet_out = '1' then report "FIFO dropping packet at" & time'image(now) &"!" severity note; if valid_in = '1' and RX(DATA_WIDTH-1 downto DATA_WIDTH-3) = "001" and fault_out = '0' then faulty_packet_in <= '0'; state_in <= Header_flit; write_fake_flit <= '1'; case( write_pointer ) is when "0001" => FIFO_MEM_1_in <= RX; FIFO_MEM_2_in <= FIFO_MEM_2; FIFO_MEM_3_in <= FIFO_MEM_3; FIFO_MEM_4_in <= FIFO_MEM_4; when "0010" => FIFO_MEM_1_in <= FIFO_MEM_1; FIFO_MEM_2_in <= RX; FIFO_MEM_3_in <= FIFO_MEM_3; FIFO_MEM_4_in <= FIFO_MEM_4; when "0100" => FIFO_MEM_1_in <= FIFO_MEM_1; FIFO_MEM_2_in <= FIFO_MEM_2; FIFO_MEM_3_in <= RX; FIFO_MEM_4_in <= FIFO_MEM_4; when others => FIFO_MEM_1_in <= FIFO_MEM_1; FIFO_MEM_2_in <= FIFO_MEM_2; FIFO_MEM_3_in <= FIFO_MEM_3; FIFO_MEM_4_in <= RX; end case ; elsif valid_in = '1' and RX(DATA_WIDTH-1 downto DATA_WIDTH-3) = "100" and fault_out = '0' then FIFO_MEM_1_in <= FIFO_MEM_1; FIFO_MEM_2_in <= FIFO_MEM_2; FIFO_MEM_3_in <= FIFO_MEM_3; FIFO_MEM_4_in <= FIFO_MEM_4; faulty_packet_in <= '0'; state_in <= Idle; fake_credit <= '1'; else -- fault_out might have been '1' if valid_in = '1' and RX(DATA_WIDTH-1 downto DATA_WIDTH-3) = "001" then fault_info_in <= '1'; end if; if valid_in = '1' then fake_credit <= '1'; end if; FIFO_MEM_1_in <= FIFO_MEM_1; FIFO_MEM_2_in <= FIFO_MEM_2; FIFO_MEM_3_in <= FIFO_MEM_3; FIFO_MEM_4_in <= FIFO_MEM_4; state_in <= state_out; end if; end if; when others => state_in <= state_out; end case; end process; process(read_pointer, FIFO_MEM_1, FIFO_MEM_2, FIFO_MEM_3, FIFO_MEM_4)begin case( read_pointer ) is when "0001" => Data_out <= FIFO_MEM_1; when "0010" => Data_out <= FIFO_MEM_2; when "0100" => Data_out <= FIFO_MEM_3; when others => Data_out <= FIFO_MEM_4; end case ; end process; read_en <= (read_en_N or read_en_E or read_en_W or read_en_S or read_en_L) and not empty; empty_out <= empty; process(write_en, write_pointer)begin write_pointer_in <= write_pointer; if write_en = '1' then write_pointer_in <= write_pointer(2 downto 0)&write_pointer(3); end if; end process; process(read_en, empty, read_pointer)begin read_pointer_in <= read_pointer; if (read_en = '1' and empty = '0') then read_pointer_in <= read_pointer(2 downto 0)&read_pointer(3); end if; end process; process(full, valid_in, write_fake_flit, faulty_packet_out, fault_out) begin write_en <= '0'; if valid_in = '1' and ((faulty_packet_out = '0' and fault_out = '0') or write_fake_flit = '1') and full ='0' then write_en <= '1'; end if; end process; process(write_pointer, read_pointer) begin empty <= '0'; full <= '0'; if read_pointer = write_pointer then empty <= '1'; end if; -- if write_pointer = read_pointer>>1 then if write_pointer = read_pointer(0)&read_pointer(3 downto 1) then full <= '1'; end if; end process; end;
--Copyright (C) 2016 Siavoosh Payandeh Azad library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_misc.all; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; use work.component_pack.all; entity FIFO_credit_based is generic ( DATA_WIDTH: integer := 32 ); port ( reset: in std_logic; clk: in std_logic; RX: in std_logic_vector(DATA_WIDTH-1 downto 0); valid_in: in std_logic; read_en_N : in std_logic; read_en_E : in std_logic; read_en_W : in std_logic; read_en_S : in std_logic; read_en_L : in std_logic; credit_out: out std_logic; empty_out: out std_logic; Data_out: out std_logic_vector(DATA_WIDTH-1 downto 0); fault_info, health_info: out std_logic; -- fault injector shift register with serial input signals TCK: in std_logic; SE: in std_logic; -- shift enable UE: in std_logic; -- update enable SI: in std_logic; -- serial Input SO: out std_logic; -- serial output -- Checker outputs -- Functional checkers err_empty_full, err_empty_read_en, err_full_write_en, err_state_in_onehot, err_read_pointer_in_onehot, err_write_pointer_in_onehot, -- Structural checkers err_write_en_write_pointer, err_not_write_en_write_pointer, err_read_pointer_write_pointer_not_empty, err_read_pointer_write_pointer_empty, err_read_pointer_write_pointer_not_full, err_read_pointer_write_pointer_full, err_read_pointer_increment, err_read_pointer_not_increment, err_write_en, err_not_write_en, err_not_write_en1, err_not_write_en2, err_read_en_mismatch, err_read_en_mismatch1, -- Newly added checkers for FIFO with packet drop and fault classifier support! err_fake_credit_read_en_fake_credit_counter_in_increment, err_not_fake_credit_not_read_en_fake_credit_counter_not_zero_fake_credit_counter_in_decrement, err_not_fake_credit_read_en_fake_credit_counter_in_not_change, err_fake_credit_not_read_en_fake_credit_counter_in_not_change, err_not_fake_credit_not_read_en_fake_credit_counter_zero_fake_credit_counter_in_not_change, err_fake_credit_read_en_credit_out, err_not_fake_credit_not_read_en_fake_credit_counter_not_zero_credit_out, err_not_fake_credit_not_read_en_fake_credit_counter_zero_not_credit_out, -- Checkers for Packet Dropping FSM of FIFO err_state_out_Idle_not_fault_out_valid_in_state_in_Header_flit, err_state_out_Idle_not_fault_out_valid_in_state_in_not_change, err_state_out_Idle_not_fault_out_not_fake_credit, err_state_out_Idle_not_fault_out_not_fault_info_in, err_state_out_Idle_not_fault_out_faulty_packet_in_faulty_packet_out_equal, err_state_out_Idle_fault_out_fake_credit, err_state_out_Idle_fault_out_state_in_Packet_drop, err_state_out_Idle_fault_out_fault_info_in, err_state_out_Idle_fault_out_faulty_packet_in, err_state_out_Idle_not_health_info, err_state_out_Idle_not_write_fake_flit, err_state_out_Header_flit_valid_in_not_fault_out_flit_type_Body_state_in_Body_flit, err_state_out_Header_flit_valid_in_not_fault_out_flit_type_Tail_state_in_Tail_flit, err_state_out_Header_flit_valid_in_not_fault_out_not_write_fake_flit, err_state_out_Header_flit_valid_in_not_fault_out_not_fault_info_in, err_state_out_Header_flit_valid_in_not_fault_out_faulty_packet_in_faulty_packet_out_not_change, err_state_out_Header_flit_valid_in_fault_out_write_fake_flit, err_state_out_Header_flit_valid_in_fault_out_state_in_Packet_drop, err_state_out_Header_flit_valid_in_fault_out_fault_info_in, err_state_out_Header_flit_valid_in_fault_out_faulty_packet_in, err_state_out_Header_flit_not_valid_in_state_in_state_out_not_change, err_state_out_Header_flit_not_valid_in_faulty_packet_in_faulty_packet_out_not_change, err_state_out_Header_flit_not_valid_in_not_fault_info_in, err_state_out_Header_flit_not_valid_in_not_write_fake_flit, err_state_out_Header_flit_or_Body_flit_not_fake_credit, err_state_out_Body_flit_valid_in_not_fault_out_state_in_state_out_not_change, err_state_out_Body_flit_valid_in_not_fault_out_state_in_Tail_flit, err_state_out_Body_flit_valid_in_not_fault_out_health_info, err_state_out_Body_flit_valid_in_not_fault_out_not_write_fake_flit, err_state_out_Body_flit_valid_in_not_fault_out_fault_info_in, err_state_out_Body_flit_valid_in_not_fault_out_faulty_packet_in_faulty_packet_out_not_change, err_state_out_Body_flit_valid_in_fault_out_write_fake_flit, err_state_out_Body_flit_valid_in_fault_out_state_in_Packet_drop, err_state_out_Body_flit_valid_in_fault_out_fault_info_in, err_state_out_Body_flit_valid_in_fault_out_faulty_packet_in, err_state_out_Body_flit_not_valid_in_state_in_state_out_not_change, err_state_out_Body_flit_not_valid_in_faulty_packet_in_faulty_packet_out_not_change, err_state_out_Body_flit_not_valid_in_not_fault_info_in, err_state_out_Body_flit_valid_in_not_fault_out_flit_type_not_tail_not_health_info, err_state_out_Body_flit_valid_in_fault_out_not_health_info, err_state_out_Body_flit_valid_in_not_health_info, err_state_out_Body_flit_not_fake_credit, err_state_out_Body_flit_not_valid_in_not_write_fake_flit, err_state_out_Tail_flit_valid_in_not_fault_out_flit_type_Header_state_in_Header_flit, err_state_out_Tail_flit_valid_in_not_fault_out_not_fake_credit, err_state_out_Tail_flit_valid_in_not_fault_out_not_fault_info_in, err_state_out_Tail_flit_valid_in_not_fault_out_faulty_packet_in_faulty_packet_out_not_change, err_state_out_Tail_flit_valid_in_fault_out_fake_credit, err_state_out_Tail_flit_valid_in_fault_out_state_in_Packet_drop, err_state_out_Tail_flit_valid_in_fault_out_fault_info_in, err_state_out_Tail_flit_valid_in_fault_out_faulty_packet_in, err_state_out_Tail_flit_not_valid_in_state_in_Idle, err_state_out_Tail_flit_not_valid_in_faulty_packet_in_faulty_packet_in_not_change, err_state_out_Tail_flit_not_valid_in_not_fault_info_in, err_state_out_Tail_flit_not_valid_in_not_fake_credit, err_state_out_Tail_flit_not_write_fake_flit, err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Header_not_fault_out_not_fake_credit, err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Header_not_fault_out_not_faulty_packet_in, err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Header_not_fault_out_state_in_Header_flit, err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Header_not_fault_out_write_fake_flit, err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Tail_not_fault_out_not_faulty_packet_in, err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Tail_not_fault_out_not_state_in_Idle, err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Tail_not_fault_out_fake_credit, err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_invalid_fault_out_fake_credit, err_state_out_Packet_drop_faulty_packet_out_not_valid_in_flit_type_body_or_invalid_fault_out_faulty_packet_in_faulty_packet_out_not_change, err_state_out_Packet_drop_faulty_packet_out_flit_type_invalid_fault_out_state_in_state_out_not_change, err_state_out_Packet_drop_faulty_packet_out_not_valid_in_faulty_packet_in_faulty_packet_out_equal, err_state_out_Packet_drop_faulty_packet_out_not_valid_in_state_in_state_out_not_change, err_state_out_Packet_drop_faulty_packet_out_not_valid_in_not_write_fake_flit, err_state_out_Packet_drop_faulty_packet_out_not_valid_in_not_fake_credit, err_state_out_Packet_drop_not_faulty_packet_out_state_in_state_out_not_change, err_state_out_Packet_drop_not_faulty_packet_out_faulty_packet_in_faulty_packet_out_not_change, err_state_out_Packet_drop_not_faulty_packet_out_not_fake_credit, err_state_out_Packet_drop_faulty_packet_out_not_valid_in_or_flit_type_not_header_or_fault_out_not_write_fake_flit, err_state_out_Packet_drop_not_faulty_packet_out_not_write_fake_flit, err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Header_fault_out_state_in_state_out_not_change, err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Tail_fault_out_state_in_state_out_not_change, err_fault_info_fault_info_out_equal, err_state_out_Packet_drop_not_valid_in_state_in_state_out_equal, err_state_out_Tail_flit_valid_in_not_fault_out_flit_type_not_Header_state_in_state_out_equal, err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Header_not_fault_info_in, err_state_out_Packet_drop_faulty_packet_out_not_valid_in_or_flit_type_not_Header_not_not_fault_info_in : out std_logic ); end FIFO_credit_based; architecture behavior of FIFO_credit_based is ---------------------------------------- -- Signals related to fault injection -- ---------------------------------------- -- Total: 8 bits signal FI_add_sta: std_logic_vector(7 downto 0); -- 6 bits for fault injection location address (ceil of log2(44) = 6) -- 2 bits for type of fault (SA0 or SA1) signal non_faulty_signals: std_logic_vector (43 downto 0); -- 44 bits for internal- and output-related signals (non-faulty) signal faulty_signals: std_logic_vector(43 downto 0); -- 44 bits for internal- and output-related signals (with single stuck-at fault injected in one of them) ---------------------------------------- ---------------------------------------- signal read_pointer, read_pointer_in, write_pointer, write_pointer_in: std_logic_vector(3 downto 0); signal full, empty: std_logic; signal read_en, write_en: std_logic; signal FIFO_MEM_1, FIFO_MEM_1_in : std_logic_vector(DATA_WIDTH-1 downto 0); signal FIFO_MEM_2, FIFO_MEM_2_in : std_logic_vector(DATA_WIDTH-1 downto 0); signal FIFO_MEM_3, FIFO_MEM_3_in : std_logic_vector(DATA_WIDTH-1 downto 0); signal FIFO_MEM_4, FIFO_MEM_4_in : std_logic_vector(DATA_WIDTH-1 downto 0); -- Packet Dropping FSM states encoded as one-hot (because of checkers for one-bit error detection) CONSTANT Idle: std_logic_vector (4 downto 0) := "00001"; CONSTANT Header_flit: std_logic_vector (4 downto 0) := "00010"; CONSTANT Body_flit: std_logic_vector (4 downto 0) := "00100"; CONSTANT Tail_flit: std_logic_vector (4 downto 0) := "01000"; CONSTANT Packet_drop: std_logic_vector (4 downto 0) := "10000"; signal fault_info_in, fault_info_out: std_logic; signal faulty_packet_in, faulty_packet_out: std_logic; signal xor_all, fault_out: std_logic; signal state_out, state_in : std_logic_vector(4 downto 0); -- : state_type; signal fake_credit, credit_in, write_fake_flit: std_logic; signal fake_credit_counter, fake_credit_counter_in: std_logic_vector(1 downto 0); -- Signal(s) needed for FIFO control part checkers signal fault_info_sig, health_info_sig : std_logic; -- Signal(s) used for creating the chain of injected fault locations (Control Part of FIFO only) -- Total: 44 bits ??!! -- FIFO's control part internal-related signals signal read_pointer_faulty, read_pointer_in_faulty : std_logic_vector(3 downto 0); signal write_pointer_faulty, write_pointer_in_faulty : std_logic_vector(3 downto 0); signal full_faulty, read_en_faulty, write_en_faulty : std_logic; signal fake_credit_faulty : std_logic; signal fake_credit_counter_faulty, fake_credit_counter_in_faulty : std_logic_vector(1 downto 0); signal state_out_faulty, state_in_faulty : std_logic_vector (4 downto 0); signal fault_info_out_faulty, fault_info_in_faulty : std_logic; signal faulty_packet_out_faulty, faulty_packet_in_faulty : std_logic; --signal flit_type_faulty : std_logic; -- ??!! (Actually, flit_type is an alias, showing RX from bits 31 downto 29, maybe we can define it as a signal for injection) (Not sure yet !) signal fault_out_faulty, write_fake_flit_faulty : std_logic; -- FIFO's control part output-related signals signal credit_in_faulty : std_logic; -- ??!! (Actually, it is credit_in, which is the previous value of credit_out in FIFO) signal empty_faulty : std_logic; signal fault_info_sig_faulty : std_logic; -- ??!! (which goes to the fault_info output of FIFO) signal health_info_sig_faulty : std_logic; -- ??!! (which goes to the health_info output of FIFO) begin -------------------------------------------------------------------------------------------- -- block diagram of the FIFO! -------------------------------------------------------------------------------------------- -- circular buffer structure -- <--- WriteP -- --------------------------------- -- | 3 | 2 | 1 | 0 | -- --------------------------------- -- <--- readP -------------------------------------------------------------------------------------------- -- Packet drop state machine -- +---+ No +---+ No -- | | Flit | | Flit -- | v | v -- healthy +--------+ +--------+ -- +---header-->| | | |-------------------+ -- | +->| Header |---Healthy body-->| Body |------------+ | -- | | +--------+ +--------+ | | -- | | | ^ | Healthy | ^ Healthy | -- | | | | | body | | Tail | -- | | | | | +---+ | | -- | | | | | v | -- +--------+ | | | | +--------+ | -- No +-->| | | | | +-----------------Healthy Tail------>| | | -- Flit| | IDLE | | | | | Tail |--)--+ -- +---| | | | +-----------Healthy Header--------------| | | | -- +--------+ | | +--------+ | | -- ^ | ^ | Faulty No Faulty | | -- | | | | Flit Flit Flit | | -- | | | | +------------+ +---+ +---+ | | -- | | | + --Healthy------+ | | | | | | | -- | | | header | v | v | v | | -- | | | +------------------+ | | -- | | +----Healthy Tail-----| Packet | | | -- | +-------Faulty Flit----->| Drop |<-----------------------+ | -- | +------------------+ | -- +-------------------------------------------------No Flit------------------+ -- ------------------------------------------------------------------------------------------------ ------------------------------------- ---- Related to fault injection ----- ------------------------------------- -- Total: 44 bits -- Still not sure whether to include flit_type or not ??!! -- credit_in is actually the previous value of credit_out in FIFO !! -- for fault_info and health_info outputs, not sure whether to include them or the signals with _sig suffix in their names ??!! non_faulty_signals <= read_pointer & read_pointer_in & write_pointer & write_pointer_in & full & read_en & write_en & fake_credit & fake_credit_counter & fake_credit_counter_in & state_out & state_in & fault_info_out & fault_info_in & faulty_packet_out & faulty_packet_in & fault_out & write_fake_flit & credit_in & empty & fault_info_sig & health_info_sig; -- Fault injector module instantiation FI: fault_injector generic map(DATA_WIDTH => 44, ADDRESS_WIDTH => 6) port map (data_in=> non_faulty_signals , address => FI_add_sta(7 downto 2), sta_0=> FI_add_sta(1), sta_1=> FI_add_sta(0), data_out=> faulty_signals ); -- Extracting faulty values for internal- and output-related signals -- Total: 44 bits read_pointer_faulty <= faulty_signals (43 downto 40); read_pointer_in_faulty <= faulty_signals (39 downto 36); write_pointer_faulty <= faulty_signals (35 downto 32); write_pointer_in_faulty <= faulty_signals (31 downto 28); full_faulty <= faulty_signals (27); read_en_faulty <= faulty_signals (26); write_en_faulty <= faulty_signals (25); fake_credit_faulty <= faulty_signals (24); fake_credit_counter_faulty <= faulty_signals (23 downto 22); fake_credit_counter_in_faulty <= faulty_signals (21 downto 20); state_out_faulty <= faulty_signals (19 downto 15); state_in_faulty <= faulty_signals (14 downto 10); fault_info_out_faulty <= faulty_signals (9); fault_info_in_faulty <= faulty_signals (8); faulty_packet_out_faulty <= faulty_signals (7); faulty_packet_in_faulty <= faulty_signals (6); fault_out_faulty <= faulty_signals (5); write_fake_flit_faulty <= faulty_signals (4); credit_in_faulty <= faulty_signals (3); empty_faulty <= faulty_signals (2); fault_info_sig_faulty <= faulty_signals (1); health_info_sig_faulty <= faulty_signals (0); -- Total: 8 bits -- We only use the shift register with serial in for : -- (1) feeding the values of address width -- (the address where the single stuck-at fault should be injected) -- (2) feeding the values of the type of fault (stuck-at-1 (SA1) or stuck-at-0 (SA0) or no fault) SR: shift_register_serial_in generic map(REG_WIDTH => 8) port map ( TCK=> TCK, reset=>reset, SE=> SE, UE=> UE, SI=> SI, SO=> SO, data_out_parallel=> FI_add_sta ); ------------------------------------- ------------------------------------- -- FIFO control part with packet drop and fault classifier support checkers instantiation FIFO_control_part_checkers: FIFO_credit_based_control_part_checkers port map ( valid_in => valid_in, read_en_N => read_en_N, read_en_E => read_en_E, read_en_W => read_en_W, read_en_S => read_en_S, read_en_L => read_en_L, read_pointer => read_pointer_faulty, read_pointer_in => read_pointer_in_faulty, write_pointer => write_pointer_faulty, write_pointer_in => write_pointer_in_faulty, credit_out => credit_in_faulty, -- correct ?! (credit_in in FIFO is actually the previous value of credit_out, going to the input of a register) empty_out => empty_faulty, full_out => full_faulty, read_en_out => read_en_faulty, write_en_out => write_en_faulty, fake_credit => fake_credit_faulty, fake_credit_counter => fake_credit_counter_faulty, fake_credit_counter_in => fake_credit_counter_in_faulty, state_out => state_out_faulty, state_in => state_in_faulty, fault_info => fault_info_sig_faulty, -- connected to signal fault_info_out => fault_info_out_faulty, fault_info_in => fault_info_in_faulty, health_info => health_info_sig_faulty, -- connected to signal faulty_packet_out => faulty_packet_out_faulty, faulty_packet_in => faulty_packet_in_faulty, flit_type => RX(DATA_WIDTH-1 downto DATA_WIDTH-3), -- Behrad: Not sure about this yet ?! fault_out => fault_out_faulty, write_fake_flit => write_fake_flit_faulty, -- Functional checkers err_empty_full => err_empty_full, err_empty_read_en => err_empty_read_en, err_full_write_en => err_full_write_en, err_state_in_onehot => err_state_in_onehot, err_read_pointer_in_onehot => err_read_pointer_in_onehot, err_write_pointer_in_onehot => err_write_pointer_in_onehot, -- Structural checkers err_write_en_write_pointer => err_write_en_write_pointer, err_not_write_en_write_pointer => err_not_write_en_write_pointer, err_read_pointer_write_pointer_not_empty => err_read_pointer_write_pointer_not_empty, err_read_pointer_write_pointer_empty => err_read_pointer_write_pointer_empty, err_read_pointer_write_pointer_not_full => err_read_pointer_write_pointer_not_full, err_read_pointer_write_pointer_full => err_read_pointer_write_pointer_full, err_read_pointer_increment => err_read_pointer_increment, err_read_pointer_not_increment => err_read_pointer_not_increment, err_write_en => err_write_en, err_not_write_en => err_not_write_en, err_not_write_en1 => err_not_write_en1, err_not_write_en2 => err_not_write_en2, err_read_en_mismatch => err_read_en_mismatch, err_read_en_mismatch1 => err_read_en_mismatch1, -- Newly added checkers for FIFO with packet drop and fault classifier support! err_fake_credit_read_en_fake_credit_counter_in_increment => err_fake_credit_read_en_fake_credit_counter_in_increment, err_not_fake_credit_not_read_en_fake_credit_counter_not_zero_fake_credit_counter_in_decrement => err_not_fake_credit_not_read_en_fake_credit_counter_not_zero_fake_credit_counter_in_decrement, err_not_fake_credit_read_en_fake_credit_counter_in_not_change => err_not_fake_credit_read_en_fake_credit_counter_in_not_change, err_fake_credit_not_read_en_fake_credit_counter_in_not_change => err_fake_credit_not_read_en_fake_credit_counter_in_not_change, err_not_fake_credit_not_read_en_fake_credit_counter_zero_fake_credit_counter_in_not_change => err_not_fake_credit_not_read_en_fake_credit_counter_zero_fake_credit_counter_in_not_change, err_fake_credit_read_en_credit_out => err_fake_credit_read_en_credit_out, err_not_fake_credit_not_read_en_fake_credit_counter_not_zero_credit_out => err_not_fake_credit_not_read_en_fake_credit_counter_not_zero_credit_out, err_not_fake_credit_not_read_en_fake_credit_counter_zero_not_credit_out => err_not_fake_credit_not_read_en_fake_credit_counter_zero_not_credit_out, -- Checkers for Packet Dropping FSM of FIFO err_state_out_Idle_not_fault_out_valid_in_state_in_Header_flit => err_state_out_Idle_not_fault_out_valid_in_state_in_Header_flit, err_state_out_Idle_not_fault_out_valid_in_state_in_not_change => err_state_out_Idle_not_fault_out_valid_in_state_in_not_change, err_state_out_Idle_not_fault_out_not_fake_credit => err_state_out_Idle_not_fault_out_not_fake_credit, err_state_out_Idle_not_fault_out_not_fault_info_in => err_state_out_Idle_not_fault_out_not_fault_info_in, err_state_out_Idle_not_fault_out_faulty_packet_in_faulty_packet_out_equal => err_state_out_Idle_not_fault_out_faulty_packet_in_faulty_packet_out_equal, err_state_out_Idle_fault_out_fake_credit => err_state_out_Idle_fault_out_fake_credit, err_state_out_Idle_fault_out_state_in_Packet_drop => err_state_out_Idle_fault_out_state_in_Packet_drop, err_state_out_Idle_fault_out_fault_info_in => err_state_out_Idle_fault_out_fault_info_in, err_state_out_Idle_fault_out_faulty_packet_in => err_state_out_Idle_fault_out_faulty_packet_in, err_state_out_Idle_not_health_info => err_state_out_Idle_not_health_info, err_state_out_Idle_not_write_fake_flit => err_state_out_Idle_not_write_fake_flit, err_state_out_Header_flit_valid_in_not_fault_out_flit_type_Body_state_in_Body_flit => err_state_out_Header_flit_valid_in_not_fault_out_flit_type_Body_state_in_Body_flit, err_state_out_Header_flit_valid_in_not_fault_out_flit_type_Tail_state_in_Tail_flit => err_state_out_Header_flit_valid_in_not_fault_out_flit_type_Tail_state_in_Tail_flit, err_state_out_Header_flit_valid_in_not_fault_out_not_write_fake_flit => err_state_out_Header_flit_valid_in_not_fault_out_not_write_fake_flit, err_state_out_Header_flit_valid_in_not_fault_out_not_fault_info_in => err_state_out_Header_flit_valid_in_not_fault_out_not_fault_info_in, err_state_out_Header_flit_valid_in_not_fault_out_faulty_packet_in_faulty_packet_out_not_change => err_state_out_Header_flit_valid_in_not_fault_out_faulty_packet_in_faulty_packet_out_not_change, err_state_out_Header_flit_valid_in_fault_out_write_fake_flit => err_state_out_Header_flit_valid_in_fault_out_write_fake_flit, err_state_out_Header_flit_valid_in_fault_out_state_in_Packet_drop => err_state_out_Header_flit_valid_in_fault_out_state_in_Packet_drop, err_state_out_Header_flit_valid_in_fault_out_fault_info_in => err_state_out_Header_flit_valid_in_fault_out_fault_info_in, err_state_out_Header_flit_valid_in_fault_out_faulty_packet_in => err_state_out_Header_flit_valid_in_fault_out_faulty_packet_in, err_state_out_Header_flit_not_valid_in_state_in_state_out_not_change => err_state_out_Header_flit_not_valid_in_state_in_state_out_not_change, err_state_out_Header_flit_not_valid_in_faulty_packet_in_faulty_packet_out_not_change => err_state_out_Header_flit_not_valid_in_faulty_packet_in_faulty_packet_out_not_change, err_state_out_Header_flit_not_valid_in_not_fault_info_in => err_state_out_Header_flit_not_valid_in_not_fault_info_in, err_state_out_Header_flit_not_valid_in_not_write_fake_flit => err_state_out_Header_flit_not_valid_in_not_write_fake_flit, err_state_out_Header_flit_or_Body_flit_not_fake_credit => err_state_out_Header_flit_or_Body_flit_not_fake_credit, err_state_out_Body_flit_valid_in_not_fault_out_state_in_state_out_not_change => err_state_out_Body_flit_valid_in_not_fault_out_state_in_state_out_not_change, err_state_out_Body_flit_valid_in_not_fault_out_state_in_Tail_flit => err_state_out_Body_flit_valid_in_not_fault_out_state_in_Tail_flit, err_state_out_Body_flit_valid_in_not_fault_out_health_info => err_state_out_Body_flit_valid_in_not_fault_out_health_info, err_state_out_Body_flit_valid_in_not_fault_out_not_write_fake_flit => err_state_out_Body_flit_valid_in_not_fault_out_not_write_fake_flit, err_state_out_Body_flit_valid_in_not_fault_out_fault_info_in => err_state_out_Body_flit_valid_in_not_fault_out_fault_info_in, err_state_out_Body_flit_valid_in_not_fault_out_faulty_packet_in_faulty_packet_out_not_change => err_state_out_Body_flit_valid_in_not_fault_out_faulty_packet_in_faulty_packet_out_not_change, err_state_out_Body_flit_valid_in_fault_out_write_fake_flit => err_state_out_Body_flit_valid_in_fault_out_write_fake_flit, err_state_out_Body_flit_valid_in_fault_out_state_in_Packet_drop => err_state_out_Body_flit_valid_in_fault_out_state_in_Packet_drop, err_state_out_Body_flit_valid_in_fault_out_fault_info_in => err_state_out_Body_flit_valid_in_fault_out_fault_info_in, err_state_out_Body_flit_valid_in_fault_out_faulty_packet_in => err_state_out_Body_flit_valid_in_fault_out_faulty_packet_in, err_state_out_Body_flit_not_valid_in_state_in_state_out_not_change => err_state_out_Body_flit_not_valid_in_state_in_state_out_not_change, err_state_out_Body_flit_not_valid_in_faulty_packet_in_faulty_packet_out_not_change => err_state_out_Body_flit_not_valid_in_faulty_packet_in_faulty_packet_out_not_change, err_state_out_Body_flit_not_valid_in_not_fault_info_in => err_state_out_Body_flit_not_valid_in_not_fault_info_in, err_state_out_Body_flit_valid_in_not_fault_out_flit_type_not_tail_not_health_info => err_state_out_Body_flit_valid_in_not_fault_out_flit_type_not_tail_not_health_info, err_state_out_Body_flit_valid_in_fault_out_not_health_info => err_state_out_Body_flit_valid_in_fault_out_not_health_info, err_state_out_Body_flit_valid_in_not_health_info => err_state_out_Body_flit_valid_in_not_health_info, err_state_out_Body_flit_not_fake_credit => err_state_out_Body_flit_not_fake_credit, err_state_out_Body_flit_not_valid_in_not_write_fake_flit => err_state_out_Body_flit_not_valid_in_not_write_fake_flit, err_state_out_Tail_flit_valid_in_not_fault_out_flit_type_Header_state_in_Header_flit => err_state_out_Tail_flit_valid_in_not_fault_out_flit_type_Header_state_in_Header_flit, err_state_out_Tail_flit_valid_in_not_fault_out_not_fake_credit => err_state_out_Tail_flit_valid_in_not_fault_out_not_fake_credit, err_state_out_Tail_flit_valid_in_not_fault_out_not_fault_info_in => err_state_out_Tail_flit_valid_in_not_fault_out_not_fault_info_in, err_state_out_Tail_flit_valid_in_not_fault_out_faulty_packet_in_faulty_packet_out_not_change => err_state_out_Tail_flit_valid_in_not_fault_out_faulty_packet_in_faulty_packet_out_not_change, err_state_out_Tail_flit_valid_in_fault_out_fake_credit => err_state_out_Tail_flit_valid_in_fault_out_fake_credit, err_state_out_Tail_flit_valid_in_fault_out_state_in_Packet_drop => err_state_out_Tail_flit_valid_in_fault_out_state_in_Packet_drop, err_state_out_Tail_flit_valid_in_fault_out_fault_info_in => err_state_out_Tail_flit_valid_in_fault_out_fault_info_in, err_state_out_Tail_flit_valid_in_fault_out_faulty_packet_in => err_state_out_Tail_flit_valid_in_fault_out_faulty_packet_in, err_state_out_Tail_flit_not_valid_in_state_in_Idle => err_state_out_Tail_flit_not_valid_in_state_in_Idle, err_state_out_Tail_flit_not_valid_in_faulty_packet_in_faulty_packet_in_not_change => err_state_out_Tail_flit_not_valid_in_faulty_packet_in_faulty_packet_in_not_change, err_state_out_Tail_flit_not_valid_in_not_fault_info_in => err_state_out_Tail_flit_not_valid_in_not_fault_info_in, err_state_out_Tail_flit_not_valid_in_not_fake_credit => err_state_out_Tail_flit_not_valid_in_not_fake_credit, err_state_out_Tail_flit_not_write_fake_flit => err_state_out_Tail_flit_not_write_fake_flit, err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Header_not_fault_out_not_fake_credit => err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Header_not_fault_out_not_fake_credit, err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Header_not_fault_out_not_faulty_packet_in => err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Header_not_fault_out_not_faulty_packet_in, err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Header_not_fault_out_state_in_Header_flit => err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Header_not_fault_out_state_in_Header_flit, err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Header_not_fault_out_write_fake_flit => err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Header_not_fault_out_write_fake_flit, err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Tail_not_fault_out_not_faulty_packet_in => err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Tail_not_fault_out_not_faulty_packet_in, err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Tail_not_fault_out_not_state_in_Idle => err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Tail_not_fault_out_not_state_in_Idle, err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Tail_not_fault_out_fake_credit => err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Tail_not_fault_out_fake_credit, err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_invalid_fault_out_fake_credit => err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_invalid_fault_out_fake_credit, err_state_out_Packet_drop_faulty_packet_out_not_valid_in_flit_type_body_or_invalid_fault_out_faulty_packet_in_faulty_packet_out_not_change => err_state_out_Packet_drop_faulty_packet_out_not_valid_in_flit_type_body_or_invalid_fault_out_faulty_packet_in_faulty_packet_out_not_change, err_state_out_Packet_drop_faulty_packet_out_flit_type_invalid_fault_out_state_in_state_out_not_change => err_state_out_Packet_drop_faulty_packet_out_flit_type_invalid_fault_out_state_in_state_out_not_change, err_state_out_Packet_drop_faulty_packet_out_not_valid_in_faulty_packet_in_faulty_packet_out_equal => err_state_out_Packet_drop_faulty_packet_out_not_valid_in_faulty_packet_in_faulty_packet_out_equal, err_state_out_Packet_drop_faulty_packet_out_not_valid_in_state_in_state_out_not_change => err_state_out_Packet_drop_faulty_packet_out_not_valid_in_state_in_state_out_not_change, err_state_out_Packet_drop_faulty_packet_out_not_valid_in_not_write_fake_flit => err_state_out_Packet_drop_faulty_packet_out_not_valid_in_not_write_fake_flit, err_state_out_Packet_drop_faulty_packet_out_not_valid_in_not_fake_credit => err_state_out_Packet_drop_faulty_packet_out_not_valid_in_not_fake_credit, err_state_out_Packet_drop_not_faulty_packet_out_state_in_state_out_not_change => err_state_out_Packet_drop_not_faulty_packet_out_state_in_state_out_not_change, err_state_out_Packet_drop_not_faulty_packet_out_faulty_packet_in_faulty_packet_out_not_change => err_state_out_Packet_drop_not_faulty_packet_out_faulty_packet_in_faulty_packet_out_not_change, err_state_out_Packet_drop_not_faulty_packet_out_not_fake_credit => err_state_out_Packet_drop_not_faulty_packet_out_not_fake_credit, err_state_out_Packet_drop_faulty_packet_out_not_valid_in_or_flit_type_not_header_or_fault_out_not_write_fake_flit => err_state_out_Packet_drop_faulty_packet_out_not_valid_in_or_flit_type_not_header_or_fault_out_not_write_fake_flit, err_state_out_Packet_drop_not_faulty_packet_out_not_write_fake_flit => err_state_out_Packet_drop_not_faulty_packet_out_not_write_fake_flit, err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Header_fault_out_state_in_state_out_not_change => err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Header_fault_out_state_in_state_out_not_change, err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Tail_fault_out_state_in_state_out_not_change => err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Tail_fault_out_state_in_state_out_not_change, err_fault_info_fault_info_out_equal => err_fault_info_fault_info_out_equal, err_state_out_Packet_drop_not_valid_in_state_in_state_out_equal => err_state_out_Packet_drop_not_valid_in_state_in_state_out_equal, err_state_out_Tail_flit_valid_in_not_fault_out_flit_type_not_Header_state_in_state_out_equal => err_state_out_Tail_flit_valid_in_not_fault_out_flit_type_not_Header_state_in_state_out_equal, err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Header_not_fault_info_in => err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Header_not_fault_info_in, err_state_out_Packet_drop_faulty_packet_out_not_valid_in_or_flit_type_not_Header_not_not_fault_info_in => err_state_out_Packet_drop_faulty_packet_out_not_valid_in_or_flit_type_not_Header_not_not_fault_info_in ); -- Becuase of checkers we did this fault_info <= fault_info_sig; -- Not sure yet ?! health_info <= health_info_sig; -- Not sure yet ?! -- Sequential part process (clk, reset)begin if reset = '0' then read_pointer <= "0001"; write_pointer <= "0001"; FIFO_MEM_1 <= (others=>'0'); FIFO_MEM_2 <= (others=>'0'); FIFO_MEM_3 <= (others=>'0'); FIFO_MEM_4 <= (others=>'0'); fake_credit_counter <= (others=>'0'); faulty_packet_out <= '0'; credit_out <= '0'; state_out <= Idle; fault_info_out <= '0'; elsif clk'event and clk = '1' then write_pointer <= write_pointer_in; read_pointer <= read_pointer_in; state_out <= state_in; faulty_packet_out <= faulty_packet_in; credit_out <= credit_in; fake_credit_counter <= fake_credit_counter_in; if write_en = '1' then --write into the memory FIFO_MEM_1 <= FIFO_MEM_1_in; FIFO_MEM_2 <= FIFO_MEM_2_in; FIFO_MEM_3 <= FIFO_MEM_3_in; FIFO_MEM_4 <= FIFO_MEM_4_in; end if; fault_info_out <= fault_info_in; end if; end process; -- Anything below here is pure combinational -- combinatorial part fault_info_sig <= fault_info_out; process(fake_credit, read_en, fake_credit_counter) begin fake_credit_counter_in <= fake_credit_counter; credit_in <= '0'; if fake_credit = '1' and read_en = '1' then fake_credit_counter_in <= fake_credit_counter + 1 ; end if; if fake_credit = '1' or read_en ='1' then credit_in <= '1'; end if; if fake_credit = '0' and read_en = '0' and fake_credit_counter > 0 then fake_credit_counter_in <= fake_credit_counter - 1 ; credit_in <= '1'; end if; end process; process(valid_in, RX) begin xor_all <= '0'; if valid_in = '1' then xor_all <= XOR_REDUCE(RX(DATA_WIDTH-1 downto 1)); end if; end process; process(valid_in, RX, xor_all)begin fault_out <= '0'; if valid_in = '1' and xor_all /= RX(0) then fault_out <= '1'; end if; end process; process(RX, faulty_packet_out, fault_out, write_pointer, FIFO_MEM_1, FIFO_MEM_2, FIFO_MEM_3, FIFO_MEM_4, state_out, valid_in) begin -- this is the default value of the memory! case( write_pointer ) is when "0001" => FIFO_MEM_1_in <= RX; FIFO_MEM_2_in <= FIFO_MEM_2; FIFO_MEM_3_in <= FIFO_MEM_3; FIFO_MEM_4_in <= FIFO_MEM_4; when "0010" => FIFO_MEM_1_in <= FIFO_MEM_1; FIFO_MEM_2_in <= RX; FIFO_MEM_3_in <= FIFO_MEM_3; FIFO_MEM_4_in <= FIFO_MEM_4; when "0100" => FIFO_MEM_1_in <= FIFO_MEM_1; FIFO_MEM_2_in <= FIFO_MEM_2; FIFO_MEM_3_in <= RX; FIFO_MEM_4_in <= FIFO_MEM_4; when others => FIFO_MEM_1_in <= FIFO_MEM_1; FIFO_MEM_2_in <= FIFO_MEM_2; FIFO_MEM_3_in <= FIFO_MEM_3; FIFO_MEM_4_in <= RX; end case ; --some defaults fault_info_in <= '0'; health_info_sig <= '0'; fake_credit <= '0'; state_in <= state_out; faulty_packet_in <= faulty_packet_out; write_fake_flit <= '0'; case(state_out) is when Idle => if fault_out = '0' then if valid_in = '1' then state_in <= Header_flit; else state_in <= state_out; end if; else fake_credit <= '1'; FIFO_MEM_1_in <= FIFO_MEM_1; FIFO_MEM_2_in <= FIFO_MEM_2; FIFO_MEM_3_in <= FIFO_MEM_3; FIFO_MEM_4_in <= FIFO_MEM_4; state_in <= Packet_drop; fault_info_in <= '1'; faulty_packet_in <= '1'; end if; when Header_flit => if valid_in = '1' then if fault_out = '0' then if RX(DATA_WIDTH-1 downto DATA_WIDTH-3) = "010" then state_in <= Body_flit; elsif RX(DATA_WIDTH-1 downto DATA_WIDTH-3) = "100" then state_in <= Tail_flit; else -- we should not be here! state_in <= state_out; end if; else -- fault_out = '1' write_fake_flit <= '1'; case( write_pointer ) is when "0001" => FIFO_MEM_1_in <= fake_tail; FIFO_MEM_2_in <= FIFO_MEM_2; FIFO_MEM_3_in <= FIFO_MEM_3; FIFO_MEM_4_in <= FIFO_MEM_4; when "0010" => FIFO_MEM_1_in <= FIFO_MEM_1; FIFO_MEM_2_in <= fake_tail; FIFO_MEM_3_in <= FIFO_MEM_3; FIFO_MEM_4_in <= FIFO_MEM_4; when "0100" => FIFO_MEM_1_in <= FIFO_MEM_1; FIFO_MEM_2_in <= FIFO_MEM_2; FIFO_MEM_3_in <= fake_tail; FIFO_MEM_4_in <= FIFO_MEM_4; when others => FIFO_MEM_1_in <= FIFO_MEM_1; FIFO_MEM_2_in <= FIFO_MEM_2; FIFO_MEM_3_in <= FIFO_MEM_3; FIFO_MEM_4_in <= fake_tail; end case ; state_in <= Packet_drop; fault_info_in <= '1'; faulty_packet_in <= '1'; end if; else state_in <= state_out; end if; when Body_flit => if valid_in = '1' then if fault_out = '0' then if RX(DATA_WIDTH-1 downto DATA_WIDTH-3) = "010" then state_in <= state_out; elsif RX(DATA_WIDTH-1 downto DATA_WIDTH-3) = "100" then state_in <= Tail_flit; health_info_sig <= '1'; else -- we should not be here! state_in <= state_out; end if; else -- fault_out = '1' write_fake_flit <= '1'; case( write_pointer ) is when "0001" => FIFO_MEM_1_in <= fake_tail; FIFO_MEM_2_in <= FIFO_MEM_2; FIFO_MEM_3_in <= FIFO_MEM_3; FIFO_MEM_4_in <= FIFO_MEM_4; when "0010" => FIFO_MEM_1_in <= FIFO_MEM_1; FIFO_MEM_2_in <= fake_tail; FIFO_MEM_3_in <= FIFO_MEM_3; FIFO_MEM_4_in <= FIFO_MEM_4; when "0100" => FIFO_MEM_1_in <= FIFO_MEM_1; FIFO_MEM_2_in <= FIFO_MEM_2; FIFO_MEM_3_in <= fake_tail; FIFO_MEM_4_in <= FIFO_MEM_4; when others => FIFO_MEM_1_in <= FIFO_MEM_1; FIFO_MEM_2_in <= FIFO_MEM_2; FIFO_MEM_3_in <= FIFO_MEM_3; FIFO_MEM_4_in <= fake_tail; end case ; state_in <= Packet_drop; fault_info_in <= '1'; faulty_packet_in <= '1'; end if; else state_in <= state_out; end if; when Tail_flit => if valid_in = '1' then if fault_out = '0' then if RX(DATA_WIDTH-1 downto DATA_WIDTH-3) = "001" then state_in <= Header_flit; else state_in <= state_out; end if; else -- fault_out = '1' fake_credit <= '1'; FIFO_MEM_1_in <= FIFO_MEM_1; FIFO_MEM_2_in <= FIFO_MEM_2; FIFO_MEM_3_in <= FIFO_MEM_3; FIFO_MEM_4_in <= FIFO_MEM_4; state_in <= Packet_drop; fault_info_in <= '1'; faulty_packet_in <= '1'; end if; else state_in <= Idle; end if; when Packet_drop => state_in <= state_out; if faulty_packet_out = '1' then report "FIFO dropping packet at" & time'image(now) &"!" severity note; if valid_in = '1' and RX(DATA_WIDTH-1 downto DATA_WIDTH-3) = "001" and fault_out = '0' then faulty_packet_in <= '0'; state_in <= Header_flit; write_fake_flit <= '1'; case( write_pointer ) is when "0001" => FIFO_MEM_1_in <= RX; FIFO_MEM_2_in <= FIFO_MEM_2; FIFO_MEM_3_in <= FIFO_MEM_3; FIFO_MEM_4_in <= FIFO_MEM_4; when "0010" => FIFO_MEM_1_in <= FIFO_MEM_1; FIFO_MEM_2_in <= RX; FIFO_MEM_3_in <= FIFO_MEM_3; FIFO_MEM_4_in <= FIFO_MEM_4; when "0100" => FIFO_MEM_1_in <= FIFO_MEM_1; FIFO_MEM_2_in <= FIFO_MEM_2; FIFO_MEM_3_in <= RX; FIFO_MEM_4_in <= FIFO_MEM_4; when others => FIFO_MEM_1_in <= FIFO_MEM_1; FIFO_MEM_2_in <= FIFO_MEM_2; FIFO_MEM_3_in <= FIFO_MEM_3; FIFO_MEM_4_in <= RX; end case ; elsif valid_in = '1' and RX(DATA_WIDTH-1 downto DATA_WIDTH-3) = "100" and fault_out = '0' then FIFO_MEM_1_in <= FIFO_MEM_1; FIFO_MEM_2_in <= FIFO_MEM_2; FIFO_MEM_3_in <= FIFO_MEM_3; FIFO_MEM_4_in <= FIFO_MEM_4; faulty_packet_in <= '0'; state_in <= Idle; fake_credit <= '1'; else -- fault_out might have been '1' if valid_in = '1' and RX(DATA_WIDTH-1 downto DATA_WIDTH-3) = "001" then fault_info_in <= '1'; end if; if valid_in = '1' then fake_credit <= '1'; end if; FIFO_MEM_1_in <= FIFO_MEM_1; FIFO_MEM_2_in <= FIFO_MEM_2; FIFO_MEM_3_in <= FIFO_MEM_3; FIFO_MEM_4_in <= FIFO_MEM_4; state_in <= state_out; end if; end if; when others => state_in <= state_out; end case; end process; process(read_pointer, FIFO_MEM_1, FIFO_MEM_2, FIFO_MEM_3, FIFO_MEM_4)begin case( read_pointer ) is when "0001" => Data_out <= FIFO_MEM_1; when "0010" => Data_out <= FIFO_MEM_2; when "0100" => Data_out <= FIFO_MEM_3; when others => Data_out <= FIFO_MEM_4; end case ; end process; read_en <= (read_en_N or read_en_E or read_en_W or read_en_S or read_en_L) and not empty; empty_out <= empty; process(write_en, write_pointer)begin write_pointer_in <= write_pointer; if write_en = '1' then write_pointer_in <= write_pointer(2 downto 0)&write_pointer(3); end if; end process; process(read_en, empty, read_pointer)begin read_pointer_in <= read_pointer; if (read_en = '1' and empty = '0') then read_pointer_in <= read_pointer(2 downto 0)&read_pointer(3); end if; end process; process(full, valid_in, write_fake_flit, faulty_packet_out, fault_out) begin write_en <= '0'; if valid_in = '1' and ((faulty_packet_out = '0' and fault_out = '0') or write_fake_flit = '1') and full ='0' then write_en <= '1'; end if; end process; process(write_pointer, read_pointer) begin empty <= '0'; full <= '0'; if read_pointer = write_pointer then empty <= '1'; end if; -- if write_pointer = read_pointer>>1 then if write_pointer = read_pointer(0)&read_pointer(3 downto 1) then full <= '1'; end if; end process; end;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc795.vhd,v 1.2 2001-10-26 16:30:27 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c01s01b03x00p03n01i00795ent IS begin generic ( constant const : boolean ); END c01s01b03x00p03n01i00795ent; ARCHITECTURE c01s01b03x00p03n01i00795arch OF c01s01b03x00p03n01i00795ent IS BEGIN TESTING: PROCESS BEGIN assert FALSE report "***FAILED TEST: c01s01b03x00p03n01i00795 - Generic clause is not permitted as an entity statement." severity ERROR; wait; END PROCESS TESTING; END c01s01b03x00p03n01i00795arch;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc795.vhd,v 1.2 2001-10-26 16:30:27 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c01s01b03x00p03n01i00795ent IS begin generic ( constant const : boolean ); END c01s01b03x00p03n01i00795ent; ARCHITECTURE c01s01b03x00p03n01i00795arch OF c01s01b03x00p03n01i00795ent IS BEGIN TESTING: PROCESS BEGIN assert FALSE report "***FAILED TEST: c01s01b03x00p03n01i00795 - Generic clause is not permitted as an entity statement." severity ERROR; wait; END PROCESS TESTING; END c01s01b03x00p03n01i00795arch;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc795.vhd,v 1.2 2001-10-26 16:30:27 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c01s01b03x00p03n01i00795ent IS begin generic ( constant const : boolean ); END c01s01b03x00p03n01i00795ent; ARCHITECTURE c01s01b03x00p03n01i00795arch OF c01s01b03x00p03n01i00795ent IS BEGIN TESTING: PROCESS BEGIN assert FALSE report "***FAILED TEST: c01s01b03x00p03n01i00795 - Generic clause is not permitted as an entity statement." severity ERROR; wait; END PROCESS TESTING; END c01s01b03x00p03n01i00795arch;
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block L1Wq/U/4Q70BPLc4FliSYXUP8ed4BtWz3GvmgWB8UWIi1XL03VHoQ0onY2nStMBKN3oi+wfJOiil MjHCSqbAug== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block MzdIDN3x4Bw1oo4Ss3mQa5SMaFmAjCw5RoZq98kMkAyEiVKgpPFbJb4+AOLa9m5Y59a1lVHujkAZ VvnwZOHD2YN6si05NZJaH8cE/m9DwaR3DM8zNULuczEl6ZKfFhMQ14sofrgfiARaJEHEUwa7du2X E4LT9GH5WfduXyFc8Rs= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block YGKqMofE48l94472qRBFIeUGw7tlfkQDcScTeHruqu7X1wO8iAUPoXoD7l5O4Ai8g2sFza0R2Ssg vQI+1YbfZjtF8ep+3xrkC/Cip5vKiczPsLgKG7h6ougF7loutaukUxxzYBvtisPT3epBZRgvdLD0 ZR2MGrnXVywdI/nkkn1NLG7iPGdq9mKWzuObnCbPm7r58VTj0eq3Jp/AN/o1yeFoga1MkpGuegJ9 Qg3s1FEmvXxM6x9CMcdf357r9jayfyEG/jzIB94i3T9u3rYwtSLwkZW2fHFRCZbGd6i5UeG+OmKM C1ekW4u0ALf7b3Ji1ne4Igsjbhs/pJ9pV2H4aw== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block uyw/DOQynJJgspxp1adIPmqeCtlJpmwwPxky55WpZiKMOqIAc0SHyPsDc5DddouGvUqpajruWlZY y4aLivco0zT240shdXoBrBlOdZ6DR2jb+navgiLw5LbkVyGUdNnjQsqlhktdL2G8SxofFpz5+d6B nn1KsP+d6mOICOlOOfU= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block dnEllojgoasTqyaN81Omqc9E5/KyrQFjPt5x++4+3OmtPe/B0XHAy8oeUzF5IpHM/dS56PGx4bvc zJy+87s6gi1Lx33e5GTHzS1loDTIQNoyNWYXhMGFJswTmW/DcLj0LDuCWNTXa/UN5onMrfVqRuSl NXtFH0z1K474QdkvTeGW1Rs94CnOJ72grn/AXCzYkt6pGQZyqtfQhmjv6oRcEVkNxptSu3OO/lJK e+NBu4mK08YAro4RYIJGi8jPZra5KI/3XleMpJx3taFcs1iSZHtv9FhfhZdZbRoVnqcU+r/sxo5c F6g0QE25z0Ao7XFPKBOkQ0/lrlzOiffiJ0RA7A== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 5488) `protect data_block N+7x2u+MR6CQ6bjowMYHk8sSK9S2Ks7g2vVsBQj5Yi7+zhMoJwX4dvoDLT8lYXzHfMGVAYd/ADKz 6PA8/1W0EZ+DmbHPsdi2Dh1KlaWxYqBErw0glMdy4/4+ccIbVvBD/JgNoTSudwJrcCa3F/7z9/5Z e+42O0ooRxLDlk+VdYBXJnkhG2zt0xaJH79MKcxeYjnjiYoYC34eyCl8q2sEbbQoBQ3PET8S2gX4 pTU9xqL8iH3hBveDikrG+gam5svrd3oRFNtd5YtwGXIRpnez8/UJzJB36RK5CaK+ahBdOqAaCD3U iF3aG0uCM/MBnliTBitUFdQQNR1WoUmMOFr5N6zoYi++ieGkCJ3ssHOozMIz94dPRpQ+8R3t0ZMb t2oBCBrbEEGP0ionS8el3J8fXwM4C+ZG63z2dNsIL86WIVcL3dVTsxO2zCI1WkwRopOD2V7cx44Q S0TcKm2k3l2m5TzOk5aMvdjQg54zP6WDpClD/YfLBYz2vTUhHA1lNOceRcKAD/gdPmaxR8FRr+rz 9P7aCJB2uaMnMtSdKn3KuhMGK747KXcc5BSzCbnRov+61GwKvgZfZ9LLIYPpr+lRlB1Axc1Sj2T4 HjjazGe+aYg9Z+vwhAAY/IWusZ8VQvvbGiy5hckkbNgQTiVe1W7rxyREKKG/JaTIx2zAEEMEv+Q8 wf90z1vAgZ+iryV1uUniC0HbggEKYwL5iKRhQo5UUbNhHDZeUI5yB+dPFUSRbhV72qX3RQKsIv/P KZEd5219oQ7KztfnGnPPXNgQ3JxeZgBhMkdk6Wl7tStMhdstgAaq6SaSRB4/lJ7UrBy/JqBvE1le ugbpqTlBQHstiQeDDP2bulDUu1ia+sPZMCFUOu2N3n5bsO88k29h/NNc1la7NWtofhQKtE0Kp4P0 ElYCoWVjcvQSD8XtjqZoyYR4fbzg7oKCTYmkGsPMHW2mVB1Uwe8khCA8VIXRyu1QbrBajAdGFzqI +ZFqlhOFacDKQrJ/uAfpWR/dyijH1BHNmZs1kewolIQugPTyjpSqffd1gT5kBPVuq1LInhBUjTkV K001GOebO7bMds0+OAALNKgNELZ6gRuSOhdt4vqbkm3W2hqZWgQV39sWeaarH2tiXvVi4E4zR0mJ MXj+MXpBd/Apn58VZaXJ6TGQJDKjO6US9RDPIL7pjLR69SKoamHzCOszRmFQJj6XrVFGaWxvp964 909wolaJWL1CzLWGKoWGIfTlJ3zpfR/bqr656DTej/K7CW3yesmGmMoQkHkqOxF3ZBY1qGFc6Sp9 Py0Qo8+8eLeLYrafLm6IL5nzUMmQHWmRA5KEiOjOv/V7BIrbTXXnJjXapTsp/wCDElTblycTSiBj +zPfpS24g6vWci0CGERGFNBtuH9TkICsTTRRGj/QJJgy4Iyl99mOzfEUeIl5JcmLgjHlLptC1ehu fKtRQaNdJUPRQUjQGdXNkuywFTscwTbJAdRdkG2Jonkv/pBbIlRz1cg/qVF4hz0ISz/Ls4dstQtI tcInH5zg5Yvu22NVwN/gTNZ0LUMtFOwmQvAIJusL8DnZ6Qv5JNl8akiEZhHwqIT4Kls1ps0wlW0B 4LCx4GTG112U9+oOQcKkRbTF3Lfh4pSPp9/WJYafDBrqvcvuir3fuDKB1WsjP11KqutxW+2JE7TB eim/yYVOYTRkC0SioBs7DZaPlXVi42K4ptzMoiEH0sQQ3cZaRISrEYwuvCi1rT0l59OkR/SHk8gk qs7rx17d5D1MybasT4Sr+OodLdEmKwjpTUk+WA8e+FOEjPqSey7z96Pdy/9T3A+VXOIxUD0eFXbG ufU4b9b8d7IHnExlcTGBH4UWUS8zxVxKO3WCaLktIo/tsHaNDR1+0OIRyXXIs+BeRJYc0Vz8RNr7 7vzyqYQSDU/IF5D/DRi5mJGwN90llQfPUzhd++8n7c32XeIEeHn/FVyfrxOdRHuPbwK/jqYApTFP 0h0TyfC/taI6LSgmp3pEz3+2Vn7pSDsDO/t2qghnU7g+BH3cA3DaDngjUzYUG7auoLRZOMHwKbup xuGyVI2VWJDxwpal8n399CxiuAQfAiHI/qKdf0rJCJuxzm0f+k7iE5t96ZqVcTVo6mMPg+IhgfUE 8v9llaBMC7q4TBVdJn0K9zzVxtW3Dm9hchDQdyky8wKlMIA5hfWKM2hhBaSjj6U2cIiChU+UPuw8 u182ZvA1qjXLNOA8j1mxLER4pO+yfwgj+dhZCZsQr1opIqieKTyogzPEIsrpJ2uK+ASaBWRddIVp Qbo2yhDWtvokrXtFmEEKF/zvFaE8bdquE1JRgDYDes3VdeFXutDuNk6lT9wiOci85VfwSHtJx2ux Xow1yYCEc9jAMTIwzpqlCj9MSK+EE8hJ6vB/Mca3HKxNbAnYQb3/RB8DEZ/0EKUN/MQia9iLbMyg piEpcQIg3iYPfzlm7mhn0L6Q2CoUCWOXnHdbc1KNr5ukTKj0dPFyvRGprQFc6R95fauDsXgDjLot 6PD2JKWl54yoeByupdzm4biycLoSMFoLtf2emY8PnosNlYMHNzmimma0UTr8aA8uQ/+Pg6owJjB+ HWalxpvZpDGNjObD/uIyxaH2IitIvR/tBBniwcP7/A/k2AbYhdbuu6kjobBkNmza4EOjew2D0dbJ Gigd9PDlIiu+n5u5KXVbXSvk4OOo1qrzyuP06kz4qsNpoblahjX4h+NjnVTBkHS/9hnqro8lxk1e b3S8vuwVt71X3F4omlj28XdLZNxFk+zIa7AUhAllpY54VqPFi6s7iDBGuUxlwg50ZFhZfh2l4sdz TCkCI8CPF35vaSkh4aZeuEROc+vurZ16T9/e1BExif/C+h3aOvljbM/Ij0gV4bfyvyyThnlknPDT P1dOqPW9c2LV4hfNM2DunGuII6khek/nMJ/V/KfvgwQSRsBDFp1wLB3ilZE+EEpxt4MSgITOlNtQ BmuO5kpXniVJ8nSYGjZ/1u5/MebGdDW0VZt2x0YboV2CTmKwybfY0gSC17CkzOlZ+ezJyB4ddjcl K7OH1JhLnH2JfEefEU4ngeijSBtrR8tDxF8ttuogsOPABK5AEGPmrbvXDP/YA09yFntoEMz/6z1I 8xfLZA6b2NtCEs+wp3FZLyWn8iuFT5ptxJb/BEWKyP2NRYZWlhcFXPaIJmn3xnkErQ6ArYqsfRuy WtbXVG1LSxL9QWaJi9CtB1GWj8DhP+/JlDPDRYuqmgLz4to9Z0NKm+pY0w7Q6OxVru4FqI64kkRT p421wNfC+56M/5Z/0cWA4i6mix/qQVYDcgb7Se4HNvBUeeqzMooafTDMgSG9kwMek60nesA2xZF5 1eEJkVo+4vU/GpSq51yOAZhAgbhfp7uosa1Qb57Jiiz4oEMOtl8ntlYP4r/WjgvkEZZjGEbbQ04X 7gnaqvDYGWV3zP/QXXSyj1oNdwl9Sh5AaZQsTLGnGfpNs3iYFky55xBH4HVY151RmGzaLEexboRr 0RqFtZVbhSrfabkSsQOGF+lYujHPMpVKokA7Vx+Gi9fDNG5ZrPf+EqJcwYWNUOmGfsaBWz46pdNB oumcLzyPQfhYiiW6hzNmjLTcx2b9Gqj1YpW8JxBttSQEeV3Gbh+1DVXJh/KbjsEbJ8RYB3wXuclN FJvoLZcFV4i/azePUX4ujNYUFay048e7xmDxvIkd2WpZabwLUgAL400IWeWi+kUxKoveMzlSpt9g N64bt/Z872BXguJuvsk5rY7MHsDmBI9iJWuuPxJCIVlpS747e/yhVmF8qOPGCr2Qq9oKSOnnpnW+ qxZXhocB82HkZ4L2zqHH/KyjXzZ5n8qKlXsWWUCpU1mZ19UOexf8PWaTIopb75+eADd8/M8/B8YI xKrfocC9L1kUHAbag37IzhwkUkL7h728rTtUBrb6/VtGQtmEivN8c6T5ic+5k39utk/ifL1SEOsq HmtwTKTmC8SRl2hbmp9kDyRt3kNBpd7OCQoaZS4JbhDb80U7wXohm9o8fGVqM4y7mRruZUfkKbja 4tZaIY/D4hYCzOT+YCHFd+YUOwnme1pyjsrGTuXHdjW+dzqmjwex6cO6M8MvwfchGl7SOfkeeLUj GVVg4//tM1x6a9Dl7Z+umYg3dC6TuQhjxju2Cdn6vplM0WEhCw/ektcq/HCODbLMcvAnqgOYcDSe FjfiKjIvU/mmZk0yf/iHB6ZowEYCLQkGsl56JPYF0lPfctKtysy1ptapbm54m8Ixwcgg1+WsorHS IOmpxQiJV8wweFq0LdgNWK/wie2lwSsmsGELdyFhzdZWXWpenAKMGj0ppRaZKSYvm8ImEurKKGx+ O3JSUC4VCYR70ZxefqwDh9cW2EDw47l0/+pa0FExyATF6w3zqwbcUknzvMObTsbaFz0EHb2B6bKL XvxdyBFOU4S1wQG7MWbUtKHgevWWxh76awshFk54mTs7oIw+WzQ3ZwXmsKW0zOc7pt1S7nfFIm7E iCbmmssl2BZjWyDg37Q76fnW4Ewm+cJfCHJqYwHF4xsAzdV9wikBltmddiMSMO6ZVhXidcVmVh9s MuTDMpIDaeQzsYSOZtMT09+9rBz/o8Ay/Y6oQ9bZpXVMOBLaA5maOOqxbLmzErvagbtiqUlW0VLp tFyEBLd0enzZVZOw3Gc9Y5hyaqdm6GXNt1sLS+1ZTfB3adXvgzL99xMD3Ng7iFatmq2r3skT4Agd KMAb/6RKKQzAeRr1x4Dy6Z9FJbtFk7M9aSYLwNFSxvo/VbQoM7duWDz6L1fmAUvK5KkxvBcST+8R jKUY/y58v0RMr7vfF0yqvWqpzuRkZKbXmj7iphbu+yew1A/RCN2kl83ReQ0tyYIxG+IELGeQy8Qv 6Mg82wXnQhAaEW+aCFz28IQkLt+tdQ4fInyZk6tx5nBgvNQsb8Kzv/LpUf5bp9eYLVVg5qe5+s6h nspNsFWC/+cq242wsNUMGzicFYBnLUiuvRBbhu5gWMdYmis5+hDNTScsFZ2NtI+bIqjORSeE3Qiq XVfx4dI5VoMuYAP6C0Tu+b86xvqkpqEziV+Qox6OBJ5GN7cnJMYGKWFhNobTxTuqbsqbEQ/lRW+H zr3gMR7xILrBEFtKbI1+i9XqgunCKZaHs0ytWxkuwf+7N3aPQy2X0nhUSdJ2slYtTwxdx8jp9HK/ 9YeBVsS+7RChNSZKfkV2ECLnWGAa6EZN0tg8JuG1aCT52GjPqLpX8VJ/lCoc5XADSyUT7McOehxx +UBX1epQ4RkQPf7YL7o0UUG2dNjNj5v+pabmuK5kT3e/MQUSBHSbFpQAE0aXWPBkOG6c0KEUPl93 Z1HNuj2D1pCSP7ck2FPdcjz9/9QWuqoepAVd5tOw+Hop7y6u6gvJF/0cmnpZgG8+ph+uiDg2RjtN LjRZcZMlOA2Ige0qrrqaczrlM2zSyIiz6q/Umvt9y1JOkC+smv0pghUGV4FRxIUDHcOWKunwCBRG 8pwQ0EBjnBen8Xe3w5qfcjwXqgY12xAfA7ywkfyJWgu3U5UVoXrUxrHDLBq4YztxIIUiaT610jiD HSTgODlYitAFQbMEg3rnUtLPLzonav5JKegtmIQ/HDacnf0BKxSdXKAvBuKbjY1z6soBMwtzcn0y tIuobqPnAHaobfNIstAopGwATU/cEgPRB7hZY5NI1qvXmY+TLEzk4tGBTja0j+g/sJicF8ZQCQjV unGhi+yf1W6qO8FXqtFd3+VhuDg6VpSGD0o38cMaNJ5UoNG+6XSBbTqxYV/6BmK+HMxZINnexL1q MLQtlKc2TqnVPiroDYFmgPYKfzj67knOC+ZL6dilumcefg6jSn1/bfGXqmuzG2mFLw9gGpgDDx6l dfKKsyR3Amezf+DbeBNNtoV4/c0NyoTG2IcSG6O+Qe2s6w/BtYgp+Axx/z7CNZCCKqIoh/g7iJ+d zJ9Pe4FgE5GylDgY57XOxx9qHrqf4Eihx/OylLkFGz0tkrh30x/ldCtXw1APiSpKob13W7CDUdpG hnZDoOm14q986JjXrq0MYD9n/Syq5MtddBTbZ/8WcC0iRdwDPzPenGD6493Ri5QQccEKZnPbYYxb srows3V7uNXjs2uYkIX63BNnX9U0oMUXkx/hJRLys41T0iQ+7jzgx7515l3LDWv5/0Ecv+jC9Xsj jzrGgRqpQ8oxW0YyqyE66NO6bSgYMrljWp9oyoJDDPUFlGY4GyAwyB4cGiVhMMtspIoV1U9/W2yS rYfI3h8xEnJ1UTVpTUZ9IpmvCoUYcVCrkym4aFBB/DR6qMc9KhfGiid1qzmyGIYRUb8fgMk60769 c5ObXEUn+pDRVo6DGP434IV19sfJaM8JBSrJVp5s7ZJGf6GhMXj9TD1O+/ZgERJdiR6IVb3sKEUc QN3FWztofOxojuuf9Mou+Qo3MMrtiKIh71G3n9m/NPIId0Iv+4dgtJYmc9dV1LuILSin6bFEQvfh 1G6jxCCOeyXRMiL3yev+0rmxVntNHDTxGhsnHyY1zjv0SKeLSwf34h4HaD3Xdvh7XJy9/DaCHeCI Qp2YU7n9OupGu1YN9cdB/699EZqu8qdmrrIGl3gnAXxfjp+KeoJzyOXduzHZ0RWoNVydeuzXAcjC 5C0M52BZLGgcpx/D2GVMF9xafD2xB59nU7U5IwjX6n4wGyDSdXAfo7lkfXLYUwjL3DSmbg/zrvDU ZoRRRM3AMXvXnEnikGAoaXqrCN/Ingodu8xJzkj8Rbu3BxL1xVuJvhDE4zDtmQbGIiiGh+tDbJ27 U7r/sWryaFU7YwkEVL6WzsuxrZFTXhLe3ois8CRlJsHs2MaK5kekIcLDhM//ChaoOHnE0/YP+34u TjoefDY6rFKSv5BMXAfR5qpPplBcyEj8rp2ajd9YpkPQbcMW/WDRtmUnMktJRNgBVb6PeJ9ubhGe ioz87jF5VVp9+7xyF2mfdeRXUkwiWLuDrlzB5VbGx+k3bQNiefN3DS8X+rshSojwTyqHjK8A2eZ/ wRpxthghzHS6tIxGZasF9BZpqAm8y9gBcexbhrTE3D4D+S7N9lKlf/HTfkUO+Ch80wdH5DFFeQGj e+98/IwBB0i62VtyDtNSMJDEth19OhoXxYwO3ytUkq78d0X+FYunZeEnCBl3WzG9ygrAJ2atWpmD tpGxaktghabrlAa6vqSxOiIZaUooErJhwYp0oy1txNxILKTiZKT2PtZiKMDsNx3GF7Gz1w679Wuj x0+SIAB0bYHdBV7RPgOaZ3yAGTtzvpE4Kjcgbi1juwwbSPI3B2GfCLHargpYAKovGs/f21/pvm3X cqbvgYicypnBEnP4HzbnZA== `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block L1Wq/U/4Q70BPLc4FliSYXUP8ed4BtWz3GvmgWB8UWIi1XL03VHoQ0onY2nStMBKN3oi+wfJOiil MjHCSqbAug== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block MzdIDN3x4Bw1oo4Ss3mQa5SMaFmAjCw5RoZq98kMkAyEiVKgpPFbJb4+AOLa9m5Y59a1lVHujkAZ VvnwZOHD2YN6si05NZJaH8cE/m9DwaR3DM8zNULuczEl6ZKfFhMQ14sofrgfiARaJEHEUwa7du2X E4LT9GH5WfduXyFc8Rs= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block YGKqMofE48l94472qRBFIeUGw7tlfkQDcScTeHruqu7X1wO8iAUPoXoD7l5O4Ai8g2sFza0R2Ssg vQI+1YbfZjtF8ep+3xrkC/Cip5vKiczPsLgKG7h6ougF7loutaukUxxzYBvtisPT3epBZRgvdLD0 ZR2MGrnXVywdI/nkkn1NLG7iPGdq9mKWzuObnCbPm7r58VTj0eq3Jp/AN/o1yeFoga1MkpGuegJ9 Qg3s1FEmvXxM6x9CMcdf357r9jayfyEG/jzIB94i3T9u3rYwtSLwkZW2fHFRCZbGd6i5UeG+OmKM C1ekW4u0ALf7b3Ji1ne4Igsjbhs/pJ9pV2H4aw== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block uyw/DOQynJJgspxp1adIPmqeCtlJpmwwPxky55WpZiKMOqIAc0SHyPsDc5DddouGvUqpajruWlZY y4aLivco0zT240shdXoBrBlOdZ6DR2jb+navgiLw5LbkVyGUdNnjQsqlhktdL2G8SxofFpz5+d6B nn1KsP+d6mOICOlOOfU= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block dnEllojgoasTqyaN81Omqc9E5/KyrQFjPt5x++4+3OmtPe/B0XHAy8oeUzF5IpHM/dS56PGx4bvc zJy+87s6gi1Lx33e5GTHzS1loDTIQNoyNWYXhMGFJswTmW/DcLj0LDuCWNTXa/UN5onMrfVqRuSl NXtFH0z1K474QdkvTeGW1Rs94CnOJ72grn/AXCzYkt6pGQZyqtfQhmjv6oRcEVkNxptSu3OO/lJK e+NBu4mK08YAro4RYIJGi8jPZra5KI/3XleMpJx3taFcs1iSZHtv9FhfhZdZbRoVnqcU+r/sxo5c F6g0QE25z0Ao7XFPKBOkQ0/lrlzOiffiJ0RA7A== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 5488) `protect data_block N+7x2u+MR6CQ6bjowMYHk8sSK9S2Ks7g2vVsBQj5Yi7+zhMoJwX4dvoDLT8lYXzHfMGVAYd/ADKz 6PA8/1W0EZ+DmbHPsdi2Dh1KlaWxYqBErw0glMdy4/4+ccIbVvBD/JgNoTSudwJrcCa3F/7z9/5Z e+42O0ooRxLDlk+VdYBXJnkhG2zt0xaJH79MKcxeYjnjiYoYC34eyCl8q2sEbbQoBQ3PET8S2gX4 pTU9xqL8iH3hBveDikrG+gam5svrd3oRFNtd5YtwGXIRpnez8/UJzJB36RK5CaK+ahBdOqAaCD3U iF3aG0uCM/MBnliTBitUFdQQNR1WoUmMOFr5N6zoYi++ieGkCJ3ssHOozMIz94dPRpQ+8R3t0ZMb t2oBCBrbEEGP0ionS8el3J8fXwM4C+ZG63z2dNsIL86WIVcL3dVTsxO2zCI1WkwRopOD2V7cx44Q S0TcKm2k3l2m5TzOk5aMvdjQg54zP6WDpClD/YfLBYz2vTUhHA1lNOceRcKAD/gdPmaxR8FRr+rz 9P7aCJB2uaMnMtSdKn3KuhMGK747KXcc5BSzCbnRov+61GwKvgZfZ9LLIYPpr+lRlB1Axc1Sj2T4 HjjazGe+aYg9Z+vwhAAY/IWusZ8VQvvbGiy5hckkbNgQTiVe1W7rxyREKKG/JaTIx2zAEEMEv+Q8 wf90z1vAgZ+iryV1uUniC0HbggEKYwL5iKRhQo5UUbNhHDZeUI5yB+dPFUSRbhV72qX3RQKsIv/P KZEd5219oQ7KztfnGnPPXNgQ3JxeZgBhMkdk6Wl7tStMhdstgAaq6SaSRB4/lJ7UrBy/JqBvE1le ugbpqTlBQHstiQeDDP2bulDUu1ia+sPZMCFUOu2N3n5bsO88k29h/NNc1la7NWtofhQKtE0Kp4P0 ElYCoWVjcvQSD8XtjqZoyYR4fbzg7oKCTYmkGsPMHW2mVB1Uwe8khCA8VIXRyu1QbrBajAdGFzqI +ZFqlhOFacDKQrJ/uAfpWR/dyijH1BHNmZs1kewolIQugPTyjpSqffd1gT5kBPVuq1LInhBUjTkV K001GOebO7bMds0+OAALNKgNELZ6gRuSOhdt4vqbkm3W2hqZWgQV39sWeaarH2tiXvVi4E4zR0mJ MXj+MXpBd/Apn58VZaXJ6TGQJDKjO6US9RDPIL7pjLR69SKoamHzCOszRmFQJj6XrVFGaWxvp964 909wolaJWL1CzLWGKoWGIfTlJ3zpfR/bqr656DTej/K7CW3yesmGmMoQkHkqOxF3ZBY1qGFc6Sp9 Py0Qo8+8eLeLYrafLm6IL5nzUMmQHWmRA5KEiOjOv/V7BIrbTXXnJjXapTsp/wCDElTblycTSiBj +zPfpS24g6vWci0CGERGFNBtuH9TkICsTTRRGj/QJJgy4Iyl99mOzfEUeIl5JcmLgjHlLptC1ehu fKtRQaNdJUPRQUjQGdXNkuywFTscwTbJAdRdkG2Jonkv/pBbIlRz1cg/qVF4hz0ISz/Ls4dstQtI tcInH5zg5Yvu22NVwN/gTNZ0LUMtFOwmQvAIJusL8DnZ6Qv5JNl8akiEZhHwqIT4Kls1ps0wlW0B 4LCx4GTG112U9+oOQcKkRbTF3Lfh4pSPp9/WJYafDBrqvcvuir3fuDKB1WsjP11KqutxW+2JE7TB eim/yYVOYTRkC0SioBs7DZaPlXVi42K4ptzMoiEH0sQQ3cZaRISrEYwuvCi1rT0l59OkR/SHk8gk qs7rx17d5D1MybasT4Sr+OodLdEmKwjpTUk+WA8e+FOEjPqSey7z96Pdy/9T3A+VXOIxUD0eFXbG ufU4b9b8d7IHnExlcTGBH4UWUS8zxVxKO3WCaLktIo/tsHaNDR1+0OIRyXXIs+BeRJYc0Vz8RNr7 7vzyqYQSDU/IF5D/DRi5mJGwN90llQfPUzhd++8n7c32XeIEeHn/FVyfrxOdRHuPbwK/jqYApTFP 0h0TyfC/taI6LSgmp3pEz3+2Vn7pSDsDO/t2qghnU7g+BH3cA3DaDngjUzYUG7auoLRZOMHwKbup xuGyVI2VWJDxwpal8n399CxiuAQfAiHI/qKdf0rJCJuxzm0f+k7iE5t96ZqVcTVo6mMPg+IhgfUE 8v9llaBMC7q4TBVdJn0K9zzVxtW3Dm9hchDQdyky8wKlMIA5hfWKM2hhBaSjj6U2cIiChU+UPuw8 u182ZvA1qjXLNOA8j1mxLER4pO+yfwgj+dhZCZsQr1opIqieKTyogzPEIsrpJ2uK+ASaBWRddIVp Qbo2yhDWtvokrXtFmEEKF/zvFaE8bdquE1JRgDYDes3VdeFXutDuNk6lT9wiOci85VfwSHtJx2ux Xow1yYCEc9jAMTIwzpqlCj9MSK+EE8hJ6vB/Mca3HKxNbAnYQb3/RB8DEZ/0EKUN/MQia9iLbMyg piEpcQIg3iYPfzlm7mhn0L6Q2CoUCWOXnHdbc1KNr5ukTKj0dPFyvRGprQFc6R95fauDsXgDjLot 6PD2JKWl54yoeByupdzm4biycLoSMFoLtf2emY8PnosNlYMHNzmimma0UTr8aA8uQ/+Pg6owJjB+ HWalxpvZpDGNjObD/uIyxaH2IitIvR/tBBniwcP7/A/k2AbYhdbuu6kjobBkNmza4EOjew2D0dbJ Gigd9PDlIiu+n5u5KXVbXSvk4OOo1qrzyuP06kz4qsNpoblahjX4h+NjnVTBkHS/9hnqro8lxk1e b3S8vuwVt71X3F4omlj28XdLZNxFk+zIa7AUhAllpY54VqPFi6s7iDBGuUxlwg50ZFhZfh2l4sdz TCkCI8CPF35vaSkh4aZeuEROc+vurZ16T9/e1BExif/C+h3aOvljbM/Ij0gV4bfyvyyThnlknPDT P1dOqPW9c2LV4hfNM2DunGuII6khek/nMJ/V/KfvgwQSRsBDFp1wLB3ilZE+EEpxt4MSgITOlNtQ BmuO5kpXniVJ8nSYGjZ/1u5/MebGdDW0VZt2x0YboV2CTmKwybfY0gSC17CkzOlZ+ezJyB4ddjcl K7OH1JhLnH2JfEefEU4ngeijSBtrR8tDxF8ttuogsOPABK5AEGPmrbvXDP/YA09yFntoEMz/6z1I 8xfLZA6b2NtCEs+wp3FZLyWn8iuFT5ptxJb/BEWKyP2NRYZWlhcFXPaIJmn3xnkErQ6ArYqsfRuy WtbXVG1LSxL9QWaJi9CtB1GWj8DhP+/JlDPDRYuqmgLz4to9Z0NKm+pY0w7Q6OxVru4FqI64kkRT p421wNfC+56M/5Z/0cWA4i6mix/qQVYDcgb7Se4HNvBUeeqzMooafTDMgSG9kwMek60nesA2xZF5 1eEJkVo+4vU/GpSq51yOAZhAgbhfp7uosa1Qb57Jiiz4oEMOtl8ntlYP4r/WjgvkEZZjGEbbQ04X 7gnaqvDYGWV3zP/QXXSyj1oNdwl9Sh5AaZQsTLGnGfpNs3iYFky55xBH4HVY151RmGzaLEexboRr 0RqFtZVbhSrfabkSsQOGF+lYujHPMpVKokA7Vx+Gi9fDNG5ZrPf+EqJcwYWNUOmGfsaBWz46pdNB oumcLzyPQfhYiiW6hzNmjLTcx2b9Gqj1YpW8JxBttSQEeV3Gbh+1DVXJh/KbjsEbJ8RYB3wXuclN FJvoLZcFV4i/azePUX4ujNYUFay048e7xmDxvIkd2WpZabwLUgAL400IWeWi+kUxKoveMzlSpt9g N64bt/Z872BXguJuvsk5rY7MHsDmBI9iJWuuPxJCIVlpS747e/yhVmF8qOPGCr2Qq9oKSOnnpnW+ qxZXhocB82HkZ4L2zqHH/KyjXzZ5n8qKlXsWWUCpU1mZ19UOexf8PWaTIopb75+eADd8/M8/B8YI xKrfocC9L1kUHAbag37IzhwkUkL7h728rTtUBrb6/VtGQtmEivN8c6T5ic+5k39utk/ifL1SEOsq HmtwTKTmC8SRl2hbmp9kDyRt3kNBpd7OCQoaZS4JbhDb80U7wXohm9o8fGVqM4y7mRruZUfkKbja 4tZaIY/D4hYCzOT+YCHFd+YUOwnme1pyjsrGTuXHdjW+dzqmjwex6cO6M8MvwfchGl7SOfkeeLUj GVVg4//tM1x6a9Dl7Z+umYg3dC6TuQhjxju2Cdn6vplM0WEhCw/ektcq/HCODbLMcvAnqgOYcDSe FjfiKjIvU/mmZk0yf/iHB6ZowEYCLQkGsl56JPYF0lPfctKtysy1ptapbm54m8Ixwcgg1+WsorHS IOmpxQiJV8wweFq0LdgNWK/wie2lwSsmsGELdyFhzdZWXWpenAKMGj0ppRaZKSYvm8ImEurKKGx+ O3JSUC4VCYR70ZxefqwDh9cW2EDw47l0/+pa0FExyATF6w3zqwbcUknzvMObTsbaFz0EHb2B6bKL XvxdyBFOU4S1wQG7MWbUtKHgevWWxh76awshFk54mTs7oIw+WzQ3ZwXmsKW0zOc7pt1S7nfFIm7E iCbmmssl2BZjWyDg37Q76fnW4Ewm+cJfCHJqYwHF4xsAzdV9wikBltmddiMSMO6ZVhXidcVmVh9s MuTDMpIDaeQzsYSOZtMT09+9rBz/o8Ay/Y6oQ9bZpXVMOBLaA5maOOqxbLmzErvagbtiqUlW0VLp tFyEBLd0enzZVZOw3Gc9Y5hyaqdm6GXNt1sLS+1ZTfB3adXvgzL99xMD3Ng7iFatmq2r3skT4Agd KMAb/6RKKQzAeRr1x4Dy6Z9FJbtFk7M9aSYLwNFSxvo/VbQoM7duWDz6L1fmAUvK5KkxvBcST+8R jKUY/y58v0RMr7vfF0yqvWqpzuRkZKbXmj7iphbu+yew1A/RCN2kl83ReQ0tyYIxG+IELGeQy8Qv 6Mg82wXnQhAaEW+aCFz28IQkLt+tdQ4fInyZk6tx5nBgvNQsb8Kzv/LpUf5bp9eYLVVg5qe5+s6h nspNsFWC/+cq242wsNUMGzicFYBnLUiuvRBbhu5gWMdYmis5+hDNTScsFZ2NtI+bIqjORSeE3Qiq XVfx4dI5VoMuYAP6C0Tu+b86xvqkpqEziV+Qox6OBJ5GN7cnJMYGKWFhNobTxTuqbsqbEQ/lRW+H zr3gMR7xILrBEFtKbI1+i9XqgunCKZaHs0ytWxkuwf+7N3aPQy2X0nhUSdJ2slYtTwxdx8jp9HK/ 9YeBVsS+7RChNSZKfkV2ECLnWGAa6EZN0tg8JuG1aCT52GjPqLpX8VJ/lCoc5XADSyUT7McOehxx +UBX1epQ4RkQPf7YL7o0UUG2dNjNj5v+pabmuK5kT3e/MQUSBHSbFpQAE0aXWPBkOG6c0KEUPl93 Z1HNuj2D1pCSP7ck2FPdcjz9/9QWuqoepAVd5tOw+Hop7y6u6gvJF/0cmnpZgG8+ph+uiDg2RjtN LjRZcZMlOA2Ige0qrrqaczrlM2zSyIiz6q/Umvt9y1JOkC+smv0pghUGV4FRxIUDHcOWKunwCBRG 8pwQ0EBjnBen8Xe3w5qfcjwXqgY12xAfA7ywkfyJWgu3U5UVoXrUxrHDLBq4YztxIIUiaT610jiD HSTgODlYitAFQbMEg3rnUtLPLzonav5JKegtmIQ/HDacnf0BKxSdXKAvBuKbjY1z6soBMwtzcn0y tIuobqPnAHaobfNIstAopGwATU/cEgPRB7hZY5NI1qvXmY+TLEzk4tGBTja0j+g/sJicF8ZQCQjV unGhi+yf1W6qO8FXqtFd3+VhuDg6VpSGD0o38cMaNJ5UoNG+6XSBbTqxYV/6BmK+HMxZINnexL1q MLQtlKc2TqnVPiroDYFmgPYKfzj67knOC+ZL6dilumcefg6jSn1/bfGXqmuzG2mFLw9gGpgDDx6l dfKKsyR3Amezf+DbeBNNtoV4/c0NyoTG2IcSG6O+Qe2s6w/BtYgp+Axx/z7CNZCCKqIoh/g7iJ+d zJ9Pe4FgE5GylDgY57XOxx9qHrqf4Eihx/OylLkFGz0tkrh30x/ldCtXw1APiSpKob13W7CDUdpG hnZDoOm14q986JjXrq0MYD9n/Syq5MtddBTbZ/8WcC0iRdwDPzPenGD6493Ri5QQccEKZnPbYYxb srows3V7uNXjs2uYkIX63BNnX9U0oMUXkx/hJRLys41T0iQ+7jzgx7515l3LDWv5/0Ecv+jC9Xsj jzrGgRqpQ8oxW0YyqyE66NO6bSgYMrljWp9oyoJDDPUFlGY4GyAwyB4cGiVhMMtspIoV1U9/W2yS rYfI3h8xEnJ1UTVpTUZ9IpmvCoUYcVCrkym4aFBB/DR6qMc9KhfGiid1qzmyGIYRUb8fgMk60769 c5ObXEUn+pDRVo6DGP434IV19sfJaM8JBSrJVp5s7ZJGf6GhMXj9TD1O+/ZgERJdiR6IVb3sKEUc QN3FWztofOxojuuf9Mou+Qo3MMrtiKIh71G3n9m/NPIId0Iv+4dgtJYmc9dV1LuILSin6bFEQvfh 1G6jxCCOeyXRMiL3yev+0rmxVntNHDTxGhsnHyY1zjv0SKeLSwf34h4HaD3Xdvh7XJy9/DaCHeCI Qp2YU7n9OupGu1YN9cdB/699EZqu8qdmrrIGl3gnAXxfjp+KeoJzyOXduzHZ0RWoNVydeuzXAcjC 5C0M52BZLGgcpx/D2GVMF9xafD2xB59nU7U5IwjX6n4wGyDSdXAfo7lkfXLYUwjL3DSmbg/zrvDU ZoRRRM3AMXvXnEnikGAoaXqrCN/Ingodu8xJzkj8Rbu3BxL1xVuJvhDE4zDtmQbGIiiGh+tDbJ27 U7r/sWryaFU7YwkEVL6WzsuxrZFTXhLe3ois8CRlJsHs2MaK5kekIcLDhM//ChaoOHnE0/YP+34u TjoefDY6rFKSv5BMXAfR5qpPplBcyEj8rp2ajd9YpkPQbcMW/WDRtmUnMktJRNgBVb6PeJ9ubhGe ioz87jF5VVp9+7xyF2mfdeRXUkwiWLuDrlzB5VbGx+k3bQNiefN3DS8X+rshSojwTyqHjK8A2eZ/ wRpxthghzHS6tIxGZasF9BZpqAm8y9gBcexbhrTE3D4D+S7N9lKlf/HTfkUO+Ch80wdH5DFFeQGj e+98/IwBB0i62VtyDtNSMJDEth19OhoXxYwO3ytUkq78d0X+FYunZeEnCBl3WzG9ygrAJ2atWpmD tpGxaktghabrlAa6vqSxOiIZaUooErJhwYp0oy1txNxILKTiZKT2PtZiKMDsNx3GF7Gz1w679Wuj x0+SIAB0bYHdBV7RPgOaZ3yAGTtzvpE4Kjcgbi1juwwbSPI3B2GfCLHargpYAKovGs/f21/pvm3X cqbvgYicypnBEnP4HzbnZA== `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block L1Wq/U/4Q70BPLc4FliSYXUP8ed4BtWz3GvmgWB8UWIi1XL03VHoQ0onY2nStMBKN3oi+wfJOiil MjHCSqbAug== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block MzdIDN3x4Bw1oo4Ss3mQa5SMaFmAjCw5RoZq98kMkAyEiVKgpPFbJb4+AOLa9m5Y59a1lVHujkAZ VvnwZOHD2YN6si05NZJaH8cE/m9DwaR3DM8zNULuczEl6ZKfFhMQ14sofrgfiARaJEHEUwa7du2X E4LT9GH5WfduXyFc8Rs= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block YGKqMofE48l94472qRBFIeUGw7tlfkQDcScTeHruqu7X1wO8iAUPoXoD7l5O4Ai8g2sFza0R2Ssg vQI+1YbfZjtF8ep+3xrkC/Cip5vKiczPsLgKG7h6ougF7loutaukUxxzYBvtisPT3epBZRgvdLD0 ZR2MGrnXVywdI/nkkn1NLG7iPGdq9mKWzuObnCbPm7r58VTj0eq3Jp/AN/o1yeFoga1MkpGuegJ9 Qg3s1FEmvXxM6x9CMcdf357r9jayfyEG/jzIB94i3T9u3rYwtSLwkZW2fHFRCZbGd6i5UeG+OmKM C1ekW4u0ALf7b3Ji1ne4Igsjbhs/pJ9pV2H4aw== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block uyw/DOQynJJgspxp1adIPmqeCtlJpmwwPxky55WpZiKMOqIAc0SHyPsDc5DddouGvUqpajruWlZY y4aLivco0zT240shdXoBrBlOdZ6DR2jb+navgiLw5LbkVyGUdNnjQsqlhktdL2G8SxofFpz5+d6B nn1KsP+d6mOICOlOOfU= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block dnEllojgoasTqyaN81Omqc9E5/KyrQFjPt5x++4+3OmtPe/B0XHAy8oeUzF5IpHM/dS56PGx4bvc zJy+87s6gi1Lx33e5GTHzS1loDTIQNoyNWYXhMGFJswTmW/DcLj0LDuCWNTXa/UN5onMrfVqRuSl NXtFH0z1K474QdkvTeGW1Rs94CnOJ72grn/AXCzYkt6pGQZyqtfQhmjv6oRcEVkNxptSu3OO/lJK e+NBu4mK08YAro4RYIJGi8jPZra5KI/3XleMpJx3taFcs1iSZHtv9FhfhZdZbRoVnqcU+r/sxo5c F6g0QE25z0Ao7XFPKBOkQ0/lrlzOiffiJ0RA7A== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 5488) `protect data_block N+7x2u+MR6CQ6bjowMYHk8sSK9S2Ks7g2vVsBQj5Yi7+zhMoJwX4dvoDLT8lYXzHfMGVAYd/ADKz 6PA8/1W0EZ+DmbHPsdi2Dh1KlaWxYqBErw0glMdy4/4+ccIbVvBD/JgNoTSudwJrcCa3F/7z9/5Z e+42O0ooRxLDlk+VdYBXJnkhG2zt0xaJH79MKcxeYjnjiYoYC34eyCl8q2sEbbQoBQ3PET8S2gX4 pTU9xqL8iH3hBveDikrG+gam5svrd3oRFNtd5YtwGXIRpnez8/UJzJB36RK5CaK+ahBdOqAaCD3U iF3aG0uCM/MBnliTBitUFdQQNR1WoUmMOFr5N6zoYi++ieGkCJ3ssHOozMIz94dPRpQ+8R3t0ZMb t2oBCBrbEEGP0ionS8el3J8fXwM4C+ZG63z2dNsIL86WIVcL3dVTsxO2zCI1WkwRopOD2V7cx44Q S0TcKm2k3l2m5TzOk5aMvdjQg54zP6WDpClD/YfLBYz2vTUhHA1lNOceRcKAD/gdPmaxR8FRr+rz 9P7aCJB2uaMnMtSdKn3KuhMGK747KXcc5BSzCbnRov+61GwKvgZfZ9LLIYPpr+lRlB1Axc1Sj2T4 HjjazGe+aYg9Z+vwhAAY/IWusZ8VQvvbGiy5hckkbNgQTiVe1W7rxyREKKG/JaTIx2zAEEMEv+Q8 wf90z1vAgZ+iryV1uUniC0HbggEKYwL5iKRhQo5UUbNhHDZeUI5yB+dPFUSRbhV72qX3RQKsIv/P KZEd5219oQ7KztfnGnPPXNgQ3JxeZgBhMkdk6Wl7tStMhdstgAaq6SaSRB4/lJ7UrBy/JqBvE1le ugbpqTlBQHstiQeDDP2bulDUu1ia+sPZMCFUOu2N3n5bsO88k29h/NNc1la7NWtofhQKtE0Kp4P0 ElYCoWVjcvQSD8XtjqZoyYR4fbzg7oKCTYmkGsPMHW2mVB1Uwe8khCA8VIXRyu1QbrBajAdGFzqI +ZFqlhOFacDKQrJ/uAfpWR/dyijH1BHNmZs1kewolIQugPTyjpSqffd1gT5kBPVuq1LInhBUjTkV K001GOebO7bMds0+OAALNKgNELZ6gRuSOhdt4vqbkm3W2hqZWgQV39sWeaarH2tiXvVi4E4zR0mJ MXj+MXpBd/Apn58VZaXJ6TGQJDKjO6US9RDPIL7pjLR69SKoamHzCOszRmFQJj6XrVFGaWxvp964 909wolaJWL1CzLWGKoWGIfTlJ3zpfR/bqr656DTej/K7CW3yesmGmMoQkHkqOxF3ZBY1qGFc6Sp9 Py0Qo8+8eLeLYrafLm6IL5nzUMmQHWmRA5KEiOjOv/V7BIrbTXXnJjXapTsp/wCDElTblycTSiBj +zPfpS24g6vWci0CGERGFNBtuH9TkICsTTRRGj/QJJgy4Iyl99mOzfEUeIl5JcmLgjHlLptC1ehu fKtRQaNdJUPRQUjQGdXNkuywFTscwTbJAdRdkG2Jonkv/pBbIlRz1cg/qVF4hz0ISz/Ls4dstQtI tcInH5zg5Yvu22NVwN/gTNZ0LUMtFOwmQvAIJusL8DnZ6Qv5JNl8akiEZhHwqIT4Kls1ps0wlW0B 4LCx4GTG112U9+oOQcKkRbTF3Lfh4pSPp9/WJYafDBrqvcvuir3fuDKB1WsjP11KqutxW+2JE7TB eim/yYVOYTRkC0SioBs7DZaPlXVi42K4ptzMoiEH0sQQ3cZaRISrEYwuvCi1rT0l59OkR/SHk8gk qs7rx17d5D1MybasT4Sr+OodLdEmKwjpTUk+WA8e+FOEjPqSey7z96Pdy/9T3A+VXOIxUD0eFXbG ufU4b9b8d7IHnExlcTGBH4UWUS8zxVxKO3WCaLktIo/tsHaNDR1+0OIRyXXIs+BeRJYc0Vz8RNr7 7vzyqYQSDU/IF5D/DRi5mJGwN90llQfPUzhd++8n7c32XeIEeHn/FVyfrxOdRHuPbwK/jqYApTFP 0h0TyfC/taI6LSgmp3pEz3+2Vn7pSDsDO/t2qghnU7g+BH3cA3DaDngjUzYUG7auoLRZOMHwKbup xuGyVI2VWJDxwpal8n399CxiuAQfAiHI/qKdf0rJCJuxzm0f+k7iE5t96ZqVcTVo6mMPg+IhgfUE 8v9llaBMC7q4TBVdJn0K9zzVxtW3Dm9hchDQdyky8wKlMIA5hfWKM2hhBaSjj6U2cIiChU+UPuw8 u182ZvA1qjXLNOA8j1mxLER4pO+yfwgj+dhZCZsQr1opIqieKTyogzPEIsrpJ2uK+ASaBWRddIVp Qbo2yhDWtvokrXtFmEEKF/zvFaE8bdquE1JRgDYDes3VdeFXutDuNk6lT9wiOci85VfwSHtJx2ux Xow1yYCEc9jAMTIwzpqlCj9MSK+EE8hJ6vB/Mca3HKxNbAnYQb3/RB8DEZ/0EKUN/MQia9iLbMyg piEpcQIg3iYPfzlm7mhn0L6Q2CoUCWOXnHdbc1KNr5ukTKj0dPFyvRGprQFc6R95fauDsXgDjLot 6PD2JKWl54yoeByupdzm4biycLoSMFoLtf2emY8PnosNlYMHNzmimma0UTr8aA8uQ/+Pg6owJjB+ HWalxpvZpDGNjObD/uIyxaH2IitIvR/tBBniwcP7/A/k2AbYhdbuu6kjobBkNmza4EOjew2D0dbJ Gigd9PDlIiu+n5u5KXVbXSvk4OOo1qrzyuP06kz4qsNpoblahjX4h+NjnVTBkHS/9hnqro8lxk1e b3S8vuwVt71X3F4omlj28XdLZNxFk+zIa7AUhAllpY54VqPFi6s7iDBGuUxlwg50ZFhZfh2l4sdz TCkCI8CPF35vaSkh4aZeuEROc+vurZ16T9/e1BExif/C+h3aOvljbM/Ij0gV4bfyvyyThnlknPDT P1dOqPW9c2LV4hfNM2DunGuII6khek/nMJ/V/KfvgwQSRsBDFp1wLB3ilZE+EEpxt4MSgITOlNtQ BmuO5kpXniVJ8nSYGjZ/1u5/MebGdDW0VZt2x0YboV2CTmKwybfY0gSC17CkzOlZ+ezJyB4ddjcl K7OH1JhLnH2JfEefEU4ngeijSBtrR8tDxF8ttuogsOPABK5AEGPmrbvXDP/YA09yFntoEMz/6z1I 8xfLZA6b2NtCEs+wp3FZLyWn8iuFT5ptxJb/BEWKyP2NRYZWlhcFXPaIJmn3xnkErQ6ArYqsfRuy WtbXVG1LSxL9QWaJi9CtB1GWj8DhP+/JlDPDRYuqmgLz4to9Z0NKm+pY0w7Q6OxVru4FqI64kkRT p421wNfC+56M/5Z/0cWA4i6mix/qQVYDcgb7Se4HNvBUeeqzMooafTDMgSG9kwMek60nesA2xZF5 1eEJkVo+4vU/GpSq51yOAZhAgbhfp7uosa1Qb57Jiiz4oEMOtl8ntlYP4r/WjgvkEZZjGEbbQ04X 7gnaqvDYGWV3zP/QXXSyj1oNdwl9Sh5AaZQsTLGnGfpNs3iYFky55xBH4HVY151RmGzaLEexboRr 0RqFtZVbhSrfabkSsQOGF+lYujHPMpVKokA7Vx+Gi9fDNG5ZrPf+EqJcwYWNUOmGfsaBWz46pdNB oumcLzyPQfhYiiW6hzNmjLTcx2b9Gqj1YpW8JxBttSQEeV3Gbh+1DVXJh/KbjsEbJ8RYB3wXuclN FJvoLZcFV4i/azePUX4ujNYUFay048e7xmDxvIkd2WpZabwLUgAL400IWeWi+kUxKoveMzlSpt9g N64bt/Z872BXguJuvsk5rY7MHsDmBI9iJWuuPxJCIVlpS747e/yhVmF8qOPGCr2Qq9oKSOnnpnW+ qxZXhocB82HkZ4L2zqHH/KyjXzZ5n8qKlXsWWUCpU1mZ19UOexf8PWaTIopb75+eADd8/M8/B8YI xKrfocC9L1kUHAbag37IzhwkUkL7h728rTtUBrb6/VtGQtmEivN8c6T5ic+5k39utk/ifL1SEOsq HmtwTKTmC8SRl2hbmp9kDyRt3kNBpd7OCQoaZS4JbhDb80U7wXohm9o8fGVqM4y7mRruZUfkKbja 4tZaIY/D4hYCzOT+YCHFd+YUOwnme1pyjsrGTuXHdjW+dzqmjwex6cO6M8MvwfchGl7SOfkeeLUj GVVg4//tM1x6a9Dl7Z+umYg3dC6TuQhjxju2Cdn6vplM0WEhCw/ektcq/HCODbLMcvAnqgOYcDSe FjfiKjIvU/mmZk0yf/iHB6ZowEYCLQkGsl56JPYF0lPfctKtysy1ptapbm54m8Ixwcgg1+WsorHS IOmpxQiJV8wweFq0LdgNWK/wie2lwSsmsGELdyFhzdZWXWpenAKMGj0ppRaZKSYvm8ImEurKKGx+ O3JSUC4VCYR70ZxefqwDh9cW2EDw47l0/+pa0FExyATF6w3zqwbcUknzvMObTsbaFz0EHb2B6bKL XvxdyBFOU4S1wQG7MWbUtKHgevWWxh76awshFk54mTs7oIw+WzQ3ZwXmsKW0zOc7pt1S7nfFIm7E iCbmmssl2BZjWyDg37Q76fnW4Ewm+cJfCHJqYwHF4xsAzdV9wikBltmddiMSMO6ZVhXidcVmVh9s MuTDMpIDaeQzsYSOZtMT09+9rBz/o8Ay/Y6oQ9bZpXVMOBLaA5maOOqxbLmzErvagbtiqUlW0VLp tFyEBLd0enzZVZOw3Gc9Y5hyaqdm6GXNt1sLS+1ZTfB3adXvgzL99xMD3Ng7iFatmq2r3skT4Agd KMAb/6RKKQzAeRr1x4Dy6Z9FJbtFk7M9aSYLwNFSxvo/VbQoM7duWDz6L1fmAUvK5KkxvBcST+8R jKUY/y58v0RMr7vfF0yqvWqpzuRkZKbXmj7iphbu+yew1A/RCN2kl83ReQ0tyYIxG+IELGeQy8Qv 6Mg82wXnQhAaEW+aCFz28IQkLt+tdQ4fInyZk6tx5nBgvNQsb8Kzv/LpUf5bp9eYLVVg5qe5+s6h nspNsFWC/+cq242wsNUMGzicFYBnLUiuvRBbhu5gWMdYmis5+hDNTScsFZ2NtI+bIqjORSeE3Qiq XVfx4dI5VoMuYAP6C0Tu+b86xvqkpqEziV+Qox6OBJ5GN7cnJMYGKWFhNobTxTuqbsqbEQ/lRW+H zr3gMR7xILrBEFtKbI1+i9XqgunCKZaHs0ytWxkuwf+7N3aPQy2X0nhUSdJ2slYtTwxdx8jp9HK/ 9YeBVsS+7RChNSZKfkV2ECLnWGAa6EZN0tg8JuG1aCT52GjPqLpX8VJ/lCoc5XADSyUT7McOehxx +UBX1epQ4RkQPf7YL7o0UUG2dNjNj5v+pabmuK5kT3e/MQUSBHSbFpQAE0aXWPBkOG6c0KEUPl93 Z1HNuj2D1pCSP7ck2FPdcjz9/9QWuqoepAVd5tOw+Hop7y6u6gvJF/0cmnpZgG8+ph+uiDg2RjtN LjRZcZMlOA2Ige0qrrqaczrlM2zSyIiz6q/Umvt9y1JOkC+smv0pghUGV4FRxIUDHcOWKunwCBRG 8pwQ0EBjnBen8Xe3w5qfcjwXqgY12xAfA7ywkfyJWgu3U5UVoXrUxrHDLBq4YztxIIUiaT610jiD HSTgODlYitAFQbMEg3rnUtLPLzonav5JKegtmIQ/HDacnf0BKxSdXKAvBuKbjY1z6soBMwtzcn0y tIuobqPnAHaobfNIstAopGwATU/cEgPRB7hZY5NI1qvXmY+TLEzk4tGBTja0j+g/sJicF8ZQCQjV unGhi+yf1W6qO8FXqtFd3+VhuDg6VpSGD0o38cMaNJ5UoNG+6XSBbTqxYV/6BmK+HMxZINnexL1q MLQtlKc2TqnVPiroDYFmgPYKfzj67knOC+ZL6dilumcefg6jSn1/bfGXqmuzG2mFLw9gGpgDDx6l dfKKsyR3Amezf+DbeBNNtoV4/c0NyoTG2IcSG6O+Qe2s6w/BtYgp+Axx/z7CNZCCKqIoh/g7iJ+d zJ9Pe4FgE5GylDgY57XOxx9qHrqf4Eihx/OylLkFGz0tkrh30x/ldCtXw1APiSpKob13W7CDUdpG hnZDoOm14q986JjXrq0MYD9n/Syq5MtddBTbZ/8WcC0iRdwDPzPenGD6493Ri5QQccEKZnPbYYxb srows3V7uNXjs2uYkIX63BNnX9U0oMUXkx/hJRLys41T0iQ+7jzgx7515l3LDWv5/0Ecv+jC9Xsj jzrGgRqpQ8oxW0YyqyE66NO6bSgYMrljWp9oyoJDDPUFlGY4GyAwyB4cGiVhMMtspIoV1U9/W2yS rYfI3h8xEnJ1UTVpTUZ9IpmvCoUYcVCrkym4aFBB/DR6qMc9KhfGiid1qzmyGIYRUb8fgMk60769 c5ObXEUn+pDRVo6DGP434IV19sfJaM8JBSrJVp5s7ZJGf6GhMXj9TD1O+/ZgERJdiR6IVb3sKEUc QN3FWztofOxojuuf9Mou+Qo3MMrtiKIh71G3n9m/NPIId0Iv+4dgtJYmc9dV1LuILSin6bFEQvfh 1G6jxCCOeyXRMiL3yev+0rmxVntNHDTxGhsnHyY1zjv0SKeLSwf34h4HaD3Xdvh7XJy9/DaCHeCI Qp2YU7n9OupGu1YN9cdB/699EZqu8qdmrrIGl3gnAXxfjp+KeoJzyOXduzHZ0RWoNVydeuzXAcjC 5C0M52BZLGgcpx/D2GVMF9xafD2xB59nU7U5IwjX6n4wGyDSdXAfo7lkfXLYUwjL3DSmbg/zrvDU ZoRRRM3AMXvXnEnikGAoaXqrCN/Ingodu8xJzkj8Rbu3BxL1xVuJvhDE4zDtmQbGIiiGh+tDbJ27 U7r/sWryaFU7YwkEVL6WzsuxrZFTXhLe3ois8CRlJsHs2MaK5kekIcLDhM//ChaoOHnE0/YP+34u TjoefDY6rFKSv5BMXAfR5qpPplBcyEj8rp2ajd9YpkPQbcMW/WDRtmUnMktJRNgBVb6PeJ9ubhGe ioz87jF5VVp9+7xyF2mfdeRXUkwiWLuDrlzB5VbGx+k3bQNiefN3DS8X+rshSojwTyqHjK8A2eZ/ wRpxthghzHS6tIxGZasF9BZpqAm8y9gBcexbhrTE3D4D+S7N9lKlf/HTfkUO+Ch80wdH5DFFeQGj e+98/IwBB0i62VtyDtNSMJDEth19OhoXxYwO3ytUkq78d0X+FYunZeEnCBl3WzG9ygrAJ2atWpmD tpGxaktghabrlAa6vqSxOiIZaUooErJhwYp0oy1txNxILKTiZKT2PtZiKMDsNx3GF7Gz1w679Wuj x0+SIAB0bYHdBV7RPgOaZ3yAGTtzvpE4Kjcgbi1juwwbSPI3B2GfCLHargpYAKovGs/f21/pvm3X cqbvgYicypnBEnP4HzbnZA== `protect end_protected
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity struct_in is generic ( ADDR_X : natural range 0 to 3 := 0; ADDR_Y : natural range 0 to 3 := 0; N_INST : boolean := true; S_INST : boolean := true; E_INST : boolean := true; W_INST : boolean := true ); port ( CLOCK : in std_logic; RESET : in std_logic; -- local L_DIN : in std_logic_vector(31 downto 0); L_VIN : in std_logic; L_RIN : out std_logic; L_DOUT : out std_logic_vector(31 downto 0); L_VOUT : out std_logic; L_ROUT : in std_logic; L_SZ : out std_logic_vector(15 downto 0); L_DIR : out std_logic_vector(4 downto 0); --LNSEW -- north N_DIN : in std_logic_vector(31 downto 0); N_VIN : in std_logic; N_RIN : out std_logic; N_DOUT : out std_logic_vector(31 downto 0); N_VOUT : out std_logic; N_ROUT : in std_logic; N_SZ : out std_logic_vector(15 downto 0); N_DIR : out std_logic_vector(4 downto 0); -- south S_DIN : in std_logic_vector(31 downto 0); S_VIN : in std_logic; S_RIN : out std_logic; S_DOUT : out std_logic_vector(31 downto 0); S_VOUT : out std_logic; S_ROUT : in std_logic; S_SZ : out std_logic_vector(15 downto 0); S_DIR : out std_logic_vector(4 downto 0); -- east E_DIN : in std_logic_vector(31 downto 0); E_VIN : in std_logic; E_RIN : out std_logic; E_DOUT : out std_logic_vector(31 downto 0); E_VOUT : out std_logic; E_ROUT : in std_logic; E_SZ : out std_logic_vector(15 downto 0); E_DIR : out std_logic_vector(4 downto 0); -- west W_DIN : in std_logic_vector(31 downto 0); W_VIN : in std_logic; W_RIN : out std_logic; W_DOUT : out std_logic_vector(31 downto 0); W_VOUT : out std_logic; W_ROUT : in std_logic; W_SZ : out std_logic_vector(15 downto 0); W_DIR : out std_logic_vector(4 downto 0) ); end entity; architecture structure of struct_in is component fifo_in is port ( CLOCK : in std_logic; RESET : in std_logic; DIN : in std_logic_vector(31 downto 0); VIN : in std_logic; RIN : out std_logic; DOUT : out std_logic_vector(31 downto 0); VOUT : out std_logic; ROUT : in std_logic; AX : out std_logic_vector(1 downto 0); AY : out std_logic_vector(1 downto 0); SZ : out std_logic_vector(15 downto 0); HVAL : out std_logic ); end component; signal l_hval : std_logic; signal n_hval : std_logic; signal s_hval : std_logic; signal e_hval : std_logic; signal w_hval : std_logic; signal l_ax : std_logic_vector(1 downto 0); signal n_ax : std_logic_vector(1 downto 0); signal s_ax : std_logic_vector(1 downto 0); signal e_ax : std_logic_vector(1 downto 0); signal w_ax : std_logic_vector(1 downto 0); signal l_ay : std_logic_vector(1 downto 0); signal n_ay : std_logic_vector(1 downto 0); signal s_ay : std_logic_vector(1 downto 0); signal e_ay : std_logic_vector(1 downto 0); signal w_ay : std_logic_vector(1 downto 0); begin -- local L_fifo: fifo_in port map ( CLOCK => CLOCK, RESET => RESET, DIN => L_DIN, VIN => L_VIN, RIN => L_RIN, DOUT => L_DOUT, VOUT => L_VOUT, ROUT => L_ROUT, AX => l_ax, AY => l_ay, SZ => L_SZ, HVAL => l_hval ); -- north N_fifo_gen: if N_INST = true generate N_fifo: fifo_in port map ( CLOCK => CLOCK, RESET => RESET, DIN => N_DIN, VIN => N_VIN, RIN => N_RIN, DOUT => N_DOUT, VOUT => N_VOUT, ROUT => N_ROUT, AX => n_ax, AY => n_ay, SZ => N_SZ, HVAL => n_hval ); end generate; N_fifo_ngen: if N_INST = false generate n_hval <= '0'; end generate; -- south S_fifo_gen: if S_INST = true generate S_fifo: fifo_in port map ( CLOCK => CLOCK, RESET => RESET, DIN => S_DIN, VIN => S_VIN, RIN => S_RIN, DOUT => S_DOUT, VOUT => S_VOUT, ROUT => S_ROUT, AX => s_ax, AY => s_ay, SZ => S_SZ, HVAL => s_hval ); end generate; S_fifo_ngen: if S_INST = false generate s_hval <= '0'; end generate; -- east E_fifo_gen: if E_INST = true generate E_fifo: fifo_in port map ( CLOCK => CLOCK, RESET => RESET, DIN => E_DIN, VIN => E_VIN, RIN => E_RIN, DOUT => E_DOUT, VOUT => E_VOUT, ROUT => E_ROUT, AX => e_ax, AY => e_ay, SZ => E_SZ, HVAL => e_hval ); end generate; E_fifo_ngen: if E_INST = false generate e_hval <= '0'; end generate; -- west W_fifo_gen: if W_INST = true generate W_fifo: fifo_in port map ( CLOCK => CLOCK, RESET => RESET, DIN => W_DIN, VIN => W_VIN, RIN => W_RIN, DOUT => W_DOUT, VOUT => W_VOUT, ROUT => W_ROUT, AX => w_ax, AY => w_ay, SZ => W_SZ, HVAL => w_hval ); end generate; W_fifo_ngen: if W_INST = false generate w_hval <= '0'; end generate; process ( l_ax, l_ay, l_hval, n_ax, n_ay, n_hval, s_ax, s_ay, s_hval, e_ax, e_ay, e_hval, w_ax, w_ay, w_hval ) begin L_DIR <= (others => '0'); N_DIR <= (others => '0'); S_DIR <= (others => '0'); E_DIR <= (others => '0'); W_DIR <= (others => '0'); -- local if l_hval = '1' then if unsigned(l_ax) < ADDR_X then L_DIR <= "00001"; --send west (left) elsif unsigned(l_ax) > ADDR_X then L_DIR <= "00010"; --send east (right) else if unsigned(l_ay) < ADDR_Y then L_DIR <= "00100"; --send south (down) elsif unsigned(l_ay) > ADDR_Y then L_DIR <= "01000"; --send north (up) else L_DIR <= "10000"; --send local end if; end if; end if; -- north if n_hval = '1' then if unsigned(n_ax) < ADDR_X then N_DIR <= "00001"; --send west (left) elsif unsigned(n_ax) > ADDR_X then N_DIR <= "00010"; --send east (right) else if unsigned(n_ay) < ADDR_Y then N_DIR <= "00100"; --send south (down) elsif unsigned(n_ay) > ADDR_Y then N_DIR <= "01000"; --send north (up) else N_DIR <= "10000"; --send local end if; end if; end if; -- south if s_hval = '1' then if unsigned(s_ax) < ADDR_X then S_DIR <= "00001"; --send west (left) elsif unsigned(s_ax) > ADDR_X then S_DIR <= "00010"; --send east (right) else if unsigned(s_ay) < ADDR_Y then S_DIR <= "00100"; --send south (down) elsif unsigned(s_ay) > ADDR_Y then S_DIR <= "01000"; --send north (up) else S_DIR <= "10000"; --send local end if; end if; end if; -- east if e_hval = '1' then if unsigned(e_ax) < ADDR_X then E_DIR <= "00001"; --send west (left) elsif unsigned(e_ax) > ADDR_X then E_DIR <= "00010"; --send east (right) else if unsigned(e_ay) < ADDR_Y then E_DIR <= "00100"; --send south (down) elsif unsigned(e_ay) > ADDR_Y then E_DIR <= "01000"; --send north (up) else E_DIR <= "10000"; --send local end if; end if; end if; -- west if w_hval = '1' then if unsigned(w_ax) < ADDR_X then W_DIR <= "00001"; --send west (left) elsif unsigned(w_ax) > ADDR_X then W_DIR <= "00010"; --send east (right) else if unsigned(w_ay) < ADDR_Y then W_DIR <= "00100"; --send south (down) elsif unsigned(w_ay) > ADDR_Y then W_DIR <= "01000"; --send north (up) else W_DIR <= "10000"; --send local end if; end if; end if; end process; end architecture;
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity struct_in is generic ( ADDR_X : natural range 0 to 3 := 0; ADDR_Y : natural range 0 to 3 := 0; N_INST : boolean := true; S_INST : boolean := true; E_INST : boolean := true; W_INST : boolean := true ); port ( CLOCK : in std_logic; RESET : in std_logic; -- local L_DIN : in std_logic_vector(31 downto 0); L_VIN : in std_logic; L_RIN : out std_logic; L_DOUT : out std_logic_vector(31 downto 0); L_VOUT : out std_logic; L_ROUT : in std_logic; L_SZ : out std_logic_vector(15 downto 0); L_DIR : out std_logic_vector(4 downto 0); --LNSEW -- north N_DIN : in std_logic_vector(31 downto 0); N_VIN : in std_logic; N_RIN : out std_logic; N_DOUT : out std_logic_vector(31 downto 0); N_VOUT : out std_logic; N_ROUT : in std_logic; N_SZ : out std_logic_vector(15 downto 0); N_DIR : out std_logic_vector(4 downto 0); -- south S_DIN : in std_logic_vector(31 downto 0); S_VIN : in std_logic; S_RIN : out std_logic; S_DOUT : out std_logic_vector(31 downto 0); S_VOUT : out std_logic; S_ROUT : in std_logic; S_SZ : out std_logic_vector(15 downto 0); S_DIR : out std_logic_vector(4 downto 0); -- east E_DIN : in std_logic_vector(31 downto 0); E_VIN : in std_logic; E_RIN : out std_logic; E_DOUT : out std_logic_vector(31 downto 0); E_VOUT : out std_logic; E_ROUT : in std_logic; E_SZ : out std_logic_vector(15 downto 0); E_DIR : out std_logic_vector(4 downto 0); -- west W_DIN : in std_logic_vector(31 downto 0); W_VIN : in std_logic; W_RIN : out std_logic; W_DOUT : out std_logic_vector(31 downto 0); W_VOUT : out std_logic; W_ROUT : in std_logic; W_SZ : out std_logic_vector(15 downto 0); W_DIR : out std_logic_vector(4 downto 0) ); end entity; architecture structure of struct_in is component fifo_in is port ( CLOCK : in std_logic; RESET : in std_logic; DIN : in std_logic_vector(31 downto 0); VIN : in std_logic; RIN : out std_logic; DOUT : out std_logic_vector(31 downto 0); VOUT : out std_logic; ROUT : in std_logic; AX : out std_logic_vector(1 downto 0); AY : out std_logic_vector(1 downto 0); SZ : out std_logic_vector(15 downto 0); HVAL : out std_logic ); end component; signal l_hval : std_logic; signal n_hval : std_logic; signal s_hval : std_logic; signal e_hval : std_logic; signal w_hval : std_logic; signal l_ax : std_logic_vector(1 downto 0); signal n_ax : std_logic_vector(1 downto 0); signal s_ax : std_logic_vector(1 downto 0); signal e_ax : std_logic_vector(1 downto 0); signal w_ax : std_logic_vector(1 downto 0); signal l_ay : std_logic_vector(1 downto 0); signal n_ay : std_logic_vector(1 downto 0); signal s_ay : std_logic_vector(1 downto 0); signal e_ay : std_logic_vector(1 downto 0); signal w_ay : std_logic_vector(1 downto 0); begin -- local L_fifo: fifo_in port map ( CLOCK => CLOCK, RESET => RESET, DIN => L_DIN, VIN => L_VIN, RIN => L_RIN, DOUT => L_DOUT, VOUT => L_VOUT, ROUT => L_ROUT, AX => l_ax, AY => l_ay, SZ => L_SZ, HVAL => l_hval ); -- north N_fifo_gen: if N_INST = true generate N_fifo: fifo_in port map ( CLOCK => CLOCK, RESET => RESET, DIN => N_DIN, VIN => N_VIN, RIN => N_RIN, DOUT => N_DOUT, VOUT => N_VOUT, ROUT => N_ROUT, AX => n_ax, AY => n_ay, SZ => N_SZ, HVAL => n_hval ); end generate; N_fifo_ngen: if N_INST = false generate n_hval <= '0'; end generate; -- south S_fifo_gen: if S_INST = true generate S_fifo: fifo_in port map ( CLOCK => CLOCK, RESET => RESET, DIN => S_DIN, VIN => S_VIN, RIN => S_RIN, DOUT => S_DOUT, VOUT => S_VOUT, ROUT => S_ROUT, AX => s_ax, AY => s_ay, SZ => S_SZ, HVAL => s_hval ); end generate; S_fifo_ngen: if S_INST = false generate s_hval <= '0'; end generate; -- east E_fifo_gen: if E_INST = true generate E_fifo: fifo_in port map ( CLOCK => CLOCK, RESET => RESET, DIN => E_DIN, VIN => E_VIN, RIN => E_RIN, DOUT => E_DOUT, VOUT => E_VOUT, ROUT => E_ROUT, AX => e_ax, AY => e_ay, SZ => E_SZ, HVAL => e_hval ); end generate; E_fifo_ngen: if E_INST = false generate e_hval <= '0'; end generate; -- west W_fifo_gen: if W_INST = true generate W_fifo: fifo_in port map ( CLOCK => CLOCK, RESET => RESET, DIN => W_DIN, VIN => W_VIN, RIN => W_RIN, DOUT => W_DOUT, VOUT => W_VOUT, ROUT => W_ROUT, AX => w_ax, AY => w_ay, SZ => W_SZ, HVAL => w_hval ); end generate; W_fifo_ngen: if W_INST = false generate w_hval <= '0'; end generate; process ( l_ax, l_ay, l_hval, n_ax, n_ay, n_hval, s_ax, s_ay, s_hval, e_ax, e_ay, e_hval, w_ax, w_ay, w_hval ) begin L_DIR <= (others => '0'); N_DIR <= (others => '0'); S_DIR <= (others => '0'); E_DIR <= (others => '0'); W_DIR <= (others => '0'); -- local if l_hval = '1' then if unsigned(l_ax) < ADDR_X then L_DIR <= "00001"; --send west (left) elsif unsigned(l_ax) > ADDR_X then L_DIR <= "00010"; --send east (right) else if unsigned(l_ay) < ADDR_Y then L_DIR <= "00100"; --send south (down) elsif unsigned(l_ay) > ADDR_Y then L_DIR <= "01000"; --send north (up) else L_DIR <= "10000"; --send local end if; end if; end if; -- north if n_hval = '1' then if unsigned(n_ax) < ADDR_X then N_DIR <= "00001"; --send west (left) elsif unsigned(n_ax) > ADDR_X then N_DIR <= "00010"; --send east (right) else if unsigned(n_ay) < ADDR_Y then N_DIR <= "00100"; --send south (down) elsif unsigned(n_ay) > ADDR_Y then N_DIR <= "01000"; --send north (up) else N_DIR <= "10000"; --send local end if; end if; end if; -- south if s_hval = '1' then if unsigned(s_ax) < ADDR_X then S_DIR <= "00001"; --send west (left) elsif unsigned(s_ax) > ADDR_X then S_DIR <= "00010"; --send east (right) else if unsigned(s_ay) < ADDR_Y then S_DIR <= "00100"; --send south (down) elsif unsigned(s_ay) > ADDR_Y then S_DIR <= "01000"; --send north (up) else S_DIR <= "10000"; --send local end if; end if; end if; -- east if e_hval = '1' then if unsigned(e_ax) < ADDR_X then E_DIR <= "00001"; --send west (left) elsif unsigned(e_ax) > ADDR_X then E_DIR <= "00010"; --send east (right) else if unsigned(e_ay) < ADDR_Y then E_DIR <= "00100"; --send south (down) elsif unsigned(e_ay) > ADDR_Y then E_DIR <= "01000"; --send north (up) else E_DIR <= "10000"; --send local end if; end if; end if; -- west if w_hval = '1' then if unsigned(w_ax) < ADDR_X then W_DIR <= "00001"; --send west (left) elsif unsigned(w_ax) > ADDR_X then W_DIR <= "00010"; --send east (right) else if unsigned(w_ay) < ADDR_Y then W_DIR <= "00100"; --send south (down) elsif unsigned(w_ay) > ADDR_Y then W_DIR <= "01000"; --send north (up) else W_DIR <= "10000"; --send local end if; end if; end if; end process; end architecture;
architecture RTL of FIFO is signal a, b, c, d : std_logic; signal a,b,c,d : std_logic; begin process (a, b, c, d) is begin end process; process (a,b,c,d) is begin end process; process (a, b, c, d) is begin end process; process (a, b,c d, e, f) is begin end process; end architecture RTL;
library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; use IEEE.std_logic_unsigned.all; entity SEU is Port ( imm13 : in STD_LOGIC_VECTOR (12 downto 0); seuout : out STD_LOGIC_VECTOR (31 downto 0)); end SEU; architecture Behavioral of SEU is begin process( imm13) begin if(imm13(12) = '1') then seuout <= "1111111111111111111" & imm13; else seuout <= "0000000000000000000" & imm13; end if; end process; end Behavioral;
entity test is constant a : b := foo /= bar nand baz; end;
-- -*- vhdl -*- ------------------------------------------------------------------------------- -- Copyright (c) 2012, The CARPE Project, All rights reserved. -- -- See the AUTHORS file for individual contributors. -- -- -- -- Copyright and related rights are licensed under the Solderpad -- -- Hardware License, Version 0.51 (the "License"); you may not use this -- -- file except in compliance with the License. You may obtain a copy of -- -- the License at http://solderpad.org/licenses/SHL-0.51. -- -- -- -- Unless required by applicable law or agreed to in writing, software, -- -- hardware and materials distributed under this License is distributed -- -- on an "AS IS" BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, -- -- either express or implied. See the License for the specific language -- -- governing permissions and limitations under the License. -- ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; package cpu_l1mem_data_types_pkg is type cpu_l1mem_data_request_code_index_type is ( cpu_l1mem_data_request_code_index_none, cpu_l1mem_data_request_code_index_load, cpu_l1mem_data_request_code_index_store, cpu_l1mem_data_request_code_index_invalidate, cpu_l1mem_data_request_code_index_flush, cpu_l1mem_data_request_code_index_writeback, cpu_l1mem_data_request_code_index_sync ); type cpu_l1mem_data_request_code_type is array (cpu_l1mem_data_request_code_index_type range cpu_l1mem_data_request_code_index_type'high downto cpu_l1mem_data_request_code_index_type'low) of std_ulogic; constant cpu_l1mem_data_request_code_none : cpu_l1mem_data_request_code_type := "0000001"; constant cpu_l1mem_data_request_code_load : cpu_l1mem_data_request_code_type := "0000010"; constant cpu_l1mem_data_request_code_store : cpu_l1mem_data_request_code_type := "0000100"; constant cpu_l1mem_data_request_code_invalidate : cpu_l1mem_data_request_code_type := "0001000"; constant cpu_l1mem_data_request_code_flush : cpu_l1mem_data_request_code_type := "0010000"; constant cpu_l1mem_data_request_code_writeback : cpu_l1mem_data_request_code_type := "0100000"; constant cpu_l1mem_data_request_code_sync : cpu_l1mem_data_request_code_type := "1000000"; type cpu_l1mem_data_result_code_index_type is ( cpu_l1mem_data_result_code_index_valid, cpu_l1mem_data_result_code_index_error, cpu_l1mem_data_result_code_index_tlbmiss, cpu_l1mem_data_result_code_index_pf ); type cpu_l1mem_data_result_code_type is array (cpu_l1mem_data_result_code_index_type range cpu_l1mem_data_result_code_index_type'high downto cpu_l1mem_data_result_code_index_type'low) of std_ulogic; constant cpu_l1mem_data_result_code_valid : cpu_l1mem_data_result_code_type := "0001"; constant cpu_l1mem_data_result_code_error : cpu_l1mem_data_result_code_type := "0010"; constant cpu_l1mem_data_result_code_tlbmiss : cpu_l1mem_data_result_code_type := "0100"; constant cpu_l1mem_data_result_code_pf : cpu_l1mem_data_result_code_type := "1000"; end package;
---------------------------------------------------------------------------------- -- Takes 12 bit input from ADC process and triggers a logic line based on the value -- Intended to protect devices from an unsafe source (physically, protect fiber optic cable from bad alignment) -- Runs with the clock rate of the ADC process to check ADC values and update logic accordingly ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.NUMERIC_STD.ALL; -- Physical ports to the entity entity ADC_INTERLOCK is port ( iLOGIC_CLK : in std_logic; -- clock rate iADC_data : in std_logic_vector(11 downto 0); iCH_count : in std_logic_vector(2 downto 0); iActive : in std_logic; -- toggles if we want the threshold trigger oLED : out std_logic_vector(1 downto 0); oLOGIC0 : out std_logic; -- '1' deactivates device oLOGIC1 : out std_logic -- '1' deactivates device ); end entity; -- Behavioral architecture rtl of ADC_INTERLOCK is ---------------------------------------------------------------------------------- -- SIGNALS ---------------------------------------------------------------------------------- signal LOGIC0 : std_logic := '1'; -- channel 0 value signal LOGIC1 : std_logic := '1'; -- channel 1 value signal led : std_logic_vector(1 downto 0); -- lights for each channel -- threshold value, measured based on ADC values at 1/3 -- might need to be different for each channel constant ADC_THRESHOLD : std_logic_vector(11 downto 0) := "010101010101"; ---------------------------------------------------------------------------------- -- BEGIN ---------------------------------------------------------------------------------- begin -- latch outputs, "high" deactivates the physical device oLOGIC0 <= not(LOGIC0) when iActive = '1' else '0'; oLOGIC1 <= not(LOGIC1) when iActive = '1' else '0'; oLED <= led; -- LEDs follow logic levels -- Interpret ADC data for logic levels process(iLOGIC_CLK) begin if(rising_edge(iLOGIC_CLK)) then -- update logic to be FALSE if the ADC data is below a threshold if(iCH_count = "000") then if(iADC_data < ADC_THRESHOLD) then -- flip logic to FALSE LOGIC0 <= '0'; led(0) <= '0'; else LOGIC0 <= '1'; led(0) <= '1'; end if; elsif(iCH_count = "001") then if(iADC_data < ADC_THRESHOLD) then -- flip logic to FALSE LOGIC1 <= '0'; led(1) <= '0'; else LOGIC1 <= '1'; led(1) <= '1'; end if; end if; end if; end process; end rtl;
library ieee; use ieee.std_logic_1164.all; package pkg is component cmask is generic (mask : std_logic_vector (0 to 7)); port (d : std_logic_vector (7 downto 0); o : out std_logic_vector (7 downto 0)); end component; end pkg;
----------------------------------------------------------------------------- -- LEON3 Demonstration design test bench configuration -- Copyright (C) 2009 Aeroflex Gaisler ------------------------------------------------------------------------------ library techmap; use techmap.gencomp.all; package config is -- Technology and synthesis options constant CFG_FABTECH : integer := virtex4; constant CFG_MEMTECH : integer := virtex4; constant CFG_PADTECH : integer := virtex4; constant CFG_TRANSTECH : integer := GTP0; constant CFG_NOASYNC : integer := 0; constant CFG_SCAN : integer := 0; -- Clock generator constant CFG_CLKTECH : integer := virtex4; constant CFG_CLKMUL : integer := (13); constant CFG_CLKDIV : integer := (20); constant CFG_OCLKDIV : integer := 1; constant CFG_OCLKBDIV : integer := 0; constant CFG_OCLKCDIV : integer := 0; constant CFG_PCIDLL : integer := 0; constant CFG_PCISYSCLK: integer := 0; constant CFG_CLK_NOFB : integer := 0; -- LEON3 processor core constant CFG_LEON3 : integer := 1; constant CFG_NCPU : integer := (1); constant CFG_NWIN : integer := (8); constant CFG_V8 : integer := 0 + 4*0; constant CFG_MAC : integer := 0; constant CFG_BP : integer := 0; constant CFG_SVT : integer := 1; constant CFG_RSTADDR : integer := 16#00000#; constant CFG_LDDEL : integer := (1); constant CFG_NOTAG : integer := 0; constant CFG_NWP : integer := (1); constant CFG_PWD : integer := 1*2; constant CFG_FPU : integer := 0 + 16*0 + 32*0; constant CFG_GRFPUSH : integer := 0; constant CFG_ICEN : integer := 1; constant CFG_ISETS : integer := 2; constant CFG_ISETSZ : integer := 8; constant CFG_ILINE : integer := 8; constant CFG_IREPL : integer := 1; constant CFG_ILOCK : integer := 0; constant CFG_ILRAMEN : integer := 0; constant CFG_ILRAMADDR: integer := 16#8E#; constant CFG_ILRAMSZ : integer := 1; constant CFG_DCEN : integer := 1; constant CFG_DSETS : integer := 2; constant CFG_DSETSZ : integer := 4; constant CFG_DLINE : integer := 4; constant CFG_DREPL : integer := 1; constant CFG_DLOCK : integer := 0; constant CFG_DSNOOP : integer := 1*2 + 4*0; constant CFG_DFIXED : integer := 16#0#; constant CFG_DLRAMEN : integer := 0; constant CFG_DLRAMADDR: integer := 16#8F#; constant CFG_DLRAMSZ : integer := 1; constant CFG_MMUEN : integer := 0; constant CFG_ITLBNUM : integer := 2; constant CFG_DTLBNUM : integer := 2; constant CFG_TLB_TYPE : integer := 1 + 0*2; constant CFG_TLB_REP : integer := 1; constant CFG_MMU_PAGE : integer := 0; constant CFG_DSU : integer := 1; constant CFG_ITBSZ : integer := 2 + 64*0; constant CFG_ATBSZ : integer := 2; constant CFG_AHBPF : integer := 0; constant CFG_LEON3FT_EN : integer := 0; constant CFG_IUFT_EN : integer := 0; constant CFG_FPUFT_EN : integer := 0; constant CFG_RF_ERRINJ : integer := 0; constant CFG_CACHE_FT_EN : integer := 0; constant CFG_CACHE_ERRINJ : integer := 0; constant CFG_LEON3_NETLIST: integer := 0; constant CFG_DISAS : integer := 0 + 0; constant CFG_PCLOW : integer := 2; constant CFG_NP_ASI : integer := 0; constant CFG_WRPSR : integer := 0; -- AMBA settings constant CFG_DEFMST : integer := (0); constant CFG_RROBIN : integer := 1; constant CFG_SPLIT : integer := 0; constant CFG_FPNPEN : integer := 0; constant CFG_AHBIO : integer := 16#FFF#; constant CFG_APBADDR : integer := 16#800#; constant CFG_AHB_MON : integer := 0; constant CFG_AHB_MONERR : integer := 0; constant CFG_AHB_MONWAR : integer := 0; constant CFG_AHB_DTRACE : integer := 0; -- DSU UART constant CFG_AHB_UART : integer := 0; -- JTAG based DSU interface constant CFG_AHB_JTAG : integer := 1; -- Ethernet DSU constant CFG_DSU_ETH : integer := 0 + 0 + 0; constant CFG_ETH_BUF : integer := 1; constant CFG_ETH_IPM : integer := 16#C0A8#; constant CFG_ETH_IPL : integer := 16#0033#; constant CFG_ETH_ENM : integer := 16#020000#; constant CFG_ETH_ENL : integer := 16#000009#; -- LEON2 memory controller constant CFG_MCTRL_LEON2 : integer := 1; constant CFG_MCTRL_RAM8BIT : integer := 0; constant CFG_MCTRL_RAM16BIT : integer := 0; constant CFG_MCTRL_5CS : integer := 0; constant CFG_MCTRL_SDEN : integer := 0; constant CFG_MCTRL_SEPBUS : integer := 0; constant CFG_MCTRL_INVCLK : integer := 0; constant CFG_MCTRL_SD64 : integer := 0; constant CFG_MCTRL_PAGE : integer := 0 + 0; -- DDR controller constant CFG_DDRSP : integer := 1; constant CFG_DDRSP_INIT : integer := 1; constant CFG_DDRSP_FREQ : integer := (100); constant CFG_DDRSP_COL : integer := (9); constant CFG_DDRSP_SIZE : integer := (64); constant CFG_DDRSP_RSKEW : integer := (0); -- SSRAM controller constant CFG_SSCTRL : integer := 0; constant CFG_SSCTRLP16 : integer := 0; -- AHB status register constant CFG_AHBSTAT : integer := 1; constant CFG_AHBSTATN : integer := (1); -- AHB ROM constant CFG_AHBROMEN : integer := 0; constant CFG_AHBROPIP : integer := 0; constant CFG_AHBRODDR : integer := 16#000#; constant CFG_ROMADDR : integer := 16#000#; constant CFG_ROMMASK : integer := 16#E00# + 16#000#; -- AHB RAM constant CFG_AHBRAMEN : integer := 0; constant CFG_AHBRSZ : integer := 1; constant CFG_AHBRADDR : integer := 16#A00#; constant CFG_AHBRPIPE : integer := 0; -- Gaisler Ethernet core constant CFG_GRETH : integer := 0; constant CFG_GRETH1G : integer := 0; constant CFG_ETH_FIFO : integer := 8; -- UART 1 constant CFG_UART1_ENABLE : integer := 1; constant CFG_UART1_FIFO : integer := 4; -- LEON3 interrupt controller constant CFG_IRQ3_ENABLE : integer := 1; constant CFG_IRQ3_NSEC : integer := 0; -- Modular timer constant CFG_GPT_ENABLE : integer := 1; constant CFG_GPT_NTIM : integer := (2); constant CFG_GPT_SW : integer := (8); constant CFG_GPT_TW : integer := (32); constant CFG_GPT_IRQ : integer := (8); constant CFG_GPT_SEPIRQ : integer := 1; constant CFG_GPT_WDOGEN : integer := 0; constant CFG_GPT_WDOG : integer := 16#0#; -- GPIO port constant CFG_GRGPIO_ENABLE : integer := 1; constant CFG_GRGPIO_IMASK : integer := 16#0FFFE#; constant CFG_GRGPIO_WIDTH : integer := (14); -- I2C master constant CFG_I2C_ENABLE : integer := 1; -- VGA and PS2/ interface constant CFG_KBD_ENABLE : integer := 0; constant CFG_VGA_ENABLE : integer := 0; constant CFG_SVGA_ENABLE : integer := 0; -- GRLIB debugging constant CFG_DUART : integer := 0; end;
-- $Id: sys_conf.vhd 1181 2019-07-08 17:00:50Z mueller $ -- SPDX-License-Identifier: GPL-3.0-or-later -- Copyright 2015-2019 by Walter F.J. Mueller <[email protected]> -- ------------------------------------------------------------------------------ -- Package Name: sys_conf -- Description: Definitions for sys_w11a_b3 (for synthesis) -- -- Dependencies: - -- Tool versions: viv 2014.4-2018.3; ghdl 0.31-0.35 -- Revision History: -- Date Rev Version Comment -- 2019-04-28 1142 1.4.1 add sys_conf_ibd_m9312 -- 2019-02-09 1110 1.4 use typ for DL,PC,LP; add dz11,ibtst -- 2018-09-22 1050 1.3.7 add sys_conf_dmpcnt -- 2018-09-08 1043 1.3.6 add sys_conf_ibd_kw11p -- 2017-03-04 858 1.3.5 enable deuna -- 2017-01-29 847 1.3.4 add sys_conf_ibd_deuna -- 2016-06-18 775 1.3.3 use PLL for clkser_gentype -- 2016-05-28 770 1.3.2 sys_conf_mem_losize now type natural -- 2016-05-26 768 1.3.1 set dmscnt=0 (vivado fsm issue) (@80 MHz) -- 2016-03-28 755 1.3 use serport_2clock2 -> define clkser (@75 MHz) -- 2016-03-22 750 1.2 add sys_conf_cache_twidth -- 2016-03-13 742 1.1.2 add sysmon_bus; use 72 MHz, no tc otherwise -- 2015-06-26 695 1.1.1 add sys_conf_(dmscnt|dmhbpt*|dmcmon*) -- 2015-03-14 658 1.1 add sys_conf_ibd_* definitions -- 2015-02-08 644 1.0 Initial version (derived from _n4 version) ------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; use work.slvtypes.all; package sys_conf is -- configure clocks -------------------------------------------------------- constant sys_conf_clksys_vcodivide : positive := 1; constant sys_conf_clksys_vcomultiply : positive := 8; -- vco 800 MHz constant sys_conf_clksys_outdivide : positive := 10; -- sys 80 MHz constant sys_conf_clksys_gentype : string := "MMCM"; -- dual clock design, clkser = 120 MHz constant sys_conf_clkser_vcodivide : positive := 1; constant sys_conf_clkser_vcomultiply : positive := 12; -- vco 1200 MHz constant sys_conf_clkser_outdivide : positive := 10; -- sys 120 MHz constant sys_conf_clkser_gentype : string := "PLL"; -- configure rlink and hio interfaces -------------------------------------- constant sys_conf_ser2rri_defbaud : integer := 115200; -- default 115k baud constant sys_conf_hio_debounce : boolean := true; -- instantiate debouncers -- configure memory controller --------------------------------------------- constant sys_conf_memctl_mawidth : positive := 4; constant sys_conf_memctl_nblock : positive := 11; -- configure debug and monitoring units ------------------------------------ constant sys_conf_rbmon_awidth : integer := 0; -- no rbmon to save BRAMs constant sys_conf_ibmon_awidth : integer := 0; -- no ibmon to save BRAMs constant sys_conf_ibtst : boolean := true; constant sys_conf_dmscnt : boolean := false; constant sys_conf_dmpcnt : boolean := true; constant sys_conf_dmhbpt_nunit : integer := 2; -- use 0 to disable constant sys_conf_dmcmon_awidth : integer := 0; -- no dmcmon to save BRAMs constant sys_conf_rbd_sysmon : boolean := true; -- SYSMON(XADC) -- configure w11 cpu core -------------------------------------------------- -- sys_conf_mem_losize is highest 64 byte MMU block number -- the bram_memcnt uses 4*4kB memory blocks => 1 MEM block = 256 MMU blocks constant sys_conf_mem_losize : natural := 256*sys_conf_memctl_nblock-1; constant sys_conf_cache_fmiss : slbit := '0'; -- cache enabled constant sys_conf_cache_twidth : integer := 9; -- 8kB cache -- configure w11 system devices -------------------------------------------- -- configure character and communication devices -- typ for DL,DZ,PC,LP: -1->none; 0->unbuffered; 4-7 buffered (typ=AWIDTH) constant sys_conf_ibd_dl11_0 : integer := 6; -- 1st DL11 constant sys_conf_ibd_dl11_1 : integer := 6; -- 2nd DL11 constant sys_conf_ibd_dz11 : integer := 6; -- DZ11 constant sys_conf_ibd_pc11 : integer := 6; -- PC11 constant sys_conf_ibd_lp11 : integer := 7; -- LP11 constant sys_conf_ibd_deuna : boolean := true; -- DEUNA -- configure mass storage devices constant sys_conf_ibd_rk11 : boolean := true; -- RK11 constant sys_conf_ibd_rl11 : boolean := true; -- RL11 constant sys_conf_ibd_rhrp : boolean := true; -- RHRP constant sys_conf_ibd_tm11 : boolean := true; -- TM11 -- configure other devices constant sys_conf_ibd_iist : boolean := true; -- IIST constant sys_conf_ibd_kw11p : boolean := true; -- KW11P constant sys_conf_ibd_m9312 : boolean := true; -- M9312 -- derived constants ======================================================= constant sys_conf_clksys : integer := ((100000000/sys_conf_clksys_vcodivide)*sys_conf_clksys_vcomultiply) / sys_conf_clksys_outdivide; constant sys_conf_clksys_mhz : integer := sys_conf_clksys/1000000; constant sys_conf_clkser : integer := ((100000000/sys_conf_clkser_vcodivide)*sys_conf_clkser_vcomultiply) / sys_conf_clkser_outdivide; constant sys_conf_clkser_mhz : integer := sys_conf_clkser/1000000; constant sys_conf_ser2rri_cdinit : integer := (sys_conf_clkser/sys_conf_ser2rri_defbaud)-1; end package sys_conf;
-------------------------------------------------------------------------------- -- Copyright (c) 2019 David Banks -- -------------------------------------------------------------------------------- -- ____ ____ -- / /\/ / -- /___/ \ / -- \ \ \/ -- \ \ -- / / Filename : Z80CpuMon.vhd -- /___/ /\ Timestamp : 14/10/2018 -- \ \ / \ -- \___\/\___\ -- --Design Name: Z80CpuMon --Device: multiple library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.numeric_std.all; entity Z80CpuMon is generic ( ClkMult : integer; ClkDiv : integer; ClkPer : real; num_comparators : integer; avr_prog_mem_size : integer ); port ( clock : in std_logic; -- Z80 Signals RESET_n : in std_logic; CLK_n : in std_logic; WAIT_n : in std_logic; INT_n : in std_logic; NMI_n : in std_logic; BUSRQ_n : in std_logic; M1_n : out std_logic; MREQ_n : out std_logic; IORQ_n : out std_logic; RD_n : out std_logic; WR_n : out std_logic; RFSH_n : out std_logic; HALT_n : out std_logic; BUSAK_n : out std_logic; Addr : out std_logic_vector(15 downto 0); Data : inout std_logic_vector(7 downto 0); -- Buffer Control Signals DIRD : out std_logic; tristate_n : out std_logic; tristate_ad_n : out std_logic; -- Mode jumper, tie low to generate NOPs when paused mode : in std_logic; -- External trigger inputs trig : in std_logic_vector(1 downto 0); -- Serial Console avr_RxD : in std_logic; avr_TxD : out std_logic; -- Switches sw_reset_cpu : in std_logic; sw_reset_avr : in std_logic; -- LEDs led_bkpt : out std_logic; led_trig0 : out std_logic; led_trig1 : out std_logic; -- OHO_DY1 connected to test connector tmosi : out std_logic; tdin : out std_logic; tcclk : out std_logic; -- Debugging signals test1 : out std_logic; test2 : out std_logic; test3 : out std_logic; test4 : out std_logic ); end Z80CpuMon; architecture behavioral of Z80CpuMon is type state_type is (idle, nop_t1, nop_t2, nop_t3, nop_t4, rd_t1, rd_wa, rd_t2, rd_t3, wr_t1, wr_wa, wr_t2, wr_t3, busack); signal state : state_type; signal clock_avr : std_logic; signal cpu_reset_n : std_logic; signal cpu_clk : std_logic; signal cpu_clken : std_logic; signal busmon_clk : std_logic; signal Addr_int : std_logic_vector(15 downto 0); signal Addr1 : std_logic_vector(15 downto 0); signal Addr2 : std_logic_vector(15 downto 0); signal RD_n_int : std_logic; signal WR_n_int : std_logic; signal MREQ_n_int : std_logic; signal IORQ_n_int : std_logic; signal RFSH_n_int : std_logic; signal M1_n_int : std_logic; signal BUSAK_n_int : std_logic; signal BUSAK_n_comb : std_logic; signal WAIT_n_latched : std_logic; signal TState : std_logic_vector(2 downto 0); signal TState1 : std_logic_vector(2 downto 0); signal SS_Single : std_logic; signal SS_Step : std_logic; signal SS_Step_held : std_logic; signal CountCycle : std_logic; signal special : std_logic_vector(2 downto 0); signal skipNextOpcode : std_logic; signal Regs : std_logic_vector(255 downto 0); signal PdcData : std_logic_vector(7 downto 0); signal io_not_mem : std_logic; signal io_rd : std_logic; signal io_wr : std_logic; signal memory_rd : std_logic; signal memory_wr : std_logic; signal memory_addr : std_logic_vector(15 downto 0); signal memory_dout : std_logic_vector(7 downto 0); signal memory_din : std_logic_vector(7 downto 0); signal memory_done : std_logic; signal io_rd1 : std_logic; signal io_wr1 : std_logic; signal memory_rd1 : std_logic; signal memory_wr1 : std_logic; signal mon_m1_n : std_logic; signal mon_xx_n : std_logic; -- shorten MREQ and RD in M1 NOP cycle signal mon_yy : std_logic; -- delay IORQ/RD/WR in IO cycle signal mon_mreq_n : std_logic; signal mon_iorq_n : std_logic; signal mon_rfsh_n : std_logic; signal mon_rd_n : std_logic; signal mon_wr_n : std_logic; signal mon_busak_n1 : std_logic; signal mon_busak_n2 : std_logic; signal mon_busak_n : std_logic; signal BUSRQ_n_sync : std_logic; signal INT_n_sync : std_logic; signal NMI_n_sync : std_logic; signal Rdy : std_logic; signal Read_n : std_logic; signal Read_n0 : std_logic; signal Read_n1 : std_logic; signal Write_n : std_logic; signal Write_n0 : std_logic; signal ReadIO_n : std_logic; signal ReadIO_n0 : std_logic; signal ReadIO_n1 : std_logic; signal WriteIO_n : std_logic; signal WriteIO_n0 : std_logic; signal Sync : std_logic; signal Sync0 : std_logic; signal Sync1 : std_logic; signal Mem_IO_n : std_logic; signal MemState : std_logic_vector(2 downto 0); signal Din : std_logic_vector(7 downto 0); signal Dout : std_logic_vector(7 downto 0); signal Den : std_logic; signal ex_data : std_logic_vector(7 downto 0); signal rd_data : std_logic_vector(7 downto 0); signal wr_data : std_logic_vector(7 downto 0); signal mon_data : std_logic_vector(7 downto 0); signal avr_TxD_int : std_logic; signal rfsh_addr : std_logic_vector(15 downto 0); begin -------------------------------------------------------- -- Clocking -------------------------------------------------------- inst_dcm0 : entity work.DCM0 generic map ( ClkMult => ClkMult, ClkDiv => ClkDiv, ClkPer => ClkPer ) port map( CLKIN_IN => clock, CLKFX_OUT => clock_avr ); cpu_clk <= CLK_n; busmon_clk <= CLK_n; -------------------------------------------------------- -- BusMonCore -------------------------------------------------------- mon : entity work.BusMonCore generic map ( num_comparators => num_comparators, avr_prog_mem_size => avr_prog_mem_size ) port map ( clock_avr => clock_avr, busmon_clk => busmon_clk, busmon_clken => '1', cpu_clk => cpu_clk, cpu_clken => '1', Addr => Addr_int, Data => mon_data, Rd_n => Read_n, Wr_n => Write_n, RdIO_n => ReadIO_n, WrIO_n => WriteIO_n, Sync => Sync, Rdy => open, nRSTin => RESET_n, nRSTout => cpu_reset_n, CountCycle => CountCycle, trig => trig, avr_RxD => avr_RxD, avr_TxD => avr_TxD_int, sw_reset_cpu => sw_reset_cpu, sw_reset_avr => sw_reset_avr, led_bkpt => led_bkpt, led_trig0 => led_trig0, led_trig1 => led_trig1, tmosi => tmosi, tdin => tdin, tcclk => tcclk, Regs => Regs, PdcData => PdcData, RdMemOut => memory_rd, WrMemOut => memory_wr, RdIOOut => io_rd, WrIOOut => io_wr, AddrOut => memory_addr, DataOut => memory_dout, DataIn => memory_din, Done => memory_done, Special => special, SS_Single => SS_Single, SS_Step => SS_Step ); -------------------------------------------------------- -- T80 -------------------------------------------------------- inst_t80: entity work.T80a port map ( TS => TState, Regs => Regs, PdcData => PdcData, RESET_n => cpu_reset_n, CLK_n => cpu_clk, CEN => cpu_clken, WAIT_n => WAIT_n, INT_n => INT_n_sync, NMI_n => NMI_n_sync, BUSRQ_n => BUSRQ_n, M1_n => M1_n_int, MREQ_n => MREQ_n_int, IORQ_n => IORQ_n_int, RD_n => RD_n_int, WR_n => WR_n_int, RFSH_n => RFSH_n_int, HALT_n => HALT_n, BUSAK_n => BUSAK_n_int, A => Addr_int, Din => Din, Dout => Dout, DEn => Den ); -------------------------------------------------------- -- Synchronise external interrupts -------------------------------------------------------- int_gen : process(CLK_n) begin if rising_edge(CLK_n) then BUSRQ_n_sync <= BUSRQ_n; NMI_n_sync <= NMI_n or special(1); INT_n_sync <= INT_n or special(0); end if; end process; -------------------------------------------------------- -- Z80 specific single step / breakpoint logic -------------------------------------------------------- CountCycle <= '1' when state = idle else '0'; -- The breakpoint logic stops the Z80 in M1/T3 using cpu_clken cpu_clken <= '0' when state = idle and SS_Single = '1' and Sync1 = '1' else '0' when state /= idle else '1'; -- Logic to ignore the second M1 in multi-byte opcodes skip_opcode_latch : process(CLK_n) begin if rising_edge(CLK_n) then if (M1_n_int = '0' and WAIT_n_latched = '1' and TState = "010") then if (skipNextOpcode = '0' and (Data = x"CB" or Data = x"DD" or Data = x"ED" or Data = x"FD")) then skipNextOpcode <= '1'; else skipNextOpcode <= '0'; end if; end if; end if; end process; -- For instruction breakpoints, we make the monitoring decision as early as possibe -- to allow time to stop the current instruction, which is possible because we don't -- really care about the data (it's re-read from memory by the disassembler). Sync0 <= '1' when WAIT_n = '1' and M1_n_int = '0' and TState = "010" and skipNextOpcode = '0' else '0'; -- For memory reads/write breakpoints we make the monitoring decision in the middle of T2 -- but only if WAIT_n is '1' so we catch the right data. Read_n0 <= not (WAIT_n and (not RD_n_int) and (not MREQ_n_int) and (M1_n_int)) when TState = "010" else '1'; Write_n0 <= not (WAIT_n and ( RD_n_int) and (not MREQ_n_int) and (M1_n_int)) when TState = "010" else '1'; -- For IO reads/writes we make the monitoring decision in the middle of the second T2 cycle -- but only if WAIT_n is '1' so we catch the right data. -- This one cycle delay accounts for the forced wait state ReadIO_n0 <= not (WAIT_n and (not RD_n_int) and (not IORQ_n_int) and (M1_n_int)) when TState1 = "010" else '1'; WriteIO_n0 <= not (WAIT_n and ( RD_n_int) and (not IORQ_n_int) and (M1_n_int)) when TState1 = "010" else '1'; -- Hold the monitoring decision so it is valid on the rising edge of the clock -- For instruction fetches and writes, the monitor sees these at the start of T3 -- For reads, the data can arrive in the middle of T3 so delay until end of T3 watch_gen : process(CLK_n) begin if falling_edge(CLK_n) then Sync <= Sync0; Read_n1 <= Read_n0; Read_n <= Read_n1; Write_n <= Write_n0; ReadIO_n1 <= ReadIO_n0; ReadIO_n <= ReadIO_n1; WriteIO_n <= WriteIO_n0; -- Latch wait seen by T80 on the falling edge, for use on the next rising edge WAIT_n_latched <= WAIT_n; end if; end process; -- Register the exec data on the rising at the end of T2 ex_data_latch : process(CLK_n) begin if rising_edge(CLK_n) then TState1 <= TState; if Sync = '1' then ex_data <= Data; end if; end if; end process; -- Register the read data on the falling edge of clock in the middle of T3 rd_data_latch : process(CLK_n) begin if falling_edge(CLK_n) then if Read_n1 = '0' or ReadIO_n1 = '0' then rd_data <= Data; end if; memory_din <= Data; end if; end process; -- Register the write data on the falling edge in the middle of T2 wr_data_latch : process(CLK_n) begin if falling_edge(CLK_n) then if Write_n0 = '0' or WriteIO_n0 = '0' then wr_data <= Data; end if; end if; end process; -- Mux the data seen by the bus monitor appropriately mon_data <= rd_data when Read_n = '0' or ReadIO_n = '0' else wr_data when Write_n = '0' or WriteIO_n = '0' else ex_data; -- Mark the memory access as done when t3 is reached memory_done <= '1' when state = rd_t3 or state = wr_t3 else '0'; -- Multiplex the bus control signals -- The _int versions come from the T80 -- The mon_ versions come from the state machine below MREQ_n <= MREQ_n_int when state = idle else mon_mreq_n and mon_xx_n; IORQ_n <= IORQ_n_int when state = idle else (mon_iorq_n or mon_yy); WR_n <= WR_n_int when state = idle else (mon_wr_n or mon_yy); RD_n <= RD_n_int when state = idle else (mon_rd_n or mon_yy) and mon_xx_n; RFSH_n <= RFSH_n_int when state = idle else mon_rfsh_n; M1_n <= M1_n_int when state = idle else mon_m1_n; Addr1 <= x"0000" when state = nop_t1 or state = nop_t2 else rfsh_addr when state = nop_t3 or state = nop_t4 else memory_addr when state /= idle else Addr_int; tristate_n <= BUSAK_n_int when state = idle else mon_busak_n1; BUSAK_n <= BUSAK_n_int when state = idle else mon_busak_n; -- Force the address and databus to tristate when reset is asserted tristate_ad_n <= '0' when RESET_n = '0' else BUSAK_n_int when state = idle else mon_busak_n1; -- The Acorn Z80 Second Processor needs ~10ns of address hold time following M1 -- and MREQ being released at the start of T3. Otherwise, the ROM switching -- during NMI doesn't work reliably due to glitches. See: -- https://stardot.org.uk/forums/viewtopic.php?p=212096#p212096 -- -- Reordering the above Addr expression so Addr_int is last instead of -- first seems to fix the issue, but is clearly very dependent on how the Xilinx -- tools route the design. -- -- If the problem recurs, we should switch to something like: -- addr_delay : process(clock) begin if rising_edge(clock) then Addr2 <= Addr1; Addr <= Addr2; end if; end process; Data <= memory_dout when (state = wr_t1 and io_not_mem = '1') or state = wr_wa or state = wr_t2 or state = wr_t3 else Dout when state = idle and Den = '1' else (others => 'Z'); DIRD <= '0' when (state = wr_t1 and io_not_mem = '1') or state = wr_wa or state = wr_t2 or state = wr_t3 else '0' when state = idle and Den = '1' else '1'; Din <= Data; men_access_machine_rising : process(CLK_n, cpu_reset_n) begin if (cpu_reset_n = '0') then state <= idle; memory_rd1 <= '0'; memory_wr1 <= '0'; io_rd1 <= '0'; io_wr1 <= '0'; SS_Step_held <= '0'; mon_rfsh_n <= '1'; mon_m1_n <= '1'; mon_xx_n <= '1'; mon_yy <= '0'; mon_busak_n1 <= '1'; elsif rising_edge(CLK_n) then -- Extend the 1-cycle long request strobes from BusMonCore -- until we are ready to generate a bus cycle if memory_rd = '1' then memory_rd1 <= '1'; elsif state = rd_t1 then memory_rd1 <= '0'; end if; if memory_wr = '1' then memory_wr1 <= '1'; elsif state = wr_t1 then memory_wr1 <= '0'; end if; if io_rd = '1' then io_rd1 <= '1'; elsif state = rd_t1 then io_rd1 <= '0'; end if; if io_wr = '1' then io_wr1 <= '1'; elsif state = wr_t1 then io_wr1 <= '0'; end if; if SS_Step = '1' then SS_Step_held <= '1'; elsif state = idle then SS_Step_held <= '0'; end if; Sync1 <= Sync; -- Main state machine, generating refresh, read and write cycles -- (the timing should exactly match those of the Z80) case state is -- Idle is when T80 is running when idle => if SS_Single = '1' and Sync1 = '1' then -- Load the initial refresh address from I/R in the T80 rfsh_addr <= Regs(199 downto 192) & Regs(207 downto 200); -- Start genering NOP cycles mon_rfsh_n <= '0'; state <= nop_t3; end if; -- NOP cycle when nop_t1 => state <= nop_t2; -- Increment the refresh address (7 bits, just like the Z80) rfsh_addr(6 downto 0) <= rfsh_addr(6 downto 0) + 1; mon_xx_n <= mode; when nop_t2 => if WAIT_n_latched = '1' then mon_m1_n <= '1'; mon_xx_n <= '1'; if SS_Step_held = '1' or SS_Single = '0' then state <= idle; else mon_rfsh_n <= '0'; state <= nop_t3; end if; end if; when nop_t3 => state <= nop_t4; when nop_t4 => mon_rfsh_n <= '1'; -- Sample BUSRQ_n at the *start* of the final T-state -- (hence using BUSRQ_n_sync) if BUSRQ_n_sync = '0' then state <= busack; mon_busak_n1 <= '0'; elsif memory_wr1 = '1' or io_wr1 = '1' then state <= wr_t1; io_not_mem <= io_wr1; mon_yy <= io_wr1; elsif memory_rd1 = '1' or io_rd1 = '1' then state <= rd_t1; io_not_mem <= io_rd1; mon_yy <= io_rd1; else state <= nop_t1; mon_m1_n <= mode; end if; -- Read cycle when rd_t1 => mon_yy <= '0'; if io_not_mem = '1' then state <= rd_wa; else state <= rd_t2; end if; when rd_wa => state <= rd_t2; when rd_t2 => if WAIT_n_latched = '1' then state <= rd_t3; end if; when rd_t3 => -- Sample BUSRQ_n at the *start* of the final T-state -- (hence using BUSRQ_n_sync) if BUSRQ_n_sync = '0' then state <= busack; mon_busak_n1 <= '0'; else state <= nop_t1; mon_m1_n <= mode; end if; -- Write cycle when wr_t1 => mon_yy <= '0'; if io_not_mem = '1' then state <= wr_wa; else state <= wr_t2; end if; when wr_wa => state <= wr_t2; when wr_t2 => if WAIT_n_latched = '1' then state <= wr_t3; end if; when wr_t3 => -- Sample BUSRQ_n at the *start* of the final T-state -- (hence using BUSRQ_n_sync) if BUSRQ_n_sync = '0' then state <= busack; mon_busak_n1 <= '0'; else state <= nop_t1; mon_m1_n <= mode; end if; -- Bus Request/Ack cycle when busack => -- Release BUSAK_n on the next rising edge after BUSRQ_n seen -- (hence using BUSRQ_n) if BUSRQ_n_sync = '1' then state <= nop_t1; mon_m1_n <= mode; mon_busak_n1 <= '1'; end if; end case; end if; end process; men_access_machine_falling : process(CLK_n) begin if falling_edge(CLK_n) then -- For memory access cycles, mreq/iorq/rd/wr all change in the middle of -- the t state, so retime these on the falling edge of clock if state = rd_t1 or state = rd_wa or state = rd_t2 or state = wr_t1 or state = wr_wa or state = wr_t2 then if io_not_mem = '0' then -- Memory cycle mon_mreq_n <= '0'; mon_iorq_n <= '1'; else -- IO cycle mon_mreq_n <= '1'; mon_iorq_n <= '0'; end if; elsif (state = nop_t1 and mode = '0') or state = nop_t3 then -- M1 cycle mon_mreq_n <= '0'; mon_iorq_n <= '1'; else -- Idle cycle mon_mreq_n <= '1'; mon_iorq_n <= '1'; end if; -- Read strobe if (state = nop_t1 and mode = '0') or state = rd_t1 or state = rd_wa or state = rd_t2 then mon_rd_n <= '0'; else mon_rd_n <= '1'; end if; -- Write strobe if (state = wr_t1 and io_not_mem = '1') or state = wr_wa or state = wr_t2 then mon_wr_n <= '0'; else mon_wr_n <= '1'; end if; -- Half-cycle delayed version of BUSRQ_n_sync mon_busak_n2 <= BUSRQ_n_sync; end if; end process; mon_busak_n <= mon_busak_n1 or mon_busak_n2; avr_TxD <= avr_Txd_int; test1 <= Sync1; test2 <= TState(0); test3 <= TState(1); test4 <= TState(2); end behavioral;
---------------------------------------------------------------------------------- -- Company: LARC - Escola Politecnica - University of Sao Paulo -- Engineer: Pedro Maat C. Massolino -- -- Create Date: 05/12/2012 -- Design Name: Tb_Codeword Generator_n_m_v2 -- Module Name: Tb_Codeword_Generator_n_m_v2 -- Project Name: McEliece QD-Goppa Encoder -- Target Devices: Any -- Tool versions: Xilinx ISE 13.3 WebPack -- -- Description: -- -- Test bench for codeword_generator_n_m_v2 circuit. -- -- The circuits parameters -- -- PERIOD : -- -- Input clock period to be applied on the test. -- -- number_of_multipliers_per_acc : -- -- The number of matrix rows and message values calculate at once in one or more accumulators. -- On this implementation this value, must be the same of number_of_accs, -- because of copy message. When copying message message values loaded must be same stored in codeword. -- This value also must be power of 2. -- -- number_of_accs : -- -- The number of matrix columns and codeword values calculate at once. -- On this implementation this value, must be the same of number_of_multipliers_per_acc, -- because of copy message. When copying message message values loaded must be same stored in codeword. -- This value also must be power of 2. -- -- length_message : -- -- Length in bits of message size and also part of matrix size. -- -- size_message : -- -- The number of bits necessary to store the message. The ceil(log2(lenght_message)) -- -- length_codeword : -- -- Length in bits of codeword size and also part of matrix size. -- -- size_codeword : -- -- The number of bits necessary to store the codeword. The ceil(log2(length_codeword)) -- -- size_dyadic_matrix : -- -- The number of bits necessary to store one row of the dyadic matrix. -- It is also the ceil(log2(number of errors in the code)) -- -- number_dyadic_matrices : -- -- The number of dyadic matrices present in matrix A. -- -- size_number_dyadic_matrices : -- -- The number of bits necessary to store the number of dyadic matrices. -- The ceil(log2(number_dyadic_matrices)) -- -- message_memory_file : -- -- File that holds the message to be encoded. -- -- codeword_memory_file : -- -- File that holds the encoded message. -- This will be used to verify if the circuit worked correctly. -- -- generator_matrix_memory_file : -- -- File that holds the public key, matrix A, in a reduced form. -- -- dump_test_codeword_file : -- -- File that will hold the encoded message computed by the circuit. -- -- -- Dependencies: -- -- VHDL-93 -- IEEE.NUMERIC_STD_ALL; -- -- codeword_generator_n_m_v2 Rev 1.0 -- ram_bank Rev 1.0 -- ram_double Rev 1.0 -- ram_double_bank Rev 1.0 -- -- Revision: -- Revision 1.00 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.NUMERIC_STD.ALL; entity tb_codeword_generator_n_m_v2 is Generic ( PERIOD : time := 10 ns; -- QD-GOPPA [52, 28, 4, 6] -- -- number_of_multipliers_per_acc : integer := 1; -- number_of_accs : integer := 1; -- length_message : integer := 28; -- size_message : integer := 5; -- length_codeword : integer := 52; -- size_codeword : integer := 6; -- size_dyadic_matrix : integer := 2; -- number_dyadic_matrices : integer := 42; -- size_number_dyadic_matrices : integer := 6; -- message_memory_file : string := "mceliece/data_tests/message_qdgoppa_52_28_4_6.dat"; -- codeword_memory_file : string := "mceliece/data_tests/plaintext_qdgoppa_52_28_4_6.dat"; -- generator_matrix_memory_file : string := "mceliece/data_tests/generator_matrix_qdgoppa_52_28_4_6.dat"; -- dump_test_codeword_file : string := "mceliece/data_tests/dump_plaintext_qdgoppa_52_28_4_6.dat" -- QD-GOPPA [2528, 2144, 32, 12] -- number_of_multipliers_per_acc : integer := 4; number_of_accs : integer := 4; length_message : integer := 2144; size_message : integer := 12; length_codeword : integer := 2528; size_codeword : integer := 12; size_dyadic_matrix : integer := 5; number_dyadic_matrices : integer := 804; size_number_dyadic_matrices : integer := 10; message_memory_file : string := "mceliece/data_tests/message_qdgoppa_2528_2144_32_12.dat"; codeword_memory_file : string := "mceliece/data_tests/plaintext_qdgoppa_2528_2144_32_12.dat"; generator_matrix_memory_file : string := "mceliece/data_tests/generator_matrix_qdgoppa_2528_2144_32_12.dat"; dump_test_codeword_file : string := "mceliece/data_tests/dump_plaintext_qdgoppa_2528_2144_32_12.dat" -- QD-GOPPA [2816, 2048, 64, 12] -- -- number_of_multipliers_per_acc : integer := 64; -- number_of_accs : integer := 64; -- length_message : integer := 2048; -- size_message : integer := 12; -- length_codeword : integer := 2816; -- size_codeword : integer := 12; -- size_dyadic_matrix : integer := 6; -- number_dyadic_matrices : integer := 384; -- size_number_dyadic_matrices : integer := 9; -- message_memory_file : string := "mceliece/data_tests/message_qdgoppa_2816_2048_64_12.dat"; -- codeword_memory_file : string := "mceliece/data_tests/plaintext_qdgoppa_2816_2048_64_12.dat"; -- generator_matrix_memory_file : string := "mceliece/data_tests/generator_matrix_qdgoppa_2816_2048_64_12.dat"; -- dump_test_codeword_file : string := "mceliece/data_tests/dump_plaintext_qdgoppa_2816_2048_64_12.dat" -- QD-GOPPA [3328, 2560, 64, 12] -- -- number_of_multipliers_per_acc : integer := 64; -- number_of_accs : integer := 64; -- length_message : integer := 2560; -- size_message : integer := 12; -- length_codeword : integer := 3328; -- size_codeword : integer := 12; -- size_dyadic_matrix : integer := 6; -- number_dyadic_matrices : integer := 480; -- size_number_dyadic_matrices : integer := 9; -- message_memory_file : string := "mceliece/data_tests/message_qdgoppa_3328_2560_64_12.dat"; -- codeword_memory_file : string := "mceliece/data_tests/plaintext_qdgoppa_3328_2560_64_12.dat"; -- generator_matrix_memory_file : string := "mceliece/data_tests/generator_matrix_qdgoppa_3328_2560_64_12.dat"; -- dump_test_codeword_file : string := "mceliece/data_tests/dump_plaintext_qdgoppa_3328_2560_64_12.dat" -- QD-GOPPA [7296, 5632, 128, 13] -- -- number_of_multipliers_per_acc : integer := 128; -- number_of_accs : integer := 128; -- length_message : integer := 5632; -- size_message : integer := 13; -- length_codeword : integer := 7296; -- size_codeword : integer := 13; -- size_dyadic_matrix : integer := 7; -- number_dyadic_matrices : integer := 572; -- size_number_dyadic_matrices : integer := 10; -- message_memory_file : string := "mceliece/data_tests/message_qdgoppa_7296_5632_128_13.dat"; -- codeword_memory_file : string := "mceliece/data_tests/plaintext_qdgoppa_7296_5632_128_13.dat"; -- generator_matrix_memory_file : string := "mceliece/data_tests/generator_matrix_qdgoppa_7296_5632_128_13.dat"; -- dump_test_codeword_file : string := "mceliece/data_tests/dump_plaintext_qdgoppa_7296_5632_128_13.dat" ); end tb_codeword_generator_n_m_v2; architecture Behavioral of tb_codeword_generator_n_m_v2 is component codeword_generator_n_m_v2 is Generic( number_of_multipliers_per_acc : integer; number_of_accs : integer; length_message : integer; size_message : integer; length_codeword : integer; size_codeword : integer; size_dyadic_matrix : integer; number_dyadic_matrices : integer; size_number_dyadic_matrices : integer ); Port( codeword : in STD_LOGIC_VECTOR((number_of_accs - 1) downto 0); matrix : in STD_LOGIC_VECTOR((2**size_dyadic_matrix - 1) downto 0); message : in STD_LOGIC_VECTOR((number_of_multipliers_per_acc - 1) downto 0); clk : in STD_LOGIC; rst : in STD_LOGIC; new_codeword : out STD_LOGIC_VECTOR((number_of_accs - 1) downto 0); write_enable_new_codeword : out STD_LOGIC; codeword_finalized : out STD_LOGIC; address_codeword : out STD_LOGIC_VECTOR((size_codeword - 1) downto 0); address_message : out STD_LOGIC_VECTOR((size_message - 1) downto 0); address_matrix : out STD_LOGIC_VECTOR(((size_dyadic_matrix + size_number_dyadic_matrices) - 1) downto 0) ); end component; component ram_bank Generic ( number_of_memories : integer; ram_address_size : integer; ram_word_size : integer; file_ram_word_size : integer; load_file_name : string := "ram.dat"; dump_file_name : string := "ram.dat" ); Port ( data_in : in STD_LOGIC_VECTOR (((ram_word_size)*(number_of_memories) - 1) downto 0); rw : in STD_LOGIC; clk : in STD_LOGIC; rst : in STD_LOGIC; dump : in STD_LOGIC; address : in STD_LOGIC_VECTOR ((ram_address_size - 1) downto 0); rst_value : in STD_LOGIC_VECTOR ((ram_word_size - 1) downto 0); data_out : out STD_LOGIC_VECTOR (((ram_word_size)*(number_of_memories) - 1) downto 0) ); end component; component ram_double Generic ( ram_address_size : integer; ram_word_size : integer; file_ram_word_size : integer; load_file_name : string := "ram.dat"; dump_file_name : string := "ram.dat" ); Port ( data_in_a : in STD_LOGIC_VECTOR ((ram_word_size - 1) downto 0); data_in_b : in STD_LOGIC_VECTOR ((ram_word_size - 1) downto 0); rw_a : in STD_LOGIC; rw_b : in STD_LOGIC; clk : in STD_LOGIC; rst : in STD_LOGIC; dump : in STD_LOGIC; address_a : in STD_LOGIC_VECTOR ((ram_address_size - 1) downto 0); address_b : in STD_LOGIC_VECTOR ((ram_address_size - 1) downto 0); rst_value : in STD_LOGIC_VECTOR ((ram_word_size - 1) downto 0); data_out_a : out STD_LOGIC_VECTOR ((ram_word_size - 1) downto 0); data_out_b : out STD_LOGIC_VECTOR ((ram_word_size - 1) downto 0) ); end component; component ram_double_bank Generic ( number_of_memories : integer; ram_address_size : integer; ram_word_size : integer; file_ram_word_size : integer; load_file_name : string := "ram.dat"; dump_file_name : string := "ram.dat" ); Port ( data_in_a : in STD_LOGIC_VECTOR (((ram_word_size)*(number_of_memories) - 1) downto 0); data_in_b : in STD_LOGIC_VECTOR (((ram_word_size)*(number_of_memories) - 1) downto 0); rw_a : in STD_LOGIC; rw_b : in STD_LOGIC; clk : in STD_LOGIC; rst : in STD_LOGIC; dump : in STD_LOGIC; address_a : in STD_LOGIC_VECTOR ((ram_address_size - 1) downto 0); address_b : in STD_LOGIC_VECTOR ((ram_address_size - 1) downto 0); rst_value : in STD_LOGIC_VECTOR ((ram_word_size - 1) downto 0); data_out_a : out STD_LOGIC_VECTOR (((ram_word_size)*(number_of_memories) - 1) downto 0); data_out_b : out STD_LOGIC_VECTOR (((ram_word_size)*(number_of_memories) - 1) downto 0) ); end component; signal clk : STD_LOGIC := '0'; signal rst : STD_LOGIC; signal codeword : STD_LOGIC_VECTOR((number_of_accs - 1) downto 0); signal matrix : STD_LOGIC_VECTOR((2**size_dyadic_matrix - 1) downto 0); signal message : STD_LOGIC_VECTOR((number_of_multipliers_per_acc - 1) downto 0); signal new_codeword : STD_LOGIC_VECTOR((number_of_accs - 1) downto 0); signal write_enable_new_codeword : STD_LOGIC; signal codeword_finalized : STD_LOGIC; signal address_codeword : STD_LOGIC_VECTOR((size_codeword - 1) downto 0); signal address_message : STD_LOGIC_VECTOR((size_message - 1) downto 0); signal address_matrix : STD_LOGIC_VECTOR(((size_dyadic_matrix + size_number_dyadic_matrices) - 1) downto 0); signal test_address_acc : STD_LOGIC_VECTOR((size_codeword - 1) downto 0); signal final_address_acc : STD_LOGIC_VECTOR((size_codeword - 1) downto 0); signal true_codeword : STD_LOGIC_VECTOR((number_of_accs - 1) downto 0); signal test_error : STD_LOGIC; signal dump_test_codeword : STD_LOGIC; signal test_bench_finish : STD_LOGIC := '0'; signal cycle_count : integer range 0 to 2000000000 := 0; for mem_message : ram_bank use entity work.ram_bank(file_load); for mem_test_codeword : ram_bank use entity work.ram_bank(simple); for mem_true_codeword : ram_bank use entity work.ram_bank(file_load); begin test : codeword_generator_n_m_v2 Generic Map( number_of_multipliers_per_acc => number_of_multipliers_per_acc, number_of_accs => number_of_accs, length_message => length_message, size_message => size_message, length_codeword => length_codeword, size_codeword => size_codeword, size_dyadic_matrix => size_dyadic_matrix, number_dyadic_matrices => number_dyadic_matrices, size_number_dyadic_matrices => size_number_dyadic_matrices ) Port Map( codeword => codeword, matrix => matrix, message => message, clk => clk, rst => rst, new_codeword => new_codeword, write_enable_new_codeword => write_enable_new_codeword, codeword_finalized => codeword_finalized, address_codeword => address_codeword, address_message => address_message, address_matrix => address_matrix ); mem_generator_matrix : entity work.ram_bank(file_load) Generic Map( number_of_memories => 2**size_dyadic_matrix, ram_address_size => size_dyadic_matrix + size_number_dyadic_matrices, ram_word_size => 1, file_ram_word_size => 1, load_file_name => generator_matrix_memory_file, dump_file_name => "" ) Port Map( data_in => (others => '0'), rw => '0', clk => clk, rst => rst, dump => '0', address => address_matrix, rst_value => "0", data_out => matrix ); mem_message : ram_bank Generic Map( number_of_memories => number_of_multipliers_per_acc, ram_address_size => size_message, ram_word_size => 1, file_ram_word_size => 1, load_file_name => message_memory_file, dump_file_name => "" ) Port Map( data_in => (others => '0'), rw => '0', clk => clk, rst => rst, dump => '0', address => address_message, rst_value => "0", data_out => message ); mem_test_codeword : ram_bank Generic Map( number_of_memories => number_of_accs, ram_address_size => size_codeword, ram_word_size => 1, file_ram_word_size => 1, load_file_name => "", dump_file_name => dump_test_codeword_file ) Port Map( data_in => new_codeword, rw => write_enable_new_codeword, clk => clk, rst => rst, dump => dump_test_codeword, address => final_address_acc, rst_value => "0", data_out => codeword ); mem_true_codeword : ram_bank Generic Map( number_of_memories => number_of_accs, ram_address_size => size_codeword, ram_word_size => 1, file_ram_word_size => 1, load_file_name => codeword_memory_file, dump_file_name => "" ) Port Map( data_in => (others => '0'), rw => '0', clk => clk, rst => rst, dump => '0', address => final_address_acc, rst_value => "0", data_out => true_codeword ); clock : process begin while ( test_bench_finish /= '1') loop clk <= not clk; wait for PERIOD/2; cycle_count <= cycle_count+1; end loop; wait; end process; final_address_acc <= address_codeword when codeword_finalized = '0' else test_address_acc; process variable i : integer; begin test_address_acc <= (others => '0'); rst <= '1'; test_error <= '0'; dump_test_codeword <= '0'; wait for PERIOD*2; rst <= '0'; wait until codeword_finalized = '1'; report "Circuit finish = " & integer'image((cycle_count - 2)/2) & " cycles"; wait for PERIOD; i := 0; while (i < (length_codeword)) loop test_address_acc <= std_logic_vector(to_unsigned(i, test_address_acc'Length)); wait for PERIOD*2; if (true_codeword = codeword) then test_error <= '0'; else test_error <= '1'; report "Computed values do not match expected ones"; end if; wait for PERIOD; test_error <= '0'; wait for PERIOD; i := i + number_of_accs; end loop; dump_test_codeword <= '1'; wait for PERIOD; dump_test_codeword <= '0'; test_bench_finish <= '1'; wait; end process; end Behavioral;
------------------------------------------------------------------------------ -- This file is a part of the GRLIB VHDL IP LIBRARY -- Copyright (C) 2003, Gaisler Research -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation; either version 2 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ----------------------------------------------------------------------------- -- Entity: various -- File: mem_apa3_gen.vhd -- Author: Jiri Gaisler Gaisler Research -- Description: Memory generators for Actel Proasic3 rams ------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; -- pragma translate_off library proasic3; use proasic3.RAM4K9; -- pragma translate_on entity proasic3_ram4k9 is generic (abits : integer range 9 to 12 := 9; dbits : integer := 9); port ( addra, addrb : in std_logic_vector(abits -1 downto 0); clka, clkb : in std_ulogic; dia, dib : in std_logic_vector(dbits -1 downto 0); doa, dob : out std_logic_vector(dbits -1 downto 0); ena, enb : in std_ulogic; wea, web : in std_ulogic ); end; architecture rtl of proasic3_ram4k9 is component RAM4K9 -- pragma translate_off generic (abits : integer range 9 to 12 := 9); -- pragma translate_on port( ADDRA0, ADDRA1, ADDRA2, ADDRA3, ADDRA4, ADDRA5, ADDRA6, ADDRA7, ADDRA8, ADDRA9, ADDRA10, ADDRA11 : in std_logic; ADDRB0, ADDRB1, ADDRB2, ADDRB3, ADDRB4, ADDRB5, ADDRB6, ADDRB7, ADDRB8, ADDRB9, ADDRB10, ADDRB11 : in std_logic; BLKA, WENA, PIPEA, WMODEA, WIDTHA0, WIDTHA1, WENB, BLKB, PIPEB, WMODEB, WIDTHB1, WIDTHB0 : in std_logic; DINA0, DINA1, DINA2, DINA3, DINA4, DINA5, DINA6, DINA7, DINA8 : in std_logic; DINB0, DINB1, DINB2, DINB3, DINB4, DINB5, DINB6, DINB7, DINB8 : in std_logic; RESET, CLKA, CLKB : in std_logic; DOUTA0, DOUTA1, DOUTA2, DOUTA3, DOUTA4, DOUTA5, DOUTA6, DOUTA7, DOUTA8 : out std_logic; DOUTB0, DOUTB1, DOUTB2, DOUTB3, DOUTB4, DOUTB5, DOUTB6, DOUTB7, DOUTB8 : out std_logic ); end component; attribute syn_black_box : boolean; attribute syn_black_box of RAM4K9: component is true; attribute syn_tco1 : string; attribute syn_tco2 : string; attribute syn_tco1 of RAM4K9 : component is "CLKA->DOUTA0,DOUTA1,DOUTA2,DOUTA3,DOUTA4,DOUTA5,DOUTA6,DOUTA7,DOUTA8 = 3.0"; attribute syn_tco2 of RAM4K9 : component is "CLKB->DOUTB0,DOUTB1,DOUTB2,DOUTB3,DOUTB4,DOUTB5,DOUTB6,DOUTB7,DOUTB8 = 3.0"; signal gnd, vcc : std_ulogic; signal aa, ab : std_logic_vector(13 downto 0); signal da, db : std_logic_vector(9 downto 0); signal qa, qb : std_logic_vector(9 downto 0); signal width : std_logic_vector(1 downto 0); begin gnd <= '0'; vcc <= '1'; width <= "11" when abits = 9 else "10" when abits = 10 else "01" when abits = 11 else "00"; doa <= qa(dbits-1 downto 0); dob <= qb(dbits-1 downto 0); da(dbits-1 downto 0) <= dia; da(9 downto dbits) <= (others => '0'); db(dbits-1 downto 0) <= dib; db(9 downto dbits) <= (others => '0'); aa(abits-1 downto 0) <= addra; aa(13 downto abits) <= (others => '0'); ab(abits-1 downto 0) <= addrb; ab(13 downto abits) <= (others => '0'); u0 : RAM4K9 -- pragma translate_off generic map (abits => abits) -- pragma translate_on port map ( ADDRA0 => aa(0), ADDRA1 => aa(1), ADDRA2 => aa(2), ADDRA3 => aa(3), ADDRA4 => aa(4), ADDRA5 => aa(5), ADDRA6 => aa(6), ADDRA7 => aa(7), ADDRA8 => aa(8), ADDRA9 => aa(9), ADDRA10 => aa(10), ADDRA11 => aa(11), ADDRB0 => ab(0), ADDRB1 => ab(1), ADDRB2 => ab(2), ADDRB3 => ab(3), ADDRB4 => ab(4), ADDRB5 => ab(5), ADDRB6 => ab(6), ADDRB7 => ab(7), ADDRB8 => ab(8), ADDRB9 => ab(9), ADDRB10 => ab(10), ADDRB11 => ab(11), BLKA => ena, WENA => wea, PIPEA =>gnd, WMODEA => gnd, WIDTHA0 => width(0), WIDTHA1 => width(1), BLKB => enb, WENB => web, PIPEB =>gnd, WMODEB => gnd, WIDTHB0 => width(0), WIDTHB1 => width(1), DINA0 => da(0), DINA1 => da(1), DINA2 => da(2), DINA3 => da(3), DINA4 => da(4), DINA5 => da(5), DINA6 => da(6), DINA7 => da(7), DINA8 => da(8), DINB0 => db(0), DINB1 => db(1), DINB2 => db(2), DINB3 => db(3), DINB4 => db(4), DINB5 => db(5), DINB6 => db(6), DINB7 => db(7), DINB8 => db(8), RESET => vcc, CLKA => clka, CLKB => clkb, DOUTA0 => qa(0), DOUTA1 => qa(1), DOUTA2 => qa(2), DOUTA3 => qa(3), DOUTA4 => qa(4), DOUTA5 => qa(5), DOUTA6 => qa(6), DOUTA7 => qa(7), DOUTA8 => qa(8), DOUTB0 => qb(0), DOUTB1 => qb(1), DOUTB2 => qb(2), DOUTB3 => qb(3), DOUTB4 => qb(4), DOUTB5 => qb(5), DOUTB6 => qb(6), DOUTB7 => qb(7), DOUTB8 => qb(8) ); end; library ieee; use ieee.std_logic_1164.all; -- pragma translate_off library proasic3; use proasic3.RAM512X18; -- pragma translate_on entity proasic3_ram512x18 is port ( addra, addrb : in std_logic_vector(8 downto 0); clka, clkb : in std_ulogic; di : in std_logic_vector(17 downto 0); do : out std_logic_vector(17 downto 0); ena, enb : in std_ulogic; wea : in std_ulogic ); end; architecture rtl of proasic3_ram512x18 is component RAM512X18 port( RADDR8, RADDR7, RADDR6, RADDR5, RADDR4, RADDR3, RADDR2, RADDR1, RADDR0 : in std_logic; WADDR8, WADDR7, WADDR6, WADDR5, WADDR4, WADDR3, WADDR2, WADDR1, WADDR0 : in std_logic; WD17, WD16, WD15, WD14, WD13, WD12, WD11, WD10, WD9, WD8, WD7, WD6, WD5, WD4, WD3, WD2, WD1, WD0 : in std_logic; REN, WEN, RESET, RW0, RW1, WW1, WW0, PIPE, RCLK, WCLK : in std_logic; RD17, RD16, RD15, RD14, RD13, RD12, RD11, RD10, RD9, RD8, RD7, RD6, RD5, RD4, RD3, RD2, RD1, RD0 : out std_logic ); end component; attribute syn_black_box : boolean; attribute syn_tco1 : string; attribute syn_black_box of RAM512X18: component is true; attribute syn_tco1 of RAM512X18 : component is "RCLK->RD17,RD16,RD15,RD14,RD13,RD12,RD11,RD10,RD9,RD8,RD7,RD6,RD5,RD4,RD3,RD2,RD1,RD0 = 3.0"; signal gnd, vcc : std_ulogic; signal width : std_logic_vector(1 downto 0); begin gnd <= '0'; vcc <= '1'; width <= "10"; u0 : RAM512X18 port map ( RADDR0 => addrb(0), RADDR1 => addrb(1), RADDR2 => addrb(2), RADDR3 => addrb(3), RADDR4 => addrb(4), RADDR5 => addrb(5), RADDR6 => addrb(6), RADDR7 => addrb(7), RADDR8 => addrb(8), WADDR0 => addra(0), WADDR1 => addra(1), WADDR2 => addra(2), WADDR3 => addra(3), WADDR4 => addra(4), WADDR5 => addra(5), WADDR6 => addra(6), WADDR7 => addra(7), WADDR8 => addra(8), WD17 => di(17), WD16 => di(16), WD15 => di(15), WD14 => di(14), WD13 => di(13), WD12 => di(12), WD11 => di(11), WD10 => di(10), WD9 => di(9), WD8 => di(8), WD7 => di(7), WD6 => di(6), WD5 => di(5), WD4 => di(4), WD3 => di(3), WD2 => di(2), WD1 => di(1), WD0 => di(0), WEN => ena, PIPE => gnd, WW0 => width(0), WW1 => width(1), REN => enb, RW0 => width(0), RW1 => width(1), RESET => vcc, WCLK => clka, RCLK => clkb, RD17 => do(17), RD16 => do(16), RD15 => do(15), RD14 => do(14), RD13 => do(13), RD12 => do(12), RD11 => do(11), RD10 => do(10), RD9 => do(9), RD8 => do(8), RD7 => do(7), RD6 => do(6), RD5 => do(5), RD4 => do(4), RD3 => do(3), RD2 => do(2), RD1 => do(1), RD0 => do(0) ); end; library ieee; use ieee.std_logic_1164.all; entity proasic3_syncram_dp is generic ( abits : integer := 6; dbits : integer := 8 ); port ( clk1 : in std_ulogic; address1 : in std_logic_vector((abits -1) downto 0); datain1 : in std_logic_vector((dbits -1) downto 0); dataout1 : out std_logic_vector((dbits -1) downto 0); enable1 : in std_ulogic; write1 : in std_ulogic; clk2 : in std_ulogic; address2 : in std_logic_vector((abits -1) downto 0); datain2 : in std_logic_vector((dbits -1) downto 0); dataout2 : out std_logic_vector((dbits -1) downto 0); enable2 : in std_ulogic; write2 : in std_ulogic ); end; architecture rtl of proasic3_syncram_dp is component proasic3_ram4k9 generic (abits : integer range 9 to 12 := 9; dbits : integer := 9); port ( addra, addrb : in std_logic_vector(abits -1 downto 0); clka, clkb : in std_ulogic; dia, dib : in std_logic_vector(dbits -1 downto 0); doa, dob : out std_logic_vector(dbits -1 downto 0); ena, enb : in std_ulogic; wea, web : in std_ulogic); end component; constant dlen : integer := dbits + 9; signal di1, di2, q1, q2 : std_logic_vector(dlen downto 0); signal a1, a2 : std_logic_vector(12 downto 0); signal en1, en2, we1, we2 : std_ulogic; begin di1(dbits-1 downto 0) <= datain1; di1(dlen downto dbits) <= (others => '0'); di2(dbits-1 downto 0) <= datain1; di2(dlen downto dbits) <= (others => '0'); a1(abits-1 downto 0) <= address1; a1(12 downto abits) <= (others => '0'); a2(abits-1 downto 0) <= address1; a2(12 downto abits) <= (others => '0'); dataout1 <= q1(dbits-1 downto 0); q1(dlen downto dbits) <= (others => '0'); dataout2 <= q2(dbits-1 downto 0); q2(dlen downto dbits) <= (others => '0'); en1 <= not enable1; en2 <= not enable2; we1 <= not write1; we2 <= not write2; a9 : if (abits <= 9) generate x : for i in 0 to (dbits-1)/9 generate u0 : proasic3_ram4k9 generic map (9, 9) port map ( a1(8 downto 0), a2(8 downto 0), clk1, clk2, di1(i*9+8 downto i*9), di2(i*9+8 downto i*9), q1(i*9+8 downto i*9), q2(i*9+8 downto i*9), en1, en2, we1, we2); end generate; end generate; a10 : if (abits = 10) generate x : for i in 0 to (dbits-1)/4 generate u0 : proasic3_ram4k9 generic map (10, 4) port map ( a1(9 downto 0), a2(9 downto 0), clk1, clk2, di1(i*4+3 downto i*4), di2(i*4+3 downto i*4), q1(i*4+3 downto i*4), q2(i*4+3 downto i*4), en1, en2, we1, we2); end generate; end generate; a11 : if (abits = 11) generate x : for i in 0 to (dbits-1)/2 generate u0 : proasic3_ram4k9 generic map (11, 2) port map ( a1(10 downto 0), a2(10 downto 0), clk1, clk2, di1(i*2+1 downto i*2), di2(i*2+1 downto i*2), q1(i*2+1 downto i*2), q2(i*2+1 downto i*2), en1, en2, we1, we2); end generate; end generate; a12 : if (abits = 12) generate x : for i in 0 to (dbits-1) generate u0 : proasic3_ram4k9 generic map (12, 1) port map ( a1(11 downto 0), a2(11 downto 0), clk1, clk2, di1(i*1 downto i*1), di2(i*1 downto i*1), q1(i*1 downto i*1), q2(i*1 downto i*1), en1, en2, we1, we2); end generate; end generate; -- pragma translate_off unsup : if abits > 12 generate x : process begin assert false report "Address depth larger than 12 not supported for ProAsic3 rams" severity failure; wait; end process; end generate; -- pragma translate_on end; library ieee; use ieee.std_logic_1164.all; entity proasic3_syncram_2p is generic ( abits : integer := 8; dbits : integer := 32); port ( rclk : in std_ulogic; rena : in std_ulogic; raddr : in std_logic_vector (abits -1 downto 0); dout : out std_logic_vector (dbits -1 downto 0); wclk : in std_ulogic; waddr : in std_logic_vector (abits -1 downto 0); din : in std_logic_vector (dbits -1 downto 0); write : in std_ulogic); end; architecture rtl of proasic3_syncram_2p is component proasic3_ram4k9 generic (abits : integer range 9 to 12 := 9; dbits : integer := 9); port ( addra, addrb : in std_logic_vector(abits -1 downto 0); clka, clkb : in std_ulogic; dia, dib : in std_logic_vector(dbits -1 downto 0); doa, dob : out std_logic_vector(dbits -1 downto 0); ena, enb : in std_ulogic; wea, web : in std_ulogic); end component; component proasic3_ram512x18 port ( addra, addrb : in std_logic_vector(8 downto 0); clka, clkb : in std_ulogic; di : in std_logic_vector(17 downto 0); do : out std_logic_vector(17 downto 0); ena, enb : in std_ulogic; wea : in std_ulogic); end component; constant dlen : integer := dbits + 18; signal di1, q2, gnd : std_logic_vector(dlen downto 0); signal a1, a2 : std_logic_vector(12 downto 0); signal en1, en2, we1, vcc : std_ulogic; begin vcc <= '1'; gnd <= (others => '0'); di1(dbits-1 downto 0) <= din; di1(dlen downto dbits) <= (others => '0'); a1(abits-1 downto 0) <= waddr; a1(12 downto abits) <= (others => '0'); a2(abits-1 downto 0) <= raddr; a2(12 downto abits) <= (others => '0'); dout <= q2(dbits-1 downto 0); q2(dlen downto dbits) <= (others => '0'); en1 <= not write; en2 <= not rena; we1 <= not write; a8 : if (abits <= 8) generate x : for i in 0 to (dbits-1)/18 generate u0 : proasic3_ram512x18 port map ( a1(8 downto 0), a2(8 downto 0), wclk, rclk, di1(i*18+17 downto i*18), q2(i*18+17 downto i*18), en1, en2, we1); end generate; end generate; a9 : if (abits = 9) generate x : for i in 0 to (dbits-1)/9 generate u0 : proasic3_ram4k9 generic map (9, 9) port map ( a1(8 downto 0), a2(8 downto 0), wclk, rclk, di1(i*9+8 downto i*9), gnd(8 downto 0), open, q2(i*9+8 downto i*9), en1, en2, we1, vcc); end generate; end generate; a10 : if (abits = 10) generate x : for i in 0 to (dbits-1)/4 generate u0 : proasic3_ram4k9 generic map (10, 4) port map ( a1(9 downto 0), a2(9 downto 0), wclk, rclk, di1(i*4+3 downto i*4), gnd(3 downto 0), open, q2(i*4+3 downto i*4), en1, en2, we1, vcc); end generate; end generate; a11 : if (abits = 11) generate x : for i in 0 to (dbits-1)/2 generate u0 : proasic3_ram4k9 generic map (11, 2) port map ( a1(10 downto 0), a2(10 downto 0), wclk, rclk, di1(i*2+1 downto i*2), gnd(1 downto 0), open, q2(i*2+1 downto i*2), en1, en2, we1, vcc); end generate; end generate; a12 : if (abits = 12) generate x : for i in 0 to (dbits-1) generate u0 : proasic3_ram4k9 generic map (12, 1) port map ( a1(11 downto 0), a2(11 downto 0), wclk, rclk, di1(i*1 downto i*1), gnd(0 downto 0), open, q2(i*1 downto i*1), en1, en2, we1, vcc); end generate; end generate; -- pragma translate_off unsup : if abits > 12 generate x : process begin assert false report "Address depth larger than 12 not supported for ProAsic3 rams" severity failure; wait; end process; end generate; -- pragma translate_on end; library ieee; use ieee.std_logic_1164.all; entity proasic3_syncram is generic ( abits : integer := 10; dbits : integer := 8 ); port ( clk : in std_ulogic; address : in std_logic_vector((abits -1) downto 0); datain : in std_logic_vector((dbits -1) downto 0); dataout : out std_logic_vector((dbits -1) downto 0); enable : in std_ulogic; write : in std_ulogic ); end; architecture rtl of proasic3_syncram is component proasic3_syncram_dp generic ( abits : integer := 6; dbits : integer := 8 ); port ( clk1 : in std_ulogic; address1 : in std_logic_vector((abits -1) downto 0); datain1 : in std_logic_vector((dbits -1) downto 0); dataout1 : out std_logic_vector((dbits -1) downto 0); enable1 : in std_ulogic; write1 : in std_ulogic; clk2 : in std_ulogic; address2 : in std_logic_vector((abits -1) downto 0); datain2 : in std_logic_vector((dbits -1) downto 0); dataout2 : out std_logic_vector((dbits -1) downto 0); enable2 : in std_ulogic; write2 : in std_ulogic ); end component; component proasic3_syncram_2p generic ( abits : integer := 8; dbits : integer := 32); port ( rclk : in std_ulogic; rena : in std_ulogic; raddr : in std_logic_vector (abits -1 downto 0); dout : out std_logic_vector (dbits -1 downto 0); wclk : in std_ulogic; waddr : in std_logic_vector (abits -1 downto 0); din : in std_logic_vector (dbits -1 downto 0); write : in std_ulogic); end component; signal gnd : std_logic_vector(abits+dbits downto 0); begin gnd <= (others => '0'); r2p : if abits <= 8 generate u0 : proasic3_syncram_2p generic map (abits, dbits) port map (clk, enable, address, dataout, clk, address, datain, write); end generate; rdp : if abits > 8 generate u0 : proasic3_syncram_dp generic map (abits, dbits) port map (clk, address, datain, dataout, enable, write, clk, gnd(abits-1 downto 0), gnd(dbits-1 downto 0), open, gnd(0), gnd(0)); end generate; end;