content
stringlengths
1
1.04M
library ieee; use ieee.std_logic_1164.all; library work; use work.motor_control_pkg.all; use work.symmetric_pwm_deadtime_pkg.all; entity symmetric_pwm_deadtime_tb is end symmetric_pwm_deadtime_tb; architecture behavior of symmetric_pwm_deadtime_tb is constant WIDTH : positive := 8; constant T_DEAD : natural := 10; -- Deadtime in clk cycles signal clk : std_logic := '0'; signal clk_en : std_logic := '1'; signal reset : std_logic := '1'; signal value : std_logic_vector(WIDTH - 1 downto 0) := (others => '0'); signal pwm : half_bridge_type; signal center : std_logic; -- Center of the 'on'-periode signal break : std_logic := '0'; begin clk <= not clk after 10 NS; -- 50 Mhz clock reset <= '1', '0' after 50 NS; -- erzeugt Resetsignal tb : process begin wait until falling_edge(reset); value <= x"7F"; wait for 100 US; value <= x"01"; wait for 100 US; value <= x"0a"; wait for 100 US; value <= x"FE"; wait for 100 US; value <= x"00"; wait for 100 US; value <= x"FF"; wait for 100 US; end process; tb2 : process begin wait until falling_edge(reset); wait for 40 US; break <= '1'; wait for 30 US; break <= '0'; wait for 150 US; break <= '1'; wait for 30 US; break <= '0'; end process; uut : symmetric_pwm_deadtime generic map ( WIDTH => WIDTH, T_DEAD => T_DEAD) port map ( pwm_p => pwm, center_p => center, clk_en_p => clk_en, value_p => value, break_p => break, reset => reset, clk => clk); end;
library ieee; use ieee.std_logic_1164.all; library work; use work.motor_control_pkg.all; use work.symmetric_pwm_deadtime_pkg.all; entity symmetric_pwm_deadtime_tb is end symmetric_pwm_deadtime_tb; architecture behavior of symmetric_pwm_deadtime_tb is constant WIDTH : positive := 8; constant T_DEAD : natural := 10; -- Deadtime in clk cycles signal clk : std_logic := '0'; signal clk_en : std_logic := '1'; signal reset : std_logic := '1'; signal value : std_logic_vector(WIDTH - 1 downto 0) := (others => '0'); signal pwm : half_bridge_type; signal center : std_logic; -- Center of the 'on'-periode signal break : std_logic := '0'; begin clk <= not clk after 10 NS; -- 50 Mhz clock reset <= '1', '0' after 50 NS; -- erzeugt Resetsignal tb : process begin wait until falling_edge(reset); value <= x"7F"; wait for 100 US; value <= x"01"; wait for 100 US; value <= x"0a"; wait for 100 US; value <= x"FE"; wait for 100 US; value <= x"00"; wait for 100 US; value <= x"FF"; wait for 100 US; end process; tb2 : process begin wait until falling_edge(reset); wait for 40 US; break <= '1'; wait for 30 US; break <= '0'; wait for 150 US; break <= '1'; wait for 30 US; break <= '0'; end process; uut : symmetric_pwm_deadtime generic map ( WIDTH => WIDTH, T_DEAD => T_DEAD) port map ( pwm_p => pwm, center_p => center, clk_en_p => clk_en, value_p => value, break_p => break, reset => reset, clk => clk); end;
-- (c) Copyright 1995-2015 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:ip:v_cresample:4.0 -- IP Revision: 5 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; LIBRARY v_cresample_v4_0; USE v_cresample_v4_0.v_cresample; ENTITY tutorial_v_cresample_0_0 IS PORT ( aclk : IN STD_LOGIC; aclken : IN STD_LOGIC; aresetn : IN STD_LOGIC; s_axis_video_tdata : IN STD_LOGIC_VECTOR(23 DOWNTO 0); s_axis_video_tvalid : IN STD_LOGIC; s_axis_video_tready : OUT STD_LOGIC; s_axis_video_tuser : IN STD_LOGIC; s_axis_video_tlast : IN STD_LOGIC; m_axis_video_tdata : OUT STD_LOGIC_VECTOR(15 DOWNTO 0); m_axis_video_tvalid : OUT STD_LOGIC; m_axis_video_tready : IN STD_LOGIC; m_axis_video_tuser : OUT STD_LOGIC; m_axis_video_tlast : OUT STD_LOGIC ); END tutorial_v_cresample_0_0; ARCHITECTURE tutorial_v_cresample_0_0_arch OF tutorial_v_cresample_0_0 IS ATTRIBUTE DowngradeIPIdentifiedWarnings : string; ATTRIBUTE DowngradeIPIdentifiedWarnings OF tutorial_v_cresample_0_0_arch: ARCHITECTURE IS "yes"; COMPONENT v_cresample IS GENERIC ( C_S_AXIS_VIDEO_DATA_WIDTH : INTEGER; C_M_AXIS_VIDEO_DATA_WIDTH : INTEGER; C_S_AXIS_VIDEO_TDATA_WIDTH : INTEGER; C_M_AXIS_VIDEO_TDATA_WIDTH : INTEGER; C_S_AXIS_VIDEO_FORMAT : INTEGER; C_M_AXIS_VIDEO_FORMAT : INTEGER; C_S_AXI_CLK_FREQ_HZ : INTEGER; C_HAS_AXI4_LITE : INTEGER; C_HAS_INTC_IF : INTEGER; C_HAS_DEBUG : INTEGER; C_FAMILY : STRING; C_MAX_COLS : INTEGER; C_ACTIVE_COLS : INTEGER; C_ACTIVE_ROWS : INTEGER; C_CHROMA_PARITY : INTEGER; C_FIELD_PARITY : INTEGER; C_INTERLACED : INTEGER; C_NUM_H_TAPS : INTEGER; C_NUM_V_TAPS : INTEGER; C_CONVERT_TYPE : INTEGER; C_COEF_WIDTH : INTEGER ); PORT ( aclk : IN STD_LOGIC; aclken : IN STD_LOGIC; aresetn : IN STD_LOGIC; s_axi_aclk : IN STD_LOGIC; s_axi_aclken : IN STD_LOGIC; s_axi_aresetn : IN STD_LOGIC; intc_if : OUT STD_LOGIC_VECTOR(8 DOWNTO 0); irq : OUT STD_LOGIC; s_axis_video_tdata : IN STD_LOGIC_VECTOR(23 DOWNTO 0); s_axis_video_tvalid : IN STD_LOGIC; s_axis_video_tready : OUT STD_LOGIC; s_axis_video_tuser : IN STD_LOGIC; s_axis_video_tlast : IN STD_LOGIC; m_axis_video_tdata : OUT STD_LOGIC_VECTOR(15 DOWNTO 0); m_axis_video_tvalid : OUT STD_LOGIC; m_axis_video_tready : IN STD_LOGIC; m_axis_video_tuser : OUT STD_LOGIC; m_axis_video_tlast : OUT STD_LOGIC; s_axi_awaddr : IN STD_LOGIC_VECTOR(8 DOWNTO 0); s_axi_awvalid : IN STD_LOGIC; s_axi_awready : OUT STD_LOGIC; s_axi_wdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_wstrb : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_wvalid : IN STD_LOGIC; s_axi_wready : OUT STD_LOGIC; s_axi_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_bvalid : OUT STD_LOGIC; s_axi_bready : IN STD_LOGIC; s_axi_araddr : IN STD_LOGIC_VECTOR(8 DOWNTO 0); s_axi_arvalid : IN STD_LOGIC; s_axi_arready : OUT STD_LOGIC; s_axi_rdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_rvalid : OUT STD_LOGIC; s_axi_rready : IN STD_LOGIC ); END COMPONENT v_cresample; ATTRIBUTE X_INTERFACE_INFO : STRING; ATTRIBUTE X_INTERFACE_INFO OF aclk: SIGNAL IS "xilinx.com:signal:clock:1.0 aclk_intf CLK"; ATTRIBUTE X_INTERFACE_INFO OF aclken: SIGNAL IS "xilinx.com:signal:clockenable:1.0 aclken_intf CE"; ATTRIBUTE X_INTERFACE_INFO OF aresetn: SIGNAL IS "xilinx.com:signal:reset:1.0 aresetn_intf RST"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_video_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 video_in TDATA"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_video_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 video_in TVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_video_tready: SIGNAL IS "xilinx.com:interface:axis:1.0 video_in TREADY"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_video_tuser: SIGNAL IS "xilinx.com:interface:axis:1.0 video_in TUSER"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_video_tlast: SIGNAL IS "xilinx.com:interface:axis:1.0 video_in TLAST"; ATTRIBUTE X_INTERFACE_INFO OF m_axis_video_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 video_out TDATA"; ATTRIBUTE X_INTERFACE_INFO OF m_axis_video_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 video_out TVALID"; ATTRIBUTE X_INTERFACE_INFO OF m_axis_video_tready: SIGNAL IS "xilinx.com:interface:axis:1.0 video_out TREADY"; ATTRIBUTE X_INTERFACE_INFO OF m_axis_video_tuser: SIGNAL IS "xilinx.com:interface:axis:1.0 video_out TUSER"; ATTRIBUTE X_INTERFACE_INFO OF m_axis_video_tlast: SIGNAL IS "xilinx.com:interface:axis:1.0 video_out TLAST"; BEGIN U0 : v_cresample GENERIC MAP ( C_S_AXIS_VIDEO_DATA_WIDTH => 8, C_M_AXIS_VIDEO_DATA_WIDTH => 8, C_S_AXIS_VIDEO_TDATA_WIDTH => 24, C_M_AXIS_VIDEO_TDATA_WIDTH => 16, C_S_AXIS_VIDEO_FORMAT => 1, C_M_AXIS_VIDEO_FORMAT => 0, C_S_AXI_CLK_FREQ_HZ => 100000000, C_HAS_AXI4_LITE => 0, C_HAS_INTC_IF => 0, C_HAS_DEBUG => 0, C_FAMILY => "zynq", C_MAX_COLS => 1920, C_ACTIVE_COLS => 1920, C_ACTIVE_ROWS => 1080, C_CHROMA_PARITY => 1, C_FIELD_PARITY => 1, C_INTERLACED => 0, C_NUM_H_TAPS => 3, C_NUM_V_TAPS => 0, C_CONVERT_TYPE => 2, C_COEF_WIDTH => 16 ) PORT MAP ( aclk => aclk, aclken => aclken, aresetn => aresetn, s_axi_aclk => '0', s_axi_aclken => '1', s_axi_aresetn => '1', s_axis_video_tdata => s_axis_video_tdata, s_axis_video_tvalid => s_axis_video_tvalid, s_axis_video_tready => s_axis_video_tready, s_axis_video_tuser => s_axis_video_tuser, s_axis_video_tlast => s_axis_video_tlast, m_axis_video_tdata => m_axis_video_tdata, m_axis_video_tvalid => m_axis_video_tvalid, m_axis_video_tready => m_axis_video_tready, m_axis_video_tuser => m_axis_video_tuser, m_axis_video_tlast => m_axis_video_tlast, s_axi_awaddr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 9)), s_axi_awvalid => '0', s_axi_wdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)), s_axi_wstrb => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), s_axi_wvalid => '0', s_axi_bready => '0', s_axi_araddr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 9)), s_axi_arvalid => '0', s_axi_rready => '0' ); END tutorial_v_cresample_0_0_arch;
library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; -- The operation is: -- 1) An internal counter is initilaised to zero after a reset is received. -- 2) An enable allows an internal running counter to count clock pulses -- 3) A tick signal output is generated when a the number of pulses accumulated -- are equal to a specified parameter entity TimeBase is generic (N: in Natural := 12; VALUE: Natural := 1999); port( CLOCK : in std_logic; -- input clock of 20MHz TICK : out std_logic; -- out 1 sec timebase signal RESET : in std_logic; -- master reset signal (active high) ENABLE : in std_logic; COUNT_VALUE: out std_logic_vector (N-1 downto 0) ); end TimeBase; architecture TimeBase_rtl of TimeBase is signal RunningCounter : std_logic_vector(N-1 downto 0); -- this is the N bit free running counter to allow a big count begin RunningCounterProcess : process (CLOCK) begin if ( CLOCK'event and CLOCK = '1') then if (RESET = '1') then RunningCounter <= (others => '0'); elsif ( ENABLE = '1') then RunningCounter <= RunningCounter + 1; end if; else RunningCounter <= RunningCounter; end if; end process; TICK <= '1' when (RunningCounter = VALUE) else '0'; COUNT_VALUE <= RunningCounter; end TimeBase_rtl;
library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; -- The operation is: -- 1) An internal counter is initilaised to zero after a reset is received. -- 2) An enable allows an internal running counter to count clock pulses -- 3) A tick signal output is generated when a the number of pulses accumulated -- are equal to a specified parameter entity TimeBase is generic (N: in Natural := 12; VALUE: Natural := 1999); port( CLOCK : in std_logic; -- input clock of 20MHz TICK : out std_logic; -- out 1 sec timebase signal RESET : in std_logic; -- master reset signal (active high) ENABLE : in std_logic; COUNT_VALUE: out std_logic_vector (N-1 downto 0) ); end TimeBase; architecture TimeBase_rtl of TimeBase is signal RunningCounter : std_logic_vector(N-1 downto 0); -- this is the N bit free running counter to allow a big count begin RunningCounterProcess : process (CLOCK) begin if ( CLOCK'event and CLOCK = '1') then if (RESET = '1') then RunningCounter <= (others => '0'); elsif ( ENABLE = '1') then RunningCounter <= RunningCounter + 1; end if; else RunningCounter <= RunningCounter; end if; end process; TICK <= '1' when (RunningCounter = VALUE) else '0'; COUNT_VALUE <= RunningCounter; end TimeBase_rtl;
library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; -- The operation is: -- 1) An internal counter is initilaised to zero after a reset is received. -- 2) An enable allows an internal running counter to count clock pulses -- 3) A tick signal output is generated when a the number of pulses accumulated -- are equal to a specified parameter entity TimeBase is generic (N: in Natural := 12; VALUE: Natural := 1999); port( CLOCK : in std_logic; -- input clock of 20MHz TICK : out std_logic; -- out 1 sec timebase signal RESET : in std_logic; -- master reset signal (active high) ENABLE : in std_logic; COUNT_VALUE: out std_logic_vector (N-1 downto 0) ); end TimeBase; architecture TimeBase_rtl of TimeBase is signal RunningCounter : std_logic_vector(N-1 downto 0); -- this is the N bit free running counter to allow a big count begin RunningCounterProcess : process (CLOCK) begin if ( CLOCK'event and CLOCK = '1') then if (RESET = '1') then RunningCounter <= (others => '0'); elsif ( ENABLE = '1') then RunningCounter <= RunningCounter + 1; end if; else RunningCounter <= RunningCounter; end if; end process; TICK <= '1' when (RunningCounter = VALUE) else '0'; COUNT_VALUE <= RunningCounter; end TimeBase_rtl;
library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; -- The operation is: -- 1) An internal counter is initilaised to zero after a reset is received. -- 2) An enable allows an internal running counter to count clock pulses -- 3) A tick signal output is generated when a the number of pulses accumulated -- are equal to a specified parameter entity TimeBase is generic (N: in Natural := 12; VALUE: Natural := 1999); port( CLOCK : in std_logic; -- input clock of 20MHz TICK : out std_logic; -- out 1 sec timebase signal RESET : in std_logic; -- master reset signal (active high) ENABLE : in std_logic; COUNT_VALUE: out std_logic_vector (N-1 downto 0) ); end TimeBase; architecture TimeBase_rtl of TimeBase is signal RunningCounter : std_logic_vector(N-1 downto 0); -- this is the N bit free running counter to allow a big count begin RunningCounterProcess : process (CLOCK) begin if ( CLOCK'event and CLOCK = '1') then if (RESET = '1') then RunningCounter <= (others => '0'); elsif ( ENABLE = '1') then RunningCounter <= RunningCounter + 1; end if; else RunningCounter <= RunningCounter; end if; end process; TICK <= '1' when (RunningCounter = VALUE) else '0'; COUNT_VALUE <= RunningCounter; end TimeBase_rtl;
--Copyright (C) 2016 Siavoosh Payandeh Azad and Behrad Niazmand library ieee; use ieee.std_logic_1164.all; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; use IEEE.NUMERIC_STD.all; use IEEE.MATH_REAL.ALL; entity Arbiter_out_one_hot_pseudo_checkers is port ( credit: in std_logic_vector(1 downto 0); req_X_E, req_X_N, req_X_W, req_X_S, req_X_L :in std_logic; -- From LBDR modules state: in std_logic_vector (5 downto 0); -- 6 states for Arbiter_out's FSM grant_Y_N, grant_Y_E, grant_Y_W, grant_Y_S, grant_Y_L : in std_logic; -- Grants given to LBDR requests (encoded as one-hot) state_in: in std_logic_vector (5 downto 0); -- 6 states for Arbiter's FSM -- Checker outputs err_Requests_state_in_state_not_equal, err_IDLE_req_X_N, err_North_req_X_N, err_North_credit_not_zero_req_X_N_grant_N, err_North_credit_zero_or_not_req_X_N_not_grant_N, err_East_req_X_E, err_East_credit_not_zero_req_X_E_grant_E, err_East_credit_zero_or_not_req_X_E_not_grant_E, err_West_req_X_W, err_West_credit_not_zero_req_X_E_grant_E, err_West_credit_zero_or_not_req_X_W_not_grant_W, err_South_req_X_S, err_South_credit_not_zero_req_X_S_grant_S, err_South_credit_zero_or_not_req_X_S_not_grant_S, err_Local_req_X_L, err_Local_credit_not_zero_req_X_L_grant_L, err_Local_credit_zero_or_not_req_X_L_not_grant_L, err_IDLE_req_X_E, err_North_req_X_E, err_East_req_X_W, err_West_req_X_S, err_South_req_X_L, err_Local_req_X_N, err_IDLE_req_X_W, err_North_req_X_W, err_East_req_X_S, err_West_req_X_L, err_South_req_X_N, err_Local_req_X_E, err_IDLE_req_X_S, err_North_req_X_S, err_East_req_X_L, err_West_req_X_N, err_South_req_X_E, err_Local_req_X_W, err_IDLE_req_X_L, err_North_req_X_L, err_East_req_X_N, err_West_req_X_E, err_South_req_X_W, err_Local_req_X_S, err_state_in_onehot, err_no_request_grants, err_request_IDLE_state, err_request_IDLE_not_Grants, err_state_North_Invalid_Grant, err_state_East_Invalid_Grant, err_state_West_Invalid_Grant, err_state_South_Invalid_Grant, err_state_Local_Invalid_Grant, err_Grants_onehot_or_all_zero : out std_logic ); end Arbiter_out_one_hot_pseudo_checkers; architecture behavior of Arbiter_out_one_hot_pseudo_checkers is CONSTANT IDLE: std_logic_vector (5 downto 0) := "000001"; CONSTANT Local: std_logic_vector (5 downto 0) := "000010"; CONSTANT North: std_logic_vector (5 downto 0) := "000100"; CONSTANT East: std_logic_vector (5 downto 0) := "001000"; CONSTANT West: std_logic_vector (5 downto 0) := "010000"; CONSTANT South: std_logic_vector (5 downto 0) := "100000"; SIGNAL Requests: std_logic_vector (4 downto 0); SIGNAL Grants: std_logic_vector (4 downto 0); begin Requests <= req_X_N & req_X_E & req_X_W & req_X_S & req_X_L; Grants <= grant_Y_N & grant_Y_E & grant_Y_W & grant_Y_S & grant_Y_L; -- Checkers --checked process (Requests, state_in) begin if (Requests = "00000" and state_in /= IDLE ) then err_Requests_state_in_state_not_equal <= '1'; else err_Requests_state_in_state_not_equal <= '0'; end if; end process; ----------------------------------------------------------------------------------------------------------------------- ----------------------------------------------------------------------------------------------------------------------- ----------------------------------------------------------------------------------------------------------------------- ----------------------------------------------------------------------------------------------------------------------- ----------------------------------------------------------------------------------------------------------------------- -- Round 1 --checked -- N has highest priority, then E, W, S and L (and then again N). process (state, req_X_N, state_in) begin if ( state = IDLE and req_X_N = '1' and state_in /= North ) then err_IDLE_req_X_N <= '1'; else err_IDLE_req_X_N <= '0'; end if; end process; --checked process (state, req_X_N, state_in) begin if (state = North and req_X_N = '1' and state_in /= North) then err_North_req_X_N <= '1'; else err_North_req_X_N <= '0'; end if; end process; --checked process (state, credit, req_X_N, grant_Y_N) begin if ( state = North and credit /= "00" and req_X_N = '1' and grant_Y_N /= '1' ) then err_North_credit_not_zero_req_X_N_grant_N <= '1'; else err_North_credit_not_zero_req_X_N_grant_N <= '0'; end if; end process; --checked process (state, credit, req_X_N, grant_Y_N) begin if ( state = North and (credit = "00" or req_X_N = '0') and grant_Y_N /= '0' ) then err_North_credit_zero_or_not_req_X_N_not_grant_N <= '1'; else err_North_credit_zero_or_not_req_X_N_not_grant_N <= '0'; end if; end process; --checked process (state, req_X_E, state_in) begin if (state = East and req_X_E = '1' and state_in /= East) then err_East_req_X_E <= '1'; else err_East_req_X_E <= '0'; end if; end process; --checked process (state, credit, req_X_E, grant_Y_E) begin if ( state = East and credit /= "00" and req_X_E = '1' and grant_Y_E = '0' ) then err_East_credit_not_zero_req_X_E_grant_E <= '1'; else err_East_credit_not_zero_req_X_E_grant_E <= '0'; end if; end process; --checked process (state, credit, req_X_E, grant_Y_E) begin if ( state = East and (credit = "00" or req_X_E = '0') and grant_Y_E /= '0' ) then err_East_credit_zero_or_not_req_X_E_not_grant_E <= '1'; else err_East_credit_zero_or_not_req_X_E_not_grant_E <= '0'; end if; end process; --checked process (state, req_X_W, state_in) begin if (state = West and req_X_W = '1' and state_in /= West) then err_West_req_X_W <= '1'; else err_West_req_X_W <= '0'; end if; end process; --checked process (state, credit, req_X_W, grant_Y_W) begin if ( state = West and credit /= "00" and req_X_W = '1' and grant_Y_W = '0') then err_West_credit_not_zero_req_X_E_grant_E <= '1'; else err_West_credit_not_zero_req_X_E_grant_E <= '0'; end if; end process; --checked process (state, credit, req_X_W, grant_Y_W) begin if ( state = West and (credit = "00" or req_X_W = '0') and grant_Y_W /= '0' ) then err_West_credit_zero_or_not_req_X_W_not_grant_W <= '1'; else err_West_credit_zero_or_not_req_X_W_not_grant_W <= '0'; end if; end process; --checked process (state, req_X_S, state_in) begin if (state = South and req_X_S = '1' and state_in /= South) then err_South_req_X_S <= '1'; else err_South_req_X_S <= '0'; end if; end process; --checked process (state, credit, req_X_S, grant_Y_S) begin if ( state = South and credit /= "00" and req_X_S = '1' and grant_Y_S = '0' ) then err_South_credit_not_zero_req_X_S_grant_S <= '1'; else err_South_credit_not_zero_req_X_S_grant_S <= '0'; end if; end process; --checked process (state, credit, req_X_S, grant_Y_S) begin if ( state = South and (credit = "00" or req_X_S = '0') and grant_Y_S /= '0' ) then err_South_credit_zero_or_not_req_X_S_not_grant_S <= '1'; else err_South_credit_zero_or_not_req_X_S_not_grant_S <= '0'; end if; end process; --checked -- Local is a bit different (including others case) process (state, req_X_L, state_in) begin if ( state /= IDLE and state /= North and state /=East and state /= West and state /= South and req_X_L = '1' and state_in /= Local) then err_Local_req_X_L <= '1'; else err_Local_req_X_L <= '0'; end if; end process; --checked process (state, credit, req_X_L, grant_Y_L) begin if ( state /= IDLE and state /= North and state /=East and state /= West and state /= South and credit /= "00" and req_X_L = '1' and grant_Y_L = '0' ) then err_Local_credit_not_zero_req_X_L_grant_L <= '1'; else err_Local_credit_not_zero_req_X_L_grant_L <= '0'; end if; end process; --checked process (state, credit, req_X_L, grant_Y_L) begin if ( state /= IDLE and state /= North and state /=East and state /= West and state /= South and ( credit = "00" or req_X_L = '0') and grant_Y_L /= '0' ) then err_Local_credit_zero_or_not_req_X_L_not_grant_L <= '1'; else err_Local_credit_zero_or_not_req_X_L_not_grant_L <= '0'; end if; end process; -- Checked -- Double checked! ----------------------------------------------------------------------------------------------------------------------- ----------------------------------------------------------------------------------------------------------------------- ----------------------------------------------------------------------------------------------------------------------- ----------------------------------------------------------------------------------------------------------------------- ----------------------------------------------------------------------------------------------------------------------- -- Round 2 --checked -- IDLE process (state, req_X_N, req_X_E, state_in) begin if ( state = IDLE and req_X_N = '0' and req_X_E = '1' and state_in /= East) then err_IDLE_req_X_E <= '1'; else err_IDLE_req_X_E <= '0'; end if; end process; -- North process (state, req_X_N, req_X_E, state_in) begin if ( state = North and req_X_N = '0' and req_X_E = '1' and state_in /= East) then err_North_req_X_E <= '1'; else err_North_req_X_E <= '0'; end if; end process; -- East process (state, req_X_E, req_X_W, state_in) begin if ( state = East and req_X_E = '0' and req_X_W = '1' and state_in /= West) then err_East_req_X_W <= '1'; else err_East_req_X_W <= '0'; end if; end process; -- West process (state, req_X_W, req_X_S, state_in) begin if ( state = West and req_X_W = '0' and req_X_S = '1' and state_in /= South) then err_West_req_X_S <= '1'; else err_West_req_X_S <= '0'; end if; end process; -- South -- Shall I consider local for this case or the others case ? I guess local, according to the previous checkers -- for the router with CTS/RTS handshaking Flow Control process (state, req_X_S, req_X_L, state_in) begin if ( state = South and req_X_S = '0' and req_X_L = '1' and state_in /= Local) then err_South_req_X_L <= '1'; else err_South_req_X_L <= '0'; end if; end process; -- Local and invalid states (others case) process (state, req_X_L, req_X_N, state_in) begin if ( state /= IDLE and state /= North and state /=East and state /=West and state /= South and req_X_L = '0' and req_X_N = '1' and state_in /= North) then err_Local_req_X_N <= '1'; else err_Local_req_X_N <= '0'; end if; end process; ----------------------------------------------------------------------------------------------------------------------- ----------------------------------------------------------------------------------------------------------------------- ----------------------------------------------------------------------------------------------------------------------- ----------------------------------------------------------------------------------------------------------------------- ----------------------------------------------------------------------------------------------------------------------- -- Round 3 process (state, req_X_N, req_X_E, req_X_W, state_in) begin if (state = IDLE and req_X_N = '0' and req_X_E = '0' and req_X_W = '1' and state_in /= West) then err_IDLE_req_X_W <= '1'; else err_IDLE_req_X_W <= '0'; end if; end process; process (state, req_X_N, req_X_E, req_X_W, state_in) begin if (state = North and req_X_N = '0' and req_X_E = '0' and req_X_W = '1' and state_in /= West) then err_North_req_X_W <= '1'; else err_North_req_X_W <= '0'; end if; end process; process (state, req_X_E, req_X_W, req_X_S, state_in) begin if (state = East and req_X_E = '0' and req_X_W = '0' and req_X_S = '1' and state_in /= South) then err_East_req_X_S <= '1'; else err_East_req_X_S <= '0'; end if; end process; process (state, req_X_W, req_X_S, req_X_L, state_in) begin if (state = West and req_X_W = '0' and req_X_S = '0' and req_X_L = '1' and state_in /= Local) then err_West_req_X_L <= '1'; else err_West_req_X_L <= '0'; end if; end process; process (state, req_X_S, req_X_L, req_X_N, state_in) begin if (state = South and req_X_S = '0' and req_X_L = '0' and req_X_N = '1' and state_in /= North) then err_South_req_X_N <= '1'; else err_South_req_X_N <= '0'; end if; end process; -- Local and invalid state(s) (others case) process (state, req_X_L, req_X_N, req_X_E, state_in) begin if (state /= IDLE and state /= North and state /=East and state /=West and state /= South and req_X_L = '0' and req_X_N = '0' and req_X_E = '1' and state_in /= East) then err_Local_req_X_E <= '1'; else err_Local_req_X_E <= '0'; end if; end process; ----------------------------------------------------------------------------------------------------------------------- ----------------------------------------------------------------------------------------------------------------------- ----------------------------------------------------------------------------------------------------------------------- ----------------------------------------------------------------------------------------------------------------------- ----------------------------------------------------------------------------------------------------------------------- -- Round 4 process (state, req_X_N, req_X_E, req_X_W, req_X_S, state_in) begin if ( state = IDLE and req_X_N = '0' and req_X_E = '0' and req_X_W = '0' and req_X_S = '1' and state_in /= South) then err_IDLE_req_X_S <= '1'; else err_IDLE_req_X_S <= '0'; end if; end process; process (state, req_X_N, req_X_E, req_X_W, req_X_S, state_in) begin if ( state = North and req_X_N = '0' and req_X_E = '0' and req_X_W = '0' and req_X_S = '1' and state_in /= South) then err_North_req_X_S <= '1'; else err_North_req_X_S <= '0'; end if; end process; process (state, req_X_E, req_X_W, req_X_S, req_X_L, state_in) begin if ( state = East and req_X_E = '0' and req_X_W = '0' and req_X_S = '0' and req_X_L = '1' and state_in /= Local) then err_East_req_X_L <= '1'; else err_East_req_X_L <= '0'; end if; end process; process (state, req_X_W, req_X_S, req_X_L, req_X_N, state_in) begin if ( state = West and req_X_W = '0' and req_X_S = '0' and req_X_L = '0' and req_X_N = '1' and state_in /= North) then err_West_req_X_N <= '1'; else err_West_req_X_N <= '0'; end if; end process; process (state, req_X_S, req_X_L, req_X_N, req_X_E, state_in) begin if ( state = South and req_X_S = '0' and req_X_L = '0' and req_X_N = '0' and req_X_E = '1' and state_in /= East) then err_South_req_X_E <= '1'; else err_South_req_X_E <= '0'; end if; end process; -- Local state or invalid state(s) (others case) process (state, req_X_L, req_X_N, req_X_E, req_X_W, state_in) begin if ( state /= IDLE and state /= North and state /=East and state /=West and state /= South and req_X_L = '0' and req_X_N = '0' and req_X_E = '0' and req_X_W = '1' and state_in /= West) then err_Local_req_X_W <= '1'; else err_Local_req_X_W <= '0'; end if; end process; -- Checked ----------------------------------------------------------------------------------------------------------------------- ----------------------------------------------------------------------------------------------------------------------- ----------------------------------------------------------------------------------------------------------------------- ----------------------------------------------------------------------------------------------------------------------- ----------------------------------------------------------------------------------------------------------------------- -- Round 5 process (state, req_X_N, req_X_E, req_X_W, req_X_S, req_X_L, state_in) begin if ( state = IDLE and req_X_N = '0' and req_X_E = '0' and req_X_W = '0' and req_X_S = '0' and req_X_L = '1' and state_in /= Local) then err_IDLE_req_X_L <= '1'; else err_IDLE_req_X_L <= '0'; end if; end process; process (state, req_X_N, req_X_E, req_X_W, req_X_S, req_X_L, state_in) begin if ( state = North and req_X_N = '0' and req_X_E = '0' and req_X_W = '0' and req_X_S = '0' and req_X_L = '1' and state_in /= Local) then err_North_req_X_L <= '1'; else err_North_req_X_L <= '0'; end if; end process; process (state, req_X_E, req_X_W, req_X_S, req_X_L, req_X_N, state_in) begin if ( state = East and req_X_E = '0' and req_X_W = '0' and req_X_S = '0' and req_X_L = '0' and req_X_N = '1' and state_in /= North) then err_East_req_X_N <= '1'; else err_East_req_X_N <= '0'; end if; end process; process (state, req_X_W, req_X_S, req_X_L, req_X_N, req_X_E, state_in) begin if ( state = West and req_X_W = '0' and req_X_S = '0' and req_X_L = '0' and req_X_N = '0' and req_X_E = '1' and state_in /= East) then err_West_req_X_E <= '1'; else err_West_req_X_E <= '0'; end if; end process; process (state, req_X_S, req_X_L, req_X_N, req_X_E, req_X_W, state_in) begin if ( state = South and req_X_S = '0' and req_X_L = '0' and req_X_N = '0' and req_X_E = '0' and req_X_W = '1' and state_in /= West) then err_South_req_X_W <= '1'; else err_South_req_X_W <= '0'; end if; end process; -- Local state or invalid state(s) (others case) process (state, req_X_L, req_X_N, req_X_E, req_X_W, req_X_S, state_in) begin if ( state /= IDLE and state /= North and state /=East and state /=West and state /= South and req_X_L = '0' and req_X_N = '0' and req_X_E = '0' and req_X_W = '0' and req_X_S = '1' and state_in /= South) then err_Local_req_X_S <= '1'; else err_Local_req_X_S <= '0'; end if; end process; -- Checked ----------------------------------------------------------------------------------------------------------------------- ----------------------------------------------------------------------------------------------------------------------- ----------------------------------------------------------------------------------------------------------------------- ----------------------------------------------------------------------------------------------------------------------- ----------------------------------------------------------------------------------------------------------------------- process (state_in) begin if (state_in /= IDLE and state_in /= North and state_in /= East and state_in /= West and state_in /= South and state_in /= Local) then err_state_in_onehot <= '1'; else err_state_in_onehot <= '0'; end if; end process; -- Checked process (Requests, Grants) begin if ( Requests = "00000" and Grants /= "00000") then err_no_request_grants <= '1'; else err_no_request_grants <= '0'; end if; end process; -- Checked process (Requests, state_in) begin if (Requests /= "00000" and state_in = IDLE) then err_request_IDLE_state <= '1'; else err_request_IDLE_state <= '0'; end if; end process; ----------------------------------------------------------------------------------------------------------------------- ----------------------------------------------------------------------------------------------------------------------- process (state, Grants) begin if (state = IDLE and Grants /= "00000") then err_request_IDLE_not_Grants <= '1'; else err_request_IDLE_not_Grants <= '0'; end if; end process; process (state, grant_Y_E, grant_Y_W, grant_Y_S, grant_Y_L) begin if (state = North and (grant_Y_E = '1' or grant_Y_W = '1' or grant_Y_S = '1' or grant_Y_L = '1') ) then err_state_North_Invalid_Grant <= '1'; else err_state_North_Invalid_Grant <= '0'; end if; end process; process (state, grant_Y_N, grant_Y_W, grant_Y_S, grant_Y_L) begin if (state = East and (grant_Y_N = '1' or grant_Y_W = '1' or grant_Y_S = '1' or grant_Y_L = '1') ) then err_state_East_Invalid_Grant <= '1'; else err_state_East_Invalid_Grant <= '0'; end if; end process; process (state, grant_Y_N, grant_Y_E, grant_Y_S, grant_Y_L) begin if (state = West and (grant_Y_N = '1' or grant_Y_E = '1' or grant_Y_S = '1' or grant_Y_L = '1') ) then err_state_West_Invalid_Grant <= '1'; else err_state_West_Invalid_Grant <= '0'; end if; end process; process (state, grant_Y_N, grant_Y_E, grant_Y_W, grant_Y_L) begin if (state = South and (grant_Y_N = '1' or grant_Y_E = '1' or grant_Y_W = '1' or grant_Y_L = '1') ) then err_state_South_Invalid_Grant <= '1'; else err_state_South_Invalid_Grant <= '0'; end if; end process; -- Local or invalid state(s) (a bit different logic!) process (state, grant_Y_N, grant_Y_E, grant_Y_W, grant_Y_S) begin if (state /= IDLE and state /= North and state /= East and state /= West and state /= South and (grant_Y_N = '1' or grant_Y_E = '1' or grant_Y_W = '1' or grant_Y_S = '1') ) then err_state_Local_Invalid_Grant <= '1'; else err_state_Local_Invalid_Grant <= '0'; end if; end process; -- Because we do not have multi-casting, Grants must always be one-hot or all zeros, no other possible combination for them ! process (Grants) begin if (Grants /= "00000" and Grants /= "00001" and Grants /= "00010" and Grants /= "00100" and Grants /= "01000" and Grants /= "10000") then err_Grants_onehot_or_all_zero <= '1'; else err_Grants_onehot_or_all_zero <= '0'; end if; end process; end behavior;
--Copyright (C) 2016 Siavoosh Payandeh Azad and Behrad Niazmand library ieee; use ieee.std_logic_1164.all; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; use IEEE.NUMERIC_STD.all; use IEEE.MATH_REAL.ALL; entity Arbiter_out_one_hot_pseudo_checkers is port ( credit: in std_logic_vector(1 downto 0); req_X_E, req_X_N, req_X_W, req_X_S, req_X_L :in std_logic; -- From LBDR modules state: in std_logic_vector (5 downto 0); -- 6 states for Arbiter_out's FSM grant_Y_N, grant_Y_E, grant_Y_W, grant_Y_S, grant_Y_L : in std_logic; -- Grants given to LBDR requests (encoded as one-hot) state_in: in std_logic_vector (5 downto 0); -- 6 states for Arbiter's FSM -- Checker outputs err_Requests_state_in_state_not_equal, err_IDLE_req_X_N, err_North_req_X_N, err_North_credit_not_zero_req_X_N_grant_N, err_North_credit_zero_or_not_req_X_N_not_grant_N, err_East_req_X_E, err_East_credit_not_zero_req_X_E_grant_E, err_East_credit_zero_or_not_req_X_E_not_grant_E, err_West_req_X_W, err_West_credit_not_zero_req_X_E_grant_E, err_West_credit_zero_or_not_req_X_W_not_grant_W, err_South_req_X_S, err_South_credit_not_zero_req_X_S_grant_S, err_South_credit_zero_or_not_req_X_S_not_grant_S, err_Local_req_X_L, err_Local_credit_not_zero_req_X_L_grant_L, err_Local_credit_zero_or_not_req_X_L_not_grant_L, err_IDLE_req_X_E, err_North_req_X_E, err_East_req_X_W, err_West_req_X_S, err_South_req_X_L, err_Local_req_X_N, err_IDLE_req_X_W, err_North_req_X_W, err_East_req_X_S, err_West_req_X_L, err_South_req_X_N, err_Local_req_X_E, err_IDLE_req_X_S, err_North_req_X_S, err_East_req_X_L, err_West_req_X_N, err_South_req_X_E, err_Local_req_X_W, err_IDLE_req_X_L, err_North_req_X_L, err_East_req_X_N, err_West_req_X_E, err_South_req_X_W, err_Local_req_X_S, err_state_in_onehot, err_no_request_grants, err_request_IDLE_state, err_request_IDLE_not_Grants, err_state_North_Invalid_Grant, err_state_East_Invalid_Grant, err_state_West_Invalid_Grant, err_state_South_Invalid_Grant, err_state_Local_Invalid_Grant, err_Grants_onehot_or_all_zero : out std_logic ); end Arbiter_out_one_hot_pseudo_checkers; architecture behavior of Arbiter_out_one_hot_pseudo_checkers is CONSTANT IDLE: std_logic_vector (5 downto 0) := "000001"; CONSTANT Local: std_logic_vector (5 downto 0) := "000010"; CONSTANT North: std_logic_vector (5 downto 0) := "000100"; CONSTANT East: std_logic_vector (5 downto 0) := "001000"; CONSTANT West: std_logic_vector (5 downto 0) := "010000"; CONSTANT South: std_logic_vector (5 downto 0) := "100000"; SIGNAL Requests: std_logic_vector (4 downto 0); SIGNAL Grants: std_logic_vector (4 downto 0); begin Requests <= req_X_N & req_X_E & req_X_W & req_X_S & req_X_L; Grants <= grant_Y_N & grant_Y_E & grant_Y_W & grant_Y_S & grant_Y_L; -- Checkers --checked process (Requests, state_in) begin if (Requests = "00000" and state_in /= IDLE ) then err_Requests_state_in_state_not_equal <= '1'; else err_Requests_state_in_state_not_equal <= '0'; end if; end process; ----------------------------------------------------------------------------------------------------------------------- ----------------------------------------------------------------------------------------------------------------------- ----------------------------------------------------------------------------------------------------------------------- ----------------------------------------------------------------------------------------------------------------------- ----------------------------------------------------------------------------------------------------------------------- -- Round 1 --checked -- N has highest priority, then E, W, S and L (and then again N). process (state, req_X_N, state_in) begin if ( state = IDLE and req_X_N = '1' and state_in /= North ) then err_IDLE_req_X_N <= '1'; else err_IDLE_req_X_N <= '0'; end if; end process; --checked process (state, req_X_N, state_in) begin if (state = North and req_X_N = '1' and state_in /= North) then err_North_req_X_N <= '1'; else err_North_req_X_N <= '0'; end if; end process; --checked process (state, credit, req_X_N, grant_Y_N) begin if ( state = North and credit /= "00" and req_X_N = '1' and grant_Y_N /= '1' ) then err_North_credit_not_zero_req_X_N_grant_N <= '1'; else err_North_credit_not_zero_req_X_N_grant_N <= '0'; end if; end process; --checked process (state, credit, req_X_N, grant_Y_N) begin if ( state = North and (credit = "00" or req_X_N = '0') and grant_Y_N /= '0' ) then err_North_credit_zero_or_not_req_X_N_not_grant_N <= '1'; else err_North_credit_zero_or_not_req_X_N_not_grant_N <= '0'; end if; end process; --checked process (state, req_X_E, state_in) begin if (state = East and req_X_E = '1' and state_in /= East) then err_East_req_X_E <= '1'; else err_East_req_X_E <= '0'; end if; end process; --checked process (state, credit, req_X_E, grant_Y_E) begin if ( state = East and credit /= "00" and req_X_E = '1' and grant_Y_E = '0' ) then err_East_credit_not_zero_req_X_E_grant_E <= '1'; else err_East_credit_not_zero_req_X_E_grant_E <= '0'; end if; end process; --checked process (state, credit, req_X_E, grant_Y_E) begin if ( state = East and (credit = "00" or req_X_E = '0') and grant_Y_E /= '0' ) then err_East_credit_zero_or_not_req_X_E_not_grant_E <= '1'; else err_East_credit_zero_or_not_req_X_E_not_grant_E <= '0'; end if; end process; --checked process (state, req_X_W, state_in) begin if (state = West and req_X_W = '1' and state_in /= West) then err_West_req_X_W <= '1'; else err_West_req_X_W <= '0'; end if; end process; --checked process (state, credit, req_X_W, grant_Y_W) begin if ( state = West and credit /= "00" and req_X_W = '1' and grant_Y_W = '0') then err_West_credit_not_zero_req_X_E_grant_E <= '1'; else err_West_credit_not_zero_req_X_E_grant_E <= '0'; end if; end process; --checked process (state, credit, req_X_W, grant_Y_W) begin if ( state = West and (credit = "00" or req_X_W = '0') and grant_Y_W /= '0' ) then err_West_credit_zero_or_not_req_X_W_not_grant_W <= '1'; else err_West_credit_zero_or_not_req_X_W_not_grant_W <= '0'; end if; end process; --checked process (state, req_X_S, state_in) begin if (state = South and req_X_S = '1' and state_in /= South) then err_South_req_X_S <= '1'; else err_South_req_X_S <= '0'; end if; end process; --checked process (state, credit, req_X_S, grant_Y_S) begin if ( state = South and credit /= "00" and req_X_S = '1' and grant_Y_S = '0' ) then err_South_credit_not_zero_req_X_S_grant_S <= '1'; else err_South_credit_not_zero_req_X_S_grant_S <= '0'; end if; end process; --checked process (state, credit, req_X_S, grant_Y_S) begin if ( state = South and (credit = "00" or req_X_S = '0') and grant_Y_S /= '0' ) then err_South_credit_zero_or_not_req_X_S_not_grant_S <= '1'; else err_South_credit_zero_or_not_req_X_S_not_grant_S <= '0'; end if; end process; --checked -- Local is a bit different (including others case) process (state, req_X_L, state_in) begin if ( state /= IDLE and state /= North and state /=East and state /= West and state /= South and req_X_L = '1' and state_in /= Local) then err_Local_req_X_L <= '1'; else err_Local_req_X_L <= '0'; end if; end process; --checked process (state, credit, req_X_L, grant_Y_L) begin if ( state /= IDLE and state /= North and state /=East and state /= West and state /= South and credit /= "00" and req_X_L = '1' and grant_Y_L = '0' ) then err_Local_credit_not_zero_req_X_L_grant_L <= '1'; else err_Local_credit_not_zero_req_X_L_grant_L <= '0'; end if; end process; --checked process (state, credit, req_X_L, grant_Y_L) begin if ( state /= IDLE and state /= North and state /=East and state /= West and state /= South and ( credit = "00" or req_X_L = '0') and grant_Y_L /= '0' ) then err_Local_credit_zero_or_not_req_X_L_not_grant_L <= '1'; else err_Local_credit_zero_or_not_req_X_L_not_grant_L <= '0'; end if; end process; -- Checked -- Double checked! ----------------------------------------------------------------------------------------------------------------------- ----------------------------------------------------------------------------------------------------------------------- ----------------------------------------------------------------------------------------------------------------------- ----------------------------------------------------------------------------------------------------------------------- ----------------------------------------------------------------------------------------------------------------------- -- Round 2 --checked -- IDLE process (state, req_X_N, req_X_E, state_in) begin if ( state = IDLE and req_X_N = '0' and req_X_E = '1' and state_in /= East) then err_IDLE_req_X_E <= '1'; else err_IDLE_req_X_E <= '0'; end if; end process; -- North process (state, req_X_N, req_X_E, state_in) begin if ( state = North and req_X_N = '0' and req_X_E = '1' and state_in /= East) then err_North_req_X_E <= '1'; else err_North_req_X_E <= '0'; end if; end process; -- East process (state, req_X_E, req_X_W, state_in) begin if ( state = East and req_X_E = '0' and req_X_W = '1' and state_in /= West) then err_East_req_X_W <= '1'; else err_East_req_X_W <= '0'; end if; end process; -- West process (state, req_X_W, req_X_S, state_in) begin if ( state = West and req_X_W = '0' and req_X_S = '1' and state_in /= South) then err_West_req_X_S <= '1'; else err_West_req_X_S <= '0'; end if; end process; -- South -- Shall I consider local for this case or the others case ? I guess local, according to the previous checkers -- for the router with CTS/RTS handshaking Flow Control process (state, req_X_S, req_X_L, state_in) begin if ( state = South and req_X_S = '0' and req_X_L = '1' and state_in /= Local) then err_South_req_X_L <= '1'; else err_South_req_X_L <= '0'; end if; end process; -- Local and invalid states (others case) process (state, req_X_L, req_X_N, state_in) begin if ( state /= IDLE and state /= North and state /=East and state /=West and state /= South and req_X_L = '0' and req_X_N = '1' and state_in /= North) then err_Local_req_X_N <= '1'; else err_Local_req_X_N <= '0'; end if; end process; ----------------------------------------------------------------------------------------------------------------------- ----------------------------------------------------------------------------------------------------------------------- ----------------------------------------------------------------------------------------------------------------------- ----------------------------------------------------------------------------------------------------------------------- ----------------------------------------------------------------------------------------------------------------------- -- Round 3 process (state, req_X_N, req_X_E, req_X_W, state_in) begin if (state = IDLE and req_X_N = '0' and req_X_E = '0' and req_X_W = '1' and state_in /= West) then err_IDLE_req_X_W <= '1'; else err_IDLE_req_X_W <= '0'; end if; end process; process (state, req_X_N, req_X_E, req_X_W, state_in) begin if (state = North and req_X_N = '0' and req_X_E = '0' and req_X_W = '1' and state_in /= West) then err_North_req_X_W <= '1'; else err_North_req_X_W <= '0'; end if; end process; process (state, req_X_E, req_X_W, req_X_S, state_in) begin if (state = East and req_X_E = '0' and req_X_W = '0' and req_X_S = '1' and state_in /= South) then err_East_req_X_S <= '1'; else err_East_req_X_S <= '0'; end if; end process; process (state, req_X_W, req_X_S, req_X_L, state_in) begin if (state = West and req_X_W = '0' and req_X_S = '0' and req_X_L = '1' and state_in /= Local) then err_West_req_X_L <= '1'; else err_West_req_X_L <= '0'; end if; end process; process (state, req_X_S, req_X_L, req_X_N, state_in) begin if (state = South and req_X_S = '0' and req_X_L = '0' and req_X_N = '1' and state_in /= North) then err_South_req_X_N <= '1'; else err_South_req_X_N <= '0'; end if; end process; -- Local and invalid state(s) (others case) process (state, req_X_L, req_X_N, req_X_E, state_in) begin if (state /= IDLE and state /= North and state /=East and state /=West and state /= South and req_X_L = '0' and req_X_N = '0' and req_X_E = '1' and state_in /= East) then err_Local_req_X_E <= '1'; else err_Local_req_X_E <= '0'; end if; end process; ----------------------------------------------------------------------------------------------------------------------- ----------------------------------------------------------------------------------------------------------------------- ----------------------------------------------------------------------------------------------------------------------- ----------------------------------------------------------------------------------------------------------------------- ----------------------------------------------------------------------------------------------------------------------- -- Round 4 process (state, req_X_N, req_X_E, req_X_W, req_X_S, state_in) begin if ( state = IDLE and req_X_N = '0' and req_X_E = '0' and req_X_W = '0' and req_X_S = '1' and state_in /= South) then err_IDLE_req_X_S <= '1'; else err_IDLE_req_X_S <= '0'; end if; end process; process (state, req_X_N, req_X_E, req_X_W, req_X_S, state_in) begin if ( state = North and req_X_N = '0' and req_X_E = '0' and req_X_W = '0' and req_X_S = '1' and state_in /= South) then err_North_req_X_S <= '1'; else err_North_req_X_S <= '0'; end if; end process; process (state, req_X_E, req_X_W, req_X_S, req_X_L, state_in) begin if ( state = East and req_X_E = '0' and req_X_W = '0' and req_X_S = '0' and req_X_L = '1' and state_in /= Local) then err_East_req_X_L <= '1'; else err_East_req_X_L <= '0'; end if; end process; process (state, req_X_W, req_X_S, req_X_L, req_X_N, state_in) begin if ( state = West and req_X_W = '0' and req_X_S = '0' and req_X_L = '0' and req_X_N = '1' and state_in /= North) then err_West_req_X_N <= '1'; else err_West_req_X_N <= '0'; end if; end process; process (state, req_X_S, req_X_L, req_X_N, req_X_E, state_in) begin if ( state = South and req_X_S = '0' and req_X_L = '0' and req_X_N = '0' and req_X_E = '1' and state_in /= East) then err_South_req_X_E <= '1'; else err_South_req_X_E <= '0'; end if; end process; -- Local state or invalid state(s) (others case) process (state, req_X_L, req_X_N, req_X_E, req_X_W, state_in) begin if ( state /= IDLE and state /= North and state /=East and state /=West and state /= South and req_X_L = '0' and req_X_N = '0' and req_X_E = '0' and req_X_W = '1' and state_in /= West) then err_Local_req_X_W <= '1'; else err_Local_req_X_W <= '0'; end if; end process; -- Checked ----------------------------------------------------------------------------------------------------------------------- ----------------------------------------------------------------------------------------------------------------------- ----------------------------------------------------------------------------------------------------------------------- ----------------------------------------------------------------------------------------------------------------------- ----------------------------------------------------------------------------------------------------------------------- -- Round 5 process (state, req_X_N, req_X_E, req_X_W, req_X_S, req_X_L, state_in) begin if ( state = IDLE and req_X_N = '0' and req_X_E = '0' and req_X_W = '0' and req_X_S = '0' and req_X_L = '1' and state_in /= Local) then err_IDLE_req_X_L <= '1'; else err_IDLE_req_X_L <= '0'; end if; end process; process (state, req_X_N, req_X_E, req_X_W, req_X_S, req_X_L, state_in) begin if ( state = North and req_X_N = '0' and req_X_E = '0' and req_X_W = '0' and req_X_S = '0' and req_X_L = '1' and state_in /= Local) then err_North_req_X_L <= '1'; else err_North_req_X_L <= '0'; end if; end process; process (state, req_X_E, req_X_W, req_X_S, req_X_L, req_X_N, state_in) begin if ( state = East and req_X_E = '0' and req_X_W = '0' and req_X_S = '0' and req_X_L = '0' and req_X_N = '1' and state_in /= North) then err_East_req_X_N <= '1'; else err_East_req_X_N <= '0'; end if; end process; process (state, req_X_W, req_X_S, req_X_L, req_X_N, req_X_E, state_in) begin if ( state = West and req_X_W = '0' and req_X_S = '0' and req_X_L = '0' and req_X_N = '0' and req_X_E = '1' and state_in /= East) then err_West_req_X_E <= '1'; else err_West_req_X_E <= '0'; end if; end process; process (state, req_X_S, req_X_L, req_X_N, req_X_E, req_X_W, state_in) begin if ( state = South and req_X_S = '0' and req_X_L = '0' and req_X_N = '0' and req_X_E = '0' and req_X_W = '1' and state_in /= West) then err_South_req_X_W <= '1'; else err_South_req_X_W <= '0'; end if; end process; -- Local state or invalid state(s) (others case) process (state, req_X_L, req_X_N, req_X_E, req_X_W, req_X_S, state_in) begin if ( state /= IDLE and state /= North and state /=East and state /=West and state /= South and req_X_L = '0' and req_X_N = '0' and req_X_E = '0' and req_X_W = '0' and req_X_S = '1' and state_in /= South) then err_Local_req_X_S <= '1'; else err_Local_req_X_S <= '0'; end if; end process; -- Checked ----------------------------------------------------------------------------------------------------------------------- ----------------------------------------------------------------------------------------------------------------------- ----------------------------------------------------------------------------------------------------------------------- ----------------------------------------------------------------------------------------------------------------------- ----------------------------------------------------------------------------------------------------------------------- process (state_in) begin if (state_in /= IDLE and state_in /= North and state_in /= East and state_in /= West and state_in /= South and state_in /= Local) then err_state_in_onehot <= '1'; else err_state_in_onehot <= '0'; end if; end process; -- Checked process (Requests, Grants) begin if ( Requests = "00000" and Grants /= "00000") then err_no_request_grants <= '1'; else err_no_request_grants <= '0'; end if; end process; -- Checked process (Requests, state_in) begin if (Requests /= "00000" and state_in = IDLE) then err_request_IDLE_state <= '1'; else err_request_IDLE_state <= '0'; end if; end process; ----------------------------------------------------------------------------------------------------------------------- ----------------------------------------------------------------------------------------------------------------------- process (state, Grants) begin if (state = IDLE and Grants /= "00000") then err_request_IDLE_not_Grants <= '1'; else err_request_IDLE_not_Grants <= '0'; end if; end process; process (state, grant_Y_E, grant_Y_W, grant_Y_S, grant_Y_L) begin if (state = North and (grant_Y_E = '1' or grant_Y_W = '1' or grant_Y_S = '1' or grant_Y_L = '1') ) then err_state_North_Invalid_Grant <= '1'; else err_state_North_Invalid_Grant <= '0'; end if; end process; process (state, grant_Y_N, grant_Y_W, grant_Y_S, grant_Y_L) begin if (state = East and (grant_Y_N = '1' or grant_Y_W = '1' or grant_Y_S = '1' or grant_Y_L = '1') ) then err_state_East_Invalid_Grant <= '1'; else err_state_East_Invalid_Grant <= '0'; end if; end process; process (state, grant_Y_N, grant_Y_E, grant_Y_S, grant_Y_L) begin if (state = West and (grant_Y_N = '1' or grant_Y_E = '1' or grant_Y_S = '1' or grant_Y_L = '1') ) then err_state_West_Invalid_Grant <= '1'; else err_state_West_Invalid_Grant <= '0'; end if; end process; process (state, grant_Y_N, grant_Y_E, grant_Y_W, grant_Y_L) begin if (state = South and (grant_Y_N = '1' or grant_Y_E = '1' or grant_Y_W = '1' or grant_Y_L = '1') ) then err_state_South_Invalid_Grant <= '1'; else err_state_South_Invalid_Grant <= '0'; end if; end process; -- Local or invalid state(s) (a bit different logic!) process (state, grant_Y_N, grant_Y_E, grant_Y_W, grant_Y_S) begin if (state /= IDLE and state /= North and state /= East and state /= West and state /= South and (grant_Y_N = '1' or grant_Y_E = '1' or grant_Y_W = '1' or grant_Y_S = '1') ) then err_state_Local_Invalid_Grant <= '1'; else err_state_Local_Invalid_Grant <= '0'; end if; end process; -- Because we do not have multi-casting, Grants must always be one-hot or all zeros, no other possible combination for them ! process (Grants) begin if (Grants /= "00000" and Grants /= "00001" and Grants /= "00010" and Grants /= "00100" and Grants /= "01000" and Grants /= "10000") then err_Grants_onehot_or_all_zero <= '1'; else err_Grants_onehot_or_all_zero <= '0'; end if; end process; end behavior;
--Copyright (C) 2016 Siavoosh Payandeh Azad and Behrad Niazmand library ieee; use ieee.std_logic_1164.all; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; use IEEE.NUMERIC_STD.all; use IEEE.MATH_REAL.ALL; entity Arbiter_out_one_hot_pseudo_checkers is port ( credit: in std_logic_vector(1 downto 0); req_X_E, req_X_N, req_X_W, req_X_S, req_X_L :in std_logic; -- From LBDR modules state: in std_logic_vector (5 downto 0); -- 6 states for Arbiter_out's FSM grant_Y_N, grant_Y_E, grant_Y_W, grant_Y_S, grant_Y_L : in std_logic; -- Grants given to LBDR requests (encoded as one-hot) state_in: in std_logic_vector (5 downto 0); -- 6 states for Arbiter's FSM -- Checker outputs err_Requests_state_in_state_not_equal, err_IDLE_req_X_N, err_North_req_X_N, err_North_credit_not_zero_req_X_N_grant_N, err_North_credit_zero_or_not_req_X_N_not_grant_N, err_East_req_X_E, err_East_credit_not_zero_req_X_E_grant_E, err_East_credit_zero_or_not_req_X_E_not_grant_E, err_West_req_X_W, err_West_credit_not_zero_req_X_E_grant_E, err_West_credit_zero_or_not_req_X_W_not_grant_W, err_South_req_X_S, err_South_credit_not_zero_req_X_S_grant_S, err_South_credit_zero_or_not_req_X_S_not_grant_S, err_Local_req_X_L, err_Local_credit_not_zero_req_X_L_grant_L, err_Local_credit_zero_or_not_req_X_L_not_grant_L, err_IDLE_req_X_E, err_North_req_X_E, err_East_req_X_W, err_West_req_X_S, err_South_req_X_L, err_Local_req_X_N, err_IDLE_req_X_W, err_North_req_X_W, err_East_req_X_S, err_West_req_X_L, err_South_req_X_N, err_Local_req_X_E, err_IDLE_req_X_S, err_North_req_X_S, err_East_req_X_L, err_West_req_X_N, err_South_req_X_E, err_Local_req_X_W, err_IDLE_req_X_L, err_North_req_X_L, err_East_req_X_N, err_West_req_X_E, err_South_req_X_W, err_Local_req_X_S, err_state_in_onehot, err_no_request_grants, err_request_IDLE_state, err_request_IDLE_not_Grants, err_state_North_Invalid_Grant, err_state_East_Invalid_Grant, err_state_West_Invalid_Grant, err_state_South_Invalid_Grant, err_state_Local_Invalid_Grant, err_Grants_onehot_or_all_zero : out std_logic ); end Arbiter_out_one_hot_pseudo_checkers; architecture behavior of Arbiter_out_one_hot_pseudo_checkers is CONSTANT IDLE: std_logic_vector (5 downto 0) := "000001"; CONSTANT Local: std_logic_vector (5 downto 0) := "000010"; CONSTANT North: std_logic_vector (5 downto 0) := "000100"; CONSTANT East: std_logic_vector (5 downto 0) := "001000"; CONSTANT West: std_logic_vector (5 downto 0) := "010000"; CONSTANT South: std_logic_vector (5 downto 0) := "100000"; SIGNAL Requests: std_logic_vector (4 downto 0); SIGNAL Grants: std_logic_vector (4 downto 0); begin Requests <= req_X_N & req_X_E & req_X_W & req_X_S & req_X_L; Grants <= grant_Y_N & grant_Y_E & grant_Y_W & grant_Y_S & grant_Y_L; -- Checkers --checked process (Requests, state_in) begin if (Requests = "00000" and state_in /= IDLE ) then err_Requests_state_in_state_not_equal <= '1'; else err_Requests_state_in_state_not_equal <= '0'; end if; end process; ----------------------------------------------------------------------------------------------------------------------- ----------------------------------------------------------------------------------------------------------------------- ----------------------------------------------------------------------------------------------------------------------- ----------------------------------------------------------------------------------------------------------------------- ----------------------------------------------------------------------------------------------------------------------- -- Round 1 --checked -- N has highest priority, then E, W, S and L (and then again N). process (state, req_X_N, state_in) begin if ( state = IDLE and req_X_N = '1' and state_in /= North ) then err_IDLE_req_X_N <= '1'; else err_IDLE_req_X_N <= '0'; end if; end process; --checked process (state, req_X_N, state_in) begin if (state = North and req_X_N = '1' and state_in /= North) then err_North_req_X_N <= '1'; else err_North_req_X_N <= '0'; end if; end process; --checked process (state, credit, req_X_N, grant_Y_N) begin if ( state = North and credit /= "00" and req_X_N = '1' and grant_Y_N /= '1' ) then err_North_credit_not_zero_req_X_N_grant_N <= '1'; else err_North_credit_not_zero_req_X_N_grant_N <= '0'; end if; end process; --checked process (state, credit, req_X_N, grant_Y_N) begin if ( state = North and (credit = "00" or req_X_N = '0') and grant_Y_N /= '0' ) then err_North_credit_zero_or_not_req_X_N_not_grant_N <= '1'; else err_North_credit_zero_or_not_req_X_N_not_grant_N <= '0'; end if; end process; --checked process (state, req_X_E, state_in) begin if (state = East and req_X_E = '1' and state_in /= East) then err_East_req_X_E <= '1'; else err_East_req_X_E <= '0'; end if; end process; --checked process (state, credit, req_X_E, grant_Y_E) begin if ( state = East and credit /= "00" and req_X_E = '1' and grant_Y_E = '0' ) then err_East_credit_not_zero_req_X_E_grant_E <= '1'; else err_East_credit_not_zero_req_X_E_grant_E <= '0'; end if; end process; --checked process (state, credit, req_X_E, grant_Y_E) begin if ( state = East and (credit = "00" or req_X_E = '0') and grant_Y_E /= '0' ) then err_East_credit_zero_or_not_req_X_E_not_grant_E <= '1'; else err_East_credit_zero_or_not_req_X_E_not_grant_E <= '0'; end if; end process; --checked process (state, req_X_W, state_in) begin if (state = West and req_X_W = '1' and state_in /= West) then err_West_req_X_W <= '1'; else err_West_req_X_W <= '0'; end if; end process; --checked process (state, credit, req_X_W, grant_Y_W) begin if ( state = West and credit /= "00" and req_X_W = '1' and grant_Y_W = '0') then err_West_credit_not_zero_req_X_E_grant_E <= '1'; else err_West_credit_not_zero_req_X_E_grant_E <= '0'; end if; end process; --checked process (state, credit, req_X_W, grant_Y_W) begin if ( state = West and (credit = "00" or req_X_W = '0') and grant_Y_W /= '0' ) then err_West_credit_zero_or_not_req_X_W_not_grant_W <= '1'; else err_West_credit_zero_or_not_req_X_W_not_grant_W <= '0'; end if; end process; --checked process (state, req_X_S, state_in) begin if (state = South and req_X_S = '1' and state_in /= South) then err_South_req_X_S <= '1'; else err_South_req_X_S <= '0'; end if; end process; --checked process (state, credit, req_X_S, grant_Y_S) begin if ( state = South and credit /= "00" and req_X_S = '1' and grant_Y_S = '0' ) then err_South_credit_not_zero_req_X_S_grant_S <= '1'; else err_South_credit_not_zero_req_X_S_grant_S <= '0'; end if; end process; --checked process (state, credit, req_X_S, grant_Y_S) begin if ( state = South and (credit = "00" or req_X_S = '0') and grant_Y_S /= '0' ) then err_South_credit_zero_or_not_req_X_S_not_grant_S <= '1'; else err_South_credit_zero_or_not_req_X_S_not_grant_S <= '0'; end if; end process; --checked -- Local is a bit different (including others case) process (state, req_X_L, state_in) begin if ( state /= IDLE and state /= North and state /=East and state /= West and state /= South and req_X_L = '1' and state_in /= Local) then err_Local_req_X_L <= '1'; else err_Local_req_X_L <= '0'; end if; end process; --checked process (state, credit, req_X_L, grant_Y_L) begin if ( state /= IDLE and state /= North and state /=East and state /= West and state /= South and credit /= "00" and req_X_L = '1' and grant_Y_L = '0' ) then err_Local_credit_not_zero_req_X_L_grant_L <= '1'; else err_Local_credit_not_zero_req_X_L_grant_L <= '0'; end if; end process; --checked process (state, credit, req_X_L, grant_Y_L) begin if ( state /= IDLE and state /= North and state /=East and state /= West and state /= South and ( credit = "00" or req_X_L = '0') and grant_Y_L /= '0' ) then err_Local_credit_zero_or_not_req_X_L_not_grant_L <= '1'; else err_Local_credit_zero_or_not_req_X_L_not_grant_L <= '0'; end if; end process; -- Checked -- Double checked! ----------------------------------------------------------------------------------------------------------------------- ----------------------------------------------------------------------------------------------------------------------- ----------------------------------------------------------------------------------------------------------------------- ----------------------------------------------------------------------------------------------------------------------- ----------------------------------------------------------------------------------------------------------------------- -- Round 2 --checked -- IDLE process (state, req_X_N, req_X_E, state_in) begin if ( state = IDLE and req_X_N = '0' and req_X_E = '1' and state_in /= East) then err_IDLE_req_X_E <= '1'; else err_IDLE_req_X_E <= '0'; end if; end process; -- North process (state, req_X_N, req_X_E, state_in) begin if ( state = North and req_X_N = '0' and req_X_E = '1' and state_in /= East) then err_North_req_X_E <= '1'; else err_North_req_X_E <= '0'; end if; end process; -- East process (state, req_X_E, req_X_W, state_in) begin if ( state = East and req_X_E = '0' and req_X_W = '1' and state_in /= West) then err_East_req_X_W <= '1'; else err_East_req_X_W <= '0'; end if; end process; -- West process (state, req_X_W, req_X_S, state_in) begin if ( state = West and req_X_W = '0' and req_X_S = '1' and state_in /= South) then err_West_req_X_S <= '1'; else err_West_req_X_S <= '0'; end if; end process; -- South -- Shall I consider local for this case or the others case ? I guess local, according to the previous checkers -- for the router with CTS/RTS handshaking Flow Control process (state, req_X_S, req_X_L, state_in) begin if ( state = South and req_X_S = '0' and req_X_L = '1' and state_in /= Local) then err_South_req_X_L <= '1'; else err_South_req_X_L <= '0'; end if; end process; -- Local and invalid states (others case) process (state, req_X_L, req_X_N, state_in) begin if ( state /= IDLE and state /= North and state /=East and state /=West and state /= South and req_X_L = '0' and req_X_N = '1' and state_in /= North) then err_Local_req_X_N <= '1'; else err_Local_req_X_N <= '0'; end if; end process; ----------------------------------------------------------------------------------------------------------------------- ----------------------------------------------------------------------------------------------------------------------- ----------------------------------------------------------------------------------------------------------------------- ----------------------------------------------------------------------------------------------------------------------- ----------------------------------------------------------------------------------------------------------------------- -- Round 3 process (state, req_X_N, req_X_E, req_X_W, state_in) begin if (state = IDLE and req_X_N = '0' and req_X_E = '0' and req_X_W = '1' and state_in /= West) then err_IDLE_req_X_W <= '1'; else err_IDLE_req_X_W <= '0'; end if; end process; process (state, req_X_N, req_X_E, req_X_W, state_in) begin if (state = North and req_X_N = '0' and req_X_E = '0' and req_X_W = '1' and state_in /= West) then err_North_req_X_W <= '1'; else err_North_req_X_W <= '0'; end if; end process; process (state, req_X_E, req_X_W, req_X_S, state_in) begin if (state = East and req_X_E = '0' and req_X_W = '0' and req_X_S = '1' and state_in /= South) then err_East_req_X_S <= '1'; else err_East_req_X_S <= '0'; end if; end process; process (state, req_X_W, req_X_S, req_X_L, state_in) begin if (state = West and req_X_W = '0' and req_X_S = '0' and req_X_L = '1' and state_in /= Local) then err_West_req_X_L <= '1'; else err_West_req_X_L <= '0'; end if; end process; process (state, req_X_S, req_X_L, req_X_N, state_in) begin if (state = South and req_X_S = '0' and req_X_L = '0' and req_X_N = '1' and state_in /= North) then err_South_req_X_N <= '1'; else err_South_req_X_N <= '0'; end if; end process; -- Local and invalid state(s) (others case) process (state, req_X_L, req_X_N, req_X_E, state_in) begin if (state /= IDLE and state /= North and state /=East and state /=West and state /= South and req_X_L = '0' and req_X_N = '0' and req_X_E = '1' and state_in /= East) then err_Local_req_X_E <= '1'; else err_Local_req_X_E <= '0'; end if; end process; ----------------------------------------------------------------------------------------------------------------------- ----------------------------------------------------------------------------------------------------------------------- ----------------------------------------------------------------------------------------------------------------------- ----------------------------------------------------------------------------------------------------------------------- ----------------------------------------------------------------------------------------------------------------------- -- Round 4 process (state, req_X_N, req_X_E, req_X_W, req_X_S, state_in) begin if ( state = IDLE and req_X_N = '0' and req_X_E = '0' and req_X_W = '0' and req_X_S = '1' and state_in /= South) then err_IDLE_req_X_S <= '1'; else err_IDLE_req_X_S <= '0'; end if; end process; process (state, req_X_N, req_X_E, req_X_W, req_X_S, state_in) begin if ( state = North and req_X_N = '0' and req_X_E = '0' and req_X_W = '0' and req_X_S = '1' and state_in /= South) then err_North_req_X_S <= '1'; else err_North_req_X_S <= '0'; end if; end process; process (state, req_X_E, req_X_W, req_X_S, req_X_L, state_in) begin if ( state = East and req_X_E = '0' and req_X_W = '0' and req_X_S = '0' and req_X_L = '1' and state_in /= Local) then err_East_req_X_L <= '1'; else err_East_req_X_L <= '0'; end if; end process; process (state, req_X_W, req_X_S, req_X_L, req_X_N, state_in) begin if ( state = West and req_X_W = '0' and req_X_S = '0' and req_X_L = '0' and req_X_N = '1' and state_in /= North) then err_West_req_X_N <= '1'; else err_West_req_X_N <= '0'; end if; end process; process (state, req_X_S, req_X_L, req_X_N, req_X_E, state_in) begin if ( state = South and req_X_S = '0' and req_X_L = '0' and req_X_N = '0' and req_X_E = '1' and state_in /= East) then err_South_req_X_E <= '1'; else err_South_req_X_E <= '0'; end if; end process; -- Local state or invalid state(s) (others case) process (state, req_X_L, req_X_N, req_X_E, req_X_W, state_in) begin if ( state /= IDLE and state /= North and state /=East and state /=West and state /= South and req_X_L = '0' and req_X_N = '0' and req_X_E = '0' and req_X_W = '1' and state_in /= West) then err_Local_req_X_W <= '1'; else err_Local_req_X_W <= '0'; end if; end process; -- Checked ----------------------------------------------------------------------------------------------------------------------- ----------------------------------------------------------------------------------------------------------------------- ----------------------------------------------------------------------------------------------------------------------- ----------------------------------------------------------------------------------------------------------------------- ----------------------------------------------------------------------------------------------------------------------- -- Round 5 process (state, req_X_N, req_X_E, req_X_W, req_X_S, req_X_L, state_in) begin if ( state = IDLE and req_X_N = '0' and req_X_E = '0' and req_X_W = '0' and req_X_S = '0' and req_X_L = '1' and state_in /= Local) then err_IDLE_req_X_L <= '1'; else err_IDLE_req_X_L <= '0'; end if; end process; process (state, req_X_N, req_X_E, req_X_W, req_X_S, req_X_L, state_in) begin if ( state = North and req_X_N = '0' and req_X_E = '0' and req_X_W = '0' and req_X_S = '0' and req_X_L = '1' and state_in /= Local) then err_North_req_X_L <= '1'; else err_North_req_X_L <= '0'; end if; end process; process (state, req_X_E, req_X_W, req_X_S, req_X_L, req_X_N, state_in) begin if ( state = East and req_X_E = '0' and req_X_W = '0' and req_X_S = '0' and req_X_L = '0' and req_X_N = '1' and state_in /= North) then err_East_req_X_N <= '1'; else err_East_req_X_N <= '0'; end if; end process; process (state, req_X_W, req_X_S, req_X_L, req_X_N, req_X_E, state_in) begin if ( state = West and req_X_W = '0' and req_X_S = '0' and req_X_L = '0' and req_X_N = '0' and req_X_E = '1' and state_in /= East) then err_West_req_X_E <= '1'; else err_West_req_X_E <= '0'; end if; end process; process (state, req_X_S, req_X_L, req_X_N, req_X_E, req_X_W, state_in) begin if ( state = South and req_X_S = '0' and req_X_L = '0' and req_X_N = '0' and req_X_E = '0' and req_X_W = '1' and state_in /= West) then err_South_req_X_W <= '1'; else err_South_req_X_W <= '0'; end if; end process; -- Local state or invalid state(s) (others case) process (state, req_X_L, req_X_N, req_X_E, req_X_W, req_X_S, state_in) begin if ( state /= IDLE and state /= North and state /=East and state /=West and state /= South and req_X_L = '0' and req_X_N = '0' and req_X_E = '0' and req_X_W = '0' and req_X_S = '1' and state_in /= South) then err_Local_req_X_S <= '1'; else err_Local_req_X_S <= '0'; end if; end process; -- Checked ----------------------------------------------------------------------------------------------------------------------- ----------------------------------------------------------------------------------------------------------------------- ----------------------------------------------------------------------------------------------------------------------- ----------------------------------------------------------------------------------------------------------------------- ----------------------------------------------------------------------------------------------------------------------- process (state_in) begin if (state_in /= IDLE and state_in /= North and state_in /= East and state_in /= West and state_in /= South and state_in /= Local) then err_state_in_onehot <= '1'; else err_state_in_onehot <= '0'; end if; end process; -- Checked process (Requests, Grants) begin if ( Requests = "00000" and Grants /= "00000") then err_no_request_grants <= '1'; else err_no_request_grants <= '0'; end if; end process; -- Checked process (Requests, state_in) begin if (Requests /= "00000" and state_in = IDLE) then err_request_IDLE_state <= '1'; else err_request_IDLE_state <= '0'; end if; end process; ----------------------------------------------------------------------------------------------------------------------- ----------------------------------------------------------------------------------------------------------------------- process (state, Grants) begin if (state = IDLE and Grants /= "00000") then err_request_IDLE_not_Grants <= '1'; else err_request_IDLE_not_Grants <= '0'; end if; end process; process (state, grant_Y_E, grant_Y_W, grant_Y_S, grant_Y_L) begin if (state = North and (grant_Y_E = '1' or grant_Y_W = '1' or grant_Y_S = '1' or grant_Y_L = '1') ) then err_state_North_Invalid_Grant <= '1'; else err_state_North_Invalid_Grant <= '0'; end if; end process; process (state, grant_Y_N, grant_Y_W, grant_Y_S, grant_Y_L) begin if (state = East and (grant_Y_N = '1' or grant_Y_W = '1' or grant_Y_S = '1' or grant_Y_L = '1') ) then err_state_East_Invalid_Grant <= '1'; else err_state_East_Invalid_Grant <= '0'; end if; end process; process (state, grant_Y_N, grant_Y_E, grant_Y_S, grant_Y_L) begin if (state = West and (grant_Y_N = '1' or grant_Y_E = '1' or grant_Y_S = '1' or grant_Y_L = '1') ) then err_state_West_Invalid_Grant <= '1'; else err_state_West_Invalid_Grant <= '0'; end if; end process; process (state, grant_Y_N, grant_Y_E, grant_Y_W, grant_Y_L) begin if (state = South and (grant_Y_N = '1' or grant_Y_E = '1' or grant_Y_W = '1' or grant_Y_L = '1') ) then err_state_South_Invalid_Grant <= '1'; else err_state_South_Invalid_Grant <= '0'; end if; end process; -- Local or invalid state(s) (a bit different logic!) process (state, grant_Y_N, grant_Y_E, grant_Y_W, grant_Y_S) begin if (state /= IDLE and state /= North and state /= East and state /= West and state /= South and (grant_Y_N = '1' or grant_Y_E = '1' or grant_Y_W = '1' or grant_Y_S = '1') ) then err_state_Local_Invalid_Grant <= '1'; else err_state_Local_Invalid_Grant <= '0'; end if; end process; -- Because we do not have multi-casting, Grants must always be one-hot or all zeros, no other possible combination for them ! process (Grants) begin if (Grants /= "00000" and Grants /= "00001" and Grants /= "00010" and Grants /= "00100" and Grants /= "01000" and Grants /= "10000") then err_Grants_onehot_or_all_zero <= '1'; else err_Grants_onehot_or_all_zero <= '0'; end if; end process; end behavior;
-- (c) Copyright 1995-2016 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:ip:floating_point:7.1 -- IP Revision: 2 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; LIBRARY floating_point_v7_1_2; USE floating_point_v7_1_2.floating_point_v7_1_2; ENTITY doHistStretch_ap_fdiv_14_no_dsp_32 IS PORT ( aclk : IN STD_LOGIC; aclken : IN STD_LOGIC; s_axis_a_tvalid : IN STD_LOGIC; s_axis_a_tdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axis_b_tvalid : IN STD_LOGIC; s_axis_b_tdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); m_axis_result_tvalid : OUT STD_LOGIC; m_axis_result_tdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0) ); END doHistStretch_ap_fdiv_14_no_dsp_32; ARCHITECTURE doHistStretch_ap_fdiv_14_no_dsp_32_arch OF doHistStretch_ap_fdiv_14_no_dsp_32 IS ATTRIBUTE DowngradeIPIdentifiedWarnings : STRING; ATTRIBUTE DowngradeIPIdentifiedWarnings OF doHistStretch_ap_fdiv_14_no_dsp_32_arch: ARCHITECTURE IS "yes"; COMPONENT floating_point_v7_1_2 IS GENERIC ( C_XDEVICEFAMILY : STRING; C_HAS_ADD : INTEGER; C_HAS_SUBTRACT : INTEGER; C_HAS_MULTIPLY : INTEGER; C_HAS_DIVIDE : INTEGER; C_HAS_SQRT : INTEGER; C_HAS_COMPARE : INTEGER; C_HAS_FIX_TO_FLT : INTEGER; C_HAS_FLT_TO_FIX : INTEGER; C_HAS_FLT_TO_FLT : INTEGER; C_HAS_RECIP : INTEGER; C_HAS_RECIP_SQRT : INTEGER; C_HAS_ABSOLUTE : INTEGER; C_HAS_LOGARITHM : INTEGER; C_HAS_EXPONENTIAL : INTEGER; C_HAS_FMA : INTEGER; C_HAS_FMS : INTEGER; C_HAS_ACCUMULATOR_A : INTEGER; C_HAS_ACCUMULATOR_S : INTEGER; C_A_WIDTH : INTEGER; C_A_FRACTION_WIDTH : INTEGER; C_B_WIDTH : INTEGER; C_B_FRACTION_WIDTH : INTEGER; C_C_WIDTH : INTEGER; C_C_FRACTION_WIDTH : INTEGER; C_RESULT_WIDTH : INTEGER; C_RESULT_FRACTION_WIDTH : INTEGER; C_COMPARE_OPERATION : INTEGER; C_LATENCY : INTEGER; C_OPTIMIZATION : INTEGER; C_MULT_USAGE : INTEGER; C_BRAM_USAGE : INTEGER; C_RATE : INTEGER; C_ACCUM_INPUT_MSB : INTEGER; C_ACCUM_MSB : INTEGER; C_ACCUM_LSB : INTEGER; C_HAS_UNDERFLOW : INTEGER; C_HAS_OVERFLOW : INTEGER; C_HAS_INVALID_OP : INTEGER; C_HAS_DIVIDE_BY_ZERO : INTEGER; C_HAS_ACCUM_OVERFLOW : INTEGER; C_HAS_ACCUM_INPUT_OVERFLOW : INTEGER; C_HAS_ACLKEN : INTEGER; C_HAS_ARESETN : INTEGER; C_THROTTLE_SCHEME : INTEGER; C_HAS_A_TUSER : INTEGER; C_HAS_A_TLAST : INTEGER; C_HAS_B : INTEGER; C_HAS_B_TUSER : INTEGER; C_HAS_B_TLAST : INTEGER; C_HAS_C : INTEGER; C_HAS_C_TUSER : INTEGER; C_HAS_C_TLAST : INTEGER; C_HAS_OPERATION : INTEGER; C_HAS_OPERATION_TUSER : INTEGER; C_HAS_OPERATION_TLAST : INTEGER; C_HAS_RESULT_TUSER : INTEGER; C_HAS_RESULT_TLAST : INTEGER; C_TLAST_RESOLUTION : INTEGER; C_A_TDATA_WIDTH : INTEGER; C_A_TUSER_WIDTH : INTEGER; C_B_TDATA_WIDTH : INTEGER; C_B_TUSER_WIDTH : INTEGER; C_C_TDATA_WIDTH : INTEGER; C_C_TUSER_WIDTH : INTEGER; C_OPERATION_TDATA_WIDTH : INTEGER; C_OPERATION_TUSER_WIDTH : INTEGER; C_RESULT_TDATA_WIDTH : INTEGER; C_RESULT_TUSER_WIDTH : INTEGER; C_FIXED_DATA_UNSIGNED : INTEGER ); PORT ( aclk : IN STD_LOGIC; aclken : IN STD_LOGIC; aresetn : IN STD_LOGIC; s_axis_a_tvalid : IN STD_LOGIC; s_axis_a_tready : OUT STD_LOGIC; s_axis_a_tdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axis_a_tuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_a_tlast : IN STD_LOGIC; s_axis_b_tvalid : IN STD_LOGIC; s_axis_b_tready : OUT STD_LOGIC; s_axis_b_tdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axis_b_tuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_b_tlast : IN STD_LOGIC; s_axis_c_tvalid : IN STD_LOGIC; s_axis_c_tready : OUT STD_LOGIC; s_axis_c_tdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axis_c_tuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_c_tlast : IN STD_LOGIC; s_axis_operation_tvalid : IN STD_LOGIC; s_axis_operation_tready : OUT STD_LOGIC; s_axis_operation_tdata : IN STD_LOGIC_VECTOR(7 DOWNTO 0); s_axis_operation_tuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_operation_tlast : IN STD_LOGIC; m_axis_result_tvalid : OUT STD_LOGIC; m_axis_result_tready : IN STD_LOGIC; m_axis_result_tdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); m_axis_result_tuser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axis_result_tlast : OUT STD_LOGIC ); END COMPONENT floating_point_v7_1_2; ATTRIBUTE X_INTERFACE_INFO : STRING; ATTRIBUTE X_INTERFACE_INFO OF aclk: SIGNAL IS "xilinx.com:signal:clock:1.0 aclk_intf CLK"; ATTRIBUTE X_INTERFACE_INFO OF aclken: SIGNAL IS "xilinx.com:signal:clockenable:1.0 aclken_intf CE"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_a_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_A TVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_a_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_A TDATA"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_b_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_B TVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_b_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_B TDATA"; ATTRIBUTE X_INTERFACE_INFO OF m_axis_result_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS_RESULT TVALID"; ATTRIBUTE X_INTERFACE_INFO OF m_axis_result_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS_RESULT TDATA"; BEGIN U0 : floating_point_v7_1_2 GENERIC MAP ( C_XDEVICEFAMILY => "zynq", C_HAS_ADD => 0, C_HAS_SUBTRACT => 0, C_HAS_MULTIPLY => 0, C_HAS_DIVIDE => 1, C_HAS_SQRT => 0, C_HAS_COMPARE => 0, C_HAS_FIX_TO_FLT => 0, C_HAS_FLT_TO_FIX => 0, C_HAS_FLT_TO_FLT => 0, C_HAS_RECIP => 0, C_HAS_RECIP_SQRT => 0, C_HAS_ABSOLUTE => 0, C_HAS_LOGARITHM => 0, C_HAS_EXPONENTIAL => 0, C_HAS_FMA => 0, C_HAS_FMS => 0, C_HAS_ACCUMULATOR_A => 0, C_HAS_ACCUMULATOR_S => 0, C_A_WIDTH => 32, C_A_FRACTION_WIDTH => 24, C_B_WIDTH => 32, C_B_FRACTION_WIDTH => 24, C_C_WIDTH => 32, C_C_FRACTION_WIDTH => 24, C_RESULT_WIDTH => 32, C_RESULT_FRACTION_WIDTH => 24, C_COMPARE_OPERATION => 8, C_LATENCY => 14, C_OPTIMIZATION => 1, C_MULT_USAGE => 0, C_BRAM_USAGE => 0, C_RATE => 1, C_ACCUM_INPUT_MSB => 32, C_ACCUM_MSB => 32, C_ACCUM_LSB => -31, C_HAS_UNDERFLOW => 0, C_HAS_OVERFLOW => 0, C_HAS_INVALID_OP => 0, C_HAS_DIVIDE_BY_ZERO => 0, C_HAS_ACCUM_OVERFLOW => 0, C_HAS_ACCUM_INPUT_OVERFLOW => 0, C_HAS_ACLKEN => 1, C_HAS_ARESETN => 0, C_THROTTLE_SCHEME => 3, C_HAS_A_TUSER => 0, C_HAS_A_TLAST => 0, C_HAS_B => 1, C_HAS_B_TUSER => 0, C_HAS_B_TLAST => 0, C_HAS_C => 0, C_HAS_C_TUSER => 0, C_HAS_C_TLAST => 0, C_HAS_OPERATION => 0, C_HAS_OPERATION_TUSER => 0, C_HAS_OPERATION_TLAST => 0, C_HAS_RESULT_TUSER => 0, C_HAS_RESULT_TLAST => 0, C_TLAST_RESOLUTION => 0, C_A_TDATA_WIDTH => 32, C_A_TUSER_WIDTH => 1, C_B_TDATA_WIDTH => 32, C_B_TUSER_WIDTH => 1, C_C_TDATA_WIDTH => 32, C_C_TUSER_WIDTH => 1, C_OPERATION_TDATA_WIDTH => 8, C_OPERATION_TUSER_WIDTH => 1, C_RESULT_TDATA_WIDTH => 32, C_RESULT_TUSER_WIDTH => 1, C_FIXED_DATA_UNSIGNED => 0 ) PORT MAP ( aclk => aclk, aclken => aclken, aresetn => '1', s_axis_a_tvalid => s_axis_a_tvalid, s_axis_a_tdata => s_axis_a_tdata, s_axis_a_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_a_tlast => '0', s_axis_b_tvalid => s_axis_b_tvalid, s_axis_b_tdata => s_axis_b_tdata, s_axis_b_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_b_tlast => '0', s_axis_c_tvalid => '0', s_axis_c_tdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)), s_axis_c_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_c_tlast => '0', s_axis_operation_tvalid => '0', s_axis_operation_tdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)), s_axis_operation_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_operation_tlast => '0', m_axis_result_tvalid => m_axis_result_tvalid, m_axis_result_tready => '0', m_axis_result_tdata => m_axis_result_tdata ); END doHistStretch_ap_fdiv_14_no_dsp_32_arch;
-- (c) Copyright 1995-2016 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:ip:floating_point:7.1 -- IP Revision: 2 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; LIBRARY floating_point_v7_1_2; USE floating_point_v7_1_2.floating_point_v7_1_2; ENTITY doHistStretch_ap_fdiv_14_no_dsp_32 IS PORT ( aclk : IN STD_LOGIC; aclken : IN STD_LOGIC; s_axis_a_tvalid : IN STD_LOGIC; s_axis_a_tdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axis_b_tvalid : IN STD_LOGIC; s_axis_b_tdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); m_axis_result_tvalid : OUT STD_LOGIC; m_axis_result_tdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0) ); END doHistStretch_ap_fdiv_14_no_dsp_32; ARCHITECTURE doHistStretch_ap_fdiv_14_no_dsp_32_arch OF doHistStretch_ap_fdiv_14_no_dsp_32 IS ATTRIBUTE DowngradeIPIdentifiedWarnings : STRING; ATTRIBUTE DowngradeIPIdentifiedWarnings OF doHistStretch_ap_fdiv_14_no_dsp_32_arch: ARCHITECTURE IS "yes"; COMPONENT floating_point_v7_1_2 IS GENERIC ( C_XDEVICEFAMILY : STRING; C_HAS_ADD : INTEGER; C_HAS_SUBTRACT : INTEGER; C_HAS_MULTIPLY : INTEGER; C_HAS_DIVIDE : INTEGER; C_HAS_SQRT : INTEGER; C_HAS_COMPARE : INTEGER; C_HAS_FIX_TO_FLT : INTEGER; C_HAS_FLT_TO_FIX : INTEGER; C_HAS_FLT_TO_FLT : INTEGER; C_HAS_RECIP : INTEGER; C_HAS_RECIP_SQRT : INTEGER; C_HAS_ABSOLUTE : INTEGER; C_HAS_LOGARITHM : INTEGER; C_HAS_EXPONENTIAL : INTEGER; C_HAS_FMA : INTEGER; C_HAS_FMS : INTEGER; C_HAS_ACCUMULATOR_A : INTEGER; C_HAS_ACCUMULATOR_S : INTEGER; C_A_WIDTH : INTEGER; C_A_FRACTION_WIDTH : INTEGER; C_B_WIDTH : INTEGER; C_B_FRACTION_WIDTH : INTEGER; C_C_WIDTH : INTEGER; C_C_FRACTION_WIDTH : INTEGER; C_RESULT_WIDTH : INTEGER; C_RESULT_FRACTION_WIDTH : INTEGER; C_COMPARE_OPERATION : INTEGER; C_LATENCY : INTEGER; C_OPTIMIZATION : INTEGER; C_MULT_USAGE : INTEGER; C_BRAM_USAGE : INTEGER; C_RATE : INTEGER; C_ACCUM_INPUT_MSB : INTEGER; C_ACCUM_MSB : INTEGER; C_ACCUM_LSB : INTEGER; C_HAS_UNDERFLOW : INTEGER; C_HAS_OVERFLOW : INTEGER; C_HAS_INVALID_OP : INTEGER; C_HAS_DIVIDE_BY_ZERO : INTEGER; C_HAS_ACCUM_OVERFLOW : INTEGER; C_HAS_ACCUM_INPUT_OVERFLOW : INTEGER; C_HAS_ACLKEN : INTEGER; C_HAS_ARESETN : INTEGER; C_THROTTLE_SCHEME : INTEGER; C_HAS_A_TUSER : INTEGER; C_HAS_A_TLAST : INTEGER; C_HAS_B : INTEGER; C_HAS_B_TUSER : INTEGER; C_HAS_B_TLAST : INTEGER; C_HAS_C : INTEGER; C_HAS_C_TUSER : INTEGER; C_HAS_C_TLAST : INTEGER; C_HAS_OPERATION : INTEGER; C_HAS_OPERATION_TUSER : INTEGER; C_HAS_OPERATION_TLAST : INTEGER; C_HAS_RESULT_TUSER : INTEGER; C_HAS_RESULT_TLAST : INTEGER; C_TLAST_RESOLUTION : INTEGER; C_A_TDATA_WIDTH : INTEGER; C_A_TUSER_WIDTH : INTEGER; C_B_TDATA_WIDTH : INTEGER; C_B_TUSER_WIDTH : INTEGER; C_C_TDATA_WIDTH : INTEGER; C_C_TUSER_WIDTH : INTEGER; C_OPERATION_TDATA_WIDTH : INTEGER; C_OPERATION_TUSER_WIDTH : INTEGER; C_RESULT_TDATA_WIDTH : INTEGER; C_RESULT_TUSER_WIDTH : INTEGER; C_FIXED_DATA_UNSIGNED : INTEGER ); PORT ( aclk : IN STD_LOGIC; aclken : IN STD_LOGIC; aresetn : IN STD_LOGIC; s_axis_a_tvalid : IN STD_LOGIC; s_axis_a_tready : OUT STD_LOGIC; s_axis_a_tdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axis_a_tuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_a_tlast : IN STD_LOGIC; s_axis_b_tvalid : IN STD_LOGIC; s_axis_b_tready : OUT STD_LOGIC; s_axis_b_tdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axis_b_tuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_b_tlast : IN STD_LOGIC; s_axis_c_tvalid : IN STD_LOGIC; s_axis_c_tready : OUT STD_LOGIC; s_axis_c_tdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axis_c_tuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_c_tlast : IN STD_LOGIC; s_axis_operation_tvalid : IN STD_LOGIC; s_axis_operation_tready : OUT STD_LOGIC; s_axis_operation_tdata : IN STD_LOGIC_VECTOR(7 DOWNTO 0); s_axis_operation_tuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_operation_tlast : IN STD_LOGIC; m_axis_result_tvalid : OUT STD_LOGIC; m_axis_result_tready : IN STD_LOGIC; m_axis_result_tdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); m_axis_result_tuser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axis_result_tlast : OUT STD_LOGIC ); END COMPONENT floating_point_v7_1_2; ATTRIBUTE X_INTERFACE_INFO : STRING; ATTRIBUTE X_INTERFACE_INFO OF aclk: SIGNAL IS "xilinx.com:signal:clock:1.0 aclk_intf CLK"; ATTRIBUTE X_INTERFACE_INFO OF aclken: SIGNAL IS "xilinx.com:signal:clockenable:1.0 aclken_intf CE"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_a_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_A TVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_a_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_A TDATA"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_b_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_B TVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_b_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_B TDATA"; ATTRIBUTE X_INTERFACE_INFO OF m_axis_result_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS_RESULT TVALID"; ATTRIBUTE X_INTERFACE_INFO OF m_axis_result_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS_RESULT TDATA"; BEGIN U0 : floating_point_v7_1_2 GENERIC MAP ( C_XDEVICEFAMILY => "zynq", C_HAS_ADD => 0, C_HAS_SUBTRACT => 0, C_HAS_MULTIPLY => 0, C_HAS_DIVIDE => 1, C_HAS_SQRT => 0, C_HAS_COMPARE => 0, C_HAS_FIX_TO_FLT => 0, C_HAS_FLT_TO_FIX => 0, C_HAS_FLT_TO_FLT => 0, C_HAS_RECIP => 0, C_HAS_RECIP_SQRT => 0, C_HAS_ABSOLUTE => 0, C_HAS_LOGARITHM => 0, C_HAS_EXPONENTIAL => 0, C_HAS_FMA => 0, C_HAS_FMS => 0, C_HAS_ACCUMULATOR_A => 0, C_HAS_ACCUMULATOR_S => 0, C_A_WIDTH => 32, C_A_FRACTION_WIDTH => 24, C_B_WIDTH => 32, C_B_FRACTION_WIDTH => 24, C_C_WIDTH => 32, C_C_FRACTION_WIDTH => 24, C_RESULT_WIDTH => 32, C_RESULT_FRACTION_WIDTH => 24, C_COMPARE_OPERATION => 8, C_LATENCY => 14, C_OPTIMIZATION => 1, C_MULT_USAGE => 0, C_BRAM_USAGE => 0, C_RATE => 1, C_ACCUM_INPUT_MSB => 32, C_ACCUM_MSB => 32, C_ACCUM_LSB => -31, C_HAS_UNDERFLOW => 0, C_HAS_OVERFLOW => 0, C_HAS_INVALID_OP => 0, C_HAS_DIVIDE_BY_ZERO => 0, C_HAS_ACCUM_OVERFLOW => 0, C_HAS_ACCUM_INPUT_OVERFLOW => 0, C_HAS_ACLKEN => 1, C_HAS_ARESETN => 0, C_THROTTLE_SCHEME => 3, C_HAS_A_TUSER => 0, C_HAS_A_TLAST => 0, C_HAS_B => 1, C_HAS_B_TUSER => 0, C_HAS_B_TLAST => 0, C_HAS_C => 0, C_HAS_C_TUSER => 0, C_HAS_C_TLAST => 0, C_HAS_OPERATION => 0, C_HAS_OPERATION_TUSER => 0, C_HAS_OPERATION_TLAST => 0, C_HAS_RESULT_TUSER => 0, C_HAS_RESULT_TLAST => 0, C_TLAST_RESOLUTION => 0, C_A_TDATA_WIDTH => 32, C_A_TUSER_WIDTH => 1, C_B_TDATA_WIDTH => 32, C_B_TUSER_WIDTH => 1, C_C_TDATA_WIDTH => 32, C_C_TUSER_WIDTH => 1, C_OPERATION_TDATA_WIDTH => 8, C_OPERATION_TUSER_WIDTH => 1, C_RESULT_TDATA_WIDTH => 32, C_RESULT_TUSER_WIDTH => 1, C_FIXED_DATA_UNSIGNED => 0 ) PORT MAP ( aclk => aclk, aclken => aclken, aresetn => '1', s_axis_a_tvalid => s_axis_a_tvalid, s_axis_a_tdata => s_axis_a_tdata, s_axis_a_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_a_tlast => '0', s_axis_b_tvalid => s_axis_b_tvalid, s_axis_b_tdata => s_axis_b_tdata, s_axis_b_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_b_tlast => '0', s_axis_c_tvalid => '0', s_axis_c_tdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)), s_axis_c_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_c_tlast => '0', s_axis_operation_tvalid => '0', s_axis_operation_tdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)), s_axis_operation_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_operation_tlast => '0', m_axis_result_tvalid => m_axis_result_tvalid, m_axis_result_tready => '0', m_axis_result_tdata => m_axis_result_tdata ); END doHistStretch_ap_fdiv_14_no_dsp_32_arch;
-- Copyright (C) 1996 Morgan Kaufmann Publishers, Inc -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: ch_06_pas-b.vhd,v 1.2 2001-10-26 16:29:34 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- architecture behavioral of product_adder_subtracter is begin behavior : process (a, b) is constant Tpd_in_out : time := 3 ns; variable op2 : std_ulogic_vector(b'range); variable carry_in : std_ulogic; variable carry_out : std_ulogic; begin carry_out := To_X01(mode); if To_X01(mode) = '1' then op2 := not b; else op2 := b; end if; for index in 0 to 31 loop carry_in := carry_out; -- of previous bit s(index) <= a(index) xor op2(index) xor carry_in after Tpd_in_out; carry_out := (a(index) and op2(index)) or (carry_in and (a(index) xor op2(index))); end loop; s(32) <= a(31) xor op2(31) xor carry_out after Tpd_in_out; end process behavior; end architecture behavioral;
-- Copyright (C) 1996 Morgan Kaufmann Publishers, Inc -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: ch_06_pas-b.vhd,v 1.2 2001-10-26 16:29:34 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- architecture behavioral of product_adder_subtracter is begin behavior : process (a, b) is constant Tpd_in_out : time := 3 ns; variable op2 : std_ulogic_vector(b'range); variable carry_in : std_ulogic; variable carry_out : std_ulogic; begin carry_out := To_X01(mode); if To_X01(mode) = '1' then op2 := not b; else op2 := b; end if; for index in 0 to 31 loop carry_in := carry_out; -- of previous bit s(index) <= a(index) xor op2(index) xor carry_in after Tpd_in_out; carry_out := (a(index) and op2(index)) or (carry_in and (a(index) xor op2(index))); end loop; s(32) <= a(31) xor op2(31) xor carry_out after Tpd_in_out; end process behavior; end architecture behavioral;
-- Copyright (C) 1996 Morgan Kaufmann Publishers, Inc -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: ch_06_pas-b.vhd,v 1.2 2001-10-26 16:29:34 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- architecture behavioral of product_adder_subtracter is begin behavior : process (a, b) is constant Tpd_in_out : time := 3 ns; variable op2 : std_ulogic_vector(b'range); variable carry_in : std_ulogic; variable carry_out : std_ulogic; begin carry_out := To_X01(mode); if To_X01(mode) = '1' then op2 := not b; else op2 := b; end if; for index in 0 to 31 loop carry_in := carry_out; -- of previous bit s(index) <= a(index) xor op2(index) xor carry_in after Tpd_in_out; carry_out := (a(index) and op2(index)) or (carry_in and (a(index) xor op2(index))); end loop; s(32) <= a(31) xor op2(31) xor carry_out after Tpd_in_out; end process behavior; end architecture behavioral;
--------------------------------------------------- -- School: University of Massachusetts Dartmouth -- Department: Computer and Electrical Engineering -- Engineer: Daniel Noyes -- -- Create Date: SPRING 2015 -- Module Name: PS/2 Ascii Generator -- Project Name: Keyboard Controller -- Target Devices: Spartan-3E -- Tool versions: Xilinx ISE 14.7 -- Description: Generate ASCII from PS/2 input -- Maintain Keyboard(reset,set num,caps lock) --------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity PS2_ASCII_GEN is Port ( CLK : in STD_LOGIC; RST : in STD_LOGIC; PS2_RX : in STD_LOGIC_VECTOR (7 downto 0); PS2_RD : in STD_LOGIC; PS2_BS : in STD_LOGIC; PS2_ER : in STD_LOGIC; PS2_TX : out STD_LOGIC_VECTOR (7 downto 0); PS2_WR : out STD_LOGIC; ASCII : out STD_LOGIC_VECTOR (7 downto 0); ASCII_RD : out STD_LOGIC; ASCII_SP : out STD_LOGIC); -- Special Key Flag end PS2_ASCII_GEN; architecture Behavioral of PS2_ASCII_GEN is type statetype is (idle, shift, read_b, read_e, read_eb, caps_toggle, busy_wait,reset); signal state : statetype := idle; signal wstate : statetype := idle; signal ascii_lower : STD_LOGIC_VECTOR (7 downto 0) := (OTHERS => '0'); signal ascii_upper : STD_LOGIC_VECTOR (7 downto 0) := (OTHERS => '0'); shared variable shift_key : boolean := false; shared variable caps : boolean := false; begin with PS2_RX select ascii_lower <= -- Alphabet x"61" when x"1C",-- a x"62" when x"32",-- b x"63" when x"21",-- c x"64" when x"23",-- d x"65" when x"24",-- e x"66" when x"2B",-- f x"67" when x"34",-- g x"68" when x"33",-- h x"69" when x"43",-- i x"6A" when x"3B",-- j x"6B" when x"42",-- k x"6C" when x"4B",-- l x"6D" when x"3A",-- m x"6E" when x"31",-- n x"6F" when x"44",-- o x"70" when x"4D",-- p x"71" when x"15",-- q x"72" when x"2D",-- r x"73" when x"1B",-- s x"74" when x"2C",-- t x"75" when x"3C",-- u x"76" when x"2A",-- v x"77" when x"1D",-- w x"78" when x"22",-- x x"79" when x"35",-- y x"7A" when x"1A",-- z --Top Row x"60" when x"0E",-- ` x"31" when x"16",-- 1 x"32" when x"1E",-- 2 x"33" when x"26",-- 3 x"34" when x"25",-- 4 x"35" when x"2E",-- 5 x"36" when x"36",-- 6 x"37" when x"3D",-- 7 x"38" when x"3E",-- 8 x"39" when x"46",-- 9 x"30" when x"45",-- 0 x"2D" when x"4E",-- - x"3D" when x"55",-- = --Enter Corner x"5B" when x"54",-- [ x"5D" when x"5B",-- ] x"5C" when x"5D",-- \ x"3B" when x"4C",-- ; x"27" when x"52",-- ' x"2C" when x"41",-- , x"2E" when x"49",-- . x"2F" when x"4A",-- / --Function Keys -- Based on the IBM PC Codes x"1B" when x"76",-- Esc (Escape) x"3B" when x"05",-- F1 x"3C" when x"06",-- F2 x"3D" when x"04",-- F3 x"3E" when x"0C",-- F4 x"3F" when x"03",-- F5 x"40" when x"0B",-- F6 x"41" when x"83",-- F7 x"42" when x"0A",-- F8 x"43" when x"01",-- F9 x"44" when x"09",-- F10 x"85" when x"78",-- F11 x"86" when x"07",-- F12 x"09" when x"0D",-- Tab (Horizontal Tab) x"0D" when x"5A",-- Enter (Carriage Return) --need no value *(special characters) x"00" when x"58",-- Caps Lock x"00" when x"14",-- Ctrl x"00" when x"11",-- Alt x"00" when x"66",-- Back Space --Direction Keys x"48" when x"75",-- Up x"50" when x"72",-- Down x"4B" when x"6B",-- Left x"4D" when x"74",-- Right --Unknown input x"00" when OTHERS; -- Null with PS2_RX select ascii_upper <= -- Alphabet x"41" when x"1C",-- A x"42" when x"32",-- B x"43" when x"21",-- C x"44" when x"23",-- D x"45" when x"24",-- E x"46" when x"2B",-- F x"47" when x"34",-- G x"48" when x"33",-- H x"49" when x"43",-- I x"4A" when x"3B",-- J x"4B" when x"42",-- K x"4C" when x"4B",-- L x"4D" when x"3A",-- M x"4E" when x"31",-- N x"4F" when x"44",-- O x"50" when x"4D",-- P x"51" when x"15",-- Q x"52" when x"2D",-- R x"53" when x"1B",-- S x"54" when x"2C",-- T x"55" when x"3C",-- U x"56" when x"2A",-- V x"57" when x"1D",-- W x"58" when x"22",-- X x"59" when x"35",-- Y x"5A" when x"1A",-- Z -- Special Upper case Characters (top left to bottom right) -- Top Row x"7E" when x"0E",-- ~ x"21" when x"16",-- ! x"40" when x"1E",-- @ x"23" when x"26",-- # x"24" when x"25",-- $ x"25" when x"2E",-- % x"5E" when x"36",-- ^ x"26" when x"3D",-- & x"2A" when x"3E",-- * x"28" when x"46",-- ( x"29" when x"45",-- ) x"5F" when x"4E",-- _ x"2B" when x"55",-- + -- Enter Corner x"7B" when x"54",-- { x"7D" when x"5B",-- } x"7C" when x"5D",-- | x"3A" when x"4C",-- : x"22" when x"52",-- " x"3C" when x"41",-- < x"3E" when x"49",-- > x"3F" when x"4A",-- ? -- Unknown Key x"00" when OTHERS; -- Null process (CLK,RST,PS2_RX,PS2_RD,PS2_ER) begin if (RST = '1' and PS2_ER = '1') then state <= reset; elsif (PS2_RD'event and PS2_RD = '1') then--(CLK'event and CLK = '1') then case state is when idle => --if (PS2_RD'event and PS2_RD = '1') then ASCII_SP <= '0'; ASCII_RD <= '0'; if PS2_RX = x"F0" then state <= read_b; elsif PS2_RX = x"E0" then state <= read_e; elsif(PS2_RX = x"12" or PS2_RX = x"54") then state <= shift; end if; --end if; when read_b => --if (PS2_RD'event and PS2_RD = '1') then if caps = true then ASCII <= ascii_upper; else ASCII <= ascii_lower; end if; ASCII_RD <= '1'; --end if; when read_e => --if (PS2_RD'event and PS2_RD = '1') then if PS2_RX = x"F0" then state <= read_eb; ASCII_SP <= '1'; -- Enable Special Mode else state <= idle; end if; --end if; when read_eb => --if (PS2_RD'event and PS2_RD = '1') then ASCII <= ascii_lower; ASCII_RD <= '1'; --end if; when shift => --ASCII <= ascii_upper; --ASCII_RD <= '1'; state <= idle; when caps_toggle => PS2_TX <= x"ED"; PS2_WR <= '1'; when reset => PS2_TX <= x"FF"; --send keyboard reset cmd PS2_WR <= '1'; state <= idle; when busy_wait => if PS2_BS = '0' then state <= wstate; end if; when others => state <= idle; end case; end if; end process; end Behavioral;
library IEEE; use IEEE.STD_LOGIC_1164.all; entity nt_ss is port( clk : in STD_LOGIC; SI : in bit; PO : out bit_VECTOR(7 downto 0) ); end nt_ss; --}} End of automatically maintained section architecture nt_ss of nt_ss is signal tmp:bit_vector(7 downto 0); begin process (clk) begin if (clk'event and clk='1') then tmp <= tmp(6 downto 0)& SI; end if; PO <= tmp; end process; end nt_ss; --clk=20Mhz; SI= random
--------------------------------------------------------------------------- -- Copyright © 2010 Lawrence Wilkinson [email protected] -- -- This file is part of LJW2030, a VHDL implementation of the IBM -- System/360 Model 30. -- -- LJW2030 is free software: you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation, either version 3 of the License, or -- (at your option) any later version. -- -- LJW2030 is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with LJW2030 . If not, see <http://www.gnu.org/licenses/>. -- --------------------------------------------------------------------------- -- -- File: ibm2030-switches.vhd -- Creation Date: 21:49:37 20/01/2010 -- Description: -- 360/30 Front Panel switch handling -- Some switches are provided by the pushbuttons and sliders on the S3BOARD -- Rotary switches are connected externally with a mixture of scanning and -- discrete inputs. In all cases the "Process" position is not connected so -- omitting the switches entirely allows the system to run normally. -- Page references like "5-01A" refer to the IBM Maintenance Diagram Manual (MDM) -- for the 360/30 R25-5103-1 -- References like "02AE6" refer to coordinate "E6" on page "5-02A" -- Logic references like "AB3D5" refer to card "D5" in board "B3" in gate "A" -- Gate A is the main logic gate, B is the second (optional) logic gate, -- C is the core storage and X is the CCROS unit -- -- Revision History: -- Revision 1.0 2010-07-09 -- Initial Release -- Revision 1.01 2010-07-20 -- [LJW] Add Switch connection information, no functional change -- -- -- Func Port Pin Conn A2 A B C D E F G H J AC E' ROS Rate Check -- Ground 1 - - - - - - - - - - - - - - -- +5V 2 - - - - - - - - - - - - - - -- +3.3V Vcco 3 - - - - - - - - - - C C C C -- Hex0 pa_io1 E6 4 * * * * * * * * * # - - - - -- Hex1 pa_io2 D5 5 * * * * * * * * * # - - - - -- Hex2 pa_io3 C5 6 * * * * * * * * * # - - - - -- Hex3 pa_io4 D6 7 * * * * * * * * * # - - - - -- ScanA pa_io5 C6 8 S - - - - - - - - - - - - - -- ScanB pa_io6 E7 9 - S - - - - - - - - - - - - -- ScanC pa_io7 C7 10 - - S - - - - - - - - - - - -- ScanD pa_io8 D7 11 - - - S - - - - - - - - - - -- ScanE pa_io9 C8 12 - - - - S - - - - - - - - - -- ScanF pa_io10 D8 13 - - - - - S - - - - - - - - -- ScanG pa_io11 C9 14 - - - - - - S - - - - - - - -- ScanH pa_io12 D10 15 - - - - - - - S - - - - - - -- ScanJ pa_io13 A3 16 - - - - - - - - S - - - - - -- ScanAC pa_io14 B4 17 - - - - - - - - - S - - - - -- E_Inner pa_io15 A4 18 - - - - - - - - - - * - - - -- E_Outer pa_io16 B5 19 - - - - - - - - - - * - - - -- ROS InhCFStop pa_io17 A5 20 - - - - - - - - - - - * - - -- ROS Scan pa_io18 B6 21 - - - - - - - - - - - * - - -- Rate_InstrStep ma2_db0 B7 22 - - - - - - - - - - - - * - -- Rate_SingleCyc ma2_db1 A7 23 - - - - - - - - - - - - * - -- Check_Diag ma2_db2 B8 24 - - - - - - - - - - - - - * -- Check_Disable ma2_db3 A8 25 - - - - - - - - - - - - - * -- Check_Stop ma2_db4 A9 26 - - - - - - - - - - - - - * -- Check_Restart ma2_db5 B10 27 - - - - - - - - - - - - - * -- -- * = Hex0,1,2,3 inputs have diodes from each of the 9 hex-encoded switches A-J (A to switch, K to FPGA, total 36 diodes) -- # = The Address Compare switch (AC) is 10-position, unencoded, with diodes to perform the 0-9 encoding (total 15 diodes) -- S = Scan output to switch common (one output at a time goes high to scan) -- C = Common connection for non-scanned switches -- Switch E' is the selector switch which is part of switch E and selects the inner, middle or outer rings -- The "Proc" positions of the ROS, Rate and Check switches are not connected - if no switches are present then these 3 and the AC switch default to "Proc" -- The "Middle" position of the E selector switch is not connected - the default is therefore the MS/LS ring -- Pulldowns are provided by the FPGA input -- -- Most of the remaining switches are connected to the on-board pushbuttons and slide switches: -- Reset -- Start -- Stop -- Load -- Lamp Test -- ROAR Reset -- Display -- Store -- Check Reset -- Set IC -- Interrupt -- Fast/Slow clock control -- Two switches are not used: -- Power Off -- Timer Interrupt -- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; use work.Buses_package.all; use work.Gates_package.EvenParity; ---- Uncomment the following library declaration if instantiating ---- any Xilinx primitives in this code. --library UNISIM; --use UNISIM.VComponents.all; entity switches is Port ( -- Raw switch inputs: (These can be modified to suit the board being used) SwA_scan : out STD_LOGIC; SwB_scan : out STD_LOGIC; SwC_scan : out STD_LOGIC; SwD_scan : out STD_LOGIC; SwE_scan : out STD_LOGIC; SwF_scan : out STD_LOGIC; SwG_scan : out STD_LOGIC; SwH_scan : out STD_LOGIC; SwJ_scan : out STD_LOGIC; SwAC_scan : out STD_LOGIC; -- Address Compare Hex_in : in STD_LOGIC_VECTOR(3 downto 0); SW_E_Inner, SW_E_Outer : in STD_LOGIC; RawSw_Proc_Inh_CF_Stop, RawSw_Proc_Scan : in STD_LOGIC; -- ROS Control RawSw_Rate_Single_Cycle, RawSw_Rate_Instruction_Step : in STD_LOGIC; -- Rate RawSw_Chk_Chk_Restart, RawSw_Chk_Diagnostic, RawSw_Chk_Stop, RawSw_Chk_Disable : in STD_LOGIC; -- Check Control pb : in std_logic_vector(3 downto 0); -- On-board pushbuttons sw : in std_logic_vector(7 downto 0); -- On-board slide switches -- Scanned switch inputs - MAX7318 connections SCL : out STD_LOGIC; SDA : inout STD_LOGIC; -- Other inputs clk : in STD_LOGIC; -- 50MHz status_lamps : in STD_LOGIC_VECTOR(4 downto 0); -- Conditioned switch outputs: SwA,SwB,SwC,SwD,SwF,SwG,SwH,SwJ : out STD_LOGIC_VECTOR(3 downto 0); SwAP,SwBP,SwCP,SwDP,SwFP,SwGP,SwHP,SwJP : out STD_LOGIC; SwE : out E_SW_BUS_Type; Sw_PowerOff, Sw_Interrupt, Sw_Load : out STD_LOGIC; -- Right-hand pushbuttons Sw_SystemReset, Sw_RoarReset, Sw_Start, Sw_SetIC, Sw_CheckReset, Sw_Stop, Sw_IntTmr, Sw_Store, Sw_LampTest, Sw_Display : out STD_LOGIC; -- Left-hand pushbuttons Sw_Proc_Inh_CF_Stop, Sw_Proc_Proc, Sw_Proc_Scan : out STD_LOGIC; -- ROS Control Sw_Rate_Single_Cycle, Sw_Rate_Instruction_Step, Sw_Rate_Process : out STD_LOGIC; -- Rate Sw_Chk_Chk_Restart, Sw_Chk_Diagnostic, Sw_Chk_Stop, Sw_Chk_Process, Sw_Chk_Disable : out STD_LOGIC; -- Check Control Sw_ROAR_RESTT,Sw_ROAR_RESTT_WITHOUT_RST,Sw_EARLY_ROAR_STOP,Sw_ROAR_STOP, Sw_ROAR_RESTT_STOR_BYPASS, Sw_ROAR_SYNC,Sw_ADDR_COMP_PROC,Sw_SAR_DLYD_STOP,Sw_SAR_STOP,Sw_SAR_RESTART : out STD_LOGIC; -- Address Compare -- 1kHz clock signal Clock1ms : out STD_LOGIC; -- 50Hz Timer signal Timer : out STD_LOGIC ); end switches; architecture Behavioral of switches is subtype debounce is std_logic_vector(0 to 3); signal scan : std_logic_vector(3 downto 0) := "0000"; signal counter : std_logic_vector(14 downto 0) := (others=>'0'); signal counter1k : std_logic_vector(15 downto 0) := (others=>'0'); signal timerCounter : std_logic_vector(5 downto 0) := (others=>'0'); signal SwE_raw,SwE_combined : std_logic_vector(3 downto 0) := "0000"; signal UseInner,UseMid,UseOuter : Boolean; signal SwAC,SwAC_combined : std_logic_vector(3 downto 0) := "0000"; -- Address Compare switch signal Parity_in : std_logic; signal RawSw_PowerOff, RawSw_Interrupt, RawSw_Load, RawSw_SystemReset, RawSw_RoarReset, RawSw_Start, RawSw_SetIC, RawSw_CheckReset, RawSw_Stop, RawSw_IntTmr, RawSw_Store, RawSw_LampTest, RawSw_Display : STD_LOGIC; -- Right-hand pushbuttons signal debouncePowerOff, debounceInterrupt, debounceLoad, debounceSystemReset, debounceRoarReset, debounceStart, debounceSetIC, debounceCheckReset, debounceStop, debounceIntTmr, debounceStore, debounceLampTest, debounceDisplay : debounce; signal timerOut : std_logic := '0'; signal sClock1ms : std_logic := '0'; signal max7318_switches : std_logic_vector(0 to 63); constant divider : std_logic_vector(14 downto 0) := "100111000100000"; -- 20,000 gives 2.5kHz constant divider2000 : std_logic_vector(14 downto 0) := "110000110101000"; -- 25,000 gives 2kHz constant sample : std_logic_vector(14 downto 0) := "100111000011110"; -- 19,999 constant divider100 : std_logic_vector(4 downto 0) := "11001"; --- 25 converts 2.5kHz to 100Hz for timer begin max7318 : entity panel_Switches port map ( clk => clk, SCL => SCL, SDA => SDA, LEDs => status_lamps, Switches => max7318_switches -- If the MAX7318 is not present, this vector should be all zero ); Parity_in <= EvenParity(Hex_in); scan_counter: process(clk) begin if (rising_edge(clk)) then if counter=sample then if scan="0000" then SwA <= Hex_in or max7318_switches(12 to 15); SwAP <= Parity_in; end if; if scan="0001" then SwB <= Hex_in or max7318_switches(16 to 19); SwBP <= Parity_in; end if; if scan="0010" then SwC <= Hex_in or max7318_switches(20 to 23); SwCP <= Parity_in; end if; if scan="0011" then SwD <= Hex_in or max7318_switches(24 to 27); SwDP <= Parity_in; end if; if scan="0100" then SwE_raw <= Hex_in or max7318_switches(36 to 39); end if; if scan="0101" then SwF <= Hex_in or max7318_switches(28 to 31); SwFP <= Parity_in; end if; if scan="0110" then SwG <= Hex_in or max7318_switches(40 to 43); SwGP <= Parity_in; end if; if scan="0111" then SwH <= Hex_in or max7318_switches(44 to 47); SwHP <= Parity_in; end if; if scan="1000" then SwJ <= Hex_in or max7318_switches(48 to 51); SwJP <= Parity_in; end if; if scan="1001" then SwAC <= Hex_in or max7318_switches(4 to 7); end if; end if; if counter=divider then counter<=(others=>'0'); if scan="1001" then scan <= "0000"; else scan <= scan + 1; end if; debouncePowerOff <= debouncePowerOff(1 to 3) & rawSw_PowerOff; debounceInterrupt <= debounceInterrupt(1 to 3) & (rawSw_Interrupt or max7318_switches(53)); debounceLoad <= debounceLoad(1 to 3) & (rawSw_Load or max7318_switches(52)); debounceSystemReset <= debounceSystemReset(1 to 3) & (rawSw_SystemReset or max7318_switches(63)); debounceRoarReset <= debounceRoarReset(1 to 3) & (rawSw_RoarReset or max7318_switches(61)); debounceStart <= debounceStart(1 to 3) & (rawSw_Start or max7318_switches(56)); debounceSetIC <= debounceSetIC(1 to 3) & (rawSw_SetIC or max7318_switches(60)); debounceCheckReset <= debounceCheckReset(1 to 3) & (rawSw_CheckReset or max7318_switches(58)); debounceStop <= debounceStop(1 to 3) & (rawSw_Stop or max7318_switches(55)); debounceIntTmr <= debounceIntTmr(1 to 3) & (rawSw_IntTmr or max7318_switches(62)); debounceStore <= debounceStore(1 to 3) & (rawSw_Store or max7318_switches(59)); debounceLampTest <= debounceLampTest(1 to 3) & (rawSw_LampTest or max7318_switches(57)); debounceDisplay <= debounceDisplay(1 to 3) & (rawSw_Display or max7318_switches(54)); if (debouncePowerOff = "0000") then Sw_PowerOff <= '0'; else if (debouncePowerOff = "1111") then Sw_PowerOff <= '1'; end if; end if; if (debounceInterrupt = "0000") then Sw_Interrupt <= '0'; else if (debounceInterrupt = "1111") then Sw_Interrupt <= '1'; end if; end if; if (debounceLoad = "0000") then Sw_Load <= '0'; else if (debounceLoad = "1111") then Sw_Load <= '1'; end if; end if; if (debounceSystemReset = "0000") then Sw_SystemReset <= '0'; else if (debounceSystemReset = "1111") then Sw_SystemReset <= '1'; end if; end if; if (debounceRoarReset = "0000") then Sw_RoarReset <= '0'; else if (debounceRoarReset = "1111") then Sw_RoarReset <= '1'; end if; end if; if (debounceStart = "0000") then Sw_Start <= '0'; else if (debounceStart = "1111") then Sw_Start <= '1'; end if; end if; if (debounceSetIC = "0000") then Sw_SetIC <= '0'; else if (debounceSetIC = "1111") then Sw_SetIC <= '1'; end if; end if; if (debounceCheckReset = "0000") then Sw_CheckReset <= '0'; else if (debounceCheckReset = "1111") then Sw_CheckReset <= '1'; end if; end if; if (debounceStop = "0000") then Sw_Stop <= '0'; else if (debounceStop = "1111") then Sw_Stop <= '1'; end if; end if; if (debounceIntTmr = "0000") then Sw_IntTmr <= '0'; else if (debounceIntTmr = "1111") then Sw_IntTmr <= '1'; end if; end if; if (debounceStore = "0000") then Sw_Store <= '0'; else if (debounceStore = "1111") then Sw_Store <= '1'; end if; end if; if (debounceLampTest = "0000") then Sw_LampTest <= '0'; else if (debounceLampTest = "1111") then Sw_LampTest <= '1'; end if; end if; if (debounceDisplay = "0000") then Sw_Display <= '0'; else if (debounceDisplay = "1111") then Sw_Display <= '1'; end if; end if; if (timerCounter = divider100) then timerOut <= not timerOut; Timer <= timerOut; timerCounter <= (others=>'0'); else timerCounter <= timerCounter + 1; end if; else counter <= counter + 1; end if; end if; end process; Clock1kHz : process(clk) begin if (rising_edge(clk)) then if counter1k = divider2000 then counter1k <= (others => '0'); sClock1ms <= not sClock1ms; else counter1k <= counter1k + 1; end if; end if; end process; Clock1ms <= sClock1ms; SwA_scan <= '1' when scan="0000" else '0'; SwB_scan <= '1' when scan="0001" else '0'; SwC_scan <= '1' when scan="0010" else '0'; SwD_scan <= '1' when scan="0011" else '0'; SwE_scan <= '1' when scan="0100" else '0'; SwF_scan <= '1' when scan="0101" else '0'; SwG_scan <= '1' when scan="0110" else '0'; SwH_scan <= '1' when scan="0111" else '0'; SwJ_scan <= '1' when scan="1000" else '0'; SwAC_scan <= '1' when scan="1001" else '0'; -- Inner ring UseInner <= (SW_E_INNER='1' or max7318_switches(34)='1'); UseMid <= SW_E_INNER='0' and max7318_switches(34)='0' and SW_E_OUTER='0' and max7318_switches(35)='0'; UseOuter <= (SW_E_OUTER='1' or max7318_switches(35)='1'); SwE_combined <= SwE_raw or max7318_switches(36 to 39); SwE.I_SEL <= '1' when SwE_combined="0000" and UseInner else '0'; SwE.J_SEL <= '1' when SwE_combined="0001" and UseInner else '0'; SwE.U_SEL <= '1' when SwE_combined="0010" and UseInner else '0'; SwE.V_SEL <= '1' when SwE_combined="0011" and UseInner else '0'; SwE.L_SEL <= '1' when SwE_combined="0100" and UseInner else '0'; SwE.T_SEL <= '1' when SwE_combined="0101" and UseInner else '0'; SwE.D_SEL <= '1' when SwE_combined="0110" and UseInner else '0'; SwE.R_SEL <= '1' when SwE_combined="0111" and UseInner else '0'; SwE.S_SEL <= '1' when SwE_combined="1000" and UseInner else '0'; SwE.G_SEL <= '1' when SwE_combined="1001" and UseInner else '0'; SwE.H_SEL <= '1' when SwE_combined="1010" and UseInner else '0'; SwE.FI_SEL <= '1' when SwE_combined="1011" and UseInner else '0'; SwE.FT_SEL <= '1' when SwE_combined="1100" and UseInner else '0'; -- Mid ring SwE.MS_SEL <= '1' when SwE_combined="0000" and UseMid else '0'; SwE.LS_SEL <= '1' when SwE_combined="0001" and UseMid else '0'; -- Outer ring SwE.E_SEL_SW_GS <= '1' when SwE_combined="0000" and UseOuter else '0'; SwE.E_SEL_SW_GT <= '1' when SwE_combined="0001" and UseOuter else '0'; SwE.E_SEL_SW_GUV_GCD <= '1' when SwE_combined="0010" and UseOuter else '0'; SwE.E_SEL_SW_HS <= '1' when SwE_combined="0011" and UseOuter else '0'; SwE.E_SEL_SW_HT <= '1' when SwE_combined="0100" and UseOuter else '0'; SwE.E_SEL_SW_HUV_HCD <= '1' when SwE_combined="0101" and UseOuter else '0'; SwE.Q_SEL <= '1' when SwE_combined="0110" and UseOuter else '0'; SwE.C_SEL <= '1' when SwE_combined="0111" and UseOuter else '0'; SwE.F_SEL <= '1' when SwE_combined="1000" and UseOuter else '0'; SwE.TT_SEL <= '1' when SwE_combined="1001" and UseOuter else '0'; SwE.TI_SEL <= '1' when SwE_combined="1010" and UseOuter else '0'; SwE.JI_SEL <= '1' when SwE_combined="1011" and UseOuter else '0'; -- SwE.IJ_SEL <= '1' when (SwE_raw="0000" or SwE_raw="0001") and SW_E_INNER='1' and USE_MAN_DECODER_PWR='1' else '0'; -- AC1G6,AC1D2 -- SwE.UV_SEL <= '1' when (SwE_raw="0010" or SwE_raw="0011") and SW_E_INNER='1' and USE_MAN_DECODER_PWR='1' else '0'; -- AC1G6,AC1D2 -- Address Compare SwAC_combined <= SwAC or max7318_switches(4 to 7); Sw_ADDR_COMP_PROC <= '1' when SwAC_combined="0000" else '0'; Sw_SAR_DLYD_STOP <= '1' when SwAC_combined="0001" else '0'; Sw_SAR_STOP <= '1' when SwAC_combined="0010" else '0'; Sw_SAR_RESTART <= '1' when SwAC_combined="0011" else '0'; Sw_ROAR_RESTT_STOR_BYPASS <= '1' when SwAC_combined="0100" else '0'; Sw_ROAR_RESTT <= '1' when SwAC_combined="0101" else '0'; Sw_ROAR_RESTT_WITHOUT_RST <= '1' when SwAC_combined="0110" else '0'; Sw_EARLY_ROAR_STOP <= '1' when SwAC_combined="0111" else '0'; Sw_ROAR_STOP <= '1' when SwAC_combined="1000" else '0'; Sw_ROAR_SYNC <= '1' when SwAC_combined="1001" else '0'; -- ROS Control Sw_Proc_Inh_CF_Stop <= '1' when RawSw_Proc_Inh_CF_Stop='1' or max7318_switches(0)='1' else '0'; Sw_Proc_Proc <= '1' when RawSw_Proc_Inh_CF_Stop='0' and RawSw_Proc_Scan='0' and max7318_switches(0 to 1)="00" else '0'; Sw_Proc_Scan <= '1' when RawSw_Proc_Scan='1' or max7318_switches(1)='1' else '0'; -- Rate Sw_Rate_Single_Cycle <= '1' when RawSw_Rate_Single_Cycle='1' or max7318_switches(3)='1' else '0'; Sw_Rate_Process <= '1' when RawSw_Rate_Single_Cycle='0' and RawSw_Rate_Instruction_Step='0' and max7318_switches(2 to 3)="00" else '0'; Sw_Rate_Instruction_Step <= '1' when RawSw_Rate_Instruction_Step='1' or max7318_switches(2)='1' else '0'; -- Check Control Sw_Chk_Chk_Restart <= '1' when RawSw_Chk_Chk_Restart='1' or max7318_switches(11)='1' else '0'; Sw_Chk_Diagnostic <= '1' when RawSw_Chk_Diagnostic='1' or max7318_switches(8)='1' else '0'; Sw_Chk_Stop <= '1' when RawSw_Chk_Stop='1' or max7318_switches(10)='1' else '0'; Sw_Chk_Process <= '1' when RawSw_Chk_Chk_Restart='0' and RawSw_Chk_Diagnostic='0' and RawSw_Chk_Stop='0' and RawSw_Chk_Disable='0' and max7318_switches(8 to 11)="0000"else '0'; Sw_Chk_Disable <= '1' when RawSw_Chk_Disable='1' or max7318_switches(9)='1' else '0'; -- Unimplemented switches RawSw_PowerOff <= '0'; -- Pushbuttons RawSw_SystemReset <= pb(0); RawSw_Start <= pb(1); RawSw_Load <= pb(2); RawSw_Stop <= pb(3); -- Slide switches RawSw_IntTmr <= sw(0); RawSw_Display <= sw(1); RawSw_Store <= sw(2); RawSw_Interrupt <= sw(3); RawSw_RoarReset <= sw(4); RawSw_SetIC <= sw(5); RawSw_CheckReset <= sw(6); RawSw_LampTest <= sw(7); end behavioral;
-- Copyright 1986-2014 Xilinx, Inc. All Rights Reserved. -- -------------------------------------------------------------------------------- -- Tool Version: Vivado v.2014.1 (lin64) Build 881834 Fri Apr 4 14:00:25 MDT 2014 -- Date : Tue May 13 22:49:19 2014 -- Host : macbook running 64-bit Arch Linux -- Command : write_vhdl -force -mode funcsim /home/keith/Documents/VHDL-lib/top/lab_6/ip/dds/dds_funcsim.vhdl -- Design : dds -- Purpose : This VHDL netlist is a functional simulation representation of the design and should not be modified or -- synthesized. This netlist cannot be used for SDF annotated simulation. -- Device : xc7z020clg484-1 -- -------------------------------------------------------------------------------- `protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block d8xvwbfVVOwe18UXp6OIppOfMlqR2kjI/C6xX05FTHU8t5J1FuCayg1b8DV73j0+lrSU5NbPke7J wKyKo6vZmQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block eHeURXmQty7NeAv3XUoO5qZy5wiWI4KdVxtm2GsoWgcVxvm19Vpj0GV1w7gFqCWnA4FOQTZuRczj Ij8Zgd4djaP+0m+uF1VB+55mfNaKcPG2LmiRY6n1d+6aXiDzlcGYYizcbBz72kRf3eOIqxpeA4D2 3Z2PIkm8MwLtPGSJ/Po= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block qH9+GhW8bT+j42lWyvygK5/6l4trt1BCmWOpQcKA/HZx2kAGsb+FDG/Xy6w33wIiMr/qkXwfaeaz zlfzzUtccPjNghsznvMRED7lhG+MVvWZ9dxb/eJgA8z59jDK+8wSykzMrx433vlospEmnUeHAQ+H 4dfYGCJl9cTzNC+uQlFaZQsxHSBPlOlJ0GYkyCUnHQQjAEI62DNG0kEkyaiojOK+3cvYSaF6wa2m I1Cx0Gw1ktdWILhOWUSpxci92nn54fp2GViAZYTlm0DB4uFKOskBdOQytDP2f2b1yNgPb5maNLgm +O1ey7vhDLFg2yHH9hL6wSCP3onvhEE46TJLQA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block PyfKkUL3/8sDtTLwxhpqedhayaiDS2FNnCfS6sCchY9cwD/PXy3suivOsUKbKwOiyhWnF/tQl4Kq HzosYuk9tWTm2j5KKAjvrbIuKxPEwXnj4hRLEObKTAhKWjc2v2evf+nFlXCB529PJsYPSU+Jmqkr zAHGbiyeXTy5GwBCfYw= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block ZF+QB2spbWlec/knTfuPrXaT+v7qNpjfq0lmc40Eofb98i14vOGTUx8PEHILvAb2Z54dFdacNzrB d4Uhl9bKx6JU/AkvN8zsp17drYaDzpZrkmxxlVdox34c9gk1gp4pRBazBCiUTMxBrRL7kEPgnOmk /WE9OP1QAhhZeA5r/HbSVnK/CEigmHINLCFfC2uepHTQbur/n29duc7Tjf6CS4lcmDe7A+tmnKFC Gf1+66fm+kSxjOLSIhPwC80VuQ+EeB0rA/PChtXN4H3x/F44vX92xjZ6F5Sx4Jq0NxXAC/h845YU 20Yd7EW+jvXAgaNCRT5u7w6v8I9bFKrVlDcgmQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-PREC-RSA", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block hrp3GOj+7AVmFKqn34nk9wxZ6jPiP1/gVPUDQiYJ2X0q+PANYmIbfe/MmVdnP9Rs2jOeEXtCrvjuamT1aqpvTPs0tF0mgEp0vBkKfOG2gZoswCyA0tYQ5+EJ4/So4dnqq7mflrfbwObGuCdXzRUee0T7TOUUh500fZpzSPp+snlShZb3UBWcQx3S9cm0wnsn98A6+RqRyMb3a9n51sCwkpPCDkhKN/I5I4/ENXqwnHC+CP1Efoq9btKTwK8B+lFxxw54v+xWN9RlxYssIBvHAaXsr5eUOWNdaBRbJjKLgTrJNYvRLwKweMKP6YtTjkhaxMT8j+GsaC6IYw2H4PtrnA== `protect key_keyowner = "Synplicity", key_keyname= "SYNP05_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 64, bytes = 128) `protect key_block w6yIxJXClBwnmHRod7E2Nj6Vm8k4nKfB6i1/SMfvs5Y+rFkqGqphaMqhNsDgd8jxCf80e8vi8JwsQFo944qGVPD0qgZdsZuYvnWfyzNPo7SSB+L4egSiCVnVfdFKM+O418zfLLg/rTV9eiGbx9yebV4tZRZQL56WsQmJLnJHZTfh4x4zS4KIJ5HZq8gds2Rr/eh19NKcDNYYNwGZp7e0dnq1RJNRq8ruLIO/UDddjWbyZL/7/3bxZ0K29pkB8N+ph1dEHVN+wNpuyuBu/KJGMyj65fujxehUzXjQXwwyPg8mWy+o0ZvpXkx7Z47KaG1GytY907GDQ1V7I/4K5hM/8g== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 64, bytes = 352048) `protect data_block SKPn3Fpnid9tDnN7p16Oyy3PeLkLtdSMiMilator/QVwX0IUfZubsZIzL7apMTIPFrP0nWmM52rB AT6dF+rPdtfiBpBOfx0otisGeZSqD/2ki6JrlDQzRI4QZY25xYkspjfIHw8bARSK4RR3cGedGswl pV6rmXIpdMdq+kvPAnj8HoC/isxroHsJcw26pUz6xbrhmgjRdvuvnXVMbHUrEvHIo1pBk3e2551n DeCm1fesFhe+cwpQF77R/DPyz5W7ao2fHM0zRJjhx6aAwCM5ZvMBa5Ajbv8qfQx5+PtzYn1XXkva 4RcUNOcoRbcVTmW9oJ0JT/Ku/MBq77cNClVJRcQXHX8kO+b/B7mheDKTWS109WCXYufk4S99rgEd v/wVUYY3wnPOUxcC+ZMJymS81V64VB5Ve6RLi6N+FPg8Z6XkgkNrTeDFqS3ae2eQHXdSuEmI2eok cQn8XwvWsNXaMGZc6jrr1ATq/UyWgOd2A/Epm/TSSLvuhMS2VTtM4X/wjD8vpwjyLrOkwiCoAu0y XYEPYGhj2Jyk6UDuk7Z0E/DRKuvIzMWUh3vUzyIgzBBlCKrXwlbvoj+W0JoRchN6C4GBx7v2eEB5 4LJbcH382ZIuDGLTxUoJOkrUL4vpGqpTWwGbKHNq+/YuuyF7FpWiCBvue7F/EmvFfDtkgekGOU45 NLAz75cplVtCWtzq5Mp+8fkhNCQpLc3CoPWZ4RJdTHaJvqH76cJIJNcGwcMugioyzdBi2u31E1yt hpPSVTunvESVVP5y+mItZOywbrh97c0byf8ZciNT2dHcvCENkuxM2VOgGZ6A3BQFF0yxv6/oc5UM WYGgE/sdGXiKOKvSUYbn4UjCSW9fZoBrBAK7Cdu+8V6Fpm5cOwpsDsMU/PhLl8qwG271256/Bz33 TmS6oKz3hraYVKs+THPlJbPt/Mbj9dM8fRVb8ZmV1wGdY1lxohmpXXwUHqyAudYU9PTaajTCiUCJ f3282/t6fJ6w1hIKHH5tZ56RbS93sThV3hWiLukREfhYsuUpL1zgfCm2hm4hiL2oXbm4EQBXZV39 iRnn7qjRbtPtIhcANnN222qJyxOI2OwJYQ7CxVFqB5fqgekaBwtvVVwOd7NiRP4ivLOOF6ChJskO I9ugcegVu/zqk2JBSq5zNLa5i1ujZnPADu1nX4sOJH3PAAMHj69RnhO1Uu3rLN2kRJbNfSjdXxyE LvWxdohMBpOwyA8mhiYyszEumTEG9w913uXuDoBSmz9CVLVyIrMar91+ZrlF2E/IdFnSe4CWaZqp R7kfbRAWTc5Ca2iuQGiQT0oUp0Wh5NWBsdcDYePgUkTs2E3/qBVN7NuEYpVII6+fWVZTcDHdR3PC cHVnStTiDnsG7UxCi1qPNLdyPfW1tdDSio+GI7kWVRwL1jJqJLtapcJIMn1KEfy0WEsQ0zbxgaXn aa1y/Qk+QEXVzHoRn5eHIzsdMAHgmIu8ju/NJHPXcro+j0zZI2Zk5lgxiEvRf2WkF32myUB7yBku 4PxmFzW6sSSZCJCQd80cLCWINRgeTGaieCMeLMxxFrSuE8uF6RbcCmctSgBNRPq5ID/OykRTEOJC KgHKo8gDTmWsvsMPG7N0/PF2W0hzDL/4zw+0/3CHuV84zcfynhfuPdUJW/Tpn9nAd4woozUyEk5B rA7A8BDggMhGXUWSr9urbPdqVFoqIZcZ6fHeOA0RRV+z8Fr2mZ6Hgm2+8GS/SEKfXFgk7pv4UrOy rEBudMwAjYHXVyN0BNHwZdLb64ZvhjY5YJ9q7EtXI6dXiPjeFhASmxrZ2J1R5E03OEdUd9viXthF kWyUAjadqU+75WtXL6htweYITP/WXB35xnKmiLEpaymIT5i5OpVZhhkI4OinOI0TEQvqyQcA52QB jNNHHBl1uyGOP1qtDfkI+9MEG8+uGQ+opeelTIZIVYoC66koQUobxFSNalr2FDIHCiFvrEy4iFMy N2i296JRFO28Akwf274wCeG2k4/yjv9sPiQKxd0jFCNoMgJkr3JPr3fIWR08lM2bwsJclHlIvdO/ tFj5xeQNGK++2GuibLW3U4NF05UDj6EFXz4bZXpvwcIfpOl3mJpJeLjgI2kUrs0PzdBXqmwR0X4I sEu1+oqmEbcx9xWjZbi3vjtxscy/9Mkn9BseWts6Psa8BGBodOBoHJs7sKkZQABqDHmGoRhmFX0t pt6/OcyOCwhFjhXonf88bMtUQGyochcqIX9Gknukzg+XjUJ30Lk5yww9YLMe+nSW27PLFvFNUbB3 RdqPcjhgxFtBx416RajtUKB0xbjNn6c3uL/+IewbK1pJqVJZokUjZK9ACAmhebzKW9IvP0oM3igs DDhApFu1g3xf0wUH5EfHxf/Weqx05xfPRHnAAJyr85hlirSx55F1tDDwFqtYyAfKPxNJOl5rNznU XmnFdCmZN8vdFQaMMErJ1ntbNTZofhe48w9apEauQt6v1E97Yh2rOgN3ULPpl63g3G7CzEhW5WN6 k3cvfNBR6bBfOdPMRCuGqIF7/hnLVZTaEdd7YSdb29Nk8+ok/W29ah0UqHq9q8ljsC9gRi/8vvtl C6SkhIIOH7rGNh57U8thO1SF2i2In6aYk91fsh8fIT5roto6Ssh+7naZusPJTdiN+rKoQwSD5ygO ZsWDxn5y7BxAqZjh513dGlzRj/niLUAL5X5RcQ5ooLFeOCQSBhKYu+5z1QGQdMv+guNwMa9U166a mbPDjWXowfQpoTRNZOrjG3jnCVGsPWRqbs3LR3+5yT4f8yCSPmnifeywUWbgPJHcQ9xkk9irAuIE AuZJNQ7jq+/j0bLXR+L5Bf7OSaOkhkx1pFF85DqDiZ5nEy9xBrOEjzSBq3MV5CxmMxiELT1KIy/q h4AgCQK1fGufVq1OTozWGf6fBWQJxWQr2mY+D7OZFQ/Msm7nUz5Af6xh1TH0M9pjuWcynLWa/tba hzYYnorZLdGsf0pP/LhiQj1XGnu9WJ7r23gfJaHKxZF2Lpfn3LGng0AH5UoxQUSt/BAsuIXlPhM1 kTKZQnxDF8e28NEcoUZWFWSzaoLBFRsCQhRkq3XUkZpzhUsPSfqeLitjs9sJN9AfxPqdHzCuKks6 VlmV9jipICD+uK8QFdkE/zVRmva3lY6MRI0fIndxadYodr5KAFOLozLLyWEIIEO2CKtLl+hgvrIq 97MUvQICT6DcJoGT/nrXngP6wsXXVgC0q4YXQIPVAcBI0fc0N6vc1L01mn1YohfkguoIEjFicl0J /N7cSeC+/fLneqwbdhe2A/5UN3VxXz+bzY/F0J0A4OD56D21ye639qTtjn3GZ9H05w7eMqgGHPJJ S4HCRINOkI8TYuvHbeGshK0+gqAS01xhKKanoPi40AXcSG4Pw2gjxZdN25Aog8mkpOg8b5MsmfF/ pOcxCV5gVSMl4v/xPSEkrurXNKOx3W8kjfjx3+z8A4a5oJcRr+fTmap6nME3+Wzgul3en/i2wrCc Ia410d/6OUhLINoruvqzTvqqRYgb0Ggvt2YSXNe7reJGxeovDn1+xQm8RuJWaSnyqP5GS6fHOSzw lqTLQB58Z8yEfz+6ANGRdYFE58Z+s1apoQg7vuky/dECieGpx9YmcV0vnjW6Xp4r7I8g/cOprAU8 Ss0eBPOOXV0bIUrZwkd9ZhQBGBNzP3n5Xic2XGlcPlNtVr9li5Ti70KwR3Cz1OT+K5ikKv/Wwhjt ITOM0EZG34L1Fb47JfnnPCgXeuvB7ukuG2vm4zWV1CSYlQyA1tya87cTZL+CHrJbVZaXr4eaVQS7 Jt70gccgNacFSegSdO8+6xeiMN9YBfHU7xhPtCU0lqAq1yAzvaEOuIZBxwj3iedMEZtsMc+NuNnl zx9I7XBfcSRfN1xuzWzMlBrxotiV+nL+h2/leKsruRmaVDFDE4NaUgxXDp1m4zUsU9jTb3Ih5K1G LEHYR0iKc04Qgb5W0twrHLJvGylMHmlIf7SAi56+8ejRzsHJadC5Xvk3Eh0nUQ5BRN87UJrjMYV1 1pr8ydTHs6auYIzRdtiKQ/8tiH9SnvQuwQ6Molp5Kv3EVFV7F7yLDa1q4GaEjLyiaQmavfQ0n/Fr HbhddvoSCubsLi+X8gYmAE+u9XDKb3MKv7t972YZu58rwApMrG+YEZCd4ZVr4g4siAJL/RBHM4qh f+TZuh8tlyt8ohtdTBCrKf15vGoBIJaQucgKzQox5pFV1ZURDJaGbJnHGuvEmmasjxXAbpudWvjk f5ggbcSOLDnJkoxQB7id90Cq2BAvafZ4nXxhK05kT0SLKZrrZ9oQNSXM41bZE71JmGdakUnH5SVa I39T4AdpNgJs0gOEgwXWIdTAXSmP91Qge+LA9j5+5anXgLTduACGAkHrlsOT+mfBdkVAf6kJ1rew 9jVwJdjegGH1K9OYRxfUz0EWgz3uH+0OuJblatn+Fx/1Cr4qIbiHJi2pJ4TZaErchKpqm8cVPtUV 72q+YmzxTfSyFqjR1Odb/ctwQhvaRymQNMzJzfnJX+lD4tsgY8naCE/94/W/gbaY8UWmSxVOMjtP 2ydK/6xwYDnjuPrFT79agg5M3puiL3QkVSr/Cnkzc7um41P+RbmksveeVtPBeoyN8WDwhgvY08SY bf6muKMR6TmC56npOsn/hz0KXY+wgXlE9iWpW+AGMwzenM2ZV3CNzTghTZVJhCarQTjRd+OW1Cq0 qcJdKgXafCtE1oCLC031PraPwpiFdQIBHV8Cs9fyiWQhAdqksMrMRJqXMYWkD74Fp4d1aR8elELl laHKQckAq4ZG83Ys2BY1kiuzIsUWOJW6733/NFKJFoa+iuVraRpy6T8SgFzQQftgtp2/w4Hh39lI LARl8BC8j34JWkxVjkNYESfklqqMma43d5ZqTvd+2e/0+bC4OrnyvZpcTxajC3Uq6vz+MTSGz/kI Ppma0hw9FdmD+0X/FoaKd+JB0W5qaOyIKAv1eJkLcaHvtSX70eC3ISgTfTdSRu+EENk6ACDh/q4H vedeGk3rHTUlQeBT2ho17Uws0v/SdOR1DcgKDAEOh6j26QHFhH+r4vlizMad0tRibuu0IzUFTa5Q 1o7W4NAd8G9fix2S0V27l/iHa6Te6X/PPlcGtmoCAULzBUk5kVmKX0fFjgtS+pKDDXRX3nAwzhkS vZf5Qt6VXXKrKM6Id+QST/FaPC8B1zGIInQJ6/DVQ5bP5Uv8a4+sC1ezhzeneVmPdqiIFTRmaFcJ SZwlFQuBSm/lSRoZVgLP22EkRLhcQigIEfQGOt9zjqAhXxpXx6Vvti6xbWfDkc9pkReh2GLeBmfo 6lMxkw7P9Awyb8wAMnyGTkJcXAffVM9zuf+QoM4VZ5pHUpcW0ko8FrCrlp3hhNmN3u44Or0IEyT+ DupHb1tUOAefVMfHcyF2DT3ArxZ6kRm5/1kVQ/2NPAYg3Pq53fcDVDobjfk938Kx7Il5T5td0elb iTM9Ms1zFiLu4433n0nqynySfl3RUQx922Ku8ED3idPsNpE9s4kZyiNVYH8bCXFsmmKWufGKhGIK 0lkfzJ1hXoXHTrs7lJ0PbZK+DfKTlgQNwxwOoP6R2BbJ/R/wRAjwFXi/tzUzL+99nBdvqnbC3kbg ke5aD/kDB5fOMgdiUM4NaB9is3o+eiIXiFIdiYLzEv+2hfRpRo0WRhf/OTQbzF22ZSUnK9bRoBWY cpfXLBc4D5sJkKQx7KTtoi84y1eN1EY360pG1976+wvmqBYUMqKLxdLBRlX5uy1GlcEI22jOOU9k CUARjJKEDfQlt2SEhswiFMlTngsWcKhMj3jOFFMmdIZbvbqi0vZjCzRqaGevHyW0cMgzT3rcOABq 3pbejikLsxF8uI55jkzs2xO+dqGxqR+zqvbFFdJUt938ahCuMrWPz8CIqqursHr/ldFM82Ih9jgc p7FRbgnaHSPhj1gfaqibUnvQkk3LcxHin++xe/ovJsX+l0DeI+KQIpwaoqvj/XcJ5aK+it7j8tzD pa+MNIQggBik3i60liqJax41de6i7G2CdwxGl2F1zFsednmTmkTeUioJG+v7xqrgAyUKt7jvGm8L VtS1H1VIUBLSngVnc7DLxLxciADCHsZoGqrYTfUdnSoDkMwVxFcUfF6lTiwooNIHU6qw921t3t36 W1v02w5t+/atVKdZprt4KU7HSiE4C33LhM2ioxlbMV7YTLaDf5FHldvs28WnHJ1BbSlABvabn1Gk wUdn4Kgj5K/njex/JCT7T5OSNN2JKrF55kK1bXFz7Xc8JTHT4hkhOKjHo7Qjr9X/sUIjtcxh3j4K wg0QyKN8cHruyNt1hzXFECGQG9kcXCzoWqz1IG/4FuWOEJxfK++fiPAYCOLLjzy/LRhsT3MdxTk/ Lb4RJYCEOr0OlOCKnthrg2wMrMSRXQKsUBeUKn+hJr7L2xAGQSwS8+00Ph96c3FnkzXZEhedGxn9 XBC7IyJVEUm7fQd5OoJ7xhvyhJ2G/yYJWZex84vBB1gFoHnshecuT3zNQWMw1PC3LQqVmaaqksj9 LjuoZU1IXaYcFOs0hPljOlceXLGldB6hcu+poTORenfUBSgAxhYbqGdVgWA+3SriXwGJ1IqmXVks veVNwgNBDk5ov/nVj5BE5ClbQh2XbixAxegqv0OB5geAdx1J9GIifXufJmP+e84NEiJk8M3Jf1Ly o8cTFcrAxeV8JIY2vQ04glDPoFaNxVj3EyehLVrlZMIIZOESqST7N6U+1BVzyjs2IgllRxY61neU XE8k3txgGwSUiaIIclbgNh7wKJjc9j6BS1mAl4+d00qk8WLI7JoCPUMQVfNEufclfCnsDpyJC48a L4sgz11VD/c2iEkRuA3J1NvQAb4vXdGVdj8STFnOTtMEEFCXfLy3Sv0adVyd113DGgzf1IXDQmpP pDao6B6kgbugL1lpCKvy64HyQHw3+dHKGEnmFl4nHI2JeubIx1r4WI+4iiApDZC9uZOatvhGZpKU j3QDxjRm23/Ihltrc9pbioeLrhxhRyQNVDDVwepu/s7an8CGYFO+T7FIjKEpUKO/m5omWZbb7oCN Hqznevay5vAfL7OWN1LDp6Wc2g7RenLhTfQnsyhHc9mo8hB3SNVWOMaIls9wuEAMwKixMykUXP8S 5DMvYUTSGC7Q941KZyBvCqOO6/OUHDnIyVEql+f/7cCueGkAy+tSwYIK6aRtLk9AMHpG9qXTcPPb TvndTFIANPa5CUpxqy6f8gqQ0KnM+TR81kokslnf7/oGZ1W/ADdLrDPjr0WQF48s/iZ+NGwMJCJC cu//cn25nNJ7P0Ol1S9C7X2Zwlyutr0/dwGSfrRtGbAn03jzcvoV+oeo39A5azaxntiL32jChrxL xDOkibBb87/tRWUNBSVatQFr4FXkakUL1jR0hsbS0y0kJSO8/SS6nUvSjlnXxi7FUCU7TfRewF/c ug5ajySQGleyaybnoHa6YoaR4uctA3hSYoXhwm/GecYv+nWemvj590QuFq9nfBHIU9ZwWu3Qbr4R O2QnUIhD0soJWnbo3eI/htD+0pswO/pVIKx9yfSEa1jt4OEfPixiLILzppWbRNjZ2EhyiiR5RQB6 /8YIUMNzUHVJ4j2Zw1YM6Jdhr99N6a00lQuJcexCJJ6WOaYpbueudxeuOPaTgBOI+tuA86bO0m0x b++9GkPYxTFgxQwS2tLkOt43ZAGlduN3GWol2kZqmoPG83vGPnbmBz4aDfDSUJFuMA0euRG09CyK 4vh0NU1xDJAbVRI8A3RJC18M5xIA24dIAxWfudaQw9DkYX5kUZYInFq4WSTJR95vFGImXeLa1qCO km3TS6c/KM4ArN5txaf7LEwoDUfO1XADzzLtqUn6VpOVB7u/ZogJPpY6PZ24xMayq28gLDN6GJWP 0cMo8GgrICoL+Y7xniDoi1D3Kuwv4U3sE0ocJmGZjyKayP1LV8MpvNAQCn8ZiisD/L6J9pt6HSfN pgr/a+f9Rs8O+zRYGSDYi8f5tnde7ej2tEoQl4DLw/cJKNxxsCQLc9ggeIbu/E02i0TAHXfZZ5Jl Dbdqxsx/P0wmxQi+7AA702YHhNT1wxWHuRabs6Z+JohZzBnQ85pRAs+aneoWUJ7UsqDpT2ksIITI J7kAmCehC4HMSOIH/hX5s/JUW72sCbfCHA/JWaDsPxxs639mmlg5+yHDXTtvVmrAi3vzab1poDz1 VT9FjbnTG+BsTxRcchkZRanfDzzsWN3meyEg2xuf3or9j4a66XmxDMXBfb5P8kozEKsrm/W3y5pV pejggN39u+jSoqtDL/CdZa2puF0Cdes1INARKe+PzzsQrdG+YiehiAT8PYp4tbew0MDCm1EtlaNl Fo5ImtbpRZ7qa/qWXP+DBRH5pQ92nMS4VGDr5IptWp55fmcLdJXoVQDmsEa0oy8KMYAL9hl+SxSf 17887ATQ5onq3xZL6kQxm0ELPfi4dFr4MLm6p4Rqm6r2PtGh6c+56YRe34L0EdnbLFLk2cG0TDt8 yh0/k6zw45VGA1usagGUQa8Czf60p1vjOxpAV1sV9kOR/8X3Y/2/WZQJlLUvCnLiOuJOh4nWU93a dWEcS5s70M098gdLWqdNSACkzghSAK9uIMMcQnWtIof6F6XYpijgAokj0/xMv66KxMggZQINhpaA CEH4lGVPRstE5mCPn/hbNN+wkxqfxVsdEUQTp1PENGzHP4qecUt2UJ2rJ4XA4j3rZZCYndRbnrWL VdGwOwlq3YZ3BI1ongEruLRVfmnzvH1bfusU2A1wL5qyHg8pG2MycFuTJjmiTjVA7epnFr2X6c5K Vg/eif8QxjYN921iqeR+DMnVVRXphSWUXcDU7DB059VcS4dscglmwv6iTuSy3pzPgBaGQbB91M6B llpVPSQWS5D4GayFapDZk5iYIoWSC9Ix6l02Sdfmvgj1f19MxXtkuWUOOktSstxRJCUiJwGoWkeJ o4ValpyQs1LdqQvUS/M237O4Rq0JyGb9TDh4g8PXljyH8hCfBZHxopU9SOkh9/FQlYrjer7+Fd8Z f2zzJ7Fh/DT/e8mAMxZlIYAYMgmVpBkv7t4rUL9ZSWk5Lt6eWZZdD00YGcu84Dmst80KeA5Bi0sc impd509VD+Jeggndi/yqNZTV+8HT2oW6JNI2FktFVDLsEmzyLfnP7UMBWoZ1JQ5AlCrA31s+d5XU cCPiquu5fy3zPKwf+1UEIm762p0SQukCOEfrTROGge6w1ZVuYYrGsn2R3Fn41+VBLWkBLz8b1DYb wuJmuH7Y6EsGsWH0DYthQ1o9Hts0V8FVaj7dS3IhRoqh6Q28VbM7acW5bBVlY3vM0+7sO+5jcwZU e8RPTrbOiJhBuhr96yU51hBZ6/sodAu+HKHdcd6v/y01OthVnmbC2/sr2s0sjDxCBbJDjNOkJuQs doWV1dGcfiqvE9TO3eTsKtnIu8bHgzQmwnsNMhCeI/QG/Hvaueud6fs114s4Dl4dSm7XWcssZwBy 8SmLOGxmdxgse+AEtHUnIdKJMdV5ZTWZqp0JPNJ6kx0yYqDk1WP8akDG+QSAUsILYmZpiBm6ALNl J3a2Ers0FsHzHjkZOhS/Tky6DxbHR4LmqDxpTpJ2RB8wkBJSblIQnrUkPC5yxIsxnrMfwQqUIrc4 jFjd8Wzco98cftjpeSLJtq0ZWMUF/rWUth2a/bixfD6upQ2TqwF1WcNGPVePp/9/fgziCHnvO2RR A/Rh0hT1OZcVhUMgafcGE4lSTEOu9DOqaDjjm9l4MRopGtsrZq2R1t07AD/hDB8DuvMOJPh9abXF bsdVQlIEhpKvuaCD5GJ09Pcb+qNMN4jLpWV7fN8PvxbIbEvPOUSVJvIh9Go8L6m5nV7+1WQfg0It wUAGc4HMNE94bIvWRoDnv67Pc1EtUFoGyPGcSsO9Ve7fW5poFf7YyaJrkwInJLcAAIa0i7L9j7Hr 2Ofk+0vvA44L6rYprSwRoKLm0j0CLi38pjl2qMOnPjd3+G/hRQxYgTPP2Xtop2FTQuDcwUPUrGeV 2NTIadOiJFaQadtUmCkSKVt5A4u9gD8AIc/WciCVUWA1XX4tuqNikuWknrQVrGYFbWbdJNLzlZzV 6sEemnJSnsvZGOxtFkzng8Ksmdh7HfjBrDIEe9YSr/i2vJbSgSjdE4aZRbrgF9q8cLKIv3bSNpJd rPnfYwpi0STYc6LkodKieabzfLV/wAeGK2fa58iI6NxGsmHslSJ5Oa3fFnAcSBHhq/MgZTNIlwPK x2RAZ8z/rREWtv0b9bXWkwfNRdvDVSFHTtPLRH8KR+dbiFT8Rg22sdBaILEcUjbImmsG1MuMIFo6 vFXGRtoaiLYpOhdIzCEYAu1RARkoJ1dQynDOyRN03QPbP/aKaydvZp2zixFlW+dtQfndxDQbAQx2 mdD4s/w/hJN97mYy9LZWBUdix8jaQqP+WiNzq7a9/dK5ibHQgaFcMpGfOZMmQsD1K2tgOXMoVovg 5J35rou4U8kilI19STusC6Edcq4nRuxt+L/DIGB++4GEPvVbuxJY+mcGAEXiE5aOfHEH5Z8VFBDM zqvG/G4uzr70Hf7yVjKLyrMsAXq/S1c9bmvjIgGvyaGJtzArnKt8ghufreKtPWJ3O9YotO4aB5G/ LYVBTYabjb+bUVeneSg7bAMSgi88nf0t9z6+O5A79jLavX6pDGFVyVvYJ4ndPZePD/LTyJETJSXg 8TlglCp39Nv0AJxGBxLRHFjzcRu9e76AL+tyxK2GoxKR12abKSyVtgfrDRFxAfkz57ZaooIFc8E0 EQ/V6izd4r5DQhD0qRXd8V8ir9hsYtrsd919I+HdKsKfzdSgM/pUkLuy33rllW8MaTAjBPKeypy+ FxTegzKKOgGB+HvmCqa9hqXBdITSib8l1SDfGbpxVBadtkMIuo7LdMouAsDlh1dX5F7ijlznZ8ba 2kzsIt0JaHlosvkzXybIWJx6aMTAx0Os9L3NPBF/Q9UaPUVr8+eEqVTCWWIRRWoXKfXia61u6g04 G7dd+s+9GHa7xJrix8ZwoL/5ROK1rvxi95Fvl796z8z3G6SD9m6imsTjNtnJp93WU4hSIRRGeymR sh/y1Yt1OxJTef5ndZouXo+Vw34T/pbxmk7uYAJnCa6UVy08EMHyu0+k/1w89xW5A1x7Co4/hzoi mmt2eJ99erYpvhHgyRUIeJqZhSCw8Xjz2BtV+i0Cpu3d28T8caDVqS8LhshIhts9P0vJWpmeH1Ak xsj/qhNBUxvgkCEugTdgZ+jbA5dTewqKR3v9KIctvYw4La9vgF7KtiMOoquIi4/XTTaAmuQmeEfC 6vg3sFlWg78SPIAIO3A5ECM5a6tfPLCKOgegNG5UmUZuVDrr15/Tk8xisDsH76S5LSgdTXhOpJSx 7+CLVSBlESGw3SnAAwjyLiKdlJhPJB49JLBJS/bqUwIm0rHT0xLns9JGdqtbnPrFyxrsU3BcCqc2 O8NEI/6BubGm820Gs34aF5BMZOucEEz5p6rc++KSdQ/f4PUosKe1qBXO6wAQ/4A4oFOJYUkJl81D 9wNt/TrHCWcMNOSmnMoke1h14krIv4IBYx31RO6Z4aSSwXgmlvlKGR8W3tH9uLAMCtCfsoo9XeTI A8AgVEd7HKytpUhAaFthijOAmYR2vlxCC2gnNwaWRo9IaLDvS8apJFbq/CIHsj170XC+K01meSJb iyzVrgf8y5XW9ufGcu13l6z/EM/+g4qXA6R/rlUkFZuNCg5EcNbvR0i+7AJ+UIOZ9/3kZP6GFFrx tLM7NrCgo2QpeUK86qRaqSLV5kpHCB69egY5hPkA8NjRNo/mWjh+tMrndisz13/xrmMKUAkcuksD Y1wkfm2G0k0e78qxC5ktS93N+vSq133Od2nuYbiHCfC8QNqVSoddGeyjO/0LF93t6uz79kdVcPl3 KRAky4ulod/rwiiQL1tOfBYJbzNwI+a58FKJ1j/ZWYZW0SOg2ozVAIz5SiBeQolmD7D7NwjQhL+D f/KlGPTEv4hBnJlwSmMXU4FfQMi/YWxDgBxf7LCtNSCAgdHIj3wQSIiHAzxX6mIzGtJ2eCOIDVsW E/p0vsKCGRrZVlTJFbXjFpaZeti62NvgXjKIHO40+nOe5y6ZzMNJL/Ipi/UqXbbFWRIpDp1vaw4y 0cMnxZEU/6fwtr8JWJZTAh1qcORWTNA+gMLxyOmC63hfYrhkXehcitGH/E41+slijrdjyXT5/Yky uF3U7FVjjSVP/ytOG4cqM7CPR2mH1FZEcwMZ0bW9rXlxyCsRSNu6VMey6bsJXHRNAcyv7DDSmaI3 azfC/2WyKcsPf8BVf+IQDPpWmpxyK0podrI8Dv/FVStBsIsLfj8W72IW0UgN/bZ7U8rqi3EI91XH V4+nzClxV3LSlGTtoOsVfVVNy2AJr0ZL6kZHeMWrghf0pdxOi/Igs4te5uuPABaj/5Xtxb6era5t taoVbBVi05qhpN4/d2uVKLoaI6PQGeNhBFjqwy7o/nP6nfLjM66UJuOdMKQXuqq/kY1dr7MWB/yU if26qQw2Wu2BsAlAWUVElYoNppv0REdz0o2g2eQ8Pme1CHftwTzaCwhTdNyRvBOxKozvIFPk8Rle /xR8OD4ta3f9kigRGj3zvkHFIu4AoSRNQtkdD/R8U4sELvgKWQ1DcHyE87XQf3hW9IX2K37yFHH6 m5Y+R9dXs4p2I/1xIWuRG/29rzfp90TTN59ptpNcxf6ey9jJCNE2YrC3CWmUgesqs4EPkv0tAZnO qZG8N4ft/nlDQ2Wy4lfXxdVUxykUGEMo3jByqZmyXlP56DagHZFyMclDqBq64WZvMD1yOIF79/gy ZPnBS9RcUqGzJbT0ybd8ye7XRCRgyoMIwRvMyXG7FKV8KVf+dYL82ocWkbAb+Db4LYRgEiYaVzUk 42zr8/seYt8JekylUnTcmtGAhXc/QBctjggpatOJ6XiNptxU8/xFljNgboXeZrEeQOOUaCU90oYz CcuXHJ5VfVP+F2q0uBY1tz5vOUYMz+oKrWWGJvRk6V86onn8ekMb/+0OcmB803r+C05MctonNFRn vb8Xzo+drlsUnd4v0Bf392+MyaIrAVWUL8w9RWi84XTh6LgHw4U4Dv87/LNIIiItmy0u0MzuV6WM l7laqbwXlVYjekl/l+Tmi/bN12SP5WfNE6avxXLhMi2wdDzwBAh4WLz7pykQJYcPvkJC9a5BMpYx wkT3MYSjV77f8/ZBKTNpgQqQ07ml0AGMOzJZb5XI9nVY4vYjq4FC8fAAARuMnu4m0lFCwVVvhLHO 6m/u8BJeW7JLYxJ84++8DQRQyVUXx15f+364/IdPfbG7ikrWgmTNxmIQRXneCi/HEohvfYWnvkaT /S43EL4dX25SNSDu4eJXulzVeWErnT9YqcaA3HdOeUhP9Tvg908Y8X7XWf0tl/JHarajL1msR071 g4E/sDqrEHoRpygiG7fZJvPjoWl0EtGIRJeCw0mB4rzGms8MXlyuA7E4WV3exMJpsffIRFZrfVX+ UPgkMgjOMT2HL4VhNt4dsjfTYVxcItfLjmCtkTY+GF61qaADAL5ctHek/ITNCxmVonxZ+OJ05CUi e4uQk5hqnhsn7Kh3r4iXV7+ga4DJzHGKV38WXgvoZNv19EOpcx9EWubvB/GrRBEPeRpzg5qE3Hnk vHitwijzXoOwLAreRWd8GIzdAHFWpAIj740RU6z+ReXWvhKOYST1Jzvhg41lIHF36zU9QzIBgfwa gCJyP54xtuqfYxCuFWEHJMASnvHScglKiM9MHzrqZkXpVsfvBQ3AQOj2TdoO+yc62cagk4c3/fdX 4hSOQDtnDk+nEEfOx3mzuzUSrXAoqpTwcflVSEYGTC4XLEiAItJh+pJ+LlXdSmxvWpXkCtboXPpt 927t1U5QpFqES8geo1QvJQtsZ/1QTqwSjtiR3jZyGH9zFyCJfg3gUSIR4GGNo6W05pNoIBVEiTrl OMAdoUc9J37N5RQ1uqdmF7Xek8KGVxaQEFzGh8YKHqwX97/KXgjopHiAl4IPrLxlFnkyqbY5DgTj +LI144qklKilEpvVKb5GY1HTK921WPmirDAWubUlPTSkRLDjXnZEH5wQRSJ3C0P8uxZhF+eT34+7 bg4sC7Cb4JdANpovBw2TflevpXK1lcOIz+EGhC7wswo3rNxenDoyJD+252PmBwrTl5GF/AUZfUVG CQxqMK5T+IC26NGy1Ab07DviszsRbq9oQsz74WqA78BZyhTREx6afCbnkdDCpj2kizEfrrxGTTfO i7b8h4wK29x1f67itkgnoWzegoo6bm78EzdyNVE+V4MfJ1NY3d3axxPSj5NeFbA7pKWdNxV61t+/ 6KvRqjYvWH4+cBfRVyvM9x7PLTYGpKr5YGidrqqCwyH3yKoPBD/vn74JP7UOxGL5CMLJiz1XcDc7 8QQPJX8PdjZFhG4ZUBnyjRjMYkeRoxw2Q4uNFrOrjTEGZZWuEUGEWhYo2/eshIcRrlZNb8K+0dQ2 Im8TY85oBb9rrQRCvIhfbrbOXxMUh8lEkpkI0Q2FuFjoC42aZWIR1RlWV6KjoLA1+cgZK1KInS0O RjH+VInfDbiyjs/RvbKn2J5OuFSeAp0enKdzmss7wK08GvtHQBYheNGOVpWSvMXQqnsPG18/vkcW XUcPTZVxbBzdbq+hb2N0xEICLaoe9E/qg3qkmUBqH6wemlFgFQQd1XDa+BBh4kBh6mkUD6/9KMYT 2EtcC87Nd92gTHfb36v4PLjXQDkenDAxTD7XR3wxHvql2QIEmUj39sufkh43neZ4+eZ+QjEO0BmY gJBXztycupf3Tvg5rhC6Eom7+9IRizlTXH94uZK0CPeZWyFMZ7WGdz/glsWpFOxxehPnDAdCZxUJ WSvlBwDStV8S56MQWpNC3wb+4IcOq7tQPFuGSzAi64PlPDamd2CnAwcNcx1b59LJvvm1I7f3frvK YJu9mm2nuEYqqS8neWmtMjE5d/ILdfNbZG7uoZgscivnE9eEp1GfP0TPBPuOQSUJ698dBOHaw48z 8L0gRx7nbxWlK7kv6SUs8Ri1cuY9XD6T1K1nFlefBNj/ct9d05rXH1jlTM0rXfS5pNGehEnoNv7H F7CXkclZKBHqnh+iBfAdz/Eqm6c7Od+XoJX/EQfOk8cd0vNOfRCbRWUnTDsIz7oKgYWo/gSJNmaU W9QbjcR9Fr76ONElIBV9TbEMB9WixpbTu/BGByVBRSf7Aka4KqGg/N8wrIXRBQiWQPIs5ZxQY7fr HYpWCVjtQ87O+ctd3AwwB9LQ52lSiPBiLiOfIBghPLGIKXv2dYDY58c8IWbyI3BjjH36HRcB41p9 QltswKHFREv0CEpl0gzqMJ6jkBf7s3xjptgk+c3k8FlbNKaRvH1agT+24kCqSBAxJBVmKGdZuaPN pl1oN+KxbD7GhmRLXTz9MrJOBr/h2mtcaBDLoknNUdNeFtgIuZKIZfTehJ77L8WmPyR/jyOFs5qq V5oAV2OaW9GckOfMr0osg1GQwlFtf5MVJ9BilrepbvPBsFneTnG/oMYiGQvKx+RkTy0lnltUT+GF 7T9wfjfpTZL/AElwr9jC9dnKlpjiuT2oWHmDJ05Qo/etI/QbZcHJuowPo46Q4TUhohP9pvtK53LD l3FbdxQhDhKfj1SDRhHbcXSQdGPVfoNG3MY+wEZMOU9yBmFhPo8bflSjCflC6b4vLO6k4RY3aFx8 cisIy1tRYLyRNjz/16fTuiWkFuRAlGdmfjJgp8zw+PXQWKP722uvkVJlIjY7DfYVUyPkd1PasCDE P6AvpjlIAWsgx49grF8vutSWBKRbfjPvrGeWkfJc7gDnAJuphLzvBB7/Mfq2e4sf6NxUTMgP2pUF xNGxFHQYw/QQPvb9OLbcyE3+HEcBYYHFZZ9AM3Pli7KWn94pq00DXKO4w3zNHHdJQWfU+n4SJ02/ wabhLfPULQtadvqHMvv2P8jQucrcXK/kIhwAz/b1skeSCL5kgTSH7ZfSV8Q6rqPRdXzJENclrIwy 0Iue3eLhdGoZRTz3Ibkttb9p8VoWxVLgwTouoozY6019PHCdbXBJvhJH60vjjk7tfrrMujL08oT5 QApI+zxlTnH60RVcxXY/h+UpWSwZEwDPpRQqyGXKGZbUIJwCdej/TGwrp1pfWw8d3VcOmFu51hj9 f/Ktxevk0uJwyxQVlL89+NTgsXURVDKvFVzKkD4uloO737PpFRObJ1TtQCFD5kYd1qwkSEjGTKsL 6O3pLw/00nFLXkV9g6XkpBRSBDAfy4gKO9y+wJ1ob415zCsggNxAcVuinTR+uoWZ7GvYpTAF3pIL 3pMMI+hXJJ3r6uxZDXcl7SKOhLf4MbJZOIoZPYJJU4eA5DxdUu2lFrd4hHYILeRUpijfHtwzcNO5 JvW5coQM6uJkzfrIDBq8jFAIA7uP9z2lHR+Y1QgCMcv/4CFPgMX7UmPvZLiEyZ6OVjogmpPmMlif xcdUxGiaeWMZjeam7BgJBuni3QpNEu9oI0Cs6r7Zfr8i3iYjm2CXmt7gbuqhRg559+JVgVvk1wEs GQmIITdlc+YeQayTRN8DafKzM31XaA0lYSZFFMl8Xx2xW4d8WF0dYYrXFrvTgOG/OCBjtqe/7PTP eWhmqCFIENsgNsi+BxmRm/NZhebJbhmsrkIwcssWCIzAkGjleVaKjnG85ViIEk+zrpTHWMTWpCti bfuV6/zgCrSQ/LD0p0oe4BiFZ3C67a3i9ejTMIxuuV4radxdF5cjH96tlITaNdIxmCQlz4ocpuv5 AGN7kGWDcXevJyL23VrPazMw1UN+kmPemvf64RsUtHWr9mgsab8VEgt+6uctCp10iAXmBqKOvfYW ayQ2Q4XBCvh4mY9GMGQqIZTuSFUbke7u47ANTMZpHkdby+4T/EOzvZ0KfMtGtcFav2ezWYvElIje g1xpbbKbVTj7t3ShQtadXJ4rbPROfC1uUACOOeVspAgRa6cCFh6rGsAU0hWoD9yhxwMhonbwL7KR kjW7TFr5LKL4GkTRSKu/5A5qS3WhY/DD+jo3O2YJnabKAmFfMK4SSPzFHln0ORBnb9/7AXsl7HlY DOcLTaK4Ts62FNBLqmnd/FMqjR1s+gNqAoDXRiramzoqMBRCz89HOxc/JZsay0waxXRTrS+/iSQL PhcZEMfrH8SZOCnWLjLvzQqPDwxeZkaRAZRq0ZCwvz7B7fZ+V2kXifFD08GQxvQdhP7UquXB12sR 4XTHLDODkiOkCFoJOMCNJWTJdDEO+28DFHLfHzcVzlvx6s92yiJPCa6Ff4/eNCECf33wofr0LOsp kjfIkFleiIqdzhAQheKSAz8mqu6q7DWbnYNUIMVIniUeu2HBnjGJ5klJWF1bvUFhQKNysDurS1ZY 4ynH/JscWxrHZfe9ViQgmB3Mmt0JADdOe5IGH6LG0waeOsYaoU60djixKzZAHod8oxDY11DE/e7j ZJt1mwtuQ6KGBmtUBvW4OqvclsQkMidHIDCZqnC9Vb39IHAbT6E9Dar4JGwrcHBUcbYGE704bfUG e+n16FNB1O1rhRDhm8XbDg5FTrLh1PaAo//LtFaCDYUrsGl7aJs+8tOKIbXEpLTdXuQMk7oxiYe3 Gq6MQlmYn6Lo4+OrJCbO85h9g6w4BfWLsYZU7J83syus96tDVyy8sT8Vb8bs2aKx81KTyqK+yI0/ 5LoenXI49UULNw+1GnrC5LjI/3uwzHTTMXne8bmUvjn/SvVBKJyheEL2R46TrUmM3rmnx5w+hcII h5Zij8PoY3nAy2pdky36Frh57D/uGGR1JWIVyldBQoQAb4vjwVNC9D1ARYGxivm1u8fi7g8MSjQm 8kdpW3bq/kodjJI1uRO9hp21gAegv50VRrRiSxUaS6FPV6/UXgz1oOmzosE14dgk94ORlsVHagk3 ZxsaM9jGwzddxpoBwof34hLh/GZ2o7+75yhsbAsrhLY8ZuhWBElfEAqeXY8FEpwgXVpe1bYzQ8Ev 8pmBCZPiRDYe57CdmGtHMdR8db9hYzjH1x9UgJmU09aU0oIB642pv9tU7lZrZ1L1MF8X6VA080mW L2hpZB9/wtgYeCF9yaHDekTb+d39A3klU3EK8glmuqfG39lluAkPvjThysDs99f/lYzbnDciqebH rX/vAVnSs0JTbiEH/DM/mURCqVB3XDl/CQxhBbnWRZi54Rz/F1kY6U/Khw2dermptTiqr8aved8f KBsgEltf8II3md1fd9hhfnpO+grUJuW0tHOp3uXSqnJV+v/yiwtK9Nd9JlA4Uil6BFemI5KR1cWP keM8AkKHraGFxcKyP2xhu8bts3HYXWzsHr1CoBYu905Jq2d/dqFnh4Pp76GATWNQ6TSdAPh0ti9F Qv0N6ANS0FBNKKeS5jIWIPJeLTgQh4xxig7Ru3ktZQI9hzkxkYnV+FlnG+fDvUqg5SVMKu9oNNv1 4w0EsC96lsMBpQlUgaHS8xyMrk3luiUwsO9RLbzwI9nNhkW7dhOAZUkDPlRLIVApmtsLX0o3zC6l tj1CkK/lDxGh01vsTpNLl5I+uSUg0YnwWATxgWtA3ir36+B1X0uVQ0bGAP5UHHKZUqFdarKJ7QHD bqsoIdH1bZCmRKUQnnGNQCcxWTrbp3ekfYSDJ40XE4t48ohCP3edUK1UHXIQ89GO4xxLhDXQzzoo fNC2bc5d2fjfoQhUYssVgKt64drnhCleBiOWFcytNRtPHT5hOYRUdL0vhcjH3cPRL6PTV7RfPh04 7ljP/lchiqTqoabMAnafllHtJr8+ypgYocsGApnuGxfcb3CaE6TsF1PPQukh+QMcPjnipC/h5PfD ASrF8IuCRj81j0kcvn53IrmyKhCT3fQrV9Nb8yTCLXU2Nt9xaafX3JUj5YDYSHtwVzb2nKmsj8iH y5Hf8kAyRzYb6SHZ5vEKbbXhUkEDN/68ZZR2cQXxZtG9NRxyPf86wMneKCrIQYnoXLywiIAf2fQ4 Y0gtTO3TsmfZjUgKDHSKJxW/ItnEmGmtMx/ezYbO9+FFuCX/xjerdf9VUpI64M+ktW032+Obo+9K o0G3CdFsKek3UKCZFDre6ZWlBUc7T9D9H+ghIBAE/isovQdqnvBoCCs2d1S0Ai5SHH/jWVT5gk8/ lHwiVpor/WXybgcIqg8YZeQCpPdMBReF57jSt2SdMuDAi+P6v/NH6FJEI7NLXP0XxLQ3+m+ZaF6f BY95TphwHCNxlYivSg7nSmBzTfFOKYv7cD3FfBbhMCHiCEZM0xAqtO6g+RXePcGOx/W86T2BGVSd w5WYCorhEZHXKXJf6vGjhvwM0gK+LAehfuPuNlycgmbb8dXsyv7KKlBJmiEJ0Jl1yjFXMZOhFkl1 4iPIgRL8qG3/R/0HyqxWoOlj7KMDQd7OKgnMyKQcy8IK4Uxdo/z0/Yi87EV3dW3EvsBf3kptsANU zCN3Z1073ZtYWwa2VkaX3BsTS4E5XxuZITjjbuCVasLnMONuYkQ+OjD7elof2DglexwH82l9si2J reLZZL7/UXlEwGjJaGFNOk++6eBSgmmL/5d99DO7iGIE8sF6JpmIGgOqwqQfErqoRQ+XBev9wvyc D03oaD4pG6CX2JM+PxCjA8U9PLnvDCmwnJYtAJxGX4W8VMu541/1Rh3rZTp5LwECuD3AldbkLGIl X1QiQ/eyoNA+e57b+2HBW8C6DZvSTfTiALFnZtu+cji3k3n6Rq7+U/uHRbQZAKFkeWKzZu026VOH PwZncDLbnWpTFX0ZIMkO/41FqAb6OeNWicxd6Ilo3NR94P9uPocC9nN/InhNjhILXQxHzZqLh4iO Ntr3u4eA0ACy7BDVvZ9HOu0ngAa0AxecqSneGaA5kyByzmm4Vb6rb5ztTzlTU3foXfiRpZQQvjYG NLK9dSeii1ASP+FHgCpaUR9D7i4pDZ+bCzbX0FiBGaQ4SJFLYT91nzEkr06MIzn5zHfSxqqpUbz4 RKL0WhcI60rWcAXA6vyA1fuRN3f/7ljV1YGsBUS4RGrNW2rZ8SxwFh4HfHoRMTZaQgUKDu/0/ppH r3mtTOqE45otAucfHSGuLIDjXv8OkCAsuA5HFJgJceMmQAr3JNCR/jlVvHmiFM4I5wryMYxshIc9 c9PWzofx8FZr6M7TD1vhgZtG3psLh0s/YQi90stOSXO8YgtGzKfQlfv9oHxvlnYTvH90MzR0tvEA d/amL6GOxELqXQW6vY3aXqmsZNsnl4OlYEQbotkc765+bl4DEyjbmiGJJ3oDW0ZtLPJZSkz1oRgs aoIdUhKiIHEaLXpCQGi4YonVxMRQgPAfhkFWJiWbptz+4zgdXugpYtMCZeHjD4Wtz0A+c2yRKI7O mhSxlViABIJZ2SHSSQzCMJOcoLA/WFKoJn/ez738sW3HzUyKEroYF/poXYUrMtFdQokdZmGqTCOU Zcf2u5dX1fi06f9xNwe/z90zaxwIVSx37KcBw6B/RIHUB+SNVSKdLspzwebBYyoAo8axIgs+vth7 G4y9FQDPN5Vvt5eXOQod2KArDKRbU7n5JRmUq7+Zywj2KkpFlxO1Wyz2aX1Kq0NUBX9KF4AEmD1U KedSR1cyeS4/K8tnzy0PEF2Sz5IZHmNvBRpAAmjle99+UEPJ/CKetbwfZsGhh6oUI66kBcpodBNV FOxo/AJkW+qyXfs9qERGULHFOw0X3X36PQ1iMBH+X8RDxcKpPE1CSCaNA0AZFQ7dHrty1/RzTBxJ sILD+zIkDlTAuoqLkc/i/lWkUzZKX1ajVyfhj6dH8WT2QkVIXSMyq5H04AXeZJ35SeKXyDsQ4Vcx LxPuHaE1JxPiI16nb+iWs10RpKLRkgIN7ggsLcWHkMzRNwbFl3y8dnB+mkXwR3EtOBvE5PCxQNNE bvxNT18F9+RJBe+xJQBpU2/Z+HdTVNlzC8cFjhK2/X+DIwBbFoqoHVB5lUwYObyUz6FgvsQ57zEl VCveAEBkN/LpyjDrs2SfGRLHYf5EyWW1poMLqnmPehE5KQU0pz/JARm/hN2+cNofy5oGHZO67SaY bSYxa4WYprUqzAogw+lXg5D4kSRyQzJd7ShhsUVGlvGcgu9fVQd6Zsb8UfP0IcYJ9LF9KFHq9iW+ E8Ef3YNnFllXgaSEy60UxZVuvTGSKT84wcV6HbnyK8tgrHxKIXoBdepG/ZEPyAwQRy8hXAUMjY/S 20ThMV3P+JBKNz54KJjamecpfInnEBK8eYaHgRnj7j5D6Z8v9h5Jg41LZgjLIWPSArgr66t1vQLG PmqwN5QEW9CQ6ZUdTLa0CfUPdlSsHjokSdZ2VN51UV/I0pwm0kM08lOkTEVHZhfxkqINRggiMJlS pZe9gBJMuAxIT8uLU8fdZUmViVRURzjENvQOwFdsRs0qlN0725GDC4A2bjXo/t2H2mQwMcdc6a/M 7OMpZuSB5XCOZ4tHsud7hvmwVrEVUG39LKfPKutn75hQJhPaUXV+GRhOIvzSqcvvAdqSRbxI7id9 vdOlIRXxYMzYePKsDky9VWdlcLhPHUr+GClN974AD7n/v5naX+kUXuayG/Vz+sh+s2Np+lnVEmbf Ti9/8lyqsTediBEg8VNAe5AbggQcDGs+0p1D2a1xRqL19fYjbQAI92NmDNV51VRO44BV7zP0BeWE 7U6Gj267pWz7t2rjTFY0mmcVIoiCcvgAJD80zgh86OcxRttmn6UwFiTQ9nSvH13AtrBkcPRsnLdW 9RJy+/CVKjxBUYXkYMmZTkb4lGy2bwCI6zU3wXS6xFMPNPhuIN3pGqP2fCtX/+o2RVJ8VdmusW02 ixLKBUjBzF4umV0Vs5LptWPkQhSeacvHPiUZcHxrTHHWvhFJW2TouRAnuj3HB3cAYd754wkX0UrW cXUgCZWVOuVCsHfLJ+2WedgBiQSPlWwtijTYHTxgRztjEgS21N5WHLcd3s9m9HXpFwxahMGT5ou4 Vkuy1GMVW+gbCufmXBeMZkH4QaiKEMzi/i7U1VpNoPdOKs1KRPJ/vmFWKv6+ONv/GYPku/pXRvzR +i0ivKqTwFe8yYHf93aGU2w8MoXYGTGjtDXkLihZnoK8MaupB+/jWdGz29Z6S/rkqGQ6NsiIM01v haTcDkWRJStYV7Myf9oSgqQLL+XU15NIe1W8UOKOxVMMsk5LCGipbGE/gF2PEvPOTP8HocsUDDGM rncnSYHyuHlpUxeGR59Z+xAxwk3VLWCzMRZXKMy1Cnmap5XMi6z2RWkQ24aud2/IxVUNsWh6suQg LDsKBA3pGlXok49k2pMtXowNHSczWLry02JkEFD4+ZypdxO1jvVOAeYltuxHrGIHmzoLoR894RMN pghs3SwLzta55dd2ysQFwqJIfqR9haH/PcAU+KcYHId9tD0mrLbX5j5GvngDziL08fji0xyX+nVF db1MQNcAICVMp3SNuxG5o3nWZTkZSA+ULGvzoRcIWgu9PsHCnL+iDAAvdTR3UH6wZKQywCUSSRGV ivVf/sKI32oqDAswk3jHu0QAG5Fe51xQACuTQjJ9+idK5H7V9fgBE2l9w2F/eV7DXQjUIumRV2JQ z2ig+N7A6KJMGMBMFjQNRwgvbySFufNkps7hSYJu0FCyxW++0sqXV6BR10v8nA8o7tfFXWmyIvHR dP3IqZGQs3+Q3mmKBUTA8Y8K3GUkGcarnHgcck0aCXPa2MyMV9FoB23iFVUqG6G+1oDFbuOm1z9T TvwL37sDTqpPEjCEGjiLgYtVqIkI8F/Uo8651Tk+xyapA0aWB63cHPwR6NjITavphwdSIhBoP54h nbGdsUVFNH9yw0kjRe4cvv2qBOxLFCzr8wu9p+KMWoGsG3UifO7FcZyVJr1gzpeuue4w8xAg5A5p KvMoSLXfahtUXf9cf/FR74MS6adgaU4L0Whi3q4T67jOUDJFZVGMtsDQhzK0vr3Fz++mDoydiSh1 SMN/2VYHrAq9gnD9J2AjQnEA8dnqZiliimbd1ytId7gZsKC+Ah0v7OeYkKmTIYHGEvGzaws8hKwS XKyU1osLzffqf0zgpe0zvh37QKPO/UpDarFLpzTRMlz9697/IMShXzIMKG0FXqw+08r0NBc2sg8V BpxGw/l9ygbj6adIx3HbRw9ykP5Rs6jV/gVgzfoS5U5bw9XqACodV+UrbHFZlz0L1fgU7WCu/mJS nPO0oN02R+oOtzKhAOJaz8WsKeu9m4aSLC2TXkh5mGV7Q6r2t/5IjPlCoqWG0RE6ZKWCfccM+GXZ Slikv49is3KAupgN4hzzUODJOYpl2RkNAztg/twHeL9wQPzgwOImZH4SvBYh/OEbUyH+r23di430 peRLE6saMpD6HK4NjtCmIL0l7g4KEn5xwl5Pna5cptr7Lzgg3iMNPX1Es7XGzItLBSAi9xNr1XTw CWEZSG4mEYp/kuBMI4DSHb2Vee+HasWQDTpfMnwGhU8Hw0aL9rvFR3IuUqWTPAKiw49giP856Sqr yhPsLUbyhl4ajoRgCz4IGJdbZ6e3Sp9xsbSwLJRfnInCXFyFAQb2km/jyQcHyuQVeT6Dl7eWno6m 5+myD5FNKgnuitNNCu1WN1foWfg6Ini/IOVD9Ruxo2cP+N4SqQ5Rgt9V6Wpy+4BMQwvsevR8FO6S U18sxvHjTGbK+FgT44QJCqY9l1etJi0DL8rGUFTBdEElXQK4V+ShoK12pGxsOqYEvUQVC65W0FbC vhX1yfiy4Rf3lXv6m1Qy1k2HVHv+YddkqtIwCE+uZ/l+t4GFvUP/0OLj4fWW5ZCe1I3l986RhE+A 3C1FqwPYIcAN+Cocw7k6rOnj4cd7BCEwxNQw22JeXj0AZFqI5xOJ6pWXLsLEwN3ADd6BjtyqRtKK dH8x57jC8U7vn3SkjoSt/13RH1yBtF2OgF3vfGZCKP0AFNSJxJSxLKDdR8qsyd1sCmajsYpO1wOY aTHBnCtrDXHGvp3Xuz0WEBc8jBuD+SFhU+dGXIvpQbM11IXfN+B3R1wCkhTe6etW5J76jMNN9Df9 qG4iJG8/lALpkz6P9HwKeWcdFwzCUV40wzBc/ztv0HW12DEZZSjtH4wh7JUv3K8/NNCYcwQz/pAE xC7oxobNd0oxGYyzVwfuJDDpqMouW7TnfTfr2nNqyPKun+vXNwFYSh2EpVenZo23ln+Y2Ud64d+U 7pxeki5WDsNIENqGxmtffdUOSilEEOYsZftawzDVSVpQWaWlgNpMv2QVXT9+bLijN6PQ2QMAsVcH wDZfPVIdni1Cj4SNB0IxY/Z7+yfYYJHx6xBQjTQjGiyk9kDc5fS8MfftUYQ6X1eM40XZx45ZjlLD YWHo1Ic1CIJXBJ+uRri3BKvXgHIjq+KnpT4kdnSpqOHrBtY7P+vyT24V4b1XiKA2bJhtnNSiuYjx pPpDnkhuelvE8R0KEGTvZkg+fRT3GnnQPWb/SnPzwjRQC6HUULEU901SkJ/DJRLifwe9gQtdzHDs pVnJh0MjcNGQUB0CgWXLLT25jQWvtKek/+ImcD6JynQlb4rmLy/2JciHfH1NFzkKUg4joRrVYtbP OCXpvwatg/JKekQWf6Vxq9UIxF7oDHir2tQ6r3hGjmvEbOuc3BHMGi6mrQMzw/AuwG/L6kcbuZDN JOx/7W3nNULwtcMjtat8c/8jdX6vVmQTkVOJ+lWIT3eHACMfNNEdxoxnzHNB0arCSy2fUBScAF+i kh9W2ee8tWflJ7tTQZN40gALsuRzIrzNWElvlgmkuDEqba+lI0Eo7HX0cklHiu6TgxwRRE5TIQTi pE3bj78C8PW2X4pi9IoP3AaTMHPR+q2Tbo8UpnNQnyNXbRr+HMDT9ZZw2ACqxi4c5yPTIkoPa0cD wNBZe7SlYkH2UxhqAhfkSLG2b7rg7fJkHtAWDTPaZa0FAGXB0odBfkKlb+CLKOd50usX3irVxTAe NMscHSDfukzfVhTAwaEmZKyFTCYKUQLVL4/SBrOHn68l5VV+uQTG5w7Zfme2hbgkco9EaYlCr1EQ QxE+6opTkGvaJUScN8zBrR+1KApRzTJZobbDx2Wp9lMkvBgg87KHCzYFS8XtxYdZ5MURIWB3GeDP taW7Tlx6jMFvpawlpVkGjuVy5W9ok4QzLK0frwn1jjFPqd8qqD9Dw7GmwID0CzBgaAm+Il/GNfn5 oT+MDiZe8bxYYI65ly3nX1OSQcON20en71zWmWmZ9HbVeUQtVxmhGWxpnV89w+K/vpAm4W56bfUD vCvb74gSkRJcp116uryPDaFjy6F3+taXiqNX9iTKdhS0VngNq1i/zihIQFmS5hv38E70rBCtjQhZ F4ARBATu5MHAUNqzS+fI9mEm/vO3GnE38E8fE9nz1Dfz1Li4xLpwY6owRrQJZetOQk+0E0JDtW3S X3vrfeQUpB52iZjyN4ZpVM6rsHEF9zMBRfENbOU7cnQPH4TSyPvZap52y9erEXHfYKlqitXYQM6s nnSHzwZ65WNfXlRQiAqV6CHS75zh3rILCBBgnFQ1YQw3+sq4m0DzAHA5IBpyY/Si/GHDZV4vWcPQ Ja4H+3IMJPqJKx4WdNRgnDw568Lqqe4GgkRQoeLQuvkTyA9OqJMsOgk0OOkMp60pvmE1X1ERo2Mc X236/SfcnEUiVlCfYO9SZ8jbFkibugNRPOarkHDb5iWLgORM4Z2UWB5y5NcV2buv4/TmnTCl8F5q FjBBiGzq0EXuKmuDEqfDoP5L7pCV7Ig3dXgdYagZukrlO6xO9fWxOc1Z+rzoJJjRAx3X1Ao4SxRK FhW2L3lIAzFNeA9LmyXZ1o6A+S5KzFwpjxidEe6vnIUinX3Jl8PavmzTk0oziFAzk523gZi3lpMn zSAFeyGrZABdZjHOtJI/UeGjPydNe1295dfnSqbJBqc3Mf1xAGEE+5akK8Fsv/PjpM2hGyO6Jnau N4H8L8DcDSYxTmF0cEiCNgTb0vqhxvBO8uFRzXrLwuJraZ3E0Z9FEmzNJ8veDBIHa+9+kwghbws/ 8KM0C1iTt1kw3C0m5da8qgfJqFuE5uRMuOd0BTe5Ecti+cpRZdH+qyfo8xx7gPsV+1QJkgNR6RWh mHoNW0Deg7WRBZxJrPkv2E72KEjt7dDtmTfuRfa9urqhHX+IpgqajWOX7sqyn/0uQAIu3DV/Ucvv YWZ8WN41O+oopjmmQODgQhV+KUXx7m24FezaQL6otOOMGJqSnreMpeTAnvzczySxSfcgmgv3Kyyd OcJJOYJeSy98bjLedTsixVKm1r61JEdVeSWOXVNfQdJIEX4T3CX4X68QKTJvBZKlzBdxuySnqH+1 4JSLNH0ug7VkLpvoOvVYhgfbWgjQi+Z/QGsi8hGjmecnz8noVMZ3P+YNatrZJ42iST+Tv0K0SiWH QtVapukMxppcGhWkBwo/F9swKIB35NRKpZWxHHPocrjodqpn8n/aGBgNGsAWXpJpRTLrgb+Ex8Hm 6jYK1vgZdwsKMpGGsULlZyMo5nOf+L6m7d9uzh/uw/u78Xa7HE+9ejwOybb6vWbPSFNPgf5vwTXn N1IkAliXBaaZ31M1wbKC87hP9XMXyh3/ff+lRDkg9EcGo1ns4WGJXyDlx8ehGoFr+2jYefm8XNBQ wZPH7GO6+Wk4han52a1Sz4+1T3PgxYvFST3U+Hw3LAWPJ6GNXGaA6I0K71jhI+N1uvq/uduFnvWF EtGbS1CLcb7IfEPnt8nbH7pv/X/c9ETTxL+anI42CE71G26pP2Ie+M9wXDaiyK3enl5C/0e97NfY PIJJdXrxarOQv7PrXEJOean1GfMv5NcPJQ+C+aOKsOhAxmbJsKl/BHSMTYLENhPqkKfyDL34mchI F18LkUW4498hcJr3R0npvkXXCSBWabSWl43wNev15f/fbznwTHGS5lUIn0QGCoxrB3lexQkzUaBu 7C0V+NhoUxkoM0db4yq1P8IWipdPe1N2vv4V2ZqT8cIzheKXv1lkWr+vg0i9rp3m5ySNx+JuTq4e f7ZwN6fYQFstlvPx3/kvDeVQeCyn5r5xOYcuMTsX5KE4QR2mgeV7LhRvcS0zJ4Zz7QJm9x8MpXn7 hYrmbJ3Qt6jlv0CVsb7dzK9teP11IA9DHn5+zo/zM+NW9hs31Pqn41YykJsyBO7kj3xMFf0RhK+a EmzARYNb67yOJkyaBTbOtqrKwesOQAHqTwNAATt/PmplEm34/9iCYry2fRfk1sQ+nIuZ3+U3A8CD 07yT2JCcKhtGEfSuKod9B4uGLdbfPGV2+aGRWBX4/RoMC7+iHhiOysdo1a9l1XyLCody6J855inE siAiKrGdfPi386RjhjkglVSXuvl4iyMGUT1U5y7AkYrOKVJZQOsw5ue/s8d+G6/BlBaeepYobcs0 Crb22Mp3aOzUgp+s7h7NNU3kwqol6Yef8avO6ouK0uYXpWnUIfTxgXPOiIQ0WuYSgD/kIwSYhuZg I35abgbu2a4yuRoy2NivFVu+LcZBczLp2E+PnDJ/M93ytKFnnPuQSTqjIqATZVVSx9r4kREfLt1w Xa5Wmjdqq92hiRG1Uvlp60cvpVCu3+EFkOrfacnjQjHqDmhlgkHM4jshLZqFrOIRuk7eYPPx7TMY Nkdr5rtzGDMqTFi+9DHwAUj7E4k5d3ucDkR69m7jBfUuG9e0KOxuGvIl+Pl3flBJoGNgs2gRRVac 7TTr2V1WgBW7/lhQD/FYgBxInOVFshu5Rf4uqNECIVRjtlpFY4XdvHcGErUauzOn9A4wBRCOxdXa dEoLHELmNumHGBCyP0l/qJi/WJJr2VHhOe6X++QI0YWeWzEMeHSVhULsvB/Fd64JAKys+PeyTtKX A8eBu9jhnVS2Mt5JdgfzNvwiw5o72cScN5mMVmhWWsSng72LgrUD+v6qjqXRp/OEiHgROPZOuNth 7ZS/afiWebH1JsvqztxV/hkjIKqsgCaQH3QQLAX/KPinZxru7eJRPLKYU54AF9bJLQObOpbBFEIq j+haToyk6gvhTnBKVY0EFqYrIEM+wlr5S/N86nu8oT/ZIouvzcHjpn9D9VfUtswzB8cuJ6uBgCWu T2Lc02ffNoQ9lJdw9bvJMr0aPrkA1xneHhfeCteMje+KlWvKvs05Qg9H3wa/N+PJnGF8LWC035aG ZtH3Tkr1j7Fw+L5OHJZoGZBJ7gO1igOcrZIW1ac+f51wSywRUBvKyM0iZHVaNVIsr4QmfSdwqul5 7tl0js079S+OtGCkGpwtOh/UDAB/mrKQOGwlg+mzS7xdBAAKB827jewlnfYlfzBrUk3xTW4KGFuz H4rjji79I6PpeljOuuI4r9rWqaFx1dWx1xpQHFVvWqfjoRDXtppCqig/Jn/HE1xOhoiSscT4/A2K tZziNncF+4CdLxabNj0vPRZk4kpUYRqWHeLjQpHmzpV1mOKjUDlcZqNO3vxRDxtItdxTiYgEIT4V Nizc4LIiMd2za42XeFLVz95xiaab8ipMrD9ge3byWndYdIjH0bO5MHTfsIta7ZMnxzNCNt2H3pzu BdqMO3qmCQ7DrKEjj0iQquNukMm6I+UFZqiLux3xC1CasP47JdQZSZhJLTObZAwudhEiuetgjhjm fFZZOET4+yTXeIPvvPDzw/6e6L0V16iTp/pHmWrt4r3atF7fqqzk/1musidsbtIiZS0NrR2MK5nE sLuy6jBoRF1XxZJkZcQpg+hkgwU8UYjgMt/oQcXSQpNYrJuXgAb9mTnsVEJynq41iFa0rpLkXxZo +BM6zWQhH53AcP18+7peAMupcfZsz6wnpIq4QBlby1td5LPUTuNgJ5FP4p0qcglEF1XZK6Bt8Prv XYJFIVXTienhxZ/il64JT4UpDdu0urlkm+UrfpT/cUX4e6dgrUJ0DJU7OJ37NDD8VdDnf6WfSQju YjVovYk31yZwRgW7jx/YiUaXpd/XzlnYUkgrx5t6Xh69rt4fCCoZqEitHJu1HX45zhyynHSxyiOq 5o8jqZzin9xbW8CaA6umBm1pLQ+js29UEldOsPeBm8ZfuDnb2KdDN9PNrJA7DVLCwUELdt4zqCvV HpTuhrc+0C2dhlrzB8SqcyRpCr8Gpd/7OgvI7MWzO813e2ceYsqOmYgDrQpwof2eI+UHjAyj6sQ+ WtZrmsjUiLyew5oro1YwZZ6YxPd/btbo567ZwL/EGx9C7lS7AphF1GCmH9T95QmIYFsGjAi/Ib+D Bzl01/+Z69XhtWZxHFnPrk3PP8PLt2jMHDkJDzueAR6muKXumEzcqsbRBi69Tv+h7R5jTp6NBtbn Ag0VBUE0ZfXisWS8CMwwjtkr/aba/iWV5L3nskubEfKUZOXu6gCnoNBCAtvR5BTMObafNzMFXV3x qRcx3/hWCvowiXXJpXOzi06NDxZCAS+k6RMo+DOSXZrQHpkQ69rT2geTcWOUlDkl6FsF3MghnQFZ KDxOSHdgEE2X3xF6y0l/uNt+ljGVUuqNeuYfh89g50+KG++LkGp3fpmLZYk5fVxJVP5Itw1ZO3R6 Y7vskI2pFKGBFoHY7ETk5068Xiu/FzRnYjuCUjqyZj0dD/w9ntzEkGqPA8qL4EtQ/3AW0VKiAJxJ wkJfQvEMlUJo+S/d2t/LkuiXTtKvewAKGI8SqIPDH27EPbBVFrbtngRNyVi/JRXbTC9SiQukK+r2 CyJ+7Lh3+JZtlga1qVRuffevyzEuLNL4fwMig87xsw3qAycuL6qzeToa+kjhXU2D6bcxHPsIQiVr fBqAhFMPAzM5gJSc3CmlSIB1OJI4ApzWcwoWj3SN2bQtA7dziRDQmu8TE55Bl9lqwNuRzxGXIxkd U8qrKyNdmYr6QOtl5w0HmxsAvwxzvfNszKrjV2KXA3o6KES38rCt+39KTP++z/aYBQp1aGYzzOGw Rk5Fh9iZnu2NBMrWe+ePF5gtcJzsBf2wc0IT8PbMOFM4pm9w1JTnO2Fdic6mPHLViD75rwG5qD2U YbNKNhorl9AVnCZjZkTOpjajkhYhQbk1Oc4MdNthfsK6SWBnmSYkaQPgrrRLzmHmSAE0wqpAZdX1 vI9ZIYbxPuBEh8IumljWLXh9fN+qhyrWwKJy8PFBq5jWzAkM82l3WbyX8wEzvPtKTIVKQKSp+wwP YH69DYP65ib4NV6i0j7vS3mhVIe33Zdg4sP32H7WUqe9spqmv7DJJ7G7mxWW4OEP8NmogYzT9tq7 /Jzwukx7/+lpWaarJzS6LEKhW4LBKsN9h+ZX6HOgBn78HLGf5YhSuutG2Em5pyJRAAvQzb8niUDh y1hgOBa+gNt5nRvGF6ZVH7loPnTLiIe4AOZAO1gli8jAGBuDUnJ9F/I7h24vY6Lg5K2xT5y4Vfjg 92yP17IE2Su2nOwDMDdFv4fEmgXfPDiEbKQutmzgxSSjnDrFANJmsyXFki7ZnRBfIgoKCFCm1cHa 3oUcrlTOAONTwvPJx+SzG/CYY7FFF/XSqMdAaOvmS51QVDm7OpWydbqpwvkNGVjW37PmFBfPT4lz WxaASHaFBXhGA4JKqS5JBm789qUtl89CJOSFcckR7wm/23irNPPvFxR/osFAaU7QLfGy4nrTysOd Q+wvlJFYmO5pimSVSFuXS9oHqE3WIvXeqGT7hIRWctfJ8/L5MVwukgJe+O6INEKw/OnoqEm0xcnA HYFuYURVF1x65Ut9O+RQjJxhssK8XM75lexKBfW8NC1qZ7c+q5PRdU5x4DGOf3Oo+QNxvCFkT1ZJ SHEQgec6KXJ0uwRvb+29dITMym++KI8Cy3S1BWu2YWPwpEDuIKeEt2xsU6Vp+l3hXv1bcFhVSA10 Mri83jCKG7ri6NQG8VhOxRHZ+j++rVx/rgiMtWFiQXl2MkDGPu5mJ4nWk4IQ16eBELpBzXzyh/yN jZtGt/1hWxKpinCzJf79u3pspj4cpQqlgkbvnjnMeZSYOYUAVWZQ1cRHGO8SBMExBkJA0QXWnEZH A55C53wCZNLEpyif+BjOLu6mFoPE2LSm3liHSFL7MPCaTZgMywvjVm+g8BITvbsq/JMvwV5yqymo Dz81tH+HNnv4OwP9Zw8X3FjtsZC4jjZauI6AeYqD4yRpPdwb8uApjZ6Vu/LgqLkUjIP8qNDYGeIy +C4Vk5T5oc0erxuoYyoIv6FiTYNqDcFRjAILB3qP9HeDVpi+d08mC66BB5qfyl5W5+T5r3007vbz ymT77hMDK13g4PQdOr3K4aYhqI6E65Q5Pr8qIdLwa5OLsZfHv4JVVt9OD51RNqD4xESy+GDH4SDy KR375nv8fKVPMpVIYnl2c/Tl09tPrJ1Zpp1HkqwAuMRGmIrd79SannSoj5iIbaxQbEaIiwU5Iqyt iHoaVLc39H0M888KJ5/JkSx+KM2Er0BzTXWGhUVhj//+Nt1y/zPUVj2got516PT+IHqkhjfTpHKS WRyDMA9N6WCysc+QVHI0OgH80FBsR/l+s6tDApV8hNDCUXFsKagHfx/Z5/pLtt3eLyAdT4pp7dcQ 4t3xfXDMCCj3iqI+JTcXXUg52dx+EcUbkHqex1QoaTvIiaxFAfY0lK5H901niZC09+OP19V4Mzam EJzrkB2hX+ucuutu2lTpf403mfx1D7Fuct9h092yAYyIpF9pPTDuAygAecRQ+9HD5t9whDbQHkoj /354EQwZbr9GUhkZ93p7vC9TaKldXid7bn91g81fFVxr/mju5tXtAuH+TnP2NSWYKRveR8rXGxF2 cLEJ9XlPQmwFbFknGsqWrvVv/gxTAfijWH4Hqa1kkXPr6GQk1hh4aCP0KlPJweaY8Z5nmlfbbHyg 5lVozXQTOoV48zWbU/ufSL/Eysx2asD8EKY1U5chug3NOLa/0/VmQABrMZikDFl6oLcsZF19wpl1 w0zFJ1Z+Ib6HUs1lGf1/BImgxh0lYLsKqUi1fL+xtqMCoa9vr/++cTVza1Th87laQs/QtCC4st8E QxUz94s9QfT7zwCZi5VK0wT5sGn6l3le4DpknTFlHS0n8nE+/6SSW8z0ByGccCwqDwDj7QHCsnyr xWlvRsTjZL0c/gnjmgMRjBrpiccXELhMhiKPqlexYb5pu/5hyFPx76r+Hpe5b/VcMWe0bnaQU3W0 aDeOlOaIDVkiZ1XFlDOxe9p5Cin0LybKwM9RMbdrUthZhNg3qlxi0PUalJ5IcG0m94Dw5P4T+la2 z5rEieqHI2vo5OQaa70GiwqxCq8jUO92YQNRIOfdeJEFPqlpBm0k3H9q9EoYVI4KELvgyOTaPiEH MXTK/9UKFWPSOKixH38fJlLgju+lAzn4KTwuvsWDzlm2ZmfRJ+Dm8ees/EXxexIsu813b7FyLfJH SU+doo3A4y4sGqgQTyTBu9riB0YdykjHOAEDk6T5oaD7M1SO08hMbTMzTG+BnTBnw4RWNal6NSWk aB3aY8+ruD1f4FHLTPUQ0QWD8+6vksNoAw3kMxSnP5RTzC4nWPSQ7Rr6R9cvpBEPcy/7OEVK+XxJ 0nhIzLzvJvyPhpFszmTjSRHDpXbFGq0EWFaRRIH9OGYf0UHxxmejuWDLczQJR+xmSiM4gRo1cw+o FLTavu0fqGndS2PHRn2aFB6oXgOCyE4VuQzSHBQ1mCsTUMksUsKmfyBu4fNDZGLd8+tOwJ8oN3a5 lZWDH003IwLry7gYXLUDr/qh37RQxGLTPL/kjPjSiDvC85jO5CuHxH4GN+Q9PIHfZcpjGM1W6BmH ynntuHDDT0e+eaq23CiPZT8bvJB40feffAo7TCUHd0GOUaAxtObJrb8tMBliGFlzF7VwXwTZhrTp U9xGg8gEfeqbnz2nCyTaiDa+XaqWDGfC4D46ZKbIqzZ1HwwMo2bSywNlC8qxRrjNSLV+3DVn2OdD 9vMb7kPh/X/xfyDSkYMpSs+W8ikdaVj4ayiZN8iW6s5rPrnVJ6oQbJlIcnBhVqM8ojnVKFFlIun/ oKcIU19nCKoUQXw/fCApJdjR/JsV9avV/z+fuD3BPZoToUhV15C2aPIzU0iZKZyTMhCVa/ACx4wm nfsNJvV8NIzDrvbuxDhnpkfNvdqZ1rXMRxYaPiqI0CFOFbATKGuRQGi72QAWDRZN/x9uywKl9JuG EkGtKOcjGumOREN5UkHdWVMPvpmkqvtG/enqb7MM6la5uLt93rLubB8zSA4RyL8LYqnZ5UO1nvXv w0WJGVezzSIVaEaHhpQ9C0xU+FAtx6Awlx5fiXt1MqVt9ejPS9lXWCYRKzHJT97L3aDzrIUsjrMV YCZPgMa5BVXWJaaOh/fBuUW5j1tZ9bsKxd58VncoNdCEmOp0IUjDwNoEQHed/Ruivk36ZKIrrzhJ zjtzyZppI0l7TADc24MXUvFf0E3BQdaYuj2sQK5UaaznKn2zelg3fK2p15rP3psB/fB11q0GStL+ C57p0JU00E3mvx8IxPofozwJ8wh+pgl4sU3XyMFiiD8QGLrEeU/I7QvC/K0FKv/P5c7jvPYWvAMw kdb5h+ukMqX2xjSUpBWGZLo+zWW3uvjipAhdc3MpskWaec3cEg33MJGuvd0hRtPGz8p7RHxNsb4c nWqjAJi2rOGCT1j9SVC504aJYQRyttU0O6h9ZR9eqafzXu9yurB3L+i0rakDHEDSn8k7kjwNPqhD MKMl9blSyp4LVG6DO6jOytxWblWAI78ETtLgz+rscTesSNC5ub0Au6A3NK5IDIxH/7+Sw+ctSTWl dxBRttKGdK5nGPQv4NtnOGNIxn6lYO0nl1vLUqIO394G2fji9aEjLn1RVMQw+PCIhr+T91+mQROs QO+0Ge9v8V7oAGyFKaGRmPIEmOEF7PUsPTUS2eQvUf4HyzUax+e0240VPCLQUw9vQkgbvG+hn86Q zbF6etTIty9r/Jaip74QGxV9jcbPlk7ng2o3hlVY0liuDgrce5L4XPOQdYsPJ7ZJajcJZF7S4l7p FQWJtXwuvMjh8jkkLTEgrvlZ1kOA4rL/yDF57fTMWOU7FXKGGS//syrb4O8bcdPN9DjpYHqHnjiG ynBxqIWyUIhiryc4VgpiYnrOVc0tfLKwCfSrd+i7QrssFaVd+YJMsXtwOx6bWJd49Z6qwDM2d4RQ DeMuXKBNIr/LcZyaHBxT4sGsbB24tHjuH+P/jvzz4B6By3nE6jHPv6Y6g3NqmveAJVFyiHCLZ9Wu sdAuA+Oq3Va/fPYb5unuZIk+xo8xJH1Y3KAfgxkrUfqW/FmuoMokvQ+QVkastmNp7gJwJ5lCg3MI SSe9vcSz1ovj1oA7qrJWBetjtQW/iMl0mHi5Q2xTVg6dMqZRbk7ctgVGFq7gT0lcuXTkpV/hGw8p iLwWGjuxxIu8J3Okpr4C/7OwCHIJOduFGf+CaVDIEPiRfa0HSNMf/zMNITqOtMwAgXJTaNfs9laU t+srRkVKvGe2T2ixs8kSo27fhpeNUR0ZKPcntpgkK8JBR5bRlCaoh8DaKaknV8cld2tbEUoIltMs qG+v6R07UvkiT0TbqrnJsee+FctFM4sdyr74XJaAVf9M3Z6oFBL5fQ74nsExKbq+FS4qWXDW3g/v EyoNnQ54bvaaCN1zSwDMesNTOFgOIHKkHC6q8WzPImRGa3kMdgr7Vh2ik/7Pwiog9Hg7Gx7P6k87 jizFro7wWwRqapESalQ9wRrR4lZ2fhvz9OfHQ8N1NuV5veNgifaVx4o7uwYLqERZn7rRgHqaDAYF vjMNnXuczkwGGQxJUBVyB7/8G6rphEsn5X725Za4l4x0arAk8Y9iFjvQZiOH3KTfmNQk1/jhHaGv 6q1UuahMFY4hvAg5IeXGBFBwrnWgimox8nVsPBGu+6fhVV7KjIEZE0bFZgGTl4HiVzQGs0F8fx+8 eZHYE/kRyYJxUyeqmDWMcIsBHTEKu9YWg1tjUqTah+G+hOPijdosFXtcaGLwyf47dZeM789AX9d7 jBy+IRzCV8jMNDTjbGe2srCDfuWoIOjQNwvwVRIcwwMLhxIYSX5wepjOliOFfqeu1J/HOifztblR k/mzOTIx0ORPLSsPwTkHoRtK/1IocvWot3JnT7zookj8lxdrWsbY7Kr6yylOrCOqJYEsE8re3Bxw pEdTh3kcR+7FgQNh8cO6MWcK4Xdl382CRo4g3CwqOk3HyEK7+IQ35fYRyn2MN/0a97vT98L8eXaa 3dlb/NudLcwwF0ncT8ipyGkojPHzCPS0cW8MsGu5y9+CEbjrshM3Omgq1fOHozFcnfvwHYKMtxNu MXkGq0k4S+Fl7rT9//OKd2jVwiLrj0rdR0E3xuzIcpu/CODsXr4VqcIVLxLezWlvNjeG44uSq/Ee wuSH1He7wY3Y6qog0tsJaa30Y/pVRTvPkf2sCF+fdX9cFT/M/KBX6T5OFbTgLmzoica7MnTPc/Hg db8Qar2cw6QFaaVJgyZ6wttvme2PC1lSrQXrS9rYpkkZ9Ff65Q7jEkPAHrXyIgPjBQY8t6SB0qOu 4dqyEkyZ6qaT+iWcWCLxHKgJaI1KE3XdBmacBh1GujbCPHFUEBtywRhZY2UPWLmKeVKqcaz9RpI9 xAL36aBBIh0+sEtPIcQF5CovhaCKB5tf2rfxyT9pVCTsKn/rQFOtwmYM3v2rLf1g1XD/jI9pmlxW EHvAbNrfnXQmW2Nwiyx4Hh2oqEqq/LNMfWpjkFJ+4M0BDNFeB/zUtCTpGMQI9J+RUUA2SIOpOLm5 dkkgr4A1MDCECB2hHFT+HeUI7VCYMTA0VGVG+mELADp3WmPI6Pkl0up/IsLZlDuPdi7kNJn/Nt1j 4HAee6thq9MMfaQK58CKAHfClbgfwrTA238hGSFeQaC5i0NSkVMAZaiDZ3hgO6NZnwmFVymFG2J1 ExLuzji+anOPjJFf6ICRP71Zdlcy+r8SRqoXnb6E43UwjJThKdVgn1hgE4o5Ii7Z/cjGyGfmjE1u psnBlR6kbTQ7A0QEzD3AwkPdknXpb/0Ru7sm4SSHagzT2xvUxgPwBlj0xOQg+8ZpaWFZRqvZPHAI kDQHrmbt7vv+BCVlnRrQV0cTUzZmtyML0DAkJ0n68ndjWnlIB+Vv+hxy/qYF0zTLZDcrav+uQlY/ CQ4Kkzm1QFDUI/W7Kk79vK2Wd0oNPuIuHqG1CQXx6T16lLQr69Fso3VSNBCJxTkKjAeNZMOaSUGO i3niBYo4dJNmemONScccCxR3k215Mtih5gxdOLd4fi6lT6IMwQKu5UlFcr6cGvqrJJTCfndC7OAB LbVKkwDmjqYpBQUXWrj9pgYeW2nU4Kh+1JI1ITbOm3nlqrgzmt4jN5SxVbltJ8n/6sj54XHJ2iLJ 4G2dqMtEhUt+4OC+U7ViGQZirKku+410blNbqH5qWzHtwIcLqi4azEoJUHwFtqDBvdDjTx8wGJVn SiqriHZWIXdPPgICOxWrU3aKrGcAq85NXN9SX7mIC2C/GIxIlcBn8Onsm5INizzMpKGSilf5MCma MX1DwQN+xEWdp5Kpr6+XmaCts95/vZy5AH9+WIYkUG/zQzfHNr1rgMW6q7//+NJZsHHMGZDULWmx aH5ksPhB2VNS1dmrp/qHS4QNhSZPXeoqMI7tv5Tn9srt/dnPkRqqrwfPYtC54An770U/BNnpZOiU ax6Ibcwih/x2Ym9fucWcyVf3zMqVNbpDdOFCrXujQnzJjx7N7D3myuzC6JRznuogBDxIzVsI+Td3 SxsKQm96khcsG6XXwJisPOnDTDW4jsGULLAe+eEVq7B3EsHW6YCs/Gvh3BLvXEyVWQJieY/gBPtH HNvmWGNw2lSea6I8Q/r0A9+LztiKoutlM0kIJSZgv3fGPe8rGKWVITM4g+a/rxpyVg/Tnm7nVjq1 PTZvudv0qndYmjK1XUgEzCPw3lVc+x9AA9yRrKJIxhgRGI4l9Cvi+Kv0HZlw2Nd76MESt0E40hRT GGJHrkyGWLdh5ie2UbYGXIB45psdtwTxepQid5S7jMIvdLnB4SRjW0AXtI/lAswJoMSA+xQs3k8l qXFNUIVTuae7ydyFuK3O0vhzwSVmp3cndEL6L8fDualUQ90fQhnwy7iDnZ2HhSgNcUv/oUK2tQnv /8XTkV7u+vbhOLPg1VMb+aPBcckh/HwnXsxc9j9ldAo5tnT+nRhqDfHWTgjF5cLwe5KKJgXXR/LU UusCbgmNvAGL4h0RsfedcXCiSc5TIHOxqzIzycbSwZ0qBsW/htNMUA1/kOEx2iLVyxN+MEPccfKS h4guR2FhTg1b7XrsmHSOwIg7bz6g40cBqb0U35hQlTn5hkmnoIctQGfHZOrxnMqukIwldY93lluN bSrZrNUbuKPS7RUOQ/ZXXwM3+7DOjiT4tUId0hz+H0K6F1v4/95SZ5pfpn5Rgjheu74MJUzCSTet U85TMVu0JAGKJYweutqHBeoLvwXG7HDIOEwkQDnqqvUvobiRav0biQwtZWQ2k+qODyKvG8JWTxIu gGFaQ/vFw+naZf8D2atJy7BqUF6EuV14PTpYyW345SEGFo4uTjR8HDj/KjA7Wf9Mt3b8Os9tKPyp WSrgjIvWIMhLA1GCweBX7tqCIKemEXuOrgIJD+xnJg/V5IM+jcVll/UbQgtBFm5vL4fPA4V8J1CU B+po4gm5UfKWQj0pUaXgVbde67Jk8BySX/pgDcUqUAiFJqVNJB5Zqy398hjBeaIGskQSuQVJIfqb sEx85dPbLDhWqn1mgVPf6HzogiVNAsopBGwZ+T/qxWrMQImhJCK94Q0kytK4mIhDQtTVFLuZnHNW cuVBLwRv00iOiB9YxiXUaSbsT5NyQum3C6pAgLMOx38VxFwIBlOjpZARLmJc6f6vr+sJryhD+kDt q0Wr47FYMpH8v4VgM1oHfhcFRhYf/OWeZfTrKk4dqOI8MXeLKesoJlGP33x3Tpj5dNI02UzlG+uA xFydZjbufq1ze7lz6O/2sbzejwipncJ9PgktKp/6XgCPz+vusmZFrIJCsXseL/O3AQ9Pl74czrnA Ea6a1F+02CY6wCoPBowAdsOpiDwgb9xd3IZvDutnPnJGWjiaAstjlMly0UTS8Ar3Hi1YG+HNYxxt Xg1hfKMKjqlmrwG2j0sBQpg/8BPThWNpeWWzKBF4e90motTC9Dz6n7zsH9FFVnkztvdGs6G/2aoF xoIhpbrjpUh8Irf7uFX16q00T9TwSQN9khrXyrCTk5bIQt4UgOxN0dBAi5hk0QIWyMIWJI10oMaN agzIiJNMddf3LBPIwWZIXA1rOI5nc+6+00FAEa4TsRVJUs/nrnxB4VB3oS/UAq7CcYotIC7EZKlg CtORDW+8Yxd+Dr29+R2N2v6LVEPaoJf3IWxXZcghGbIGQIsKnT1/BjjuSBbOPw3+/818i9FXeC7D 10Ud8mJ+IAIgupWO87MaTq65hOInIutB1ZOZCLzkegPGCaREh9Hgk5+tayZodjcfbDp/n4ohdbgu tSLMMazW4izqn/GfVFT2L5jESx7XE1hMP28+8HozKNXXGLCWGBQ0RsoOzv3aE+ZyxU0JsXZJ2jVk QT68y3Eo96wA1zxEt6EMA7K0A2aciGQRUikLIn9XzA2av6MH5CPeLNiT+nETlNxPECObM/ajtmfF Qu/7NlH1E4K4wsdR8xEgJGaWXawkbUYixLkl4EkVexwKSCJwm2AZjQFUtv5fOCSt1F9dnFCFPqeR DUzEXRK40Ui5FAjNnYTOj3HnhBFG8AS+14bk7cylXXCk3c/I/Y/W05bEVCpVjLLNimJEOexL9U+r iDeobTFuPQBxcsBqs/OcxYLKmdIJfbqPsluDXnX8xkb17fRde+2Z1suPAuRUZl7q2XMEkSlofxFF lUJaNFusTMuFJw6AoB0ObgoEWlSsU54IhXV2v4GtuiQrTjESq9DsKnEuqNXmW6l/Qr+BrzeT3cdA SNHr8BMmCM6Bp/IcfhOICtDIeYPFgxUlEB+bDelPuLyuPftCwd/XRuYc8PnFK5pVrZfSUsPu0gri xHP9YUNXM9V78gChLXGp1YXTe2uJYfBiMxx1nrmqzkdqW0KO/j4CrLOrZOee3PwqFTzDqbWHjuvY okwWOxPtXKLuS4ehIbZyTDIGwf3c/GvNv6lrzc4dbBwy9g1wcHHT3ACX/C6VespFje3zTjhADD77 vZFeXKuoksM1jbmVGwMWWa2BLbhJUsuQDJAAMYpgLPC1rwa2vBR8SRq1D3B1rgc5UVsUKXK79SZf Fkv3d9M7gUg8U9dRCybTuQ1QgTEti62XNaQcjqUNeak4ksLiyakLnTt6/o5FKYoyt4DSZ5M7Q8mA 7VXXnQPoQ1EJE7XpIx7LKWwQdCZac0s74vgTJBW+KNxmtxMRYyt2Unyu5svIhnHQ8kvwBTSuETbY PYRj7NHyw15QMdC7FQbUYtpAiMFcMM6K93203DFVuxoLd/lUIkfEkrJQsxsbpsRe4lb3d1oWJdkg NDEYdtQWtlOGyPuck7AnjHV7aLgEB48Zhss/vkxRkSEncKab66hmCrROx8NpT/3fSyFv7phgKsu0 i1jrtAHQH+mI5fcMjU9ZW7YEmeqoH1lRlg3IGmiFKxhDvzw1Gbe68YSc3Eg/BAumT6yINrC5m5rp oI1pm09sc3eSsrOrFUJW6a7yS0FNe0G0PthykcQCzLVBiqMc0XTLo0VOKGJs+XBBnpdrMfXjV/8p Zyvyz3YMYEjkyZY9C2+siuQ82tEy/uIzwa8a2EJRR1yNOe93xJX9U/aw9KA0t+1BdqN7QlFFsEDn epqXDvBJ0a2+A1w430gsYbBlOcD95qC1XfOSVySOniZwdJdMeXg/Y3oKr3BSGuYTaHg2tSASnzQL Mt8n4s3wmF4vWZ+bnXnGvNL0m6LPsVgaHWmUIY+/v6s0uskg6IbeTO3C8QlyJDLRMUXw+YrnK0jK sFqCKWLTykRmYXXqAnHT2Comb8pPRxGWFA6MuIu7WwHJ1yfoUtGLOxdk4V997JNf2nNCoO7VAb9D zoR2Te4pp2ao5RtNCKXOhYq/0CcEP746d1WScISFrNdN1Vo4gg8MrCUkcCqf/EZ9nYKQ2VFWiRIF 4RgCZ2cxCJRlhTsC5jFuWW3sPkdR7hpiyk/zVk/dQWBgqG6xbRU/GbsdhCrXPBRRuOyorBrer1iz A37VZaRzSr1ojEMSfoBTFKKd1SyuHrXkshijgpIkoitiLVH2s/1U4nbP+nnb3Bw8H4T8nav2zeOl 9xqvPJjUq5j43LpUsEuoz9pimwKkmAQHSqPbYbVd5361RsVnxV9uNAHa9zz68+mv0V1Dgk45m1Zz URdSwdU02E1Yey7tEh3Faxc7+d6jEgXJgT7TvJ/Tjyu3AMV6vMuUJctlk8PCXE0dQoGxszi0+nHq WthJTIO+elTBYRMDsHp6SQLGLs8/ZMpmfeCvTrWq6HpZSMB8u7PzXolw8kcwjd9oMJ+HI0cgqM6o yK1x+yYOtl3/wqvlY0JFAHDx01n0nzf+TJFon3rkktvFpCDRmuEMkMxyfIH3SvuThrvk6JLbLuit 6ok5WUbmnhbML0qdLWcfmaUm5GibU7Sa1orxf+vejj68eG6HSJY+9eoXi21udLxVZ5KyCFHEGgVA /gExOyivv5IMoV4NYkjcG93AgePYUGxgtpYsVf+es1wOW0BW/mtR7pgsOuOs8ndkc9xtA9Nlyrzk QE3Vt+u2VKjKYSnjoAEMJigMeL1embYVB4U4+DXHI0GjenDxLhzHKFZF2wMiVvtg3dLyNzbk1OkF L/ko1wsKTcbq5N3IbUZx5kcT0dTwnPlxFLtyKq14+EWdgNEh8Z/4uSBBYyv2L18p9NSW6RZoD70H XIihkfeCW9L37hviUnhHh+8vojAQpsLU/lukBdz4xzDsAuPHS3vcQnqTUmaXcHXRbGqO+yWaO6vt ITLKnm51Qpuw0G/nfJSe2O7Lw9n/IcRAAXrQr6UupEN5KIgJTMKLPKrCVeFALpzRTH2RIb9CayPg LcyDoSpzdrjCLYG2T4e8krpUxtvl8HP7kjgeszYQ2+s2tQbJJtxfCqFMOssWut8F0/sUrFhrPchS Qzf0o9uzio1g550Bx3By5qQv7oxk0stATqoUO1h4C2bwVUXuypXyXqkGww5WwwwM32cHFevAqrri Q7jQgHSBWlECae6lsKgsQFF6fkZzQGM4qr6nVYoFkctnBMhYkTmWuHbY9+3i/Y7Ocj7qafG9M5bU j4kHyHZNKRBE/xDVu0dYNlRmZh3pv3n5WHz60Z3VsM5uaXjJYS4an/u1C8FdndfpvKTP6XXZfrSc 0E/qy5FWD1WnH+g4ZEOBvr4r2hCVh4+iMkJ9uJvpkKo+om5cmQ3rJZPWHpybTm7cFsNpR7LN9Vtp s1D5e0HNFUT2hKseYzo1hTzursHellcqTG6vBXZDGnGe+czxHYJ5ohYx6Mo/89gc2BdPuvy7P0mN nYRTWEFsuY47iS5qv5HMUimPYRwstL1BlO16p8Df9SQoZjrcRb47l59wMK1KWg+2WwZwAhQ3X/XK bwxT3IimwApOzScJi4R2PJUiOmSVSb7udmguBmLHdZBcLIznTd7JxdbHTg5c0iIde9V0XMGckYLn gUahMpA257GKl0BXnP5mFyHuugbg5mwCitp2F9oI8ubum4pk20rFKbADoHN6WTlMCtRzDszRlBpT ZRAK++ToCnhJH2FwaBzJwEoEadlxtEqmYMbelGjFasiAB8GqJ0tvrZnRazLO5hPJHS/y+Gsch1x8 0dRbOZN44dcLrnqgTJ9rpOkznh0quLehv4sic/KDDl10jydCUdBz20ZaHVphyhc9eRhMvJK35ZiX ImXKL5C1WqjN8PcN7SqtmxagwLkFEXajsx/F8Olir2tPiXsdZVx/QqUa9ggmCjqy8C1QSRGm9gUE 5G44LI7NpMAxU9gdKiN81lr9zfPLFxhxEUA8OFZ1HpSHUQQ5YvjoiZ1sFCunXBEYHMIVA2viwuDr wl9lxyir3X5fdQdhVTvATMfjZyhpbYOA7NIiCUVBcaVCBT+4AN4GMgxW2x2tm2syhLxyvTDETV12 3NoKgXn7rHNw2jAI6aR0JoD5bATTrfwd/nroMGEhhPBG9/JVqUCpgd3ogRVF/ZNicYj8VZ7Cn1Wv SEWiYYeT901uuDXvUxncwUyRMFtL8mwwI4VZWPZBzeG/IpW+3vcQhz6b7PG8Pkn2AU/cvel9Z3vZ 1S9bC6s6jRVXBZwIvLKIicWmyddXbi3fIgbpuJ0vm4UQh6r5+rMPE0Ei2kzVpGeCIdbNtUjNeyUD yrdAOg+xbNFz4QnuSd6fiS4/hsbNLl2F0S42qbAj8juRoYTYTNwHcZbqpVPvCMMSvls7pUQDJ9kz ej1gVAnP2U0vHfBNvAUGk9kvzuyS7F+NJfikevFinmRe2/uKRxZrZCvNWcbOfu7wJ4tOuVBHTWrK If1mRNoTprdx/ccHCkIQQxKw+K3tuuIl5tqN72GdPsOLc0B6eHsridVdLDxSBfKgNy66q9P71aFV hKgiAKUxVmqkTOh/sf7XDJs1MQ+0EkGwOT6uaEaK/pZqEEfr2wLqFRtfYtpWTUsKMqLXuQUcz0S/ R6hmpQbCL6+7ZJuYAmf1jtWrHA+IOa6TOwpZUHmAFktbWnij6OTtSsdGZF9p49tArjFNZTZQMvA9 0RindbVY9IEJ+D3fQZYrghzi4FEAXbhTID7AwpjccDxs8iGEWsf60Jq990gucVQnm3zNrUNdSHE+ AFmwEjaT76WyI0OyAbAN39UoBT9fzUmDiuC1ynSkEngf+1zjefFh8OgTdsea/fawaU5IMoJanK/h sMNJrWD925ncbX6+Ex0BGSFr0q5v8MR6mcx+I8nPfC/4ackb6bCBY2sy38La5zVY1wim7jKDTy2y iakDcIR3bDgKHlQrh4h1rLEoB+6qHJy2Blyr693WrB7JfA0lG/ADHv5Mq3ycoSKGF29uZoFikpnH foJqhga4K+cQB5h4zvas2ux+OiRnsT/V45q7O8VbDalrefZXL9YdwX9ARJZUCs4jQ/TZz52hjPKQ 5zJruFPT/3RaPLSzNkDOZBle54tYvpYe6QgSvhs0lLANndNojLVlI912d1DoBgn1EYxalp52PzwF INt6GMLohLAibbK4aljzl6ELbIKzcGggzhssa3Bjyt7HHkMWmplIWpFrvwdxK58bwh0tV/tFAFy3 4Ugh4P3gQko1Gl6+9xKuq7ADfio/FAK0BEPQP221HSIDgdkuomJSQbkw1MTUYcv2al5XgKxkf5c3 17pUjV8BxraTe7fuHC0pk5Vf3ozlZAWwNiW6ct2lwj83lkCT+b2DF/FjS4Jdtkbt/6Y58TQz8cqg b6OqBvGGW12HxzOgVfRQHtUJ5ufeyKxPuIVh+lS1dE52gDuWfo+QheCX2DTshj/lYgRewxprEVVn 6gUKZdbAwuKODADNnDQLgZnWFLnnoRLkJSvaeFbCn2H414O1X2qANKuu6dpKkX8qbUtidA0Vvqrx YySoaTqDEvw17WwmUq/ziHm/aH4oze+BknSGAgIalLjLmuWXX1BvsS+C+QeQpIJjXaG3ZQ/4vGM/ VsbxrjRkKeo0S+2anM9AFZ+tGw+cazzne9Ea/9Lbbp02iyRxFrEtaYQfumxdwAaDb2pwThX+8HWP JjKNzT58qlQ68jjUawJMckG8bAukFMj1YW5hZ2MH5p0G1w07PAZOT0zfhhx3LEnjPjwOyQBghOJu hQJ6+saqvEAe+NXPECfLIzv1PHxOIr7hg0T+9UxXWrxekK/dh9aEq6u3aiaAX5v40a/U4BXtX8h/ +jGOPsrWzuwgVJTd4bj92/xltUMjn3Mu3c7vmd/zylpkpn0K6IVqz7UfJ0bmTTv+Lm8LSqY3ISHO w/k73enrud8NCbbERsaUSwp0QeJcrVoe2SptbcKzcN9Q6PqIHSt0ZC4oVPrzhJR7MaAw2yIMpO4y mfnpDXF2ajScfT564d2KhGW4yqk+gPWGoQlVubFX+pkgf7A/dRKcILQA6zdBxm+dfEktgMIjyZ43 Q484wGBgB6dmyomg2ctYwAkVIGyhmplzzXNIxfhDgMFBKdOrpeHPS2enQoPvaCdsNan3MpFVDMlw fSzKUSiq1Am4nne0Q0jw5Kg7jSf01NWzhhl1hvMt7Q2l0hv6l+pBNIQSwXGPo5DwMKpXZTefckM0 gGF65oau1MqMVgUr9G1EGnDqG8uegFiw9qDsB+iVJl9C87U/eD7cBxXjECPz5q0Q1hh3nh+1zfC1 HA9+66JIbX3+1FmOWUVNrceWGgRKRT8nic9Dz17qX3NNwr4X+UgH86Jh7c+4uvBFH1Rhdk/smge8 301aaV+wtsLnjeRwoAf9H2phumIQo59Fj24i6vuuaZJwEHAhCubTyPo4WrjjHgMJ0HY5FCuUkeJd v/CBOxt/8Dj06fqDyZCSBo/Vo+F7PWrE7fHhTIec2WR/zoSY42cJl3mjFcbWfeA4mu2BQixNnXrd OWDEn3gvExLWdaKH1AZfAO4A4Z2njHFp8yO4ppfivyI5unT4ct8ioMCpp/coqelB3hkye5CC+JAo AF9U260fGDutDPtZ3x/os/TKHQ6VtJtxE1TwUpgJsC5m4fSPdU2z7wGEwvWMQuokZwoVkshXEKJr 8VZTKpzdnXpiGeMJ+u2QnqtOUvpHOuE47bacLqt9dx0+r9OaGWEXZlfSg18Yw/0o5exiGzZELk31 pNiAtkb3F5zzwjxEpVxUeTc43yvbhilKMI79s9S9tPnwmMOs6BRNThED5nu7aF/5+/W8Z+BMI9rj BC58Lmzhxhs4S9KKWY8orGEdMurmiNIJjZaH1aOdJJXDSEkRy3JTZ5OA8AyETmG2jnGYKLMbo2Jt rdlRUEvtdENDxzsYte9t+JQjutFpCgiCsv9IAiVeQBz+MQcYcBa67U0ur3w76nfAPDfvj0MzOAOs IEkVokVf+SyfR8nRRNrtZPGak9vEeGNVO4A3GfIZTY4Oz3zj3Yyg9Ldv8OcMSeUsx8cE0ZUsj/YR yOteYo00zeSrmO29HE5bzx0qjKpJUxYOQwwCb0tFIn+rlx5t66KQvYUGJ3bAOGAyT7cDZYTKzjar wJ+/LjW+HiqZtcKLhGw1Pz8cD0tyojmGthQZgugen9IJrUDPMIMZxMPop0Cjvkb+GlmRenJdBAc7 ZFHja/rgOpEjmXWQOGWcVrNLt3bnS+u2sn/HWIuMBQS8SVUY7pbfXF2syWSJZLzvOASitzoqL23x ZjDxXGvEhTz2/miA12wkE3iAWquEL+2bg7HmolxkpDSuyBtrjVAkAlNiNn54e6ZocvrQB7eskJFt DdYZGiLMUevFx916Jc7WhLF8ZZ6L3lUvosD2WsdWAlQbimBKybQZEBfgdvAgsOhJD95k23fEGZm7 ocSkVbT2gOh9njkHGHtDwELt18qPFOLlgtivUlr5mihQXa8x1fhjomanNHtTLf3DX2fExtBrOT6y HTcox7GIxfMrAs5Xn0c7d+zvyG6q8yhk4d6InhwuxregER0JV7gHn6nVOk9T+EJeJRE5JzZ78Jg6 VESdC3og9oCv5WFAOk+EKkZNwdUjax9a35RuoBwTX4WKIcY0gnPi/+bBC4zDidUjyQJB0Pt1PSMh lb3wZ244mgWefSiXvJZWpiLLgflQd7KuzrW2xjr/nSpl4wElwwm3IcCiEW4zpwjOPHl2R81DhprA fFMvZ5Bwl33XIGEe0d/FOzaS22G/QqQzgzug3i52qwXW1VZd5PZg3aTW/w1KmV8zWdMVgW4AbZxU XwIOrE2zjuiF8DqD0DS7da9EtaHf2CqH87BwvVWNezE1dxMiOHeCM2/QQ/8GrlUlcJImGpGrW40c k5Pb+k67Q++qCnkj2ZsbRNrJiQMRI50A5ZC+T9mwxuzUnFjMm/GAgbn7KZe7HgrDQR5qxlWxcny2 kebILIcy19jgtaF29VL5Jm0wdYiBHLdCuwEcxRYxPo1cXnTtcscyhGVrCynm24HZ1chTFz1vLwb4 lB0EPVHRU337Fr+Yp0WBLx22PxzLgTJIee8TDvSCBeysq2DUbM2XFVsa/pJO1VEqGc2ybqaj79KM eI0xTF19Osqd55XBLKQc9UOfkjEahPgr50gGvgAXwC0O0itk47JNYIpl0Sjnd9QIYJUSlYMOgql2 TX+EiPIiM+GKtcV58UOoV+HvvtciUIG0Es5Yh8f+X9hAJLbOlLxme/rRgiUo1ZuMS0lfR1R7x3gm QCS2W467nOMSqXtrqQCQzxRwoh18o1MBcHfJeX3B0GAaFmOnEfY7h9UOLE3lRQ6ABC7FSVoKtX// wmJnVnBIn+COU8khmMeesiplURSaFSiYsvyDUJ/QajWwu8lK3D7+kHqJ/DbvH/jeUS5p1R1DWwO/ fvHyyYYEKnd1wOAxkUMJu4oN/p7sRSOyBhAntqGEbUuzFvymHV+CHTGaCllbnzq4WOBeh9jLBLGz sEYDLgXoQ/T2hPl2Rp1W3TKdQr5LptTMCdQ+OhaR0yoB1k5TWrqxFMY2Xz9sLS9kf3hy71AtXBA+ hnYQBhhk3oRvKnyf7kowRqrSUItkFacz6cpA4i1DXbtLNPf9k1tvSh+hIto83pvA35s1LXji7UBr EJjGMj8KhSIQVEgOpVS96IOzaFDlqZg2E7QldYhqX5BN53r74pvxl3H6TNh6Ee6Isp/SdX4fdXNV 64npYZrAXrKl2LctilansIFaaVuSMsTOW3bFT7LSQbjKtYpG3hATHNPzq3dr6t+vrtGfZ85yHiRL aENjUQWQdt2hqiJs9TlUB8p6sMF0lsq9F9r2NZ+zbVztV0rKSsuAPgkTaQFT4G+FrbuMgklLfxvL 3an40+3bhkFjpJv3lN7U1ImhZx92Rmx+DEdR/VXcdluMkosim+ZprDNXHJxtAR0VPDyKqDxluZgL R0qlcFe0FcbIpCMYG8+prbC/DoFuozIUhfiIguv2mDa7afHMhzFq4w236HdmYekcXGWZzz1FF0T2 N0H4U0TFU89uCmwc4QcxPyfA1SNCcmWUNe3qGqTjZiq13bzuZlFGWEegYQVGnwPKVxrLXuDH3sqx f8s4XPwgDETikSCM5KUa/9c1nttQOotAyIYpRwOClSIJMtFTE0DtXbwBM4F+v5sUNaiUDfGt+For HB18Li/NQiPMTd/YpVtDyxsBYCReuoXG5m4Ks0ZpshCQ5bV0C2kOFAs+dTU9XGGqxBDGLMr1bYpF T/QmX3+PuE4rU4ByXFIRaECO7kSlDb9F0Iv9iqvxGoIBBwGWJrRGQUuOrdYTKL0TyGbwIpJdRoAK s+pY8k9DQLY7Vu+eN+HYpMDerhZXV+nr4H63uwuAxTIIAota5lFc+VcGa60+0mXqSr2tzyDOk0cw umkYSyFVunT2iQ1FhirQJ/I/Rgd1gJC/vUZFbcWxwvFdXPB2gQ3XLB+OtZUOZAwZyAcWCmjLzjU0 x5a+zDD9lz5tKazTTVk2vBpZRKfyV17njOGuWL4HNbY+t2DcU01hWHlf9o1R8kR89aPZEEEW9dlu HdCVfIQmk9ZiR9sdu7rFJm+ARgHwYqRR8DyHpqMah6oEVZyOVuf2v2Se7ws1wOE9oTFmNdhBdTep n9mAfA1zI4GXRxhgHtGe7/Yl+uQZTBKVk1vbP5hTTiEvGTijO/BONXvrGfm5f/QfKqmrcCfOrJ2u uJcFHZDPR6mLxDI3GttmfoJiKeDxeVm1lgZHsazAlGLq+hM1QuiUtsGysm8yFaFudHEri7lYv8IS XTFQjGc0GogJBYt4JBKojsZMJf2w4wSX1xP40MkFoclxoGBl3xCNxrVu9PTKwUyeJrxVMbIZy02V D/10NhlBtHG2jnMO8adMxoY8ailBcifxLo/GYPvlpQQt4DTw0S5qcl1PGf5Tr5j5t49Iw3/h3YmL zxWntxm2LEgiZvjdhFKnRRJ6r0FZ4nrAs+2vQxJQDZLUTjlgESMU0+CNtUXhv1rI1xwvQMzzG6iH itzFfKCcziFHSFiKvha/vRseIDHQVabcelABiT08E0Ae9cEL8rKONfjyogAEmzAiXFclSCLIKYjF O5WdHamgK+WyyYdfM2lTjdJZUR/xaLE88HNDsqvlhwu4ng670yahsp3S46+2VUH5HEY8rKBN0CK1 N7GJ9t898NabfunQSBmRiIgh3KaCoImmg8poZpAerfWwP4U8RsVsLCXNLQTmrSE0n8Qn9r9ib8JU npEIXClnO80H+wAwGQBMuEAQ2Ikz8txhgY6QP65RCObWHPnDRUOZ1hnZ1Qq1UKPfwmOmuHApWITK yGXqMkhMdhpE1T5ezSUsosueVC4OTjZQr7kYTtqBx0s5KFKqMzQUikpvt0CGBBnEXdcv8Gsm0nVF SYQvOzWT0Y8NciVQvy7tiyegsGfIgzKP+VtGK1l+Tk8Fw8i3+lL/9rvGNnxRZnHCpe1JKOoyHhRF IxccNFNmQ4NGtbENqM5CtUX8FmeNbk6KpVReTAp7wOrh5cVar+7MKVCx17f6Yl4eF5Ynh2U7BMS+ iansiOfHxGeNJvxTExNu0a4ORpCxJCzxW86TQLH7JQnfSd8nsg9aF1/tsseny1A32x3LDuwRVqmJ 25m2HnLGz0MM2qZEhAcUQdpRJ3wxkJRZa1SGb++OcBZq1jaoUu9bXnbBSxqFYx525cNKds4bgXM6 FDBElB3jZRTpPugGTmwUFk7pv885rIavJrDamU3kgk+hN0Jejfnl0m7nnWNQC69ROxWYqfIjjLxI NbKHIS8tgO9RuX605sMjW8M0fQ42e6M9f3KIwX2uRj8Qpdu1Z9SN8TCCb4VI8Q7E7GlilMYaPqna Pxoug0ywtSTZsNR84O8H24ZGWgrduWROsq/1OAPAh8KFmBvYFzuQiZHRIqOUgRkTzPyPTgk319BO VV9fLuzXmguogtnJTW6jn79Mzi/40A8IXdQKyjoHlH+0nr5NSbO9Z6n7djv0KNd7ccjAWXnq64Gf RklXTJp8GUCCvoZJBOBjCsih1cr35Gi8zZDIArY6fcuYbuFdLkuY3Gvzp/TTI198HX7VpwDI+WvX DL82t8lH+E988AyoZiVvzQ5FIKuI1R5ntTLPi4+M14sQQW1fX/kDDlvOiXybKkMdhZRF0hjkdmUU FyGTekxnMisIv7lket+i2nHH4yG8jXNuk3RCZV+3WcYVxce0ImFKdAnlEnQIYE3iQPkw3w/UeW+R JCrYMNCMpftFHxllgIAurCOyx9JNkXndfF/hJZm9CjD2GJLoZZZplvclBZgkYpbAQOtqYgW2yjsG cezrd6083qtnEWbMBvL/rOipS51z6u4esTHqbC/P8KpzLZrfqTOHaldkzwPH7WK5F2gQiTajM8Fr HTgvIF8ThCB33+t4J6lD/FXEUrunHLEVa2hSlTia6bDtAM2dwU0IazCywILlBZEvTQFOeTm9kd+/ piPAgG8QAAIVQSb3tJennOsNpTIsO6tvW1VhkfytKwFsePVZU/dkiFR8MPcjm14hGeM//zfJbyxI RTOSZoLx30/jPY74o/8xt1CQAEUa6OfTGBYADUAYvN281ZRZ3MXh29HVR2LOAPtvGd4Z1wf9FOpv m+pm3xWWfh2shbF7eL1feY0eTwhJmKp2qpuIVQ9R1izumRcEEizlLrPk+mesZfZDpmA9Va39sD65 Sp5S81qENg1e1Tpl5WY3TcqEnPmslAwXpogk+MiW1rO8K4pKB3IpS8u0gWXAjwEBr673zzIgWeeU Iba3Ecc0uk1rACfYcDGQgcaU9HQqlOYhAOeWFgA64vh+00rTuVlEenh+hse5yzragzubw+r14vKV ZiqlkA4ozh+64oLNxB1PB5/XvrclmiDathJRH2EX/urcW/4XIBvW72YIQohuLW7jitjVpBt4R3kN 3nysQYG3A/j7do8iOJAQX6m1h4EGRl96FPTwlck/m/iIRWLwKnVYO1L1g+5j2VJo1Qp5KLaH6OAl KSan9Qzw15X6CjZFYRaHA46VTyFxwfHe3wRlxbvjiI7Iq+H67AnNqh7PAsDOC5opWHL69jWSAyXp ufwIuO7YoTCHuZTHV2iif6xRgw3E/6EZilGThAzQ8kZ2cm9FPYT/tRusI7cghQD3yMskyTfVT4g1 ttTXoSxVIOqvNIBhTwReK0/J/hButm47VeD+5baRn8pbk1QEt7+s5z8iYxznmLv5glHw2TnQLKdw U3p8mjmG3toG95ZjEWf/K2ISIpuAbrlv2S1YET/+HzMbr6Mly7t/pl9EsyWSSZiSRWcASMv/LW69 jO0k29ksPcTgDhEol14iFmZhUvFOUm/QoN9FymCHGQyrhuQDnmBA/RM7jn9tDHPuqPyss74UWM8e tAJEJ1kVlEY7I47kRDaMCSIDPtR/qV7d6TttgWDI5ah+mVyi2jJonkYrVaswlc/QVgambiglZBWm KxJ6CQpspsKd69ceGWzdexS+rZMEKOYSIZlEwUnGVETiLwMc4V8TLXipBElNYLdfmTA1poBP43Hw ZQGpHYQm4+Z/vvshNXFtdljs7wSInnfxxkaas9YRZ+j8xgbwYU9E7mIilYFwAfyCNEuMWrEiSEDe 7ydYUcTGNNS5dUx0krwdk4/ztdhTaWXxrftYkOdrwDmlLzCnBwL7aCGgJRr9xsohPB2E4Yc76zMr IZzdw+M3l2PUY603Yq6aue3zABJ3s/ILlqr7nMGWEgsrd/pclfyrzHZKfZCb9ptwPh/AcBQmHSKv 8I1eeBRnYKkEYSyDM9dmDLX/oAzgVDZjcvy0IUsyJXRYJvZDb1Fq6GedLgz3tD3qPbr5Vxmbchi4 4S5zhPAXbG1DbwSXN8CyYzCvTVqQhADsu0OwTYKz8WYO2rDaBEQjhLcgYTLiIA2s5ZABlCburJIl BXUpbwrr1F0AB0Qf/IK9sLjqXccL45h0xc7HjBgCtZySbiFCsJE3sGU8mYCjhu/ByOkGjXr5e3qh bdR1MpXxNuzQagLasq03KeZ9d4zbtfkMe5SqIfTh7QLcMfemfk3GTC2bY0u3VmEKS90T6UHwcSPf ykK9WpBsMogu0dmzqC2NEzxxKwuoozuJSlbfW7EnM8+FjZMJJEDrpWC74c7CqQ4JP8bikjYGp+Gt DZ6PNdDQ0FJH217EVNkSR+8H1cCVNCCNudgbEaMQDH1SW4pGQ95E/TruHzfLtrprFIPmR8GDTans QuGjP/a1wsvyCgSvuIb44O3TnmNz1z/LW6LWU8t3F9QEYs47CsKKZhOrgwR5ItcVBTg20DntUKLe W81VLkMxveUdxL7fo+7GHVYh1zVO3Iz/iUamR1AeEunvKAO5LKmjXkPSOWqZWZhCXLVPnnniozU7 sqVWn3dj7PEyzMb2TiREuI3lhGcxoV30XAyQ2165Bssg2NWKp5CoCRUThotO13sUuqIjdp8d2Hah CpjDloGywn5dDA9Odrv81RQ3++F0ddcStEqajgC3UxsvZlZu5nsaex2OfjTqWm5Ps+BMtchbwf4I IHrakEhEJZckwJAybyrFOq64kn2IuWnWli00noufPDtyHsU3zqZ0NJdNAPih1eKRfespv0OMyCMA 8yR38b++XaPcReR0Tb+QfSLXiCT7/h0nuVbGvxA3Al6vrwc0y9/waR4RxEMNpWt7W6asTRRsD1Gn cfb5Ox/1Ds7vN9ITQeK0vIw6YpDytaWzNnb7KXVUyhxxN8S7F2cfL9Su72L0GtJ6c3SNFctBRpgW 9saT/IV9syTiCE774izwDp9YCpWpCVY33aSCjzXHJVXXSKnyuppgKEP01i31jBaJj2IIrDNUPKxH apIoclUN8mCPDYO9JpPSb5n4kVQ4IoxY7icm4d1FJxqIBT7VYwOrIYQegJDRTeshBeY7se0pSPC+ 0uveCRDDKcXAIDwIXeNvLqykrG8kSMP6rDgsNKgJk9nMfBQha3C2BO3wMnx0c3iYDCFj18I6/2sP 6PSuxOgfG8rRVIheG/dYLuoXaHvridYXMB5AHGC98Ay1E+noLNSKESfpcvHh6hAJ/jCpTiHd2Uvd t19cPOrUbuM+GJZo2o5gZssXvrHN4n56S8hbVKvTy/aSglV1U9mWuwbL6pQZ345+k/m/ecM/sxzn kkUR5vdDl0zV0GyjcrTY5OXG9K7VhrVJDTGlq7G7mWj0QIcuG6Kh7a3hd09rnCqy7ikcqaN1F5n/ OmmwbAoHuq20sY+RYrWXCOxsI7Jjsb5YkD1n6gYIJ6owzTd4O3IUQiDzeORwelxpaE2a/ua8ap+D YJU7vuydC8aqvA7b8hgUYXcpKLMJhxR4USRrdlp1VMJeCyN/zMdALwgqBP3ZEDjI7RBJN30E/HBp 5rBe26SB68iDZUFD/TVOX2b8n19hja7J9Tysjs6juPkZ/xRZ4xes97HBnWUDAJH8Xq0jPZjILDNO ZCFA/yelmT5qrrF888oKt3+qY5hJoiLoydbfhq4bS/rblYeSg7s94GHpVCd9bVl3wJjLELHNMaJK mrEq8BnV/olCCGSurGmO9GFtaDrhpEk5+gsr5ZDQbDS8HHpPVdEhvD+sJdOIQjNeIPjr4E+BCJHk NSCmD1ie80zwqDfiNK48G6jCfaO3wxIGHCsXFSF8KUuXeaCVEGOsVDOYnGZFkTkF3sph89FZav+Z vMqm76CAF09+ul9DzJhJDsIG6u50EKF0vab3KXAfbXBS5dkVGAReuoUFU7/RF7Wdk/y4aGd6Ddtm BG29hE2yOejmhQtsJZdF5cgoIlfaZr9GKTQvZjpEFZzp4hMQLN2oGV7o2hikjVr8GB5UVDIm3aXs JQGprZ6nkgTL3SnYny4rnDE7P3j8B1AZ+Vgm6MWqUmToHIqGjpxRCiZs/nsVdBnwhjt9/yhb2eY2 o20991wkN58tTKxiqFRJEdR3frc2aCtPFgPWeoLN3xnwmVC04c1cm3DLhM98Y/8XPmivCZfwtiHp qnt0ZSkpqZQlnn8Fiy/84iBXcWumo2gH4Ao1o22DU43UiQwPWsd14yHmIGYZzRwpy1I+Gek3Kfad al8E1jkory1qrnd30G+UeNQ95H6AYMmVaqc+jrYfyoLedXovM89yWRfTLKZYXdjC71qXVj/YcwBg 9d9vubhcIJRR3GQ7usPWECu5h5TS+LKFON9wh1geUbsL54ZYEdR/gWkY1ZzjMTULXa5iLlrsD4BR jYWBQM93lDTcsZ1gGpOSRgLVqRSFcss0Vb1JRvuyfPBFsINBliR/YnnXmUfDAEj+5/PJH6iE9vVl WFK2vjb7Ioz6fqEfREsau1Vhu2IX5JpnufQqulBs50Tc2kfKw0um/lYoNbYA+w14s26uKVH4jsH6 mL4l7oy0Pq1CM7dtS7ig55dLyN+IwAdF87KmKQdDEl1DW9H6tRHr7K1xbLRY3ddbnN/GII1SV/g2 xNvvQ3QzSUACmjFD73++4MDrG9uFota9FBwQMs3STUQZOywRKyoIJmR8xC6EwFGJ90T0rCXutK1Z XRjOPjWbJFr6qd4wxMs9yx1fnFR3DxVooQK4VjdK6orSiTJpxzhQnwxDHOe6Xq2p3eFiy89pTt0I nB1SdQtQJYQKcCqV3n25M4aVqTllv+ryu7XZAlSxw6m4FYT1TTfTxOgowqwIze8W0/tThB8WAmhH mD4fj3dAV2vfyL+c5O/Wr40L8Em9+Xw76WzDMTBag6twv5wdm0BR2m/KiZDBOnDChDcF9LiQYobG etkPB2AZpFWTD9gJmiayQoa5KapamNQD+x+qA8R4jKWiIM0we0IlstzjcmpTjLmDQe1yEu8qOCr9 Xb38WeNKwX236M0d/XOdbYpXHNxsKiI+yOV3sAj36m1Hw0vA2p9Q5ElAMdXVAESvpTwTJ8q9/z0Y Yk/fElaL3FZkYVJuWJCB/UHZeINcACJeyAn8+LxLhIbbP4O+qAoQuOSp9MycJZZrl3sbF6AGqEUy DQX6WhpPHOYo7gnX13O7vPb7IiEG8Q84xZpXBg6WueEkN0SPAoCzVrkB1Mv/ehNJ/GqwguZWqTiR g985x+j8g3ea/EMKG+6gZFSFG5njnJXyzL8lbZbKpV3H+Ne8YgfYf4C6+1/s85Hjno90blvtpC2d q9K4Aa3RB0Cr/G8gfSvY1wdpk9G43oiAM3fMWwqqCBlnkUf4n4gnyA07NAIgYOdwrSVGbE/G5iS5 wL9JehISIqI1YfuQbepFm8ucyKVapW2VhucsJpJNUBmTQHB9WdaXMBModJvmz1oO252rvREPP0ls eeOmi/SG5l6EhvV0a1juZhBP6iYPhlbRHW/6fP2YMBtT1Z8/bBdv8lFzgqGbKb+UWwHoWfUvX3hv ukymSVxvE69co979yE+LRpDOLEczkMOrCJRjDXA6wq93rb+j7muwHI70Q4O6gN5GLXXfxVpspJDp cK7Q05pJuY8bXV61r9Rk520XRDaZeyJE0sXpxDeFeOb+EHZxmjP3L/XS+I7znwxOgh2cDPauW0Wd U7d/TThfomcDRZlCeWs8j7+yN6GJY5aECrkXmXwUSkhjw110delaE9wgVhIoOlAwd3jMEfNiwkF+ s84/X5ZQk/4se1a5h45EoeHfX17YIOoBNEDO4hmbmxOa+uj/oINmn88cpcdcdUOTnwjPeZQvjLcn GdWhS3fbHFbyngJKy4UT5b8gmGD1KxHfV2WhTXh7luZvxDhjTZCnRL6fkW9tpG348WcxUArLwodh 0nUocstmlSdMWZs7n9TnzfiwH9yfKuaM2v8KqPuCA08att7qLBLRsnkg0wN5SNEY/JyOZR4Iqmfm 1cfrAQVRH9pgKpoOvIaqItp5CfDmgCYwo1WyJ4Ize+NR7oA/Iw/v3zSS/B95EsDekna8b1CmRFDf x4uFh3pr9q3gpfqEBzgjXQdOeyl2crw5/rLIEL/L4SWHLwMgvFDMI5Q8Aq4BxAUGpFvIC21YgYbI Tt6iiCUmgg0tp1/5BJP0pgGAdsfhebcfdaAgLT8rhA+eOajSVqWIl742n3viWiLBeu/935uvZLUr OU8Qa/LvAtqZCl9gHJf6v7fHyRyWXpfbQHderE6vnQBzwUcHIPyKLe948oojkUM1y+vJrfqOBEZ5 5OSbaV2BvDqjtCTme5pR58CbP1aE2Vkgl2P31p/Ba8m37JsSP6z+BIFQUzxEoCux2CA5SkhvebST UCUNt8TsOmxw24WLSyurY9HsrJUYrSaoP4+8rPlX6B81X6Ms1KHAupg94HJBbOGYJJW5r7Mz4DHz 4d/gDRyMmOPIbTKPrht+HNWhOixQKCBLCTsXR82qolmfsb/Q0+APNATOEYpg3O6/raGC2N8c8kIH HgS4+vINIwO2ASYxDkYw5v9htosRSRRCR/mXvoQOQvr/q6mbiTo2TlZBKpTyof5dYQSH1jPye3wv yfd20JxlVjVDIh1qbXrGy9nlM3D8d2JtjSdfGwb9lz2AWFJ8pMb9Qd3H/eEjcObLOQAreuU25aFM 0RsWMoeu/5T2GmhtKj1tpT9cM8UnKOugpNxOz8HQ3UOFZwDU5Y46W2hA6YUwX774zBJTKlKGRuTs xWKyL86V/99tlx4fSi9EqLzTI5wtcbqzwyw6SuEp6DWLXyd3wk+BSzxtcLJrZ6Rdd0RdiU9AIs/L 0HogXE5lB+Q6FYOtTTfXhoY6T4Mgeggwtpq7OP9PWtwY6LE1d9Zue9w/BhvTKtR2Kt8Mi6BGCLmM T3P1Db/BVgD7DHOxUUmaL06Zw7pHBtPl/nBffB/v2TBB77QswNLtxQ+VRIZTf4QUhNMtFmDp6X0h r13lM/p7oFIstciHUzlGI2Zel5Ae0gU/JUbLylN43Zzulaa3HEUj8HOxPuuKluqAPLVVZr9EXF/a /x1pVJLG9LWo32DSw4YYVNwRmbeX0w7QvPrWp4l910hSYzMT8J+oHUxoJ0LzwfCLa9DjaIx8WmDR uQUtfOU0khPAdMGxqixUEwO1rnofeIAmmxr7DqN0kIiaCdVeKCD/No3s9PDRhvVdQFpNqlrztd+2 0mp6mL+CPjffy1//aaun+MqCysBXR9n9seg+Vn0DeRqwAtWvuEm2h6GcE7m1uPx7LBFxDO59owQK qnLHCh0/FK9mmBpy2wkIyFWi+y998ZCZxHp76TRDOf5Ypk/PPAMLTu+KYml+aooPFVRzPkr5dwoG qHaWG+q/ShuMEI7tevlA5i3/qoKKLXYLYocIIPjPOhYkVLCSni3DhRbiOJE+ptfd104RWC5RLz0R +fB/TsHrSk+mxXe1Zw3K+e+7ilDQTpSl2KKFgWTqBnn2DddRd1tyF0CrfnJpxU4amZUlC6alAddl EWtrNKlBleQi7NyG4BDYSZ0RPTzGVIxNTAnXK9RJAGtqAhstRPH2WK5uQa2TeSOhYQksCKAqYKal Q1I+SuYY9kvWIRcPMooNyAtjYovnKoFn+bE4/nmFHVUdbzvHsXXeCn9nI6Ma15/rS1mQA/57QQkf H5QV6vfouozyDawnKuVKObmtgOsXp5hUgN3ibot1GYU3jYGf1WlykbjLPh7pVghAHVxxJJPlPqzJ 8VlQs5+AczZTPFyeJgFDQ4ALhdrR2+uGN5339HAcjFeR/MkOJrEFE3for7U3Pw93ecPniJZzdj0+ fbDkugtGiJyKT2ZD4oFeyVs7TlmlS9f5yBqJTaSr79k+k+S8M6unfl32RxaHZqGnPY24w7pzXfg9 frfMqb0bLSS39gP3xp4ZJBSKEVKEUCJ3V2x5GxRs0gv5bcGALVFPzaHRcEfRsH995ltlH60CAwTN aGIHgW5hNKW/OeRL9gcz1ZlD9wUAHWhJntqEwZqdSH1LO1WOxkKLwAn+ZS/dJSIiI+qk0dGkJmnq nrHQ4o3g54baMFzOCnZw5f7UMH0ButcUiyB5CrIhRWEXJMJSFOcRjN0KOJ2W99eGiJf/drurWU1e nBYgvM0DmXrJRh0+dD0F+mSj4v9Cg2vhUjS2Vd7wqfEC2qVvWQsc52PjPd0TdMxtUXLybkXB0NHD 3fyMPbzK+bYlZfoQf06/noIZmiU4wuQYWI8K9V7Eo3/vqXHWFvMeKtUtKH/AS65kM2DWhwJkvo4W WJxjwlAZ95HLLco3ss7oASMtbuisTvZpP5IaoInyrTPYjfhr9K+0SHR9BclbGTwN0uO2iWC3mqOV MaFz9mjv+WclyNBnKMELoVeoIQZhsORlVzzYgHqcldBm49rezMbYQQPIV08k4pKXyKztOvFpqJHA Y3zLAHbOW5sdx9nKa9rnq+rgg3mQ8LBlG3o7iJzsOwcSUbv/xUO3YoQxzecqkPJmnQgaxuROjbHG L8I3QXZryA+e0jaNRVsxAbDDwtw9g6byBlvaE5/HUs9GlzYhTm+g9DVB0hfg1JuD3GfATqx/ahFF Pu+KTgWoBZB28jII0K7AwhAnm0ay2M8+C37wV4K915kIoVJ43qZytmeDQz24eU2XwnkaQhPv1uwF 6e5ZEniOi0qFjibTsC3ehHIiZTqxKe/nSr5N+BQUOHcxPUY4NCSA1zGqbjFUGGi/iHagN7VMKGj2 G/kr7xHDiuQukIGwVicwJyg0Zc/js86OwhTdndvvcGUPOX3PwsPaCej21gzyjhJyXrgCm+Zwac5L ZUHoS2LC0H5VOq3fOtfxw7pzfXT01mo/M+cVib+YktrSwsHqHZtmH+ILH5nzp+fcByyaH0V21mgj sgRdfmHbXsFIwNjoPqa3R/8oI9eoMLlDr6Hng2DNq1YBXUnQOehpw8VCAwc4StJk31t+6G35FIgb rgdO0Jfkjm4eQy+Xfcy5K3eBW3FFUDQtPBPxoWFDNdpZ5NP7MDE2rSCGNM7st8ACr/g/ef2MwKlc lbGxUcFLudunXuX/xZzqqbFqkC2owr6TZ7FIZcWLIZlLThYkhaYA7jmb12AEbUFljelu9VsNt0IT SDtHgjGVOQTR8Uv7np5RC5j/j8RzPgOlfoZBsrapYkGaqmSuLBGn1FQUdZAQVIE9UK8D24Y8jgDl c40FesRn7PgGUslFOsCeM1xa9aPLYgtNYNRl+737t349aP86q5fgNxT3cJUV7tt3zEHNh+CiASLk 5+hpxceSg+gul+3hcT60RbEFkyI5OsZVGlp9elfmvmn7Ro78ycBhJsEPH3PUbwLl2TBb4qk10zde i+wg8WFhd9fIed884fN8uFVhlwyJ964LMSkyKZdWSSC1QT/v5h/AN9/6LCih7r2+OCcPyonLEq/y 5UKOUkP35sRei3DjkygTxon5zYOgpbYNtztNQXWyoMwdbZZZwelObe17VWA92wolNMUcTO3t830s e4cG5oKPGRwpE0rJRbip0IJV274uuqTSunmsfyvhOzOALCU3k8OToIS0y12ttMmErGw0WdeU8iXS nQ4YJOX/2olVBrerdAl3o6Z5MwKW2xzg1Nq+j+4o7Ij5NMAeVjjGFw5LPvgpqbN4VLgpiGgId4Tu 2zBVV0MinGou7bVxeVewqVaQjgY1PS9/27h4UE6JHrpNxFS5cdm2v01aRaymdJFbGHoiFP9XqtMw P0HIGaZtS2WW6oZR8KBuLGmDrP9MGSKdx0nXDLCMUsxniB53ciL+IH3/FYV80/bv76QdthpsQHCw qtkDd7js5Zt9p24wI9TQjUKc1CnNLy7lYVwTR8dyVDqUxjlNG6ipbkZvebDG9KopO4fN0tKxPflF VAucDCUnhxzbhRArs5zRhHPjtwx4sJy4lwmn2IadacNwwve443rQKFovtqSORfpiN3aW4AxC7/0U eMc0xbsNptwrOCQ3YUunCD22Tfs5+10nOEjK4G7rNNqO6lPyZuotgbLgkG2KC+59l7PzHj2gcJ1p sdHyEaqI3pf+FkaSGafdOqq3ckCVuwq5CSRg7PT1sxAcvZrQaXvDggDLsVD8AtzeU6cKB7NeXRKB ZzbEVyXMsCxaK1edcmx9/KpkMXA5JM5/dr0FTtgtgBruPg2tl+PjuodMOzP3X2uBp7N5oGAxEgOb WeTsij5NG2jbYGERnQpBZdmilpsU+hkwr+7+ENB5lDPlpz8v7hFIGOwGFrHlMrHvatggg56CMVqV VCMC4kbFyeGtfiqOyJtmAZu3DOq9jGftGgGBIu7VTt7AaD0wPyp6hBnsqrljdWuCtM/97uSKBt8a 0ZOa5ynftexXgGb9JsJJyF5w2XjwWu27NzrZQPLSajAXARZiOYT8n8yZUQ4tu+Z7phbsUQlayufy Mq9/YhLbjhVnQCpqlbqIuwyCWmhd3kFGNvqeseCnYuTb0DpB8yQ1MFT8ZV7yeOwwBJDdhkVxsHNA xHZN4dy2kiKQ8GlYMW+vpzRTaFfhBfD9dM7kGrmX/4InkFSFPZYsG7AIW4VJtH7/NHOxvfE3/aWt K5DVSd4s+V8nh4r4tNL/8gX1nwPOhPordwHAdAVHObCv59lPYw0CK4rtf15TTgB/Xpe229thbPKZ BeztREICYf8fDZjaMsifDVkKsz0zokaVJ1XQAPaFSPQX1MbaJj5unejjRLdWhUXI/u5ApWI/XGqQ i5+Tja0R1Xus6P2N+y+ahS7S217YNZnb5Ef78LvUjb6W91A41eYp1dody3l2cHkXknslsrpMa7on B55PHKJYs0iF8K05Qvaeo14YQAmQ+7oNtp/Y2pAhV5loXxhuVR+UIgcTIsNjsSyylRHI7obOe22X d7kqVvZRV5Leq6UDUPmsSvSRt7fMWPOZ1pDY/SLzJSvs79qFgrV+RRKLlWmd6EynlkoZgiS8UyFy IVxkzW10ajKjLGGwjaWYAFf99aOV9R+SwAmtxkos/u95fQm90fcsnWaouyesc+/rq1lLL3T6gGKF Vxmd2FZQ7UnkkQ+1In3Ddkbn9oxvIJm7jvg4nnRIn6Qhv8K8Va794k0aNyQm3O3fYmQzo8d9qbeF OhcSZCdGmQHFiwMfgvPrYwhFsJHMYq8sGMzSFmneDbIvVNhfajJWnjUciaSeoW0J34bxv7sZlFw4 0zt/edQP570Dd6ekAV3Cczit6j/MUBP4jk4qOqBKWcdty++/bi03dPY4cFm8qpA1LNK04wHuONch G4OVui9ugN7YB+brcjwXmfOCMIOpOf4h7ZjGgQImMTlHk3hRVFCKB1Kpu7Uv5j1uee9RaKaZWakT nDdux6rZSA5wMVun99FTW3PDSMkrB36DX4meHpB0UaqbYQ2oyZGj01tevgC3esL94Kqx67R6gDpa P9AYTXpH7i1UVJhODyiWj+Ldx1ZTflQ8kA82treWTAwbQYwVh7eSHyxVj7xsSyIcJMJDLNj8r3gc 2pZqV6n52AXj+VPcaxclwxoGRwvMlvPSOD23UsyscvLOcO8oMsTMUsrg5fLOA1U6+K5wOxRdFpUz unrnPH1MD7lNSijkB7+RGwVGI/PjT1T3XnF4kkXgaYpBlrC5zK/JvMet6EaWdS6Y9u1hFemc2Jux cSnDuZD+RuhpyfdL1x5Vl82eES3yjEFC3aLcmOS7Dfrs3MXGaHvkcD6Qt++5xtZHbfaWhIrDp0Ln Wb/WvyuWAoXp8nLIXgUC1UpCKFX6cOZPADUu8Ptj7X8+BnTdWfhdnNYT0yAGRXGV9DpihRejRLxs ABcsniiABqOhri7BzssA+bJwotJgVh2hlJdycWsvRdE5tzN0GNO4sTv9Y+1FO/YPacnsMxgXRvAP KXC4l1fmoypHqfQbCdiS40GDyACp0IrNln/AiWqL5bR34EG++t9wQNo4EKghBfL5EpSWiM016Bx2 8IyP7aGqB+9Bm+VswgIhCezZIJBKXTQ2iEBe1nl0sn5nJ6nhsoPKfGYNs4vshMokDEGJ2CSGvS9l QK/9XSOAvoNXypMDwEB21h7xpPKAxAaQgHmxZttlJBrFhaMMN/J3RTJHw0oGTxQukY+T+ZtSryF7 bKDAt00COSxc6VxVx6KPVVzExYPiw1aPNmLvfOkqQ/BjwyzNj2Y3c7afGLxPaS92bJacmkEJv4Ar Qd9ENVYGZDEk3DzhMG/BSlUG09OJ7Uy5SWJmws6T+DWTbcdo9Lkgqvp24/yzHQ/rGltvB7Aj9UGE qbbzrR7QfFXG4DhdJ1swBvOY7I/cUg1uwvXa3uERXd3H6nCrd9FBi4oeu+PVOwMQYcWRy+ycox5O BDmXTjSAoZ9rj1uWhJZzGULTUDRMX2dC4oML/fFL+sCYRWVzt3r80PRi4KVTNvRAvH7jAmP1SZkq Irspn+LUcPsCYpM0ntu+94a93+BPQsriQACTFve36YIYubFN6qsve6tMaOL9Nv+6ohKacWRviRAi /Z/zDJVEp1pqx2l2+OOrUWRI2T6150l9oecsW9Iz1icZMptTAH/tP8jq6KZ2eF7xeaq3R67vWdzg k8B044zrb1PgncmdSpSDdk015rXDwtsw4GnnsmsqiTe3Mepmwzew8jjHqj0cF7MkrqLCc96TJI6Z 7dBBBaVzCCamiaTGcSr4GkAMX+70DwyL54evYbLnMT9bxdyZT/VGt8A+TcoeJPSHE3famaHiAIZL KuiiqrFJ5x4qkmozCGoWid54/dKSywRoQh7G7ZxQLqswveBdQGvlEQqOTrYRrcfzSBfmuYz3Ony1 rORj9G5OdCQt1IZjRpShDyKY+reSFK677M/mLqQwVEFl/zT5ztfd5ecu2YraQcZMqs7gBkk0qH8p 52GLHSFb50spAqRXY05if+QtCvcTJ3GEz4/ff/8Mc2Sgo3UKIxCgPcR9DOiGOpJYj+ssm+Z3ZoS9 nFxI3R/oCXAK2MfMjU2Gq8gFKVR8DEMrZHBzAHToKto/MtAY1iwOAgcoH76c4lM+YOpzKvrySvC3 +N19ocJu9id+78XUXq7GGXaMsRyC1n51r/7HYM8aUqCmb+K69hNLeUXtTvH0lA6FNcRjAKc1GnMS nMm4FwA2HCQpa31Ssp2dO1sGGX3sa9moJ2m/uTVvsw+hbq5BPb0X/X0mxSP0tHOO3Shk0+wDCQB8 JiqJGPadtSqY/npdQQySet8tCfJBHcfcWdhuo8FHU9Secm08oO4i9p+0anLz+suSxTsYs1phXZQ3 DiR7xOXMspxhqYb8v814KCurDW/XYE0UdL+p4xAYMvAXlDlDPpQG+6l9DRjQFFEF9aDvpLuXCrrm kDyTDTLH7QErIuhHxwWlWJze/1uZHALybUizsC0XLcj/DoP/+S0plj8k+s4O5rSHzlEU/DFx6ieQ LBZ1EBs2OBRVPIY6j1vn9gPnQ9yyAuZyllg9xU3GICqEz9F/ZHrZvsQPBBX5vkNvETlM+anVmd3h 2MBXpz0Xq7A33Rfi6KYAKlaFv1rdlmrOyemCDE3Vg4UsbgM1ctruzjIpVIqQLpNirGvTzPDsBWzH wyGi9qf18euZ8fFQJTj9CS4fqdXnfz9yumUmLJ5qaLfR3Yrtm4UJqGGAOTIcF8Ekn/LvNrVkHFDW wWkIyIttzCo0L1y5aMbhmcXOXGYxLILUgRFKcgtnEmtOBWx5gvmYV7XKbPK2KTBzpcaPNOIqw9fl 1QuNgAnVdu16cBo2uh06yPIaj11EMGZWrxbjPH5xjBhElNO9MyeLxr8wu91G5tQHvzXLeBJae19+ e9OxAgBEvGvxcBg8VwQYS3PJfW8XvQtOvnz86QtUCJWcB/1dzFTJX8Bg1HUp6jnbFSd/to+bjVFt S0hYrrH+UPDIfFmCPOXm2XG/noWlx3xyAev6lxt4+W5kGu1SVQ4OW5TqPRCPdMbev2se+bQOeM1k uvoSopYVcx18IjmUE7MdR5jAVUxdSBp/voxHWi3ZkPnXDfXmseimc6Kks4vg+EKwHjsTSaGL5jT4 v9j/ge+Y5I37vQ+MntPiDIo1ZpebwW9CufubQfBnPAsMdmhGEWJCNafgB99I8+O/lhv2Rh4cX39n xpQ+DORx/zXyHsbzLCO8mHalBdRL++vrNztOc2rEbUD4wRpHcBIo2wZbVSoF+i/uLdJdAQF7Rqo9 MR48Kyh/aLgW+LTZIOUiumrVnNMSi4iJ+dKl5lBQ9SiGk85zIYY/Uuwsn7Fbb4mg4T9UfGXklAqn 0svRR/IVmoVJMMnGAca8RvC0SvzxFCHB1e6HtD4dRzpVPtZgixNdfni9O0Cf2p4MkhL338BvWlXu W2nGDmjvbb1w1pIOuROVUe6CJ7M8h6+QeQXYrggQGw753monadS4YIU88AG0Dja/hqC5eEdAPM0Y vSicDOK0a2YBGAnRkErMGWCIuQw2fdwodFrt7n32wXPDgbUcd1QEbNnuK4mdSZXn4dtjqN96XMdr SHafZSy+9w/uGzXgtTPMUulrCvXHvqIiPVbXsFNKIUZlVe/FXpyJTWIoh3X+4R/yYlwgiLLs5bNJ U5kWUDZYKEgyjByqPlwyouOVcS3kATtbY0CvptXaT1GWIqKVvNq+OWsODcpsgdgbLVoDZaVlyDxg 44oMKyNjgJxe56FHQTH/eyPxJviRqQF5j74giuYG34lb2ssW9vCzbNz5QsVXkv8GM7riP3HJQtm0 feJWnlMR/jMn3WyWTr8ZOYbq60Ir9/ao7McKjR5Dt6gYrys8mjHCOMqs+2sTVjy0O4so8OsvdiWy O6kCbUl7sxutx1G+Na5SahMX7DQPznGFzIdQWjcu6RzvexQUWXVf6C5aWSMdwXxx8Lhq/Fp8eQUL 4J2T9KxCzoakIP7MALXoJKDfdz9wbQ6UefHgQasruETBVqy17iqN+8SUhKDl1MlnEq9PpOLS+9ms USJ6/yDYPXYs3TGVA1d1vQv8MIz5F1JK1RnbhEcugvIIC3k06+KVu2jE4+J8m4Ck3mF66MtDVilQ kF5clorekBjnDb94JFbzdn+ZjgdjXjJqHeJ0t0Ukms6vhPfFHYxc7taUFVm1YodbnQc/tr+CtmDX yR7ntb+Xe5ankjjn+zZzMAODieSUJCzzn+iM0w8Am48xoz7lIF2c0bMtAMt+/4M7YLHaahheDSGB FLDSf5jovl0CUrObRPhMzfaQz2+BNgPpBLv5g2U0I94XtyBmnJfkgCnIHrCDhI51R1IVxESA79vn wx2Qu+quouRnoDoFoa9T9VHrK/IHRezFKuz7eR4ABYCvn1VAka+VzbqREUkOni2jFS0vWeKpIEIN 3nL3k6oaEMKPhN8fILInkS+RJos+hgzwFiAbJuMIohb2JnC2vLSPHT08EIL5wzIoCm9nkOKqA/n5 yjX/mDnzOima/ow4AyEzL+gqcIK6e3nhg8Qb2D7Hlt8g5rgzuEc6vBF1d6PnYU5NLhZlZt5FQUhh HEh5Ya1pdQtsnS26UP8mZvw3kU4Z0pHp0kK2OC+PpdEJLTjb3gIM1D2CpudzTeC4ivLG0RvKm5c+ bLPuUS6r3mmbzmxTQdAXBxCI+3Mj/TS+OTrdZRlHXyXkcHV4Xo9Oiex9TgJKOE2pZ7O40y6ou2xB Fn1/k9jhhGJqOyp4CILFl+V4mgykXNWWb5+z6STTM5p7CRr8DYPi0iSE4MxPZNxJ50M/fRPOaEoF VFtBLnSUz47ar+adTLCTDqKx7lVlL9syuo9+kSauVqJp2bYRWGOOwEjtubnD9s/CHv9LQQ6zMU5Q dT+GvIk3VgERAylNTRzWmcaZSJaabiYg9EyIj7iM0+fI2GFbqroCzXidzyUXGQ/56PFUXdOobc4t o2hVKTErlO5fP2a7tEF8D+LdavW2OiwSeVXr4ZDXP0Vq4K+2eDM6TXdQfH/HhGWKc4l7YvGdyn75 +CjC/RsRlyR1LCx17Fr6gsCcvCHgfB6gBnGyRI/RIAUkXqz4KvyiKEGMRaX7T9XXNAO1WkFpMLt/ Appdyvi6IZ3HrqM8Qwj/hhjFnCB++7LgA7BALtDNwYNGgeRPs3o3z7J7MlBB6rjcKZbdWOYjSMWK 0k4KmEN5GX6urbS9AKUkZj496iGJCe40dPGM1Bq3dUhCswJ8ujRV7pvdSLTlrbC1+TboxDNOJTUj 0VL0b9gy7rKXg6BECMDdm+V1j3O16CeMGmMkkYWvlHXfP0yxYh21/qx3wV4HpmEybXfwYcxoNr8Z 7C3Qn4vnlkqcAZH/Sb/43J3DWKL3icePBir5kosbrV+HfxvgjKfiCF9oxVsx31l/6vbjD/p7JexD wutkBL9vKg+InhFabgvrQCgkgyKikX8uVViKCoLyEciMCWRYotARBqmOi8cgsaqlXdG+Rt5TicqN sgPSFW8Xf/sIWYakvt7fGlW4icKCoKEFgj8M9WywATLTo+b9QJ1UAW9BLSHIIm8fQl5+sFVfWVwK xmmYzvC0ZJblUBFK06LYxghNHsnjXlvAoK78v18nV2XpnlswMpfhZKjxBzV8YhRglbS8uP1Q+zsV SZtc5fzp1Mibu7WWwPj1Ajf/9ojN+APfcrKnyvdkT44mAFYtjPzFJQHFU9+XsSKrYlRQO4MqFJff J3hhC6RJVhlVy25jgesGxcIEgX+DYhQ/J72yoKw1XSFSklcmUszoLLfgLahPgtFohzU/Av/3xP6E SRfbS/gv2iAtdXVPnUV3wbCYx9mzuHiGGKgKr2mLZpo7L7s+vCIpDvci/rEssSbENWso83UcKw1z 98Y36f23UbbgVdcFPdWFo+OgD2jej8exVLdzFK3qPW/m18Jpx7PO4QwRmFWyNqRybBCOrcMjrqvu qYmMtJcWwiQpNkeF2FPFiLrAQz5HlHQauv5wkSpIUNsCF1GkPV60ksYIIt9pFYSz1lMDTnQ+vqAH rEnw/eSzXVOt9gPnRKUy5lqJ7FbbMdoiA9LTVsbb3bpXfzpeyaJR5Awk/EYNX2IgrjPD/kuqSJpk CLEnZn97YJISB4T9xRUF/3y/cP8AnG/iwO7DM5Bxjcwri/I+e8USKjr6ak/j62xlRc/N3c7rji4m CyIb74hR/uYNJ+6w53mYmFiDkBhcxQTA7cmeRjKbBtI1qywQyg7MoZwnC0fb+z6Veigf3XcHAM9m FRM/JY9nbKGC6O/HUwygi0IMPlIGAfToMejx0HU5WzUa3HpPSC8EQrzICck9LfeCkuI6GzUW1DCm 0QIwCv8HeXwbXLLuVNzSuGNuVolNHKJAb9p7/+U8YS9fNbC84gVl2HZCBkjlcbaw8sStmU2MwpUH wJMQnNHpP4TnKURPQxEDr7pZAPXuZBtmvsXi7SQuhxZ0wQ5VK3PDIzxUNvcQcs7RycJLVIMaS/xM Y0erTO3/O8syTl5qD1AjJMQ0BhwDsw5upQDBcUKyaY8zvtPWwrvlkGTy+vz7DngS3938qAhi0QvE 6edVHYmJ2/E5KFuByXvnbVvya6vdAONFNtYVjA84KA1DciMWld/dLCQluhlvQOxwJYIvEg8F9tLk xXDMnuxZt1/lTPdOLHG322tOK15Spk90SkEB4BCy7arYAE2SIz07hhqZD0r3D/ot2f1h2Fn3135B JQdUMMc31eDhBduM8FolBW+0iiKuNOImOj8/7a2qjiQhOpMYGQI1N8HQaVsQ9izRMzwFVqoZCh1g dTUcIA98E10/+QwYi2zQdL7VQmg4vf2svLepi6dT5nSnshQvm7xFHE2m2PDTLuElAy5j+3XoUlsr qOuQYJxgYq/KsnDgjAoCm4j38Lz5h3Qa7zO60LI+jJKJDfNuIhS9NH70iBvWsHSaY/L2v6xnlTj/ ZqbS0Kaf9kUyGizcVvA+0Wdf/cqdCNLww+4FIhP0eMirzfOKIh8kBGFwSDByKLsmPwddlBDfAwM3 0U6OtEv89OBm+1xrV1Kun9MuJLoPiBvbe+r69ySGElibNNblqFxI0H5huW9XyIiQh4ph6qJTVEPN qzOBA3aTI2PmSQ0MPsxC7z/MvGJtQI+UGSixATU+ReDttRAR5WxrqVg5VNdBsjT1+e07cIWn2eov FVtAVJs+W6km5SDQ0fX2MBKL+KhHXSZIQO0gf3M/saBtEWK5K3P0YHdzNch3Wznqpd2VUfUhvfdn SKl4WTmTfHvksFbOw0hYBjtcO7uRKqTJXwVeeF1mhT2Ymrx5KtN3BlPl4DeHjvOUp6KYRi2kBXz3 1ID5oeFlOF7+pIQ7U+agZ+cYy+coRZZFYtPNe4L4wSE7JZrBBOGbESzzBsvbWfOoTv0LWwUF+gDi wRbglWZsoiOMb/JIJyKrmPopnb2Q2rDK6L05fjk72JsrINok4L3xRkMYorBUJVqlYJ1FyozqAyrQ e+o0Qmqae90T3FTox99ty31XGV040pmR86Ni16jwdEM5Ft5/fTIvBHtvvx7eP2cIfrHW+7ThS8l6 wLOEXcjHvGcOky0ju76sOEASvjUuBJP9viqiBJx9fa3+qJiqIqz31qd5IHx4WYVKiGD4ZsRI0TJu YKgDEeuN6wofnkIthOiTdj6pgoTAbWlrD/kIPLauk4JxYvbXYFlw19duHMXRZoVm3+f+Z23KpemL S+amQwwUbzihUkzgkTZ2Tu4PQzMToMUgHj4VI5zD4Jo1fWuYxhcrzOdAKY7aS3vzGvlQ/Pt+y4uc MyRWr/Ci/PaoWA5V32dEK5WDChKqIvJooXjR/L7d8hyvfJwEkUB3mxF8sBgkKfcpsFRGUFle/jUq EnTp0rCPXVjJHe4OWL+X2YpCbQovVhzhBmUkzKyn+qh1+itIFEEv9sKcEw8VjHwxlO7tYzoWWXLM GEAzF7BYYhC+UWoMmmQ4d/RC7JHe3MwmjCJH9jXVoRjUd+kPZVCx5nS/oi6IV6DdHBhdKDJuRKAP rozBNLJRj24B9GT3tP1zQ1cTtc5HQFCHf1Ba87ZpJSpiNuv9f6+GNXR7M/rQVgsRPqZxXJH/r2/K wzxRB+nnWSm8y/p+ptcPMy3lvwlXp9WStwIEMLG81aK2GbaBXrcRhcDTcMXBWJ+kHu6kAvyIjQPM 9xaEbQ2jFhm09McikP/dsRofNYnJfiuXt2q0EOW+A0A/pk/Ctlk2MDRlbpBUhgw8jiqgZ5GtXNE7 7gr5TQEIziyC+dbC861U0BmAfnE4pnRyZsmOHkxsJQF3ce6ZmaanidCxV8vvxXbIkRoITWtimG7U 0aaSIEik5yEx/pyjTiPYJyJpsgBCfJ49IZRUVs5MCLoxSQ7m0xmFWV7NgHJcOWroFBE+bAJBxBqd TrGkQM/yw28fWbeA8/I8rWu384w0k7CVy4t5NRXw2lk+ALn5Hi88p2HSXuDTvhJnu+K1Pwo5/Y/q qXa0WLuxo8BZF/pmcbT1gC3RnGqzi5i9jv+eAUFfVs1ozP4/YRNNqMm/7UlKjF7cMPgPBwduKdpx HwMhpRY8b2kYMo0fBvsp0zU9YTCn1IyGXrVGCSYBjV+lSEX5nfBMmBYZcnVcAM7TyfWp/8qOp/n1 9JXlo2iu75i38dNucTIgKn/GB02m9Bn9c/hoaXJtWB/1AeQyd4WqA0ZUt2KFwTvxe7MePPDhQIKm i64KqIRclJ5cP/LFOmzEMY2VuWq3rpPbSmSW7CBTsTT6GXoAM/e6x58XR8mxK1GrniW7mPJEyTiu Olp/uml3CGQZwWOvNFYL/W0OuNhK0gxpMXfE9g2bTikOTXlDkcKLV+dRLxJK59jDQI8CFaIthugB Rbw2l+UYhTOy/zN4Eexs+YSqOut7VpRmD70gIadxt96srwUpSkDbjaHMhe/kj5ORKSPUCywRa2NS 3gjXxta4XmC03R3sCs4v6TZgA53M4P7Mt3JrsSqDOvYgTytgxKyyv/ssAe7YaF+bRaBgkbih2YB3 jcInXzNxp777RWbbamBirf9vHd7Pgj+raQcV7kV6lvfzBqsMtOcik4/0iQmKEl8+YfInz42pfhue 1l2D1PDYQ428BnQ28IeASvs7uIzNSYaIa/CjOoAjyLE72g5X+tQsLlgKaC2FPG3Jb+kxwJ3F7Um2 kRpDTPJ0dq7CV8Tn6lkH5xh+zF/eH02WyXVrulpO4b+66XNLBTlAT6QiLnxEW+3GmzdZZt2CAfOm rEnsdlD5sg0S1ifl0Hsl2S7BgnQLuQepAsWsNhzKVrrL0FtFsUOYtLb11WNLQDo5ysxcepXQ24Jf jIV4V7Ncrd7E6bY9mt1rCnvACrvqKXGy4TNmus8gK5I8XPS5KrKrgY+yLVyX3VMiiJ8MKGEBJrsc Dsn6hLly9HKUhMK9jZK2VKNfUAWz1nsPjC4g1daqR6TdpkMY41DOPYpxGXA4eYdgt75URUpoCrKl l+RClBmj0BmIPo0Zwj7Z3lyYzIoDZjOXEEK5kTLwNTT9CyIISVa/tLPbaPcfDHppMCv6NmAiBptw onn9gj1iQOQxYGPQqoC3vaneu4Gi/i5Wqpovhs0TXfH3MAuAXR05dCdgBb4BaJHe8Bq4fKi9hQCm uw/Rz+VAwhhHsOigA2JJDwV1d2+cAfN3+jx6J82iNvb/4YVOyDQTchnh7lXNYA6eqsz5u9RaQH0Q Lu1LpM4wGFtqn7Q8XgFp2aw9n0J33PXq5EiG9sVa+FKiIZCGYeBpFTay0DLa35QTb6XgkMtoP1iS joxN8Xyzxx4RW5NhE3Zz7xe8gm16NhLxV6zLiZKReyEP/e1thOIoBP9lB5B+AT2a+/kpRqiyyX5i 1QoaBDDvmkVygNcWy7evZxsDNvg9iBALHwp5jwIEnRjY4il3mfhz2tcQ5G0hzSXOZUf94FHCpyra 6lwIi7jXmxpnoqJxfwJOt8WRRzJilhxH5Szpz+CS02DaNlQOvkzsxisdsFvJoQE71oABQw5NeGwn nklDR9f8LWohP/hif265bFZFr3IBnEZXq5PbxBbrFIfDzrXHLefqyjRZ779wf9X7XqKE7TXErTvR ymNzSzkmYJFLYGYBNMhkoExgPc7/pCz+hS897h9lB5/+YGe2ckbAXIkfTrjbxr5fNUkwbqgJi/X7 EROihD2zcruAJD6ByCqATaUI0o/aWSjfhP41jiD2dC0+aZZbs86BXyZUJsWNzwUQUWND1XmjV+S8 UmjnBQHG3/Tlm9Ajj1QzENIrpQn9NszcVSe87jnWpaSbCZIf/oboKdDJ3/hR/90HnPvYu+Dgp5Hj zw/u2377+bVD4ycJKNlgC1h4ywnpIhrF/kVXXIVwyeNIOUwc6Jn/oz8Rbx1/2riVW8YKNy1IKFFo XevLQDvVrskiTsVClVEqkKMvBUF40YX6Of3YXzS5WGwCAho1ibMs/G+zrPB/LQQqTqC1W9XIkm1X tmC/jKIVF1pYx3dLtU59obobj5i62XGSR5Z+tT7Hjj09eLwpH13XK/ZI/qa0nZbfyyCBUf3lzTpB oH8XATGaXSTZAgb5EXbej6xbDmqrjIwPr3sUhr3vAzwBVIBD32QLWzwH0paqt/2Nq+aeSwf4qAIz 4jxqyd5S01mnHmVZEt+aY9jKyjRtqPJIDkFHXq7nDYL/oViaSgPVCfIU4osfyjKTsg38cc5N1Fv7 obECxq06Okvhr7cV4bvzwr0JJokSk3HtkZ5b5UfWuZkfMYspcfn7mtfmrOCRqVRBVaaN5NXx8yjB RrFYd8kn4dS3pKocX9unijgo5zIU+g8j/DB4nKy/VkrnOoV2ithlV1vVd4iZLX0v7/0mq3YyvkEh mayr4avVkczqTjHU9vhD172pRbKZHV7H40JV7XCJ0S7Y92qohQFd4yi+k9vvUGBNP+PFMJaSE6Ck HvyoNyrFlPyHPXT+xh2pLCcaoQopqBSWuUUwfwSc7WKfglphvo0XoPwTv93eG68A8aQL+f08Zrcw pBIhIrhasoC7bgMjS+ijag8Sj0qCXwVMF7B7iE/DXcIZyGMfUSFtKSfGQMM7TJgDwpQDjxKEz/D9 8IcqVIIszrT6L5+n/BejxxK46NH9NuBZ4hrViMQPO3koNstPbBSJUVnCyVoAOUSeVjRKgWbBDTKR H2HBN0PK31S6yrUI/cAWd0V5wPH/nGqk/KjXlO+AJE1Lpp8u7fT/DgN/2VqSb2t6crYnUQ5bsrfQ Sm9lXlh3jbb/6OUAyzGpL7gX7QwINWqv8uY0fdKg1uGAvVkWGPNJcu5AHLboXkmwqOBYCwGjnCTz quiNuCiu0yKcgvMQAZ/82LBpRYOPEsODEHROnljsrjrx2lQniHhSAOSMG9+qpdvmPcJoZZY15dHL paoC1hSHc/S7Q7IM4xeDQGl28cx58iJMuTAsQpsj4IDYnEtgzLlPuD5biEFFr7PNMcQBvaHbOeUc EJm3Pt5u/m75aTRuLI/UtOiSuD92nGFEx8lKZy449aQWMxRMP0b2qkGYIwFZcohRXfufgXs0yzQW X7AWuHcSGtgqJemzcQYKFll/XLcSgN9CbK3cV2Kt8+PqWIw5UGUVDSkg+08e3mtJdeisDLwmkaML vKkmVjRtMoYowKsBUe9MFmQIiCWMEMJui+moz6XTKWqimbyfHSP2blXEy7ogybb2oUvKpE0HdbJ8 2nEZaKWSBOu1DbUuW8GsTfL1z4actkM+ESI5L60rEuKK7fX6h39PNv1jmRTwZnia7J71qA4yy/5V kjMJyWX5kQPqblGQwPhQzfyK0aTPCmwJFC+wh2Z0yL9QKsmU0va8sFw0dldx/Ytc321sldBPEGS8 EjBZ8c33aTlad1pF7QoVKuTxHzwtH9QS1B2vKcrxtF436vmfKZzOml9Qzja7+oo55oISELV0mYUN Fwp8KS1GyvOmk7DmdUinHSYimJQ2RcfOUXgqDWJxrDZhXhBPiKrJptxkDy12pcGP8Tjn71OPY0wT 3jbWCsRO+kp6m1hfs80cUVoPFXe/SFPcv9xKRtGMlfmD/BOPvhyA474DXngmOxeehgI8PN5gmVkX CzR4PzGUD5zwHB8hINjxLWIBOX/iPfA0JAZmMTjILJjvaeiG6d1ZcLJw/IyQAuRofmxHhWJXzJIL dtZ8yM6LTvblH2l/wSwcer3g/95NIeSprnInVB/7iYIlulqOovlutqsl9DrZiWKSBhTOwd552gE1 GXdT8qfAfCDp5nCdLg88Qbk1FfLJlWY4OttAERu+sjcI+3ZLC4iB1Qacl0xKIpI2r06ACTETAkxz bAwxObnOX7D4qbd9FqH6spFJ8OQHXJfY0Z9L1Raf9BW8DlRQhsNoplRyikWZhRZbQDWTHS/c7lov eudwNBoyOTqyp7l1wT7hm7FTm26Xp4dHSSETeqilHUemMsUMXgOFr95vF2+y3lDVAIvkZO89vqMh 3pBdCnjPQZLUzfS8g+IUqo4pjnsDWPwwkOZNoeX1A5v33gnYkN8qUGtnX8o2pt5tMwE3Shgd+IEz LCDG1nHuukY2EpYfcECKurOyXxXl10NrrORETEoohaO1aO+huVNVQxbeRCpXeXsoI3EERckNLTQC MnuxIx4GQzRT5S+Frn7V0TGhVQrFDWTaz8o7rZY1QX6bCd3yfWjJ4q3RxEJMCGfqyS9ldwjY7+cx FhVfOE+nPk8bTY05TTxHNCqR/k90mdDX6LmgJIeZ0BRSHIdkoGewLZ/ppka1D/UkkbPfaRJDXDy2 C2JU7m1ODG4TsPcivpZ1fvBONccqaRCgXkBG+h2SmV++aYDMUYN6HG3/UazQJzpn96dRlA/dvgPO OagH6t6A/QkchuAA1cCYItyn8C3C7P8ixknr3gijgsQrpR4Cl8v6OTHvR6qRDmW28j90Rzjh5MPU Ty12HgdCZdN9/l69jvahl3pAgcl8hkUd9tKTd1WYU5bDLeQNEspOySjM4+qTfgH+6PoFJrBW6BhP X+4+5BZhdwhrQ7HfOF7ILMIyoZ3uo/2I8NP1kRDt/caTsJSO0giJ0WfZAkhVF7Vr8Xq5VXLk7dP7 LB4CJJiYR+JkT2dIwM1eVn4tM5xFZn3io5VDZvOx9wfbfoGmex5MLRhUkoXYbU05L947ycewnGda zbC+jV8w9caaXtNqXfWca7X+XhlyJ+CkiEmKI5ekgeo/o2tox5BBpHu/Sav6vje2oCFHPj+aAzHI iiN1p+q3diPbdEHuHwXlp1AV4/VLK2Aa/Q1BrhmEeOYm83H135PHlqfcib8QyuNn+WOdn3GPXf2r ooQAvJoKxd/KNpSnILStw+aHO4qBBnYEA5vrq3eApLTHuP9or4k+giDbigX28xRouCDHxenN63pB Z8Z19E5F7PaKlP1hmCBvP0M04OmHkN5e5mB6hfccCPdhxKBPleFuCyVmtka3EFWtzIssOubdr9ws LW+enUhtJVIx7ywpl+Fayd90fyvUyP1YJECU5Vi75Z6dhZWDM9nnbo27CamWWuG0w9e2+JTtz20P gwVCnd/dosIiSyHWijh/JVUhhcrgMw/6aZmc5/qyAeWqKas9athnoPvlDxIx9TMTLivKNOcOkR1R F2GSDzI17YkZ46ShTi2OBfWFSdKmzUcxQouJp1hwIi3Smzpw/cn0NI30wBseQY3wW+tELPSrXhT4 kdZdheoBXdKzcexcCKaQggjW3/CwLItGhM8oy6msvxKiPePk/GdffexHtdUvW8WQmxmVpJPKPoZO yNo74g1DvcDwpF+uMpRiflDRfcrS8jtV9fZSI4e22TvFl1LwbgfTY2PIk/zvbs6NLGr9E8xpPKIu kqEFc11AANmGWrrt3y9SFBsI0tKTP2uM9P19FWiNBnJq8KZFHpVbfYdmzFIwxPHn/xz+NpNxch3E e6VHQjFS25VM69Rz+PBPVoLa93iFItusg+B23q1c99wnVQeTyuXTjXjVaVyFVTj6aab1/Cm2IXu2 ZxFTrgY6yddplKNwgUZtY5I2pjLuz/lJ+gexmYiSyvGJxNP8ybxnRAy15OppLm0i41fEwi0UtePB s0lso5znlZFR+/XHU7+Afo8C+2C+Thed4Ea+oR4j4yS3R01qyNE4T9xnjHo5C+xqGBSkKWvx4aHa WdV3cSRfUSpa2yh5tKPGuUt8RmLOCp4eulPbAhzyxrZ6AkL3B/wbCf/y6EYcp3deWXi7Wc3PE/aK WRQzleIRZI96wU96Gt716bRR/tqj32Ukyk9xSwK6cBSgyHjB48cSMPamK3JM82G4dxw8tp1HdO3m xaFQUmo4zUoD6P3jS15jwAbfNwBWz07ccx/yAMgtYIn291QHPMTTbCiEL7W/fwp/4XgSAaK32Tqm U2kD/RwN8Dc93pKQAx27VTom7TInMFjKkfv8fnMXdHLSPmPOOzHrXFCC2eC2tZC0qzO/URJbR1xj ZvMS3vC5MPrReemSVjekOqjL5ZuhFTAnkxXGd338XaTuOhrrB0uWXynLLAmajS8/dIxwvHAt0Uvs rGR39EyKLgkWXfUs7xlekwDpxg02zZJChnqijoMLoBeGjesKpcnAcILYNWn4x+wNkhXRge7ObsqJ 64HA49MWPlPpCJFUYvGS6RoUGO9wjVdCjAc+JEgY5wKbZi6mNUgIQSvhwWcI1DWZ+f9Pu/t0kvNp 5e74kSkkUnhjJCNa5jCT9vxmEKBHRVJQ1rECDHRMicU1MxQFWT2Zo2Q/w2fx9njw32dUY4gaoEeK dngOJvpvwBY6gJdsW/H6gz4xiZArpzU9tn6G388VX7yap0d1z1QUM3Zn2NpYMWl9nQAfTmx6kCml 7YOv84UYSivFb6ZXaXXCmm+Z8G1bbuakfXeRnRDxYIxgRB26LmOS5SJ8ifhKdxZSjoyk0+e26GQc PYp9jNOOIqSM9EP4K9ZlJG8px3y0T/DxnWQXMq7qmhE0YKykwyKiOz6G7qwCTjCQ2ajjjvxifFP8 4vAevm2Djb0+FyBwaDbig/hKVtBywfesRliFBMyJRnpK0o4ccJa+gR1eN42W6hMvvImPDSfqV4o+ XXCZQGMW1cfU17xuNy8HCYgLzouEUWFlXihYi4q2Gtw/Ntj3gdjmVXWk08dt5SABsvd00kqXMt/H o7dkF6w+WQ99nKUppUdtj9B7l36KFPDLaMIGl7wG8NmyxLr0mwtBOs253CCiE631SPrqGGzhTQ/0 VZ39OMgBTNtDFrnBzzHIzbQo/k4vQHS3rYG5vkFg1F/+FOizu4YFnPGpgqvbXksy7bRCfXb+HRdK HOLRgX4LSkqVDT2clC0gnXmwMDPKryg7l1NFCWW+MPnNHA/kUq0IfL3tTlPTt3fagy5EYBRUkDZ6 zGXF8WcstcFGD5IJOsIRS0GF547+4VMJylZ06gRMEbVdwLGrF1MUZyyOL6XeSjh34QXY+o1i4fOl uGmmSTqzRkurzaUgDVy3o8/us8N++0wTiApXW8jF8cjLdLF52HofGyufFos/gWQgBQm/YMQIUDGb 4G07Z6MXlgld6iHMNVjgsH2lswLqR/atvHau5i+rSyUGgU/BMjaIyYFnTFwbR3/PTaMzQuSCsudF DnZKA8RAaf9I6LQRtl1yTwYDmqRmNDGxAKwXEAG3DTvJUX06IdqRU1nPBDyGXFA/CEtReDs5d1EC J7G8NIR2bYqXlymrq76KRFBgwYpSNa5ZJkpu9wygSaz+GKoPuK4kmsddeo4An9ycpOAuViINJc9e I/nKMe8dArjtPQbN8kOtRS1W5liDdgBkJJlAd/oFGbV94jG0IbvOgjagZQlaOdIlRybvc7xYvoBn NbsdV/Rg4Glk05W57XZs3ds3IggznhhtfaxfInhrwuLeK2JI66ko5v9cnuix6+cZAhkDKwhWrX5C F8b8LNj8DDiNoK4zE+NamFZv7aoyPE1mF5wEVVWChfMuvaYz/muE0ZWtRsR4FUveOzX3xIWzXHR0 QRiymii/7P9WcCUWVtpuOifl0LswHPfL32IX8WD1jHkj5a9VwmmY8V2CHO6sMDXqInV9aXwy1jCu H9nfK1I5h/3E46GCgB72RaHrXYVzf1ZrMOZ+6JHAYNvvCz98Sl2cgES5wzqt/ywu7Cf6mboV416X JigRd3pKwJimNYKpptMqJsfaOUBK9QeL+6K8RpYld/kbZyrOibzHmOM6V6tTA8Bu0wJKt0Z8/Cnr DF9+Sio46ugv4aQDfohrApI65bpqtclyhb0iINbXTovhnisqKaufa0Imwl1rcGFH8RX92HIHDaUW 4a0jKs+UuD1kLkGbDwZ9xllX17Oc5p7wyxyp8WSblyradt13PcOaoYXC0zdY3YVV0nHv8XK1xvdI 11Klc2rOX2VI66vT5S5eNCCmZd7nB5TZ4J3qX+DlWRA2RlVossQi8IVFKBzLYutbUuR3v8Nrri7l qY3taf5brAB+VzutCiPot/kZhcqyRh82VcxcklJ1x4w1dCTd+NUVPVpzMf2dZ9iBUYC3FL+Ep5/h wFU7PmBzUDc6a932VHjA9ZPik0TNLhVulD2C7dzCH+LPOs/fWMhe0Fmpxniy6c3x86npfzYMAfhQ gag9NUMWVq68PuSJBWdRztExohvuA0kw01O/UPnUpwusGOMpubMmi99IbfQoE6kBtnWklPY6I3I2 xB1UkLzQZyNlzO2JMrJX6BvS0d+VAY9CstNPLkESfTAq7qF1+PEx4sw9IL83njTc3W87W8DBBQjV VGnoEp46LWKJaHOc71Ixdgy2UHjXPApPQZjgKlS9K1k1U4VaOhvaOUH/YWIOnJ6IzXyr36Gl63hj 83icHZWVAR4fJG8DawK23vHJEz51B6C0uIYjKuaLuqwR8OlcS6MbWIPPGjTJGp4zgL2pNesGo4SL ig410OTMC3EqdIZfSzMAAiiWnUJTrcXa1fYfCgFFEIS03bsHoaoSyccQVcDqLSEVbpRjYNrOpvPM ELjbCfxKpG6cd8VEJkgeXdSBKAxvmd4rvCdl22HmlqVFZdVnRXE4smLtVoZN1hAEsvGHLbd5BQ/6 bP7M6QaRV+F0o9DjkIu3JAoABLUZiPnJp5Srx88FiZCNvpmWxoH6OCw4iOJShtAdtgzD7le2+c/w 0Jx2iWsopHqoEX8Jrb4Nm2HDcjGN8kqVaAdLm/GrYQ+i3qEEKm+fGlP8rWFeQiZKflNV81XLcWgZ HZXVqDZpztNC0A+DsEP8htwHOdBMadCp51wYrOAR4I2vFxgTsoSq62s9vPPOc9p/FFR+gKKEALJH ysD5QYasA853oSQz+/wIivLGgztDHRyL2cyZ2HNJRoWfHCeqL794sO8gIETv382LGURJSaVzkCOh 0QkZsArClp/L48Acbhbo+uXdbHVCBOW4VVyo40sj2yh5ntLbBWB7x/l/eHa5+mYDmyEIGT8E+Y7J poNzbDmh2cDN8qCOEmmIZF/eiRcxsigZqjbaMsbeUzxpPhi2u4CV9yJZFodFFTAmalYnjlHAinv4 C62c6NrVQ8m8DqCkCOx6measI2RslNmWmbfu74M5McMz/BNRZusbdRZHKmKBp3LdTdfuPat6M53X YoYXPtX3srkbRxv8vZTjAbkJx64q1LxUEf7UpdbxpCzN8UiHrBJLAqUaoVwc+Yv8bXZQfNYGs1K+ 0mM44FSj6C/JTk+2PFiOjOcp8T36R11fXJbJBn+6ja4O0XIoIBQUi2MvCAe4vHc1DG75eTgoLA3W +KlgX/0ib8HBvVwAeGvn31BEimuh8WdBhj4PTuFirMlTxElGmRk0r64L43+h9JrhSLYjweJODU/R +KK59Y9OCmGA2UPKPoS3OQrnSCUTQEHznjC/Z+mu2LGB5GClpNutZHuYp8XRiN6zwrKyFaDMUWPt P6T1pzfdEnmOu1/EisrbY+dPrsQxh8qpJuQdX2qg+LvXJCji9/5iVvQ5+MDGYaacsgBZE+XXafx9 Su4YlbImvVDoKZEpcvacg5SK1KICheNcc2uCLRtktQ11Pg5D/BhVe7h5spiV7L2s7IbxhQyL/S2u cquJc//oLUX3kyKx9jVFYJVLUjuLFegPT033fGlAF0/jmaMKIisrZUXkIdKegvgaRYnvLNbCtPtr KeE708ACeR/bfG3zMevp6On2lv8vkpHeL+8140zYBNz0M88edi7Q6MVaDFckI/4cmjXCf1f4fByq wr/qXoFcUdn4sp+dHXMLYXdYO1wa1s0mOeKowi79JAzxddmqkS1tmfgRdCPqcU4Ksl/wr8p76D5l qfKZ69DIPLcpAsCqsT7WTbsWqx53lGcfnliGG36QYH3e0xEgeZ4C8hsJJsCpNEzzu1ItWdc0ZQ7n sHGhvthUUdv09HZrOi3+kBPP4smhLin4d5aFrlBONjRICyhOhKr95I5zTMs2KHEIfy3q/SsSjmXZ CZgTD2k8Ik+fgnyCqCAqKgbFX3eAGkfWcDupygAhmwhgcKr6Lvr28//N/bADXaJKc/QyQfwJhvmU NZJoxVBJPqg6Zzx37YlNcL6SG+vu2xZcMvtuDd6bfOLZt15VsCuCQV6q/clw9aKVEeMhzLjcUdu6 DpRnNeirkOBsojV1Qrz2tv5Fh+PMPthw1aIgYFv/m6DTNJXzRb/8HX56d4OhYmNquAg0iUpTnfQz ZF3iGF5SLiAqSXpqb+YFQbAtkpwenVtNpeBDeZQnRnoqRO4H+JG68oyAjF0E0VRXK6sMyNW3WMgG nwhaeQlIdXJxLqhLi3JW47jRiUKrGQwqNxyLRu9eVI5cpP3qPKmECksX/sM3kLJIYsESS9Nts6nh ihJtl1EA6df0c626ZbmN5XrqN+sn333JkEzr67xdrNgJDbKgy36wpUXjzUFs5/HDLlyqD39yJLdO /YVOUu/GfAYwAwamF+7qUoEBfxv95ik2X4MICcPhUA+pVAM6V4bh9QVRRUU/aZqWk28RuQyjtVWn tBKb41GiCzJUq7qKuGLvSMsCJFAXSKZjhfw+JQXDeeP/OeWuH0KUApMTh35azA4j7N9++ltIwscC AiIe3Uz68l5XLsGJPOH90TTHmWLkPC43sQirB+ooTdl8Ccryb/xjGzZby+SyFr5g9+xzTRhNJ/wD xfOvDlV3Z6oXtK41rjjOh0O1ynkxnCm4+RGUkj3/jcXl0eixeOCmOsMw9zRgjBhWBwfMVGl4ddXs CufOTOCXLzH3WbKYQ1DBfiMhVyj/AzJp5LVMwuxEBIvBbY7sAwIA4XSoWGpbPuHLvWh3QadrBjxL TBBMK2uTJZVmQOWSN7Ouh/HbVGcRs2C5X5YwO4uRXaLxYkveekRVxq36V8n9FsZ7uwZ7XJZafTDg GTjLxwJ4mCdT7qbZEQbtewaotTSHVtWhiG0PxI5Q+R9TG4ybF6mnobhaHaLpToVbnSDB2vqs+4Dt C0VsaSXE196UOCEBsxdNUFUpZTF3sYb7W/EqzNNrjl35tufbivb6QzuDPZ5/Iy/Ul2EpiaxG72aV cqt7VnliboBm9umxr68pFYp0wBnUnMPzbnM1ziRtH+RaWQNdBQjKA++Lxh28DdHChtEs2QmxF5a/ iuvF8o9dmq+S4UW2UAIJ+PIksouxuctEe8V8DkDZC5UzAQUgV+CeDRHncllCYELY/Ul40CZ+cx7v jXCMsnriLugcxdD7VcjV4729Z6u6n475Zj8tMD4MUHLq64BJ09w5Dg2dOupJL1bD/uAFAyUyGjSZ zYSw7HkNbRRto6LOLTIkWiZjKLyrvOcxrhHIhAL7O7bHylR0eFcjz5MaoJLjAacQuv09G6Ul9m6s 6ZuM8GGt5MwoCqBB3+ZQX2q4czNzANcPIr5zP5IS8B6jUv3ctRRTfOmhdJTtbiws9FusmriiLDd6 ryfst04BjW8YUZE5UAIx2xozVYfIIOXHBpjU0v+Ok3aOqGYOOb2ah2ssUEAgsqOWxbdiiN5VeT3O eHOaH3YPituJ7CWEElqBi/mZCJeJ5ED3pctwOrkaPffCrfNsRTyLR/maKLsRYjWnoPA74rRUPAL4 dP3NnYUfIwXRMJeV95RddreLPMhhvh87lw6zL5S+zzn+eWZ+wbX2oOPG3UJXBE5+6woSjZPgOItK nM5F6faDG6W3e363K6jwBaMO+dV08QDNyNldTMUA9yoQEJMFvM16TwWLdgAEtHnnoYY4QTQAGsKq 7c4yCzqU9JWA85c/G6TNdAoB/s+1554f/Ae8LIPJOmRB2uQwLbgaFJ6os9YjeG1L7mxVlm7J/9lD VvfDoQ4e18w4mRx1wm333bPkDcW6FwfagS4RnkCwdCVU5SBbcGSLoa3yQlaMGucyYF3gjAe8FbLn aQ6sAfDCy8Xv2igwsZ2O7PAf4IPJGQzBaS7xAiziyr6Cu8U1vdoIN9okIqM9MNd7QyFmuGhdGUUZ hM+DNe0ABQ5ooYxZb/fdUyMhTjEeHZlIrr8ssOcG6Z2q5EZ4q7BTgXZXl8xpL7any5xuFM3qnMBB UERbfuhuuigCKguSjy/oNASuIdkr08q6WAl2MYBz/J8KJUmy2ab3i0PEIJhuIBxQvU6faFfYIh2+ a+lWm6ZpqSGqPbF9HxdUBdf01uf693sdCee18Hhs5yvHPLK4Y2EA+xMYfG9BP+7+SdMGuvU0JRgG gcYcCyAAk+ewJy7hEuqSsY9OHGFBqlIsl1CJPJ/WL+QJiTpLr8vP9AexddWmf9J7jR1KaGXJwViE kMZKtYAoOc1eb2a7fhnAjGVBJc/Df3weLfxn8lTKKKUFFndXQ3EeSWgTexC0hC/3Hvfdsb6faE6E HRYr4vPDYRiFSdPbLnssQgdf5u0Wcj1NaDuH9mT3pECnoMTPecmsnpJYWt/jWNRKLCENl9K+qPth NO/Zz9sO9vgAsuL1cFL3naLxsDNKSBbD8oSC3ZpYG6YdMXCLb5bH0RODcDZ+vxP8m3icoUPLIjpy oZr+ky1TJ2kxPq/wRbVXLn0ZALy/cbNO/PnDbMQXD7Q/71jU0Ev2RGoZj9y7EE1W96V/JhRz0Jst QxtFwKhV9zuhiMQDbMXWH70jZsLFYfo84VmusU3CEv5cQfEkb2tTd9mePxxgutTHXye8uccJnI8l f2kIeXPS1fj+vrSFasW54lXDq6CD7AOYsSwHCjYXApTfr6vzFP5TOJFhCou1rD9Ld1dTAhEF540v LQs8C7TaP+DKiBtEvn4HwK7NEGbobfHH7rVVZ4dUNoHvijzW25J/UlGbAKFglnywrqUWRG+Bt0wT c8NyfNLKWIlfikJSyQUlISi2nd8hpoPgnMtD+pxj8uGeQLrAp5fU3X7CQX/fIgvptxZ3Stmjo00p BTJHWJkeHgi41lfjZiRbl/pLd8oNPwBBdioS4JtKBIiwOU/7ocf6maag2ye6uZP1Ypiw8jQIj/Aw BiSzs9T4XNycmT+4kzeB3Q8rZ0pbS/QEBfwGa1wDvQ1An6Txcb2DI+jeXsnm+f57cUt0qWamVm6I 84QEpxlrq+nCN/wToeXzA8W69+DOuxzUM8am55vONKHVSDp/FlV5xJBE6N9SLfKEa3VHl4vnC3oT 0X7+an3EEA8C8Eg0L9991Gfq55XEWZ1+9KyWfugDGybGoONyE/BIoyz+9w+NcdGmbUKJ/8RxH41N Eq98HwOIBN8F1vk6+H1seqRsTeRs8ayY6HB9/nhBxizS80vLnRc/tMoX3080kcOC6ainAA5Tc+qy OYcRnkF4kgI2fzDQ8kAbXuHYWcJ8s/qxZ9D1Is6P7RPuUAxwz6+OUXmi3SkpvJYuJB9c85hKRSbC E0ZPnFAK9zaiSm/frfhH4/vw5AeTaA4Es8/rV+RmmquE2IzV7glLVghNkx4cXfSqRCK6YHP9kDk4 Nm1PzufB0Ay3e+xh+UlDvyYjkxHqkiX6Z0NEc+RB4WW6c90kzjk8jt0POS3fMx9ufcajDFvYWQWv 2rmscbarundyJZl33u/r7DmeSf770fiznqCosy08JlBi1H/xDFkidlnBwd/ChVXOk2rgB0Sduf1V KoLH0ZZp+eqojsFh7OvwYxxpd3X1kbhRYsqlNZA8+G7oeMs4kbo6q7MBq9p+bobP5nqNyyT9avDK ymT92lIN5UL3z7LbXsmEiwmE+mtl2K/MYfb0RZ/ELABhvtnEbg1BjL0UWzk8GGESET9famhqqWun /ikvnFdFj8Py5B/kyZAfDlIUAGE68ZzZs3CE/3PlZCq2Qyac8JWaqhNuu2Ehgqgi4jzVO27yENE2 FGkhJVoblKjlX1a/DuwzA+59pgJ2G0UfzbU3f7K1Tn0SfcnWrAlwudtnz4n6UwG9bmmwsZyJ0QZN eUqAEXb4GV6CKc3Zf9PjmYMXJVvzyi6JdO7NhcZ8WicKaJrjcUPG0kpLFJkfQF2jJEcFuNKg0mAv Amxve1/31Kg1kajAH7IDg9lhVBTfbNenfWs4QyMrjdYT+HyvVTikg4aFrUc+bQnjykOwrscBqKVd Bl/dn9mI8Yet42rJHRSF97a0ZnPX+IbPxLfXdJnZWHrU7xOCMXaKW9lQuVDPclfg5DNzL1NVEl4D AoUbr435o2qMEMiDSDN8+24EH3abopGUObOOANWYoz3J+cz5avJcBt5zVeY01Ki45MS86pa4OKmW QqUmk3xKQzjL9Un4dcwP7eypK+NfpfqlPAqZqmU3SmF+NaTQ6dlqpcCyYQsz6ZaFhHLRndv5EYfu AruDphpjlTUQeCixM0AvllpLpb7Vhop0RnmHyUcQhj5R4AVlV9doIjANlHKhY94Ib5Qgd9rJLldv NuzJt9LmfSERPfigBvAyhKWzb//G7vAC+wmUKOvsAu39Xmkarw6hyd3JCn4aPBATgeCQ/CeUYzZd M/sUVMtNv4PYrdPpDhzr45OaODpxGo349ROJ27/JRXFFtL8x014qB91fKMNoWYRIUo6tA+g5zEE+ NDx1yPy9XZ4vpyXjzOqDMpU/tkrLz/xKRDixUnV0JbZaW8Ur26nsNreVDxTD2Rio9lX2fBCFrnBM 268bG8ZLR+D93/xfvCk0TXXYdNx24Yohc7MIYvTxk+3ArMX5JMHtbMeO06nVOJiI5QzkaLeleQ/J cC8D3gG9p6Od7utvEB6GN3h/3cN3egRjuqM2X4IYmIV7VejbcK+lFTrlef/Uwl0KRP7Tm4Vw+phU 8GzkLw24prcWfZSCtZIiCxw1GO1qVp6cRrsf3vcxHLqNFTZRtbThXsj+8bj9/gy8bWbcDuZkEDVq +z2AHodMs1tQ/c/6lLoGYprWcx9GsJj6UDHaTecxE8jw4jlxuLP8L8qvm6+nhlM6cDi/c/PVFRCZ HHRExMBuF8mf1ba1l1UsdEADXO4+fwrDaijowdcH1IBFafTGg2cnSWBpQ66R/qQzgs6RvMuP7pw0 3Rj9nGCFC8i7IvDBBwyDhOgtHiqyjN4LAemf+MAl/P1NyEhPwkz3mSnTFZRKZtH4N554PptyZ/wy 5QEUWne0rTMVmuuy9nVjzmxfMG4yGuSdbnpY6tfJ1hdTgVAuy6+r3F9Blmde2Mdmm0MbB5nLMoDV WpwTDo3oH6kx0UA11aIwEhX23k7k0/f9uvppRcpeJdYBfRLElQ8gGbFdpKiQwIUNUKWSYjIblii9 rQs53EvHU51kdgYOFsrUqyne8XnixlWBcvZWp5vy8K1WunaIUaPYWiOdblpuZoU//94mGf+0+Efa 2mDEINqKLt/Hq9tlnDU6EQqVftbnfuvyRtNHOo7qZpnM9LXK7d1yYG6U0N9GM5Af3pvmOqrqDtN+ y5ST5Dium26En9RBwGy7XoqkwHK7/oEWXU5+HEX/PG8LZ3gkIOCLfeUlm8ZNzZYL/+lTMVc+Z45+ xT9Yx83X+sBh1D1tommY0vemXbM7Q1BtNX/IWSEoX6+QzD7WUCnEkadyeMu3oOKDcneGJVKZ4K0a GwHQ6umKNX72XEXbeKzKgZriNvvXZth0Mrsk6/cfg9jxfdfSRxTIw2oOyieTr/Rrzj9CqW4YdY3J izQM6sdhFGiLrOY1t5m63cnkfa6OpypjGXFiWlH0IPd4nkqMA04kNeEQCxOGA2UIgOSYlIA3tYxs Ns5AtuGiGqiJ4Qj+4qA7Xu4OKvSS38QKqjX+vm5t80UX2BJfvM+8lrK9goa4UQN8wJSdJrmdWl6w KuQTI3LuSY43yVIFWbfK+G/Vi2ba9wvkB+ArIPnuYvF1f+vrlG40odCnUuDkGtBHvbbqf88M1WaX miROVy9gKphzzyqh/UjHIdPjJEFVn45zzK1VdDr59T1+2N05PRyPa5Wm9JJTca3vCbHh4aZJMJs5 aIuKVGPSYwx/B0Ft8D58FYd9+bBgTt7X2u55J7fPDROv3l9uyAtXE+CSB/ajCdotCpypw0m5l8Ht 0ckLvU8LyKX7s9N7xAJ71+afRASWZ1taA8gXCntdUEzAhMC91aARSP9TwiK+sx2NH/CpnAD4LTQY YRbRKyjprE9KuuYnA5KQt/lfTMgLLcJAWPb/IA21KRvddESq53BVpkUSVp1WEAaSaVm8TySN2lcm c2xGrW9PanNVlQuU9eIexFMCuJP4znpLF7BGnkxpi+Cxl+c0EpLPCCEYWJcwziuux9E56YodjTfM yn6wAktUDd50fAWe6f6m/gD9J5aU8StoXiD71wCMiCu1U9If8o7mvkDGxD0gu0CI5h6LglRPsXdi o/ohV0aZxfq3o5RF6XWqRg5OBrJsMYeZANCa3/zMkgRDsFKgh5SqT8qkPreKs4As6I/O8jJJVhnC 3dgswlKcv/H6fTDqTy5eefGhKfpj4rdZuKJIxLdjmWZnSv3ZnRYyk/X09aI7AGP0yH0G05KFJAyA OHWTdC3K21kCv22RwysPxdTt7gX+Exuoj1ZG6OlFnpah8UPLO94/DBfLiqshuflthDoabJU4Vd8A nfNRS3nIHOQmZlr4N62seANWObT5dd0j+ECYjS0nnspiz1dyZpZN7xrxN+dRsadE7dHhSM9GyFhs rf1Rnt+mGhzbs2Ck0fuPHmZydCLY92XCjYwqbetP9x21FhquFvRnjD9ltiXBdWtlbr41/1CH8S9S NmaE2yrs2IpKuOIQBwujluieRTjcxH4bwS54bN5LB3mOz/qt+B6nhoaWk1S3W4z1ngeT/hCgw4n3 0uNK0UwIHMpUOg++hGwO9SNguGY3buTKf52+0bcxIKj0kajscgDBS9gXm6k7Vm50nXryo4vWjm9y gvjyBWCPNBufIUGuSXRNQP0yYXb7kT+0Fagx5l5euVffUIh3a7OocRXe4t1P8akg6Di6VKuXy8qV 2nUD7pfaCzATTCrO8dGi8sgh1wU5B16VNT2bsUnabR/xMulFiK7DR/U4YIbU6KfzdOFt91Sf+qU0 ipB28G9oR41ht2VZnaeEO9fA2kTXRAN0FpBzIYZXt++AHtxjpQOdkzcQbZBudhL8MUe1Byce/o9J Er5bViCtmcN4lgzdEVe8wSkqc76pxnX2Ee+T/HPG5G+rEOtHvXd1eRaijp7rBdJ6nmwS/1Z8vZjZ Dumptos7Gha6rA6Pfo/7FFZH8ww4bPdv/GVMx+oh2YVYeAfG/wShIX8u1s7qmyszCoL/ap26OWS6 tN7mhYV/IgVNPJjKSWSVWI4yQFHQU60WJhBbY9qbm+ORde2x6AI2NO2p0HcW7sVQM3tBCxzMO8gi wPL1q+3xEveQ09F6+3BaVPLZphSZ87d9afIeh8b9dwHTQyLceA+4CH1Kvi071qW4UNoyDIabxoTZ iZNYHQH1VY1R+u1oTCXq9KGeDtPPd/z+WlkBIkGirn7kxF7LNl+IOiYLYAjPqWqOreGBlyDCFI04 VIvEibu3iyHsb3SuVkcDOAIOcVEZqB/ndQu8dgryl/iWgMCND/qbZgTUlJNv+kGm+UXfEBhVkp9K TP+olMhFvQapZ01OTK+b+OA/AXS9VpL4suWVuRfvr3Eo2Le/CqPAeKT2gxWdBHORnMGAFeBjbW+O MZVw7cLI4EfQliGeX+DxEnnru88bRm4kH1E7HnwcXDhSTkktx/J30jgqsAuSvAGI2w6yEyZrsMgb 4cit3XM8U3PWJS8/iN+gRSnxXc0SSRCyqfHDJmK3+qejbVq4O9p0mJhnw52JJSfgrmMzfcMSVRE1 lt9sVEirYIkxd8b3YOiPWVXnDyc3t0o+TZLgckmQpu4uqBjWgahvR46/UVRV7mMiTWs8T7EALDAP HhvwBJ5LZqrRd+xmGC1R8N+AyaiiNxu+NWIhwg/N5NsJG9HtIazxUefuu5Ppi5mCckPho17HIfkE q4SSro4rFt/5tQyrpMGCG/uaCU16Yvb7rjO37hgOo9rQxYBbOP9nuasWIcBRotxTNyLmps6Lm8p9 +FyFQ28546eiOo74u5VoMGn8NchUnz477cDiTRXC95CtRnm90vbhIXyYqII08xP813qc45pvk8jH 7q3cg4il0kQuXP0GRGrJlxYsmkZaRd4/NTnkItHBgOfZLVg9w0LWyH6uPQ614uI7Gbj/liktPhBm VsESFvtPGC4EeOnc9k6NIoYyeAd667oyir9B4CjJoe1lU65r6U+g6dGfr5zFHBeu4Exnj6H0VnRu 4rE55ixSlzG279PM7ARtqNFUc3YCi34frhOQCXbkKfc+UPDNcoJhuxFr9otIi4nB6PWw9HDAEdRZ N/kDVd8vwX0q6kPHG0T+PdbnS2itX8j/z0IAwVQhdzCnTo4acKw2ox9OypefSYAIiVmIIPFgHaUf XtKoaB7tVqqyq3XvMkoXQ9rz6toMIx5GCqh9U891LOy8iAL7AiLDAVpxcfq6iaFugH59xUYWxqPH RtGOxsK3z8GaLO2Ff4zwaut4cMh2wPNeMvLuATIYoVCx5sawZcCTUg2WztvG6oKqmBZdBmUuVw8e oFPZPvKRjT7GO2Plu89NM29FXqIOSUG1TdbPRYEtxE20Lydcr1EjYCK2MLmk3WmgioalD9L68GLk ZGi+YFCklTigMv5GpW9QZJSYJYmG1kqO2a/aI5gh0KOcdG2Nx9qgfhdwVPv9/FoJYdm7tyncDUSf 3t4AshCfKkf0eAEw641mAqlCzWZ4qy6/MToXTNuSGTS8hXbxPrraO9FmnD2AkurNEizm6HNCfliX ERLTj3f2oFo51za5UgJh/32VMqgzq2IvpjU3DLLsgxoa1yyrqID18aK7WYCfJDDojYs3qMsbhRLC BKubMvQ13bGm+XuFTgo1Ctu9rcy5/SmJJtRTqYQMGnLPhyHR8HPmmcXWgTu/dyi506FXlmDC6Eij LJFKE+R/1/ZjQ7ZePntiBK/y8IpJdFu69K5jdq47Wgttz2aAjtgGX2eZX/48mjxiN41cU0auuKeL dK5EFn8wpvIzSjrh9QZzWgBMKw9xjjN2uShD5VaV6p96z+u6Nyq86lbosLfGNf8kwuWatZ3kNMse YWFmWPEN6D3wZYpUmU0UAepqbh1V7qoi2cujOF0rT2650VvqB+uJ2xYTQ7GEUt+Q/StLxfDVo4Xl 7widU2IAgWoUhIVlLz7i6Y1z6M2eKgEuwiyhix/ZcAPu/FF/c5gRH2jmLSQnSJUX0a3MYcLPEQrk 9UmgS1l9kerFOGiXSFS4pt4k3VufsYm8nWds5zaDz2W/KnCTEpFzRo80gvcTccRjd+vfOzwETieV YT4fl+6ll75SBo21wXvhB0IfatsEQHKojwUTuShWFIVSmm030b6cvKYW65i5EJ+ydYFii4IGsIVp hcc3OYYoRS6hQx+sFj52MOa2B3nYl+XrbDPWaALS1PGJlVlQgFysL4bM3S9xBgHr7QbZWCZVCqNM Ee4YCR3e37uJbJbLWrWMpdWPFI997ID1iSPIvy9KPNywEq7JOG/FbezFgHybkeHO2RrHRWF03vO9 j6w7zf2tyY8CGn3AqA08wnOzoYpj7arjSSGiHLd0caWOd4NprJMT+GWJaYFDk/CoA0Kx8kcFSMET NNw3CwDYLhf8FvEkTv07MHfUogJX1QgGo9l/oQ6lE3YEyAoXvgf1GcsGVrND8ezBq6jZNzuFOKm/ HBzNwjNHZjWv3HCcS/h89uUjVys7wNmKfnT/40a13p3NYJcgdVUBBMmOsxtN64ft9RnjhPoA8FXR 49tHWdY4yhfKH/e0vP6OHMILSfJpLU6dG2uNnqieBZ9RbgURf9yR3kwaNN6XvCR6F4L2vW2We1W/ LquU3jINGNSQ3rfwbKUv5gQFDFDunfYlhtY08ARnnNWmn9IisxndBzCwRSnpG0d8xQuSA0P5J+Gq OLYEPjU6s/AyCIoFJnf8S/m1irCUftnEqyffunaWDjGVlkhtfiLr5iMYlpXmqcdtyWjivQOkDAL6 QOpjFkWR9P9GsplA6krkuJLzv2o7KI5gNTUPd+GqhosUPlpmqEysJHpNJvhcea38c7urvYQCmlDG yadZLwHODldSdesSmazYPY7NeYlOs9P7rAPKjxOxEKofctF0a1q1ZpIZ1cEIi9xORDSMS2TMh3Re uQPzmSH0mQvGfJIUP+GUIpjoVNdZLvH7K3g5q1Ra9ErnAqeftNVyRlL2ZP5zrgDE03NVcET+a1AY WKi5PBqgV7ACtJj/QKlfj1gqJ3S49uKC/WYGRxgaoDvyrMIEWedEyEBfkijM8UMDlLLSjC8RROM1 GnRkfahT6F+po/QKRBlfEQo5uN+n4IMCe5qxjj9QB1K49zgOUY9Mg6KkRHx/iPzdFXxgVz/RGOGW /5ExNg8JTbHooo/mpy0/0+CTa1+rEsu80bmIep0wkZ9YuTYjOm7/4qnVQKGfxvZM3LOUwkCKpmvH huhG0IfgQWGuGfHtQMsDo5PffqYddtP15JJx8Ur3eLaEFc2WZ9HTm/d4WiZwJ0+Es3L1EMJLfpyF Kw8lEFRiXiDMIWUq6NMSjoGNRzRYkphJUE/BUu/tSMJFPTZdMGqhGpnA5iUlSb4+zu7Ugv3qhfvw 96xP8PNvgQM2TfKW0cUkqzhnTmheHTOdBKOeRfy5D4CaWHHpsnVtEwCgfB+yTobM6CFp/Seclb87 ev5msPFGdSkZodOzNRVNfzbjSG9A0rXWQlFdee+QA1d0LPdZPLxVENorxEpIOTc1cLAUhQ8Y7ztu rh7g0P69FQvs/hmSUho+4/wZIP7LQ4C+ddyXEn/GJOiowRIeIgMIZU68ij0VRk7yPt1n58urcyb5 N3dbOtRLkF2KhoX5hhA0Kkdd0t6BCLQaJYRxyrPPN/8bwP5df0u9BIP5GkCUUGZ+uYe/RlXBbZ+1 7sUbHM/HZtWC7GA3zLKzsEkX6zqLynU+QSYav06cqFHB8RtjOjrsEmZmbvpSB2cm7Ux+89LxseiE LPRAgg/FCkXVi1FYqs221r2sEcUaPsv8b/1Wpv4lD6qPyVGMKnNGIy9D0ZCFRtcUgLRiZ/wVQ7d0 OsyRevy4NwytcCKoWlC0CfrxAeL9gUYezQkcWPpf40grClpBTztcxW86zXz+tt3yWBs+QNFMAM1D /tb9mDbB5MjUzJofOgXewdtTzaApLD0oNFJwSWe0TfI8xetdQhl+kPB1AOZ/2CSK/eXbphVrB//5 GK06iO5O8A+q9brVTTadGLildsnCS/AAZflX+gHfIhoDDCYhTfD3DZd7qNOxcYVVeEr9UskrN1Tx Cc0rUm6GIBJEm39cuvxKWi+oH679BVlr93CvqS9fiKFFdkkbpqGAM+SdnEfxYjO4uj/aMoKkZ5xL 6HmkJBDhB/QSMHumxRBMghgIVbIx3wXmssktCMMOdZubqAKgmxm4t9KQAlmE7kWLZfcwtqHpY0w0 Y+xqCzWjOKqWAR9vSVaC30/81wTa9i+onaUkuhwoyQAuWgMWGKlh+7Rp+fTZNvhPjUKagMGV69bL Mpl1yfSxfL5Kq+j0K8n8epGCQwJWBbFEBTs3tuURP2BhlvtQqP2iLklVVFH5Ed7FYfClhksovgQW bVGIrWkbXoyS4OUHFMLNLi+806YsS4j1uwvhovYt8YtbyTtRFC7gFFLZH90P8QJjCxIU1H9diXut BhCnMJ/ceAQxkTqcRpAk7lCgCrvPJHcCVZa5C+l8lmsYvL+G9Q0+jBuAjKLVFYIXaVRP8B3VkYRV bq5L7Phsn+4GjvGa9pjMfouR93fP+s8nNxibISUNyCDscijm9kHEHsNxViFa14HfhOJBKIFiwq45 aHtYHW4BxSDZpwAuaJ/O+W8YGxjFiUjBk//ond3w4jWSD2F46p+5qeQiQBnlTeWctbHstnrXb8fw CCDtgB3bnKtfA5t24+s4WGkoetdnZdWB3HRyY2vMRgnjFvhUfKeSxgNtwP0PGwipftZzdJqM3Qwf +AsOEW0nlyZnsL3VWxmHUxBEgvJuZDwdAm3PYR/q+8bq1u4ASTvh7Gl0TJMK8MGH5imwBFDdf6n8 zc5U8qlz5luwbwOvoCYZ+jyvvjeWdrFIwuvLly/nNCjW8HVwXRhv5ve89VdaYb+SHLbEXutkToFc XDHjNBjctkVtZwBZ2TRABgAmF+TfBn/w2PcHwHbGTlCOHGFxXeyvWsO0UTUdv0H/UEOXHWfkQSWn ylnv92uzFoHfO1+mEhk2tXx6aS3oSa6L4Baw8COr00eFH9qLBK/AcIzgO1ZAQsXd47+IjJvdUu8G Jn/TsDhtHsR939eFuLQ3kVEFs6g6OtV0faj0P9bCJEiy609zCPOZ1k9CsiuCWsrA3WlbjvhAWpQu RODIBitxI2k4ha80lgA60Ao/rtx0u/Jg+IJ1ywtE34O92l3SJqmIQKcUHYbHfdpLfz2hS0zLSop3 byI6bJoNLTW+AFpi6bWOV2OsOf1zElkidb0tPRVDGTbDqi8tV90DSqo1C3+sUwPU+F/nDptUPsU8 3jYwnA2qL9IPHqjOB02O41qbT5n+bonjmID8vcBqyJykWS1l/BeA2t8Izcnkz9BlFOLRDGU4BnpZ SiesryJ9+j/eI2qqp8dXqWVgDIbdjnaFAKckL4erpqvGBYBdQgNgH9QMcKxLw2Zq66QoyXtiQ2Bb xfe6viEPNWwWGHb6zGDKdxmgzx+s/hH3jZYwbnK40GimjwbLUtFt5axqthWGWts6JRl+NRzK8J6z yYP2BZOphMyHL1Vv9MWbH0U/+rrjgMf0a6kSHxqtBv9K2NSddpxnlyKFR6S8KlHSeldB28xlYm3G MKXw7Lxy08/VCKSbBnsNAbeJDs3NSj9oHLh0ck3GgEu7o7cKsCNZsAVZFGpmVyvPGaVAS3LActjI jwiDDmeGfG4SdvuCmxZL39qWzjlCAakvOCxdRAjCsWnAB+gzRlBlimZ99k3+N/EzmPSpFgqbHkuc hxiv1zsGtnyUibV3xfhV8dZkz2c4tyWpel57jNV3YGqjgTltTE2Wpq+woy4P7BcRaBGtAjBOJ6F3 xumVP35o3NT+R1qNr4sbYctVjCr0tVVgeWjpLLLyR666oj4N3PlqcolGH3bJmAMZR6CDuVnfaEyZ ers0yUJ/3CImdgP72pdRfOD3SUVuDTKFg4AdMObx1WukEE9lVEiiGex9PbRgpobERfrVOnv+rRCJ ATjoTo+PydQaDnZLCQ6Xtz69MzYxAdurSRMmu3idRcpxwK0KW0dN5PoUosYzKN3YccCWe4X6Fkv/ QbDNhtnuuPnT3srrDld5pEjuzPJV9kuKdMQvKQm6b+aVNgQJIh9OE95vKz0BEpJhX5Bfb5WUxBbV xWzw12hscEWet9Q1d2zs28T2Bep11g1p8dnIC+QRVDSpgGwraoVSR9BlauXV3FHKOY7Ji5SEQlCP le4Tivf8ByZ0Bhc6s8tpyB9h7qctTWYNaAUtpQLHQNlb3v1AQVj47djA1mEU9VnpHJ3BwhgQ4Fpr dnMS/DBEZTAtNeISHDT0/N14VIYmLMpd3isUOnBvzgC2wtZkmgRdMFKtXGUtY7eR3csHKpIlp1Kd TCI8SFKURLGPu6o9297HlNGJjw/k8jheGf6V0vkR1cEg9huqBeY0yyOYPFguqs34ZMNATDY4WXln 808oCQ0dXOlCuQwRflmvglVtM91i1onXxJFMfhxy6bEGqOFMPM13454DTQGCo+I6fK3ZLDiJtVhV 2rdFQxURLJUgBwZiCkRGo23YYuOI8GA+k1OUGHhtv5fZ6Lhfzyl+jqoNTCg3QiV/29dCZjQ4UX0E eyE2JRVRh1Mg2huJQG8dr3mxfiJY5msBwYmlvqFWNnbFBuDBdb7zwUbzUXA9lrF999TjosCCxet0 IOrbaIEoMgZW0kmGUejS+0JvKrz2NvTY7AYiA66i+iCbOWn0UAcviMnvLSQ6mpKXuWVQWdYjl7yD 2ZXuCD4zanz7hrx5Ro+FTcp5EcW/WmvEJxXz3P7xFdANzmDJd8kOg0jYk70ryR9EwKMBAsQDTXN9 jHUg2ekwpfQ11I4U14DidKiPS9cHlpsUHy2jhdnppdH3Ks8YgBdNjaJ+bA1SVPu77px28x0qBFoG HQTlAduLPjn5twO2z1Q2jpGOAxS+5sdIOSSVBkDSRlbcpRCgqT3FoN2PLfqulgb7oONK+XJCxBnz pD7LSglTwEvSFYHv186pNNvmQMMhBts+ljGPUw/gKP7XCRSLeWBa8IHqf5cCK1XfxH39mtYkRMKY KGyL+ylgXpo4vl0Rngvu9DVRDYad/Asw4RPAb+r7rAlJoIIfFi6QNt7jHz8o92UQO/sbBR5yR6zo KHaYlQp4Au/4UCjz8qKEMb9qJLhXJ0B+zyQrUWVNqgwOoHh7ugXsoTQo+AgkQUZHDYMSsNsR7gPU q8saxLt9vZOqzIfRk7WbLCCNcapzJeeuOKN/YV7cZ4blaQr0g3eMBb+cJ2VBG4Q9eub2rutcaKqn uqCQ0u3BtOrHU4G/COQIeVUpU25VeEmNHzuCsUu75hlr/7DFAIYiFde1lU0Zb3L/S5+7Ut172Cg2 2NGVInHPAk9k3CLJApXE3pYqcdjc/Ri1Ep74EXRkBSRAAC1/u3hlK4mGOeMvz7uzgZ8quH0wRVSx 9s3H7f7LLXo+nVvkqCbDwsBvG8K6ZPMYbYSz0CCdUfUVMpFVgvCzEyGb8WHkOv1QXVNs+c25Swng X2k7ZWMIKKTW26WUEL0l/Z5nBQIkpmrj01eKuDFXGsoGjPqeQpGholpm1bt4X/S/4ai4WG504qcx 0dkWGJS+HUqpcZlgt8us4hFNs4zz0DQPUR4Jg0iL/OzB6Wz6B0naHbrPRhs4QP8AWlOSYARo9ILr O5c3m7otmAAhQaQKdngw3712TwZTGXJ6bglqOp1mF/JBwl6ldoJN1pXHFM6qd5HnvH13C0wX825q rwi17h6zt2mEu5eIot/bjuqfrjeI0T7KRVxlILKifKchNexICVJGWwWxEED+4ZP0EKtmdiWVNrRq bhTBRarugd0CrPlHEmYfeTXAJlwsWPvDPKpZTlyhFz+RwPBzyb7o7ChvTOi6ab4Wj8iNXTUA+z3D 1bdRix4KWcpMOJv7ziEGx2IwgM4TzzPDU2gr96yL7cv89C5m82otZzON2EzQa+Iuh7ZDV2ioj+sf MRDOU4WvUeslGC8Jns6vpQUj2+72d8zAS/sZkDpBrh0Ke0uq1CPppFYMtzRT92EhB2uk1UNjMCv0 2LEg10CEeRy24h4VWxHqxPyuR0IMYcW05HDF+pABoNstP19T73yqT6VpUlHH9GJGpqqAX1wnt4ty UpvrX8ArOAIOMG0E5lc9A5jG9EE/C5N2M4PFqcngBvknFQfXqRpoQ7/ggdrcv2jA/tM7pZdhTUhg vP1HIFRZm9g54+yD8kysElCh3ma8EKPflYzrTysOFead0K7S6qx2lmQoLttaf30V5WDSHHRizuy3 YfMjBKFMbcJJm0sPqhRUqfaDgkzcSvKApuc0bkhFLUSGd4u4t3MBVTiOqwS4as1TmkJMRJT0sNt5 PM0+T3n/UP1uXr/VjxgjOVUp3m4e03373E1GnzKoVj4pGAC9QyaDy8iCqzrx9TBf7P7ftF/TC4uF EJT9HJzZifppNRP+iI2eo6hkQ3OE6ps9SudsWkDB8zAr1js2xF1r7tkfiIOMxoO+Ai2IxHmh7k8i Eol4rq6G6Lq/NJynpaKZnmJF5OQBWfth7WtXYUY66c0gHBpoVTHsoovfiiJA6WQyDOO/70/WPc/M s0ML/9m+mW9Cp7tINv5a7T57w8yUGZLfEABxZwb2mBZZu10yTyw/wsX9ANkMY4JTRxOnq7LUxGD7 23hbqce1ya2f84vnhP029c/BKbwuFOWmWeeIethzosWjyenkUh/4JDWMuFDxbSU7QsKaeOXDhFiM +trxgj3hFPU81cMw0eTYe+SbvaKvs7urTjSHLNmBHe5TgTma+pK+QtKJ8zvmNjZ57S52UaNUtscf wgJafaccxDGahHpIvcTxHpTHiM/nn3Lh98o8WpZSo6qOo0i/ZcMDRHbkj/kZE4X2ZL2kIBM+Jk0S FGag5udurZTezGvkbfR4M6PcLGBGDsxsK5DL1RTCsGPttGyCseA1Ww0GU3krQDtQgh1HWH9vIzIx Qu/2cb/O6BBDhIUUZD+Z3MNDD0pJD8rmpW52Lxy7elmuPvacKoVRFD2YdStKFf6fTglSC7mZgFIC qTx40WS6v4Q28DqeGFxe1N171aXaG2TUV0ungtzboPpNxjuLYF5clDRMlTUqtSrk4iKkv1LqcMpB n7LSXjdShjeIpBvDttZ63e6vmwhiXyryBoz9n1ffdsKdbgD/s7U2FrOk5hYdlvQX6hcfgaTwC6+q c6cZsKUJoMCk82/I2ObXI402mN5BAALnGd7aVKQKvpQvx2VYnX+gzN4jU93EMPw+miD5xKoxotCb 5GXeGTnU9XUOJefp0iEWxsEXJX2SPwO2PXc4Q9l2Ml/3fLvuCkXF5kr+d+ERbP1HHKWs5D5YEy8A kBI6duXMdXJNX0uisGO7Oa+8XViH+5yvC/4MRA3+JQhbJ7JA3BUA3WW6lqslzzYYVBYFxmeYVurg kcXAszL4tbRHrhiEh9p10Ow1QmJDk4fMQxmbWU59qEv0DHqv4WfuHXdA33jmD8sp60mRy4nc+QMZ J+phtmI/e5LfO3MBmBbBcEADyrD5FsW1ZNpkqcBdACWg0CP/pwZg5vclKY83PUth9Q6V6TXIIbaQ lbXEQWNsa/5l2+l6jMBebWvzNGzKaiGHTH4PgsaMAfQQ6sL7EaKhZQP98DhLx29/9qfpYT6rCOSG fS241oH74t/4fDOXYj1ToDybSkl9ImeiMRCtd83RjyE2GggnBiT/w7Y89qMtE94UtyELdQjS4BCw Rfgo+YfD9SOEJW5ivgwOskmFq+Kux1EFUXFaOcSJ9YCar70Mya2ITjaq4KJ+JmInIntvdF2ZeziC vekWJfirXmPEktKdrxPsNeOIZmuAV3FB7mwMu+8GYuUDJdgCeLaoM+ItgCfNPEL+I/3+X+jcCRmv fgsZzCazCixL+UYPeLlUPucu5s9QxMwTsJHp6g6VHAoumLxB3Nqp0dCIwx6m/SQCr+VApzMlO5Hn us5lXb0JlVPG9RrnwYZtcbtWDUPU9xDkaTgGGQsVaa1qEO7urFFbaL/kdGR9d6mwEQuw+LTiIxDF 9P9QQu7zNtw8+lA7hr/lpPPxFQcwz7VV7GjineVK8+5FNdkBxc0pnRhyOBYIU1l3U6pq4Ugdd/QS sA5cHk3Sp/gONG69ZT6kAWC8+1nhpzR96QRJr6ImZcD9cADodgQq0Cqe6a3WS+ZlA+mP+156/jww kZ3M2dsgXuCBRDXMZNMC2KAmyP22HhRFSqOI14y0p/Bf/062Bef1LrKLQDmBNrMh1BThiniLHtNu oQPwDRlpaf/Q1feHvlCQ3aQo9xy2q4gPCv0ezBApL2IosSWdo6lkvtc8st8BZC4n7qKTLh+lFoc+ z2SsIuiBprrNlenqR8nxVo/TdQslcqs+n/iWAmsyWjHUic0TIUT/7v0Zk8C4iXUZxBx1V2R7q92r cGeEw4n71aZKDcjOHMjFXVuccrW5o5NYwsQAqLixXbR23TdaAsBVwnHGUE6IGDV/3MuspaHCHPAA J1rEjuRp1z0e8dVAptL3NA8G1dXRIMDAUMx1g4duqysz8SGxXwlNkDd9wnuaoCBMr+4wfiTKWEoN vlpDsRdlATb/Dgbi9r9cPbWfoaEo7WWGtisIjtPvaHeysyZSqJ3yn0oCwzL25CljL4WgVq+HeFYp juq/hk42EkJXcIVwARkUVVZOypypfcXZoCLhDTNBWmVAnFFlT4erMAns/dUJ3nhSvTtijRlY/4sF 1NglMd+obw8+EFAGC2ueISQtlbopngv19LmHPXTgHV/2Ew4I6Ce/Ne21LorX8VIy96TwytzbHBGV Z5yDPZizNfFhZtO5cr3L9zpCwoZ/20eOGKUVDD5NseIqjoCJcMZ9hiI1PBNUnwq1yYpfpw1vJS5N qyFDBLZVGo9bOxO00OZWyBG7nXaasvXXjjoFkVYDjtiPb0V4xuskRlyAn0Ah3bMDyKg25rict/hm u8IdaDjOZbQiUMYJCTVLcpt8giV6Mcyd/wh1RHU+nfXNoh/t/QsZpzIbMveQdZyYd8w2NPMokDjk PtGSn/VuJe9wEqSoYbPSxX+Sx8Xr2BFBJOcbtenKVwvBxCrkMUPFr4sQFcaQqo0f9eD7tzNrqGvj GFgF+n71F8Lq6O4i6SJBIMAyX5TffFLhccc58BGNCvZA6KZ09KMUs+QpdNOFrowECFGp5Wg1qG8o ol4+mWFK19qYfXbaob1Mg+w5+I5FjRTf39MUW59yM2cPPjB0EP4/2cfH0LWRkp2RFKv10fbcHOdd g4ZTXzpJrVBHYfTEq8J9wnUONpcZn5DI43/UvBzX6t9kX+AMAYn3QxhtV6FCQBzhiLorCsxy7o96 /k9g961KNUbPc9roT5AnSEG031Bl+sxBrkctUFyu+pLpB0x/1hLbY6sr7FKpPtdzR7u5dKdCcmk0 PuGBXSFTvPxPcnmtquw05Uh/VMUJWAqHIsPbpr3M4+wQ8A1iSV9M1gMqZFpQN4Z88JaObCfM9VA/ 69eayvPDfmdQ5ZSpyK8r4aFRvDpyWN9QRS82A74qppmqx9GrBkJjyDFWnoosxAuAWpDIOz5c3TQd vYIsK2UtFmHLAe7OqkL1o+iikIPXIOeKK8TGCfwSHSVVIHMUxOvcx6VDjXFFRQds9gmpRKeWYOkV r3M7jjIyHAmwTHdXdCkfY7CtSPNIq/usLw2gLreOQ2DZg1vTnItkSB5zarEpStWtMSwdM5nHIVsb aTr4h4F+XeqPNWGf+OYdO0Bm0yOaNJWCRvMkxpNgS8iSZQ/Xb7xULMkk3tdnc4MT8oS0YIaXgVwg hp/8Rw+k+/6PAAG5BK1lvGC66BsVJC2AI4uiqL0kaNghkS06xJf1UIe3rr2DMoREgt2ZhjqBJ5jQ QOCod5gd5ciqrgpxw/W1Omok1QUvRt1g83AQBNhOI6+F3HlPcE4S9jFfdv1JQbqit1qGiJo6luuh 9cVniqZpRT4oBrTA3jaI/6BLyr3cTlM2qe2E/7MxNRKKc7vPutVhc2lfV3zCb/OGKql4AePHUEGb Wbusl9J/LwWGCkarBzxDcscM2WlvkXZYcT84QfEQtOfp1AYum+sb6yZcWOKET39fEmV4ST5lL9lB FAhFM0hqKWaGUDgdkMKe82lWwmaez0w7fBphF6IcjSCxkhaUzPMw+e62xE9CGgzg/v0LN80L6eK2 93qsVxVLiQdWUhfVmTZ7TXK5ZlvGXZzGY1GXhIsTQdtxEMYhJGvT/Z4UPmBGC5+tEGSzUFQjw/g+ OEw37Uy3kBaAJjcIsjLAuGxwOSjg/RxBlXqrPq6GvRovvr8OnPcX6sFoUrukD1fhM+jMBBN9YqCn xTzW2wewmXBZGOin/qZIfV5WvCP+NLTLduJE5kpJ5LM2wJ6lnZ/sT7+GfZvWnCixyV9IKk7LKnHg mny41yb33Ybz7uMuA8+Ha4Mz4vneG6a8EnFm9TtmjFBq7n+LcZeMZB3T67TZWuQfDGfc2H4PgjYY fGArZuCzK/lcU09wc21VINNriegaBcZukv7Fdb2ZwM0BCH6uFXf0rPksPasSqHZIMriiZmJXEsbT fBVNakWnads7vzeptmMAUo4pOe7S2FDq1QI/ynLSIFHyjjYKkJzofE6cE82UFiy7Td+n9jCaQCr6 cEZWmHwniIRNcvuGzGvrugmRx9p19asJv8Y0lPhCXVxjqGWG1j5HtJrcQrM6OmTSLmabWFlS0oFK akE+dOOlkc3ORtqi0kygX1/LW3lC97JZEIZ5hjiFY+9oPtncoJoBkMBCTTY6EFY0uWggvHq+iDOP 6LhsxLUIcwL2uOcWU8CXvXTYAb7pP8wuIzqbtPhFE0xrZOHB9XG9AJun64cF6D7lQ/A9DzEKziY2 zgmrC5/8noB9A3lTTfUYEBWrAd9Dry1P/UCASskzBjxFSM3szxBGuPhlprsxdtDAPfBLQ2UGmVFu tuHx23rah13AfBQ6SxZxvzSanPmddcymjF9+8SBq/D7XTDo1btUgNA904uBWPja3EDELRw2mxvF2 RQSJuEsAcY/nbaBkTGKnhLKC7ptTeF55eOf4WUCqEJeJzXqjg8YQ0hhMx2rE2/cZa6IAr3MNy9Y0 SkRSY6vTfUdP/gNynzD9g1VDLUJaWrTi0XQeT4bMMLdkhiml337/m0TY5PFegmqqGtnvhXC/9/sp WSZodGfUZvLhy/VWtgSal3XTl9+Vczlxy0odGRZLRcY9EryGaaBneGGrrFhnWO0EEJbyIbPTl3iB CcPGXbbiq96Bn6bD5zgMD0IXNnMKDaziqxDfyMu4HUYGIqisMA+8j8sLXTUuLnqHyIkQnZ61NyeO ogAfQ7CubpMan13ufPezCdtiZhHLKYUynq6H2W0oHyXoAT2BmUN8YUiBkt7Ds7QbP6EJFbYrs21b vi8/dLx31ay1YNYVDjBQCMkQTQuu3dwQkYZA3FlhBA8GojfKlfwxk8hXBkERWe33rqhKQzjRQc4e 7Kv4zdPX0JlMyFGl5nonPz1R5HyAdWXyV1ti9+SM1irq/04cKEWLaem7wUId5XVOxE8uK/ToISAr lQoRqB/I6WdODJVTzwudOyehXnv06ieZwGyUeFm8oz4MwK+Ht01tdR9c9aTdSTONcxNGyPWgdmB+ ITCN4q4m8S0Y3zQxDsxob2E0l+x8AD2AQhW1f9E1rHzfT2VHzaGsYDre2onSzyOKbYxEpFA27XQ5 SVCWOD8qCX4Z2+wIIZx0oWYs5rFbQE5SJCbgDxrICPrzUjYoksc6ocMXPXSZtx1GPK5Hhf8/GrsG qh+EsV10A7k0/o+s7Pxpb8OKfK6KTHAId5NbV2CMDQPPjbBM3QBDLx55b079yy7MpFl8rC50G84M 77mkFHYPlqs53VWjLetccIREdxaAvWdcn0d4qG23EviSR1U8aA4M9z4fZ45DtHg+/qMHSAqMI7WX oKFbs+s90tdmK3mCd+0XFNqZQsfzhVEmv04CXS8eKqaZIQr3SypcbO8XhUvl/dUOo1X6BY6iGMPl gxJHaMYNXHyW/N8q1oEdJ4PwTn4+eviDtjroC5BBaUYrLpUMN038r5HnxOXglHuFLl4sYOLEIZNQ U835RDqTS2h3qeKYDzJKsXOooKvLdDjvDAyylBLG8P9wINWp1Mb4H7beHMNOV/Ok/l+x4HBWpy2W Pm0MvISWmtvGCJZNlvnmsCyWYFY2y8hUV94ze/XmjAtW/wNrHscBQiPDMZRY15DJoh65bZbLbYx7 7M8ZpSYvy+rGaNzciZ0klX2YgMqz87dlU7AxQfgjjYxCcI3jQPNANaIW8jt8ZbYvG7yMqSLN4Ppd JplgBU2gWGsRfU97n/7FO9DrREz5SEfW84tjkJioFBYyr3we8nua+R7InTr0kYT0eqCPE/6Sesln knW3Npwqf7CCTUK5ggNg/qyqoGy4Bc7FITIAISou9T/8w5LKbgkFhW7x/rWGphJwfew1if0+yhFD lllMJxz3uWeYPMDFFBwM0TnuzvmMVYcVOu1w2/GzF8lTktN6yzTZwGO7PLdO7OhZ/hlzlTTkUjK4 0V3/41GpaknjCELuM5hp/pCtgib/iiSLoGR05qRCx931hF5Rp33DJVH5UYXQmoOAqPd8+PNDQHix BTeYykkDmqrO72KvlS7HSFx42u1ua4hgqc/xm9tKG5OA7jK+uzdCesGmIrIeRMvYti/lajdwOs/V N8L6YnUESDkaKLPJYEu50S+iFWgiyIubSAeiY6qx8utYry1I84Ta+7BEt2CBS+623R1XgewtAkiu H4WF+if3WyVYCPE16G8CrQN7Uyyg9+TBjTze32wDJFGmYjgUY6a1U9MYd6FSGj1gncqtKqgUdClo VrtqAaUvuu1wCc4RYJoX7+J3jmhNyRvlCO9OEDR0UHdplPaKJWKafuJal486/O6ES+2wHiUhs3D6 LV+zy+9goQ20aFt+8UVHK708qFL5rZbd6iKF/pB5r0ri/V+x9Zpqj8mOGXsxUVy0eLbcPiB9I+sU gj0ANUP8rukpvj7q5+ZjWMk1Pe9/dveORr5BtnUbZB60HrLVreq9In0CRPECv0gFs+xVGeo6m8s7 qAWyfWB7ztauFpVl2F31wmYmCJPxF0IZw+i1ciXTXTfFMQvM/0c2sVKqB+iNydxk7dY3mUwCWeKJ BfmGYi3jl4zBgk70QfQetG2tMUDQvbYaCXTTuH+1RbhqpLKCyXGg4Rnyg9dB6FyPVnJqEkqUxjL4 tI3b44kp1i1p6iCybfCDHzGXbE8lvQ6fPYaayzDKeGhVLh6Il9rfDP1yLFiPc1cRRmLbgDS7hWqx MctcclhxIMCGi4YhMvzwrRero9pgg/dhCmGYtuCuQEH2F1Kot2da31Qj2Jkj5gXqAiWcoEakdSjp gZdZBfssQRizWXQQOqJPIK3YOKwEsb9thwv/W02jHlElFi61L4tqkf5gMrjzT/3Qp6QwoHkipKmT eN4KYTPAi77qEcG70ZQyLlxMs/H8DVf6Jt1fYwSNdp+rGkiYGAX2byYMSBttk8yebpqrt+sgEiC7 2iRmMtL668Q6sYebkbwFxr4T9YjSSBHUX4FTtDa93yWDKx8ZOP6X02BJVed8orEv0gekpmytC3hr kb9Sun0wL3VLEyWFyse//vTY7IvA3a5ts3RGKCx5Wa6RvGiwXuiqSupEWcBh/r9eae3hCDB8I697 RjGVdSx4e8CnPr1wBEvL2gXYz1lCL3sh2N9tSAl1qpvrVqyqKl9z2smbJi2+UGQy0PIbFs5ITGRc Ojl+WvTA9RgnAAZ8gjxhjAa4NanrLoLaBy12peLfHuQ1RF63rIRuPahNiVPhif2Yvdwj7LMvBRHw 4NlfQgdsaAdtW2UZUpYKD8mPUnQJSZNPs3cajNGOFWOmbB4NBrA8cz+NX35/ij9y9gFsRfbhhJqS pJEve92RYMi+VtpLapOW4vbp6OgnU1QqZW5heifglnv4evQRF448Er0PXlcDfybeJgWi/wnLsGWt A2OsIf4OPdffZ8eyV0H9GUebuMxyUKH0+PnBAQRmPCFkR5stMjPsSgXjQf54XhES3Haw6tEhfJOy 4+YVPCcHLFYQWQuAZCMJCpLWGzxS4EdtHe0AKXl+HhJKlTXv/6tVf6ar0raYT7CwLfwviaW0QBd3 lbr8g58TmO0d6Yxyfqv1kAgZG/kSJwlH3VsoGBO9GWszToN+5TBtu6FrS8Dawn1TKW8cc+D1gG+S OG633N15Wfsc6/WBtVBwwmm3k6MhOndTsbH/F7wHdzSW3hwP6SqsLplkxfGA8AP2BdZ32fjWt8ZL b3gmY22LRq/HXn72rUAqxMm8y6UEJMnecrmp3kXwyCaSbrWaemykixt0T6TwzBFfev1AQDswrK36 p8eySYdd3TU/a3SH8FeT+xYfMwY3hwrFpmMoTGtWU2NYVSzE5MWP7kWB5IHpVrkmvl5fOvCunDNT Q+lRB2YvQBdHJrzfjDeyA9JwbC5UcMcOKjTuVigzO7+Zjh6ylQcIZAZP3NYySeK4OXbudZ8n4l2B npCtXl+gPevut79XuxfBYcKeQTRoTtsMHRI7tw2bunVi4fe42HzRWvNdiZcqpkDVlR5SsLFlAQW+ C3j3K5HgjYH1th/ul4vaaQ/hihmG2mSm3lFtVAn9apxQHXuXwu4qwWx5MVweEdFcu7U7vjhCzNHg 1T3IxJ8SgEmYS9Pe0Iaw5+WB9GAnHE8gHVCW8Bo4oCkYrEoQczj8skZ7itsejQSrkYyQ+Etxpds8 wvxEvXrlj7mc4XDkCMdwQRKKKTkLL8KYOkKl4L9FVZCzcHQ4xvdW0u4JRNLeGtIbQ1UNb7I0c2O+ 23KC/3x8dBLdLVaENPLckhdVsYCyN3xt3ojlqgL3Q4ZmYyKZNachHwapZTat/Qoyw2Qi1LJcOF9Y Q20xGtKXDd2Cpl7TnrlF94ImMKcdddtbLEZDqja3czeZq1WogXWmht9yegS1MFBv3wMGtu5723pn bG32lYH1/enDFkl+fa1hs5TgHyObM2evqCJAP6fAyz/A4lWhpu+tAbKlAvomATdmRsWFMqLZAskh eSZBH45CRTfoO04gVvzImdeML3kPXczgbU/rA7Li1bGcJUFfPhSmbQp2JClZe6cwKaM44IckzoND wNd0/dHkY6IK/oCn9cONxnm6nVMb59zoWzHa0GlmSf3zfZPtanooUCwVWNwooh0rHYqA59ut5YZz IZyXY4cj6zkZSoxI+aufkkE8jdfYrggPbTLCC/hOYmIvZsqI1k9ASJJ5YCoyOzh60SS2DflngIGo 72isgcjo2+krFNvk6FhbSrTdFnLmm2TTFNBGh0qvusFQhIotpp6hbd2QmKR+0PXo6dRREpCXnfCN dIoQ1fQ9h5olnbQ+yclop/V/fH8vgsQLFTfc92bBxa6IlSGOj7e3ppAUtx+YbVfTUim5Uvzd3Lay w7J5HyRGZs3QFAk9fdbdvaDB+jQgQ9VJSILituH33v4ZtGpo0oepHt0euuE7nMwEnyoysd4ZEswY PE3al/TrpPTao3QaJg4IZI6Fi2bnYEeeGe/WCGlIsolKCpmVP4HvL4ro9apGGgjyimzgSSf/S/01 JJvsuKq/TQMeBMiqX6EuBL+9GgZ7L1mGcGBWQAY3ZqeEHzKmdXtmgQZxS61glFGfkbTuGhRYaL3v MURXbMX1WfFdLecw5O9I8DKPh9POMrRXm9BOMvpZRzO6S9OcM71SL9/NwMwNH1zgVB8qIrsBk3dy PgBc2OpYC4jl+if4aod36fm57TyFTg2wy4pbE1vElwKFkDHm/tLOjXVFU/7JJNIuMIqYZLWqLDOo FLRcf2PcJWsnwWVa7wEG7qgop7odNE3GN38evTnPmN6Zkgrkld2Sms5S5K+dGrTomNSVpLPrXJN3 G3rXstVCukD1vJaKHLAv5G4wl9665MjGZFUuM9cqP0vjlW8m0Qa2MxyTLe2cuIVdd9DnzNjocZGz ZTMfwoRL7o8vq8Ceh9T1hJxjib2byh+3GS9H1cRanuXq2Y4Q2HwKpf8rM2VCmwe+t0ZBQtdvqUy4 3cYPDl4WtqLSZz4Enkk26wZnQ+lKbATzUTcXAO15jXzkNi8yh0jXWBVb0OgqyarmUlcjkxEAO442 CfACw7NhfAgY6uEdOiGniVqD3b6KF7GzVmgKUs1uNG9M6PXZ+nUpgyzgn1k00K13rwxWilN5jF1S k9AYf2ZlCd7FbuLJAJrDNxcI0Fc+8jyu0UdUF7Qw9p3b1KVSxH5jZyRiDgZkGJtpaRbGFHqzPwBW SCTnY+WW0vEOHQwoMIsOTCc3ze+PCF83k1SAd+tDMUHVM4XhS/Cp/jTu1yrlMrnklt1UM5t5Cnmk LdO8KkvXpiL8DKQeET1CAmrJapDrREAkhancMoHsYfAi736/lROZlFG7ZXfAmqSAEvz1fI6d3JY1 HITEGNmBq2DQzZTgvVVBK2MfM0vR3tBl4aAkQ3VN1s3f2Hoiqh4lEN7Emg4jnPm7R/aTRJlfJIFU 0U1KuIJCTaO5yhtAk+8SXeyDFtlkdkWSgaacgDAtxMWrx/mWdZcD7ktym1jfLvWErtzj8RmH8bes IihyJuKRApjNI1DS0oH2WZJZyjeq3u+u/l+jclEbO/m2WV6ICrxSSqXABO/yNtRvxcOFIrgrAYPB K/Xf0kz36/0YUIBg8+KxR2+y3cr1xqJ7Quxr9EvPHmh8FeDa32l3FU5i4ORqRwPNYMf+EIfC6cGb 6BCkUZ+WMN2UfGt2FMVvUcz+D3hlQCImIkkm2OKl2/qCBDi000x5BntYvrQsvS6ADdb4lorvj/c5 Q6AIY+/zxc7NSUcTaY0F7iQAqd6AYy4WJQbFJfRBFW18vQ6MrxnKlSjRZcvb0Jwy6B5k5Ft72mBr t8cymXqJqppLSXRAdd3c3v6JqAsRLigpEwaHr+XJ50CJsTOtRYRejHICG36xyL/wJEh9HJPyoEXp Gyv+7zKxstJzjVZm0uk6+04ixbK4bXAq7aQTMen4dDEcLZTXMWG7/Gtxq/AFtLxIZkJ6m28Jqs6y yhR/Vm0JTbdrWtObiWwa+k7o7x4pfG7f1SQmQTLoIpLy6q/uPpvrITn3jLiUs/QTD/9iPsEKF2bv S635YnYY+dUH3ztfEJ+ksbKbn1Vj2yrmW/ZGZjvG1wEdpSRk2UdE9xcCcEypKSpTrR4H6jSDKbR7 q67tG0eka91JhgyXd6aE60buUByC+sqHTHXimzWee16/I1Ma3naNQByTvAyfSlXdv5GqTkWUeGp4 Eqhlp9qVkmUCNakiVR4ivleQMsU54v7wJvtYFGsWkFwsPASiYTpPYc9oZOlw+iuCO0qgA4ntX5tB 0eskj+FnyyRWH6w3W/+Y0wLOQmbC0haldZKXRQfCzJ82wvusSyGZn9IPGlbtkC/eql69HvXEBSgT 97gKLIwuhOQnOvy5UV58KC+O5uO5o0V9QSNhYs2t11IHHE5mmg0BtXLYM7YoxFNf1bzB9Znjowqj UpdDkR2LIonNjOJ53u5SPNmJV3+8pUfv8YUv5zWiuEcUBBmUXqYWxxU/CBqlA3VO9qWbZj699unO uZqJ5+8gzm+M8+kW0PhQ1kKlWj+3oskcotq8FFvDci3ycpfqoTMdgkTccCAY1rJ6TgwC3wlIxYEa w7x+gg05dnxUzAGMb9Fhk6SYT4UKfMTKZqdnzXKiY44s6/TdeRMlUjyZCM54wetwSHCuIW/InUDo LY0OhZ15u837Pqed2Yh+v0yhm+oQNRCLG+ySY+Gg4qXKCthMKAI06gBYiEKkZwHefCF/hQymrSxj nj8jraPtH/uqrZrzC+GJlSXj/blhfXBYbotfl1TzFRhMELZ51uIOgbkiz1tobsr1kGnpu3I8kZKN igMdGsQUVUeO3wD8TNbim6je9SriZfSGnzqWllqp62qBHwZ6PlKcFz6H6XaSBNV7+5T/n/PwFpU0 6Nk070DYY/HxbFggjU8eAcCa34zw8yWbte30XJ7ILBmmDJ53ab7h0vmQ7H1kxcjcuVa5Med2c1QA ftJTgQOGL22L8QSxvtsEALMNjwOEZfbvsUC6iGiGiaLs62DiHdtXP9p82GtVoMqFlo9CC4oUDV5+ LqecCs5Vkh6ufy84q6TzRQna6eZttUs28bJ+lgfI4uvwsfPp0fi8wgK3pgCmrgEJBEfmr8mQ31pM AqjKyXKjOOh+SPGmH3+iZUNzyJcxdvZJxA6crwEmd1XS+wkT49bCRbFvzcCO97ml+rMuaywrRWoc DB2o6yVI85sKXVB8f5kLevtHgN53xN3K1w8S7mpbvfBTea46WFvJ5eNmLrrH10Gm5vBY/RfI/OIL Tad0WW2m79ItLR+eYpN/z/cMcIyNKFuXIRbMJ6YU5ktMOXrddsBCz3nZKNXI+muxmqxTEg/FzFvF IAHEgnYd0+lVhFlH+NSJos2FIZcuPT2pi72+nbMdEs8XDCPzXifT8AxHu/ma3m0MA7LrDUoggs7l DmvJS4+4vSy+x9rqIrKFZZCphoBF0AC/1toZfNd4zCb6O/AxFWxPsK0BkEYC2b7FdN53nEDhWHZQ 7hzVjddxwqofIO4hmXPzRmZHNwy+DtZtZSVPPzY5mtfqfq51cWk0heYDQ7esOXpL6odw3JWGXAtQ K3rlL1ZXL1EwmsI5j5EHm1spmH1CCX+q889UJZV5NlmDTbCDukGj7ou7kRbY9T8TxhKz0amp4wxb Sl6m8JZphDwnMLWPxoNaf7g+w2ctOsEXpURxaOO2SmFIpDDWNUM5bGaRoNY7cNAWFnBUK9uH1ra7 U8mr/krIVtY3Y+dEMv458wmmnYJQf4kFcFYBFesdmgYBgKtqs6YtnwEBzUiA1MQDYWiqBryZxGFA A5N0pRFFhI3KWlEeemV/61haxxFYqo+5nRYSva6nWtuD3jfucWnVHSPYag8tkgJC3faz2Ef6gxvR WoofcBIcuT9l7tnSJBl/f+X1MBORPQvgdPN2f9/AKy/AH5tHGKR1kWZ4PtcgPoKLyhXy4SkGO0KU 5xxb5gDMF5uG0Z0SFc0ra1/etE3yIMGE225cvNP/wCWjToKsHIjGvkPVmzyl0SCyKJlyDg/7lXzw skTRoGPEcBE0yor+JA1KU9zMydaIE1E639kW/jbohoUaWnSOI2At7szEml11B1e5yh3u9BHvkK67 pG2ZNqdkqjk7firsI0Z8VNKadC1fvm+U1+PxJhsKjF8pdAzZn0S2qUAi+At8TGZQRHXqO5S9SVK1 mitLcv7dNx1xvRvVB8vExgEO5w/o3FCNuYOvv0vye5EamEXeG+LDcUf8EE1An1JKQQUSQQwTV+Od BXxF2hU3VseQX4z3SstCHTWp6dPzSPpyYNSR2TXZtCodevVHlwTvKzwTETpF1xw1rSZyH7nvCA6y nKk0LzMgV2qRUf4l4fHJIkRzbqqBohbAo2l9EJ8X/UFixnsYdxudTMGBu4OC54R0Cs/ND/b0Xvau uZv14BizCGgpavs5FmL6wsH7knsZQa8pp2n84fdrK6EBau/3kSDpdYIlCEl0AEe/BXvE3p7yzCO2 sEMEKRkT4KTiN2qJIK+rRq5r2IsVcBt8YnBOpsX62jljFyOZBf7MtCLkstVhL5RvkxRQDtYiYz7y QuZKkON8NnzIct4SeG93Mk9cVhdAaZw6YJWn5dDo0eMkNvO8Ml9xzrJFtMcrmmhClA6hMkOvrKbJ 54AHVWSqJ17hhL9o/V8P1GCrPGgux1C7e8pPUk1xdz97kORfpXwinEFZejG0rJKPXWjKFgFh7Jqj alFwYiXvVsc+ZhXLSQnYSAJlUGOEqPWvYhukHvibwVVh3+nN+A9SlraEPijb/Bi7xDhZjHJPW6JN 6+j2Hkk9bl6kyLV3ZBeXYkRKbAm9P1MeaNz+ZDry86Ny0rRrCLQCntbkX5Rz3/7SDRLptBhSup0I mZzELAS9r0i7MeDSvsG9eGhCOIcw5YotI/CLWEcHqb55gSDI0zmjc2fhW8ZYI+ZtA3ZoFBQegDYo /LG/6fZiJcqDwsRjXvGiDt6GeuVR8eq8pNH7hG/LJCUo16KIQvSh+eEbSstsFh/9soZEZlge7naf IStrd67nDXSnfqU/vfhQwJjzDpHZ+cg1ZcmUtgyEDjBVUaN7bKueaQJ/Ryk+j1XjaUIVHUX6AO8R ndeX6OUUgScErvY7RaZEVKd8vOSDRrpic6ejGr8VIERF5Gmzlgh6RMoW0I6ZlCN5ktWqnATVoos7 Bea6+/fVURN/Vor64D7vP7ui5UTRqRUDaiVA0Xv1li/l/oLJQ60d/g5ADhiwHCIsCnN3SmeCXAyP nsxrR0a8RRYM3d9H/IPr15M2vLjQGt7pFkPZGMyS6wDeDnJYSbCplEvXwCxsK4LnHWG8G7iy18nH LrhIMW8xkstvpqZwGtkRY8K0I40l3SAgeBrvX4iadImhPbNT+xcDMsxIWNsFG+5HzpjgRakjzB9K dFfKZuN2VV57BCuJxk/eCp+gJyUXw58ce32ef8KuKnFcxdqpp4NEG7aJp91u2Ras9w7HUqpjipQf Hfh6UbZLGNlKKegqz0n8DXGXB4K+Gt0Tx3Tfp1m41BerUEgtE0QYuwHdpZpJVyX3eMEehxXTEGCZ zbHa/+/e9tToFFnCAtCGlhj4ufPX+fYwf9SDxHzLMd1KT9R0yo32SCSEWOQyRw/DTXmzsBT168LS A11xn6yWQ+wR3EHWK7JP5Sy65WWnueoOIx6KGfP9nfdz3GLkyTbLQ98Nqicq+7A8IjFLBYukGUTT ySTzmetk4Oh5m06/VfarzwEmiP5o/FTqxFNerPJc4WX20cdpThu3CbHm68PDOW5JmkKWBJ5Jw/u9 ofUQWM10P2Ibcqk1nYgrUhbtjqSGBWbLYuL67s2onqlgrAjgri7Fp4iC+U2kpKJu01g/2MB8A1Sg J5ct8oa3OIad7yTvopbTkgouNSTVFDpb61uIVEvKVfKbn5m/NIJNYKWI363kLWEO51A5/GqEzdk9 5bZyAF87cr3viyTfbx/pekqD0i4B4oytKo15hD6X2F0RH8iIBXHMUPw7oAusEvkxpvg8qcjdjIBO shVhxP62ASD/ykllyOM/REI8eFb5IhczqnV4yLH+sdu4zaxH/q7HdCSrFkZ110IT8Y0f+UYXAWCk 8zH+IVFpJ2l1150iMQniJ3mLdA9F2h5txkSAAcoC1UwwYnAtcmUcVE6Ip0am8frz+WGk/ZMCZc+q iSb+Fju1A64fJAmxPr2mVaMHkTIC+M895cZ9qo8jUmb0UwQ5j2DZ75+V20MYWh8WH55kyjqOio2B BO6alnUmdpsS9zle5lL/eSBgj8jrXZH+JrlUptFF0Xi74Xy0n+nEFkWrvhv+bXDrYRSslNF2fZ5d xWC6DJEnmegi35xs4eb3ktfZTTiK38ogbbNPKH/y97yGT67mkugMkdc3r2LZ9WkiGzPXwFSTPKtN qCeRg47EAjdnndP/xPCgA2/BVvnHkXTY0sjjkTs86a4h3n1wOVRyhOcluIOgJY87yHrUpa6sEM1+ +Jt4d/rHNV06I+m9irFiByod0Fze03BdhbOxfQRnTXEyRCuGlgSPxxJRy6ecbnHegWUKVvn01ovU OUICenLUZOWJfy/pkZ+9VEr/P18PWK5QZwg5OWYX+oPQfrIkdzOccBSojocOyBaRVtkm3KXxCnsK nZNyaUMZb+31pL6JZS2ezQlT2Guj5WQKMFFi/3C3G/yfwqXnAttPBcNC5Z0cFssZ9udrj8YJjWLu DW7QPwDqHSDpDJBvaUrR+SpK1/8TkjzIvIHvYjMqNphsDvGag5C2zDbJVINofC8jn0taTHWAPQVS xtLEfmIrFvx/jhLNEnOIqWr0Ggqz5yiWkPmwTylnRvhhpXhvupJJvu9o9Lr3j5ans9tjrvBmHKC+ oyAH0oD1VhoXglZudO9L5xxUXXLApIImcYZESZ6t/im25wpIGFmAY0e9J6VdLN9uy/uno7+WpA9k ID9hT9d6P04IldRmkNRCd2zUo7VmYYgyK9N+RbMaIVIcOslxYEXT5CkKE2fFHbmLmTrGW9+ajnyo 8nkAu1sBgEQf58YjuNDE/Xk1MfEPQn2av1KSHbWNCKtyZ43EG4xrgjppX0YCMs4z9NE737LVuSvM tVg07zMJ+Qp1ONQMCxNZl4Ewhgco+sctI7dgDjrXMFuZ/PAvdONVI3r3rkNPjDCycK25FzMtmLoa 5xP9sp6q9ZG0D47QfSff4Av9E9yNeXnXgnchS0UfP1pvtZofzZwETaCY6hG63olrxzMRftJYmFto EDgxUpYiaVWJzX4HkvZsbxhBTqfId6UCqbriWHtAIPB63scX5phPDzrgCzNhGh/QP+ujW1ftaXev QI3l6uK943Tlg/M3uNkJj7hIW+CJM/7fkjo4JkEVC2/nrxU35+fG0fRQsVjCzZQl3HTMyXPshDYd vGhAIvNVt7a2G6qpTciCMRFaY/4UI5tywxLrU8wYa0t5tmdLYrWv8g4xCTq+H1DDjOQdvzMbaYbA aisdPlcJizQp3Wr4ells2CcdA9SD6DpDprReenx+2Ut6ZTjUuczoaoaSsOhQCj2X1m4g8aELMQhW FsWzncd4rhgEt4MkY9S86warfJa/HIWBg7N1iwUqgTjJFKQQt+58Fjp3S6CDQO6RwG80tYKHqZ67 Xt02w0vOyg/Nrn8TERrXrCMvDlww/nIXLfCqklyQ5M71HCBpW1ZxlILmTl/YPkh9m8oyyHGoiHh2 EXoQYSDRDquz8olOSL1eyUf7QZ84bBJD8U/xoW3fyMFCwC5dbDJIYVuetG5I6oXYka7nR8+6m7ZU joEFrTRwgKfjDwMHbIGWBnTSLmTFSrN42fiVddotNFu8/sFglknz0ujwPSO48dCV85hFBreL8otY q5HBzvvSnEzCKAEJES++UYGyW1ELMLmCU/d7tpx83BGUcHMhBq/e6E1Pp27bO6hvXnmudmC7vyE7 Fi2s/bGB98p7ZOPNfhioIxt7UdhoWj4PN4qV9uUuX2DbPAlpolxUVlITCBSNAneUpn91vT3DHJ61 9xKncHPPWCnndynXvCdCUKogs75j/yboYDf+UvEHnIUYjAVs9h3NQ1GAF59c/mwm6i6v8zaJr/4T 9DeOaja7oTKeKX3gMXESMmLxaoU+hLQzKWI39JTyp9vYSA56uAfAL1tSETzqpOjNhVmn8Bc3+5S6 fCSpWOawxBoMpRhBj5uea1sdU6H2Z9IAH1QcOPzQD/itFMZYas19F6SuoWGeXOaGZoFdSHsWf7h/ M1tWZYSSNFoxCPjQxxeSo5H53guxCJDzDekfftOWJGcSlp9srgZGQFe9m3qj9A70tk3yVcCfZIQE H19XVU3sKD8z1iy507SSSaz3anFW7gecOsLc0qJsPJMP56FToC7It2LFKBg5FpTAhwwyl3m7PI5A D92voJgflrFh6qWGCmI7wTgrCj+gRsC68am0odjdC1h+CrMnzga4e8wzSsOHLoaCdyNcxvV1YPKi cYXhdMTovBZCUxVjNCFPmCmRcQ/49NxtZB4MiDrqAYKvJ20WUSehj2iR9o1NH+t2Y+AZmsPYk0Ty +PDMsHYhUSSg5vSiYu8Q4YxSti9RLm90muZvNGsCtXsOcriB8M6n7UnaaFT71SPD6l8UOr2tpUV4 7tTAciS3OejdB3DXLuUka+fQxHMGttX6it+lmqJJIgh/1SeiNuSd6Ll871qjNi4YZ9MXFhgaSYA5 g7ORdj+xiPOMkhnhCLWKYL1Eekh6jjCpATpoaGQrNgdKmQuZF5U8GKr97F5w+9j1al4/CQRJbSuQ gnZ90h691phdocykRRqxR7KykL1Yr5bvpxLs2wXQu/e4XS/t7XXdmU8ta6vJ/ZgGyzkg14KiZXFa M9dnAlb8stcmnr9cma4u5zsypssO/ljyLE7kSRCWzb+0Ll0W8spdAr46avNuOyX1/GPMCeaxbQYV 6CsBimBmQnrXgS88paLkol/Ozfs67KODwXdhZm+zJoydOCyNMJHmaKw4aVP3zOaFe/T6RYXZXjXx TgykWdNEiASuw5SyNLeSqx76TR7EAkQLlm3l+/c3WrKJhEAC/gu1WyJ+Q4ShbByQeEZ0XGms7VHv AEOf9dEWp57KOG/01Ian+GQzpfIviVAGCAq32UxCIGUPajEsubp0qRsAlqSW8wmR6yXJcI76OZER qBrvFONjMuRf3UJ4g5waP4z5NPOHUKE+JKtN/CAvSNvRlmMVXOjgzBimyzRrWY7v2H7Eqx+BwNff e+wtlwKuq1Yiv+CUJx03IkD9Vy89Lo3MusFuwqF4XzxpCa/5zbkrNiLz5NO54E1b5mU+9HmTc9DX DIZBtcoow8T/jlS8k5z6OnPt43qXuUS5iKL7D2dAORNLkaF9tDuniKhGbwvMLDcm9K4if1SWAdgY DUs4qKc58fQ5tXDzShb78pSUnswyB523SRjUNyJGH+SIXtxLylKSGgAD2YoAmuByRLkGZh74xNKZ +mkuW+FaTT/X5S77vVdD4S1s7hNDiMLAgFxCGhK+Lnd8J7qdZPU/m8Kio3orEf/KIfJAnOoNwKgY LExs/mEsKBqx63H/40ojUL8n+ZWTxUVhckzJariiYKyC8eHg/+/Nq90j+gvsGLz9mvEHtkK+4Kz3 QV8+2SvDBZuA4MI5reWhistmTxPL5VrZIgXKh19U9oTqL93mpA+cIvxvyesKN3ZQE7SrvY+FA7jf qPPbxp69Ebb7UuebfXFqI1fCPouci6Di2AnVrDOcFS3ur74n9xqnwGDgnFyy+soCeS0HTCDaMK1e NwFotyFrJ/IdF0tdQKsSP408LtrC+dvxUMi+ufBHMmw0Gdwauik/avk3h6df19PRzFRNvROUfG6Y JaHOzl8/J5tO/cyDKBFGUGd6iRX5coOLe5JednNfgOoC7Ib3WBMEeMpA4u8ZMgpDICoqgMOeQRzx AB4njGJDBUxIxa6BrtGuIZGqDtF64gswW0+VZtk6uDlkWZ4E7t2KvNlVXFlkY89IipiEjBQlLoHg +3hQw0xPX6Q0sV9Na1p8rZ8ybcUzTbgXwrYk5H8x5nVLqQQgxNFvVGjB4t71vI9Wb8OH1d9eHDBT cCzT6CDrs5JMFqct3Oau57fr9fcdOdgXYE8osPTnygJf9hVBJ2zI2/ElK8MaYbWjdEAVQuyzZ2g/ ETvWyqMRE4Gp14mHlCRYC8n2sX72lXBP+nk414ObvWNdr1A4kDgSlV5nW6rdrAB1cqDFW00WJkNt oBOfy3/IPJ6pF38/xytcyUMrQjOVp6B3GjUSpg/Xo23RffOqHv2kiVjbVcs0ZAXsBthSo70DEcwY Ixh2wXusy6bCDsZA1uufmiZbBdt67tjpDM4XUqp4cbA6DBJdXMdg2JK3jg/vHgHhQA9uAoKuMhGI ZjPK++fmKfikPDHxYsct6S0/7NBloW9uafObDETTMNkWXHC8GvEpOUBBJlwHy72vq1Sg6QO0ThV/ Wxv/HoCUvL7uMi832UJM3a/7/v2nkj0xDFOm06j3/P5efBzCxYn15ZJzg7UEZXGKJyPh0OXPJNRZ T8cntoVhJv3x3hxlRc49hpW0JYViWO7uVBjlujYepW0lsOAs+AtlZw9ujbm/vZWDS4Y4dc6riBFv 8Am3IfzeJRV+oIyFmVayWRIGhLS2iVXZuYWNgX5tkDUIzP2xwc3c/VbmPvkmqD3ZsRrSfTi8Wksj WABzaEP8aeJnzEEW0dv0kjtBlHXrWl6EGyCmSR9ZwN/awQAGDt0yALnlmo6hw1qi/S3X88hV3mho TNQHk+W7mhL3dYN1R9wnzPcE80H4Px41zWYX5f97denbTAMv2iiild/EU2ZFomBrH+VMQXaZ5Z2O XAkM+zjY052raDDqWpYXG/U3BVmTyDCrAvDz9W7w737/VqVp30cDpg4gLTdHzvJ6I5PB+M61DWbW q8GYJawJ6mvlGLjpOXceUSBq+6RRT0xH4WGbHRCgxGViiSnkhZXWGsnOaN6q45fDmhlwiDce2KjX azGl9eDZaIfLQ6LDQGKdNqCVTVPAAYTROGASA4/Txb1sscNwgvE/J3pSzdTBLYBfn3aXPJzGTNJO +WwYGhjJhuy7polC4F+viWVjylx7BTOJQ667+QeYTL+GDiSstqHx9oZ3N3e1KgkfClsOdvZPW2Gk J0diR96kpnyyNFBC+WsYrSc8q29pPHxH3dw57Hrd/KMj1kLfPOxHN/MxwJeR9DTc0DXwxDMDe9ux gVa5cotUXWqmLwhU/ECYZGnw1Jao3vNpFj/M6L/mP5I6Y4yT8tY8FJz9VprCaC+Y2H6VSmSbOGG8 PrgmDYaT6fGHYZyh1mCV4D80i5XtmA+N2WDd1zPS5NKb0z0VXKdlaLGSf01ngtwC5GSc0YDR/rBp 1/cMveqzaTrMNMK6VhcU8VGX1oiytK9oHVcQqrV9AelQxlTh8Oe6HMPWYd0jgK+aJx3mSGHTMC7U +t3QmBfl1/EJzOUhlJprNNUDO1Cvvz4N7SzO8cvSx1wwhvUf6/bs115wfk+MdCvjmBGWfqs7mshY Y98JDm2H1s9ZVUvgC9FnGCrLwDeW+9KoZ7gNAtzB2zw9riVG+WbTIfSUkY7rrzUYlK45Lin5ocIh mCBzu3INxXl6hCSE/BHR2OyPvR0ur0zcbhfQP36MwfdYL6gtWZ6/mYSOrK9xLyp16FYyOJDBnvNS FNZdM/wonIvzU4RfE9opH9316aQ6RIQGUw9qCaJBBrSvF8uHk7JIYo9v828GpT2KiljR/Ly1TUpG GbIpzdZVQFmpKExtXI3pFzmeL3F1GdKXy+AB4GufT0tfvygsaD8MssKHL69jkXjk+J91w/2Eh6jA ps4trBgQ8iCrZxrs4K80d8Rd5E3wIACqFwzhlgoKTfAdgWJEIoqkY2WF1iVZ32eh2Oig8yzjDA38 14W50YA9Co2pcH9dfS/ojBwZzq9guHsiwHfWykS4ZqNdlrIuaydb8oDF2HmIj7tzuNKpvH9cLt7a ATA4z42Nv72XUa1SkTWyAdpU3T7v46Z9T5r3/lL/i5AfBuVBbDpJ1GrpXI6WsGBh8RGavTbgiRzy ida1bOGvF7mkjNdeEfr1IbRwa3vptqTJuzpxpZHILz6xoJelzkZ+LGyGzLup6BiYJ0VVvj1K8MKA iYyKMrPNV24Zmafx+bNKGx5BDUUfgTdaEA4KQEVDsOrbhBcpV9GuuQ1nIA48fhVAUk/roSLBd1ke uPobUoEVAoA7HO8BMz+6qxWvzgBuIuCYGJ0NFw5qPrmccstmmMnvvswSIepEPj1fhrvawao6856T kHtqJg2ulT8tvimyreP1MQpOJft82Xi6CIMlyAnsSXvkOFroGZLC3KYwqOeMVDkxcFm9b3sQLJOw s89wnDXU8X4pyUL0qDDjLBgF8Cy5a3tBBy698/I4g89u9dtbxTFhoNu+JEnFYvUxo/iCYppsC2rb ZUddruvURhL8HQaxkILrOj9cyZNqTWWcRhIrCCc65AjVU21lPBOyr73WSr3UVJ+zl+hXE4pvUmuW mfj1ZAmDxYtT3ZQa86CZzDilNLOtVSy3EvtGL48GPxylXA6/ATnavmuEj/IhdyKxHU93+W0OBsKM /GOCBBh6ycc13G6TEA6aVSjG53YeFTg+FqTQKtm10ZzhvvaP38IhP2r5ZyIKpFX3EFXlMAtH4Eki mbXfDGTipXyWVuDoYqNvGe8Lv+bVRqvQI9ZiEVAO9l3vlV47ZTuPTs9JKc8jjDczzkz4sEYfV/RP iAYiFHKLeseycmoAZziUex2hQ8MYDtW2gWAtbKYLipVCYy7+IM9yq2b7hVIkguwUA3xmaw60/Bqq igypOJnD1kL0dvixoGJyTVvBYG5uCFDOc4MV+fbugq3a1ZvhyYfjEg0CQrRwqyeYnXmIOBwIXQBB lqtIs1e3Ychd+WisabXsI6WffPt9cp3ZRK8jK4Qe/wAN779BuIuHlgeAOr78jjDdSrMDZrwGK0Gx Suoa9kwyfbogLvI7tMEwZPxC17tcMzC+xcXD5ABUj8CW5wcG2467qSL4ioBQeE2zYY3BjCeC351d TJFA5e6gWOw00iKOql44gCxeEi2cLOa8CFN65C7foRBJtmlkMsj/0N2DpXjQls4Q8U4mxGbWlwTq 5txF3dLA/UiLSeHEWix420zum7RFn3abwLl4+gCijFqc12Yj5D/xVpDT5OSscL+l6hW6j6zAoPZ/ Hihtn3fx9ZnH5mNpxaXk6cmGwhZeGaW7OjVXAhryIfGHlU0NK6gHfBJo1RvE0WaCE8/kmfKO3zej WWa9tQY1jVl9ouFBAw5SKTsI4Qis1rqk5rTg2yEWSFjb1R6MhdmQOaLswWukX2zrIaDHnHL/eOrv VUU9EjJQ1VnNNVsd+wKuJfA7Lwo/Di6rNKOVET20tKc1sfBa1yJr/xNw+fDyKxNUUraSO4FlJEWE 8UVpQnOF5dMov7gGUyRfpqJiXHvIhCa3kcl5E1SL3M+w0xIpsgK6rPFfGKg0KP/0+X3Rma1S+Zzs eLHynYZQrH2MRQ1L6J6ZfF+UeLaUZFaK9e3Bea28Xk6IoTol5jl5a2/CPOvs4+xylXRaeboTJh+R 5BFJ83kUPNKXYnbGbCu0ol+aTn8T1fzy7oaoZVpqs26nrNcrO9UWsTYb8izzOWcpSjS/RPqzQF0i plaWY60s/Hm4ATjJVFPN/0UGx29pENFSPJgKBPASsreH2rr6wjF4A4QBr8QwHVSSFT5Hxs6uy/gC tTC6pfjGR5cuzz7/lNZmVTwOqc+rDp87qublMZNmT4k+E4ivGsiN8qxjdv1mGCnCUepAXtaJcpvE 0nW52hUjuYgxiKe0HnFTWuPo3uqS5wqtFx6qYUOm74i+kFJ+txO0MX9XK1uGf42Y4WORilS07obf BYVAdViBpzBocFbx+BbLz8QE3xi5HC+r9nhnAJ3Fxivh70ezGLJ4oqXBnoDFtPrQPKGApHlPdqzP OrTzY9n71PuxV6IToFJJMT7uo5fDmexzkntdLZWI2FpiviSuw5aQPnEJBKAPjB09IxGGTs97Yl37 0Srb7DdOnhdxuUEqURkGaitNpqjiO2ntvuoVokyISlWga9clO0KNFSdFpkmp9LdYXxoX3oBdMocn WdsHGr2HyDXSTwlMyMqntsBEiZ0J3bXPyKNTd9oVR09dVRgbC54vSARke1z0AoExWDTa87J/SpaD /+TWGA0iNuIjL5gSuD77+CxKAEYIZX1tbV46yPVF44JqlbGHA4832H9Ic3E3OURoCFS3Xu+DC9Bn vrD4xPis5c2FWTh4uIvG50+Tz8JrXk8xxMbChE6Yrvtm5da8ZW7IOrPg/iTH/RaVW8b6XES7DY5c t/S5M0r9eWXhtSaRF1sovOS73+eASQC437UOi3hBTHr/73mWEIvZsuH1Rj7wNmAVt1Qk2bTI4fs2 ojJZfg1RYkBztOtLW0YCaQVmWE3QxTMUQvydmXo//iOUbE7ZGccpEyVYbJzC/MF29MmLmmKvfpJ+ SwJwP5vmg1in2ZEKgX1jSQ7g3ZMn89L/DE0Xto0qZnM5Q5sqQq1gt27qVihCMrJLuSREVsrcnYrz pBLL4ooXOpHbxOWIlJ4ckg3NJk+1PEFjAYB+wAfN4TNXybHEIKhIsybE37ZSLksuYbfLvD0sthlb FJVoSLG9l/5tIR91QvDZXenNko+96hzZ2gWxlQVcz3aWyLEkeslOwzqoGhwRyE+zVsT8wH1KKoXW +wmC6Pb7KvrP+npF79ER8BtS3mdElHOVy2GU1aq4JC+iQryZJ0FIgP9Fa2iNgCHMao6viCvvBGRV HyOPWoDkLoeeBVI75vIEIftrCvx28ap0mdaUf35Q5sWTivzM221c7rtAaQTI09T8frjEaOFvPpad UX9AD2obRq8yITOcrpj0yeX5h4B072xZEVxoghTK7w/NYn1cWFZy6pxsOi4BzLal6ONYvs6l9oeL wUepS2h0hTr4JeA5BkUdWbFQ/fPVetB6fSpPUZ16YGkzNSpmu4ACLtjSQ/Am/Zu/7nmgXf4JAOr8 1KzMH99k+xyphADgz272o16oeSPyCcfmvIlIy40yARPgjokkJSTsCj0bSnZWGQTFp5QNMITNCoNV TeyyF1cvkwHmYHp/g/AbYHFz1nMKQYFEDWToLlpQeFl0+6rH055NGV+lis0/941JkKYgyKqjPKTG uSlYTayUPWJhvyhVdDOfqK9NFoxBFpQKcLHvvcDbH8NQMV+4uW4n9Asm6packdgspKAHOjlA9srH uAFEwOzMQhAQNyUb1ir0txKzPoV4tgLFXKAKXeXEgXaty2Nu7v61hHwOGE2CG85tfu+Py22PNjDv ruZrK531RVvDPBRqPYKaP4ZA/4TdnawZBW4qQjwia0az7h48G3wFWFGOhSeyNZ1GKZLXR+zM4XFw 5pAaWIw5lVIbRyP332c/jBmM2v08HL3IkV3B28rBl1pyzrp5/t8VyfBpeZARIfaCgIV9ZIqNvZc+ wZarPvrFZ+u2A2LX9bae9vqZ+Aa9u8b5ooeCOTLdJBvtFhdLsXk1ConphQ1C1lAwKaqGcsP9wz5j COiy8LNGF0Af7bO2sdrMyKmJWMpVdefIujHu44oStdWdgCszZLd5pehN1IZJSFwcQvd0IvUhjOU3 5XHevSIW/XyDcR5KRHmkBE5kf3a6apzePOp052/ANkeo84LDxXOGB0xhCPs+TTS6YJl6mok6YIqR LoTgAJB3u4+kveTmTrkQZUl6z4qYVYMr6NH/3+pA/yOmJo0HXRTx6ZtKW3qQ3dIaV41PcF6IHQBw LoB05/fSHepj00GMm5aNtMZjGrSwQ1SsiNodVO/1TTk6KMgkB85KZZzDsiwuGmTdhgHU87xspUZ8 3FFGXEvGRtn6g785QUm3reQWiQaJiTK1ZEvMK9gq5nQ0b+ItTAXO7BqAsR4K2S6BskJ0PiZw616/ o0PQYQDJcXGhJy1iq3nKrOJTngmukDVnpmfdT3Sd+MwtjEOlr2Sh5iiarTQ2tnG8mZf/24x/A5WT K0Qnk/UBR+OVOg/A7012AlRW5FpsGBvtZKQTYLU2CDeZEVHr5xGFdLnxzenmCep+HyMX7nkfDcxL 58q6TdjRYofFXsGr8nSw8FTPSa5Rihxy/aaq4KcV0b39PUfE3UoC2ugZl9/ToNtKgHEtYX648c/T AHQeNPO6dBOB06DGUD2Z7O3M0KMgYm5/5SvZ9ulwzr0NZblpCcBC6yCGmPFTqeJX7MiwC1+KpY3z B/p1uRi22TqiGX8BnHBRl9wlA2PUl8lKmqsrqwiBcRBDM/oBMXURK8oeFBhmCu1r1VMh/Qy0ZePa bnBGpPh5+/0sdlvL5NVjYHzR2TAqgt+Fhw6j0NnoQXNlTbCFw1z52PG7qxh3i5LzzKfF8xscZZiD 3u9ssjnPjDTiuk5C7TyDo0fftzA6hO66nlDDP3FTmEiUDnpDfsAp3Vtesg8dxtpswLepIYFBzgSA OekBUy/SYRtIUK7AVQnHJaHIEtqq8xaDBvqSNsWL3Z+qNJehGh9nZJqi1NXfPJNxr9eJ4NYG2TMU varti6Mi/suQjsokW1vqRCACz5mYKl4HxeVkZcV1G/E+4ShG71+FEje0YUvDOO2lk64n4OepfiPI wu98mF9+KlNCEgk6WIHjdlynO7EY+TQIRFgz4wnDQs7C+erT230vyuikDG6NL73ZSezDmMvZ28GG UjQGzieeyjjjRogz6BwSRrLPcqxDD5Wok9nI01bvv1XoGCdoRUJ+ifTiT+gACYcIEoxIcU5zAh1o anSra0JE63vElhCQ69ws6DNhz5xmG/xWmSaGtNlhtOeF3//lCTWIyx+D4D7FSKanBBiwVQUc64jq dJngUvB84K6VOFRMlhWWqWUOu2xwAl/vGbq/fymE3SnvpUPbI8jkIWFzyLGtlW4aQgOPUXbvouuk sap0MnWO/bZGdbgnTWoCLlH5rAmFa6+7SI4qsLilLPjz4fliZGT/esiuuvkGYUHywS/Q2EKKChMW PhXRrHuqPUIlUylHX3ytIHU1g5QHTEdybD7u2BDmLwlHwZ/Sx/05T3aWWW9FbzWz6zW/w8QP/1SA VENs+pIhlMi8IrAAxPcoBCwo+it9N2430Vap9/1k5fWt+C4M/fDzhq0wyhOmFoINME7hyAV49ZT1 7OdSW5RS3J7bq9ts6G+paJYoplfhyU3jFnKwZVGsUtGFmN6JQ4XCZk6sS8QpNYwMvzPDZ7LDhjve B/bXkAJXIxsCq4amVaF5CLfbEV6yzOdgRSZP4ertALUOnLDuynkMU1VhEvn9C9M3hgs5qGGywoiq IMOCelWMsXvbh2PRWo3k8bv9yTRD4eJ+vX9fZLoonM3iDQqwY9O9CJjIouKbm1O8t5QNPKNSYPIZ 3pD3Tsq5D0AWhkJO2ilTzvDXN1DcBGuoxwjeBVdUS51+p8md1zrg3nvPi4FKbzUYhjeJnMJv7rPE LT/QotufWqA4squQQGDH3ZqBCVEMw8lRZ/WsPMpFXfUkEebxCQ2izVAhpNUaJHy1JNIYcWHKaOIR 9o3qRi/MqXSK2lpMzLpSyCfuhCt1ivP98RX30VROAGbCz3v1gcC4yh8BZqlkygYRXrt504FTL3e8 yiv6tk0ueO3ggHjTgeX73y0cuwmVdXJaMDtNhT/ffYUtpNkaea7ITnzt9y62b0YZRqU36F9VUR1l lxmWHsuhAs8fOHwFKTfNScVkpk9GmZtl9pCPKu07dPfWpD5XKlF4WPTrLBTS2tUJ+SUZaS+tFUev Aa6d8Nk0SM8KumDj2ASVoceZj5ekDOeYDz207J3hAFJ3AmfkuZ0uHJ2i5NS4A1Ckxq5XHdncGzeV KjB6/LCkHk+XFARecxOjXtOOu5JSl/+I7nX5OTneG0R9rxgBB9ggVyJeEWHzSpB7UWyb+4jZnt1n ptHwBuOLdKoJk5iu9cWcak6BmsvbHclJDwEN+Hnj5MbTTsLFXCFaE+R6kj67HSnlhGLHUfLU77ES pbVCgK27PccADJIenU+IM44nBxfvQSp/iBfNW7bcsetnkJSwIBFvgND22ma27wG2C/YxzB9fIY1V JbwbNvQDeSO6a0m44hrubf5ZKhRH5Cqz0DW3ADFYRCf0ZH2JeuJoxNoUzJkIqE+mOwl0vjj2BVLy 8zfFz8xsMtH0xMpn6GDS5OioPnqWmghX9JhVg6SIFC+oPHqVHBn0Xo/r6stHtXbXRrfSQONBVGty D7fXyqa2vNoduJplu9plFSQS71WFGTibggIJYu88u+tFnx2nZ52xlkuXmahIGOIzBxvVYSP/ewBN 4/zcl2rdccOtLmfqaEwfeUxnH8ZDC723qBUGsj9wslnSH7+c1JCwZuuPK+egqfChb/jzgB2MVYQh gQoX8xSjtGMZOna+WvOmNWH+qr3W0Zaq+xa518c+QSAfVCzgOzVu40fHDb/I3GofZxl8WiGnu9ZU iZYnrEIO/sfC3ub7VeHCe5i+7Dh7AdhWCtO4ERkWGK+xj1ifR+BTfASVFE4YoaQB0Y9gdfj42Ix0 XRg0p/bJ7qSGj9Iy9qDun6Dho2q5Xtu2t2sK31he1tVJL+tKZiYRpTegYwrp4BiF+1uKwGc5My5Q 9XIOxfXlBj5pi+8QTycmMKfg4xwQStGdST/hghc5989KHkeSj1/k0yT9CK35s+CY88CXlNj2rCXl dbuxLpRGSjVm2Q2Lm7/fICLa8okI/dV5XyNuTNyuy3pUvjXV8BsPOmtF937kdL1XPbBbygiMPZnk Hpa+/TStzHNZF4PJqmvw9+wJ8RzyZ0GWp4KWb/EM9xv+P5/l+PCGtcskTSf5QLYXzzUSjW1ywiwX P8QApXS5wD9g3PiBcGs3yK/el5Apj/KsCoaJLkLZqYQaUJjWQFCySieNu++nnRK2CnO8EoYLadaT zcgYvY11aCh0W70sH0BoVBab9PQ9i9AF8sXlMNjJwiNCGYqyjkFueW8jY96F9QKlUKi1sVVJPGEd 7X9Lc3Qm2XXzjuMLLMapXS5pJAd+kE5zyu9edOuo+CNTy/uJr3kyTWEGDjjSLDoFAshSfVFDMiLg e4T+HqxiRKQYVimP6A4PSVVMM97pWRNYZy58M7O1odBCXMiw8m/e9vceQ4uMIql+epHDxdiwmame 19pwUl7aKMgGhEbSwzkM8fof5TUux3gArgASfi8KceQs4SjAlLa+WPlY9dQXfcAm7WnYY35OKLJz p5x7yq/8IqBr05bnnY6qOySKHpsgxba7Vn+etON7pFf5S9GGF9TdH6V8k6C3HfUBvrKX5XnEN5Qz ne/2oopfR0nv1pYGfww3goiqdKrud1TARrRvej80I+gOTUI2/ba1kky+8u4ORf2eaphnHJgOkaGj mamYvBVp0HThSAKjaev7AwGEVzPcIUYc8GGiC4jeH528Sqz2h85cHqaHNC8ArivOTjk2fcQWG4bh HYZbb3PgQ39wkSKvsAOGzj9+8jEOQ7ICjATJk0mwzB4+KqfH3XuYKS30APWw/5aNqXzCXitp3/Xh eIyHpgvkndtgIELYyEFqAAJzeP/t/p7KE/bZgJp4r7nqE0ZoXFmha4NUDkAd5Vq1p9K3YCju3hvv Uf5VtsYGsYAX3r22Exv2PsM1XVncDNf43SKxDwCxKNSTEBC53VVy+77ynCHGqSnGJk8D7ztUfiDp l686ANEUyIeB1AkPg5xMYMmg9fsKlH6GG3tW3VMNl57aI7RBfZSt080cj6DeSqh9Hh0JbPsMeZ1t qJTGDYau2AJsDklxFpNXixan+v5j2NxXPVHcVr1rVCbAk57Jx0rujXugI0Ggbg1iBV+AVvngSUWS Xr03TLuhGWeZY8XMSgFa70W7DGLFiLsXVOL4aHEvODmwehg/kIf4RRNMplLunT9gCNRrjZ9Uclwv gxl6zSMSkfqOedFD8uU3Sd0kBBmugZP8mFwj3WHW/roUuUdfe2PcxU+/wr19phSEE4y448ayUEA7 7y+n4E8+72225RJnB6CtQVEH+pgNTp6gNG7SMLZ5oNAXyNvAiSC6ui0KJGYZAHhkwlb8q5P9+lUq 5YkR8DvuS6Yy00lUuXtqvP/ZPe2LoFa5WkQsvMMywEx2klvJghkldeT9x/GJxGePcCA0fnJZh8nt bF5ndG5FpmHQ67+wIXGint1b0yjz491pvr5TiZrtv6LMYgU5uv8Hcv4LW6+9x9lpZgY4W0kC1Qlr is2pxX4+cFZO/3CBD2oXIjr7QllmmZp+MD38LyNqilzCJdQW2uk+rXWe2HnYdjP6oYRIolN2PUKW BwtN+0KzkF9IuTk6DUf/hrUcKqRkpqCYkOwu9Xq161qFkrXDj2JMhuH8LVbLInLi4EjbA2nrj5eG D9TLTSWXlu6SiYNHF54zz75N2UX17ONQAUweGxq/b9a4DH8ljEnmUfMeS4Qo3tc+MDXg56pq7TSx EQPlC2yxgXCbGK7dkJSqqUHWQ6rs4qsaemLpDDYmXS2sCEHHScIJHh+CElGzg6pJdcp2OBvgPnCb ZS05YWJg9hXOpDvPzqW7OkOzaYzpkWYPEomB7z8bvn1c6Uia2kF+sPIOceOuRdEcjQYd6hS7h0Uy dj8JTHY8O3fx9gXqx8ny6U/15f8FnuLCftdPtiUzL7UWX4lFtl1eh28fR2CKqMPISRo+NttzFSy8 Gio+jkMWKkuAcJvy9ecE+E9mD2D1nv5z9To34Uqd1cT9jJJSaSuKVEMH/Ly6/uaDybXCUZADDMlU THrZ3e0WUBtvWtKFFnjRdXcAzLpBvcMHpymEhNlA7p3TxNyqoYwgmimvo/jfqvMdQNFK9HjG0+MZ SSPnO4H/8BvCV3Pc030RG2onYcxrtLnKbe/v2AnyRluewuOTIJ0sxMkDRc1m6gIsYJ6tfHREcXn6 9bBdn68p7Nys1UIOsyCd1fLUymTrgDgb6zwkz+m5+YjxwAZ2S26NO9raDtBtYKC0QFqXgJ4c7eJ3 GbJHxX9crKBxrgfpAicQgjd3ZJBg1UTRhp9+X9dGr1Qnx7+U9gSCYytwnPZo899IXSEqL01xArQP /KmTXltUbSDaiwBDfGM7KCN8tLAKu9HDaCjoTIOKm1l9a9TthxShN35tiGSUpbyWZH9cPWDRhDNk O8T+1Od8TmGXf3tIMnC/qgGgznCBldX3mwvY5gSsZbw0GRmpr47MTpUFJxOSMUjJbXw5by0utr9k +OhzZv1x2gsUeJaAM4ovt1eWrKEvx0eo80BALrFyLfWP7ZNKVX00ZWJJKOklvLzUeu3fKIvXgAZK zVvEYejNt0l0OfOFF2vUm2Gngn5wKRm5T958CFzvGcWH59GjTeBLI64/BO1gIwH3Zm1hZ+BhLmKN NzKgsp5GlA5CnJMtF40KZy8dXp/bv6171DoxD++Vc5pDjD4fX1aGOexySq4pmn6iPetHfWps3961 hXUJf/FeE0ESQ2h4rdhzS9al0hfZJrxbVg6cwsPzg19sCIlEtoCws97M3bfPQMsTexncOgQxAsWj QOujIsPwpgNuSRF6bUWQZZAe4qUAE+5zPZq89V4mJC2rETSO7wTgdcJMT8x31o8JGOB8Dwp8MOLw wwVJC1Lox/9I/mBOlWljB8LIbsQ2jNvAhV6D0ZkKWzM7icSyU6PHl5yWRL1BKQNjj3B0wmqKOSqr Dw19hI30/aISRpM1NeqihvRb2pSza3fu+wznfUh/sEDY6piXblvFHRVlfV7cY9RKQ23lhvBP7+nH DACyNrvJAmWCE9kQ3ikldDfsHxiapNB9j9ftOQKoBfgVtpPOoYGiE1m+AE6QdJG6Azgz5rUKtaH8 aMvbXhA0jPSxKHrcCJqFKfEpvMBLlqV2iMwqIEtPHSNfFK5j3IUWr253kkSuB4IJBWTwVl4QuPCM S2nUXMfy1pyl5cnVBJpvFMl1yTC8H1//r1bVYTeVP7EawPR1SUWQdJFmifaCHsw79ZdSmcAK1tyK IGB2t7uyqc3JcfYcQ82Q52kNGpL2pFn0wO6XuiILlVJkdhfuMFAhKdDvgobAyPivcnf6H37MGpt6 XFAvM7y5wgK9J8VaeWQePRoZ8FU6NjJh2zz3/HJmfFBiXfH9+2+/3VHLkDoq1A3k7+r4JMVlYDoR rnwr1xTLGfZo8EA0yZN2OP/M84hBcInHJPlgmxgdcunMxsCCbtpgYNsZ+qDcVVdfhjiP2sV8F6mT R7NbAR5leXtBPSz9MB34JhVasc1QNRaf8GTHCiCwIsLiUbMzruVgXp2zBv3/NdbJYHRRi9cKROXI MMYvumjkhhKzrkmSxPbVHW2aPR+4t/u3v1afPzA6xsIoCLLWHVYR/AETiy8GQwb/dMkTWCW2We0J YyvfwH+72fTZimra71KyeTpfqGge3aLXac/o5+ZcW/vNuyEONn8br/lb64BeYHFFTX8FeT3frPe/ +o0uJnCFwWMta+vdskFtOZTkDilQ443AwWWO2+7WZBS1pYxc4MjxqKC1+3FyIS1QGJqxgUKvTRKt WkNSDlkZOkfVV1ii7yfpeBlFQzKHHyOPWWpBWjWofzMSgUVYKhsRIv0Ya012Xipp9IQgBDTrA5D0 zhuwLhjsa2YGoqXhrCO4JDauHrFWz/ZxJFe9oZQeLfYfrQoxzUVG1UNYsy3StgwGpRMbvewcakXM /inhdXy2uATcoc+I3ECwVQb8q8l2N3f0Z305lh0xnHmdl+yNnRQ0jTlTvit0oxFJfXVW6M5vKE/A agK3VuToiPks/PeyjBZ/LRNm/mYz0aT2Nux5b6pAo1OnxEV++soQB+iXyS2GZSKVU11Njy86v9Yb AWClEEmpizaLduRR7kPkbmKeDJLlituxCU1tIROhMNZXNROEuABZsLnctG1srl9+AVWqn7rgulVk SIs8z+3SejqnQBu7EiDNDQ2x3uBFNgXTREBk2xVfKlu7mC2P3dLdmHVFg/3Bvm4WruQLGGnrPMcn Rt4dzMvMIAC+OsWWp39XN5MuXgetgqDqfO9RFf3/OAIECW8Dw4ORyGKTQAXzc9XEec3xWZ6VX8Dv j+pAD/lUwPnIa4YuSGK31zygatK8PQJST0yAV25UAci5nOhaxabQyoqMLXRmckgSzknQRMvhmuQa hE9bcYRcs1xZ1yxlTO9QLk0v9376LoimUMhgUu3Yh5qwJ4jd1W263WSMoSAGL6rAAJtJQPK2wYm3 5cOu4Xalqb33jwj10UKYLYoWZCrWCtdCJlsCulHL+njGG0C1L+73FatYVUjwR7oAcvfhKmz99Hek 9RPPdT8AUQqVUV6z9egCGwkiKPPQKHUnbxBc5tkcpAXbYBNbaIM3f5VFj0ZcOMHhl73vcFi69sJj Fbn22PahrMNErEZUWLf8VfhVMsK6KB3uLBeD7lEdwSKiw5Es1Yeva7kRW3ZEiLBa/rtUgHByhdFw DbzsfXASVcrLJyFfAaQxR9J2c1zInfyObuTKqZqOek8XCn0jGMDVWZ5uyfKeleZpVKk1ZYalANzn Ru2pF3MYiCKDkCLnRSDopBWN2PigtcZnI5g4PQu1k/rGvonTVeuUReZQOLdABRuw5zr0ceOjtxZ/ I3JiRkTh+FhNxc/BpajZd0BlnYBTEYXBTahf5zJOxi6lQtftARkoMiwCgcfgno8M9xDjglEam6nT pzNLWrhbyPW6O3V8Q706Gk4Tv1ue8Aj/ZC0feaHmSXVkXyr/BKDf9RGqztnLAqcV9Bl/0MUHFT2L hIj8Etaquye2YZT29MIyL+BUl9ilyYF8aS0wmfI3G28cu7BS0dfjvozUIV+BVW+sSdWF/Fsx05oi iiiA4b6whjdTZPfBm3uVf+6HQdFVESG8G8ygpidF4Ap8Pu95fYa92017m6p6N/BQpSD0gj2iqww1 masi+40rNVmnqhjwyjTxxrZOgbBVNsxjuKHiKhxEFnolLvMJaHxrJMFQpYLSIHzZqVn7NTpfH9Vn XmPNIk26Tgn24nCrYSKNZaKzyBVNaVu+EB7ETE9QvQV5wsLilDaYthDD1Vph6NVlGKI4ID26JIAj i4538ivtUd6okofxwn5u69hfTZkiaBKT4pgMyOyTK2FJ/RC7EkRIvf/rxQ6ZcECh0Xm29FqrX6vt quZefqzoaOYBg9zA5ZPYkKj46QliQXECHj2VvK3fH1xs/loNeq70c/vD0DsjoWQwlen6BKw3UeGK /Ahcn8EYMDdN1zIw238qLIEy0F8eFQVDaYOc/J4CjBLrx1SFCZPygelOt5MVjiJkehln4Zz6HrVb lJPk+L37nbbfheWthKxN9o2ng8gJqdnmWRm4aZAI2sNJhXPZALBNjDD3QIwXY+BzyCEwCb9B5G9K KwCAmxSXLCVp8Q7cZ0nwV1SQzOjkuwdqU6ySaGYplG0i2+3kUHphxVIg7SSFVPlRBE7HczuUQ7yx WXJS9g3YSw+PB1zOldfx00j0k+Z8PusjMyPGtaKKZfBp7n0pfc3qmlWSH9C/asJ54WVCF14tJwi0 07GOrXKvxq2fTsBYUinRxsuDoLIA0Z9hK4VM6wT9Xc/x/DqBiSSHbWkzwWkF5pNR0WzpsfenVUXn 8DN03XfcvOOCtK23l8DX69wTY6paE8lMiqAh9WaSgiP+VWCyxYeZcNAAB95g/2x7QoZ/aRKXS0xr 8hck+AZcAfCTCZL5z4n13Cq7rZjYu8dAhLnoLo2dIYqUdQ4BICesAGP6NltmqEt7H4P887Y3VRJv +im835VSxp/urpk7uHDAvooF0lcu9lR+6/SwZKd2dtI8Up7HHqpMH9njC6hyqClDPLV5vh6KVUtB e0E8j6A46CzD4OkhaXL8QAgEtyTbgSmpoB4wuA8KMtx4cNCCa6j3qEczz7edSYkGcg04sSAxluh4 Z7xOgAgEu/AKMLUgbIoOvynCHOOqbgsahY0fEXyff2UflvJiYNoScddVu4FZKPOa4gC0ETcO9ikg wOKbmAJKy2YDZO3dQnQMtsgkqphgeTnrgIyre4WpfqEJUeE5VhMVhg3K/Hyg0BV34Tw57PDCOiMA D6wfQlXq2UBmGVqlEeDabSpbeaEqFy/wQPqNPdPw6rql8BjX0OpSXRhdwADNjPxiGSQYAuHf4Vic qwkTtt1Djw59BjhYz/ixvB3afk6V43fupuxi7Z+AupsNh7Sk+aeBiig6KQMz2Kn2IiiJ46i4KGEU LLKkDKzK/ieTbF8ApFsd8Tg1/zP7ysy3cLffJgZSiqZIW1z5TvV4SgfqKoyhGQu7wOglF6O/STUm Q/iKJ26CpsjMdLb51HLB/vSNYYoSQL7R9Jr+nrLhovqFML31TodrLiZ+9NTk0FIL8Jdkxnupmchu sBfDddkz0R9fKLRTu9nHtnoVzO+9Cj2Dwv0ajHHL03MZig5mrajJOZHzV6XDnL+KsfDv9oKaXqG3 sdPadd6uETtbHi2cOHiq1Msmr6eAFicMwxu0lE0q8P9K6bbL+OUNxfMxQBeDzFAPq8OZmbSgZrit w4yHToPXQvlMZ/UEkIKy0Rp3vlmi15GcLXCzUOUsGIcfsjcsEK3vC4/XB6qaWLmfLGKKVPVw/ldp auklPR42/Y9ApqI+fPRHAoIoQ8qPBfdsNsxQP2OZD3dAKExIk2t1MSiMy0NRJH4Qql6q5Xh0cn4v RRGcFbj+xkSwwFsILe/asUtqSkm3HEpNL2i5gfNLdU9g7/gJedECiZRLGIDWFvgk9LWTUu26yAa6 LRuMIqddcrvPHjhKIAvpMBA1bbKkMm25byauPfiGyT8XvTxKLgReXeoCoj0p3xjJcj54knWg65GI 9+oMqJg5S0/H81ErPwjnl0M49xOauSQGKrAI6yg96CNOHA5HNiGLiJZnqt9e2/XNDCThikbemeuW XAHu1UgPqp9AmCldtqwP4unX7i9ao//s8Cf17f/ivHRGOxyWBmJ49Jmxg9dJqftIwNG+eEQ74S1b cA+W9BwlZEJb1/trzAEV5588vZypcblwC79aTrIOrA3Ht6Wj2pz9HgsBNSUVjQosRM2nUw6tUX+d GUYtOdeX2vqcyGJeHdNxdn+6Z6DPb34HHmkDufVpLnmKAgGD+XACIB4tDcXpsyjnuDGyfkjkGJvw DVMzN+/61fXvAUA33WkiL2X11Zyr/BtqWf5k0FmBKUYwcAzgy1Cdyrp/Yn4xhQiB67mlbIHD62+q AC0Praz29TQTseKTdkqTojCriMA0P6cej2F3NhuoRp8w7P0Q0SP0FSRuEIl530qPzjJ6wo0C68I0 1+ZOjE0+viFhdzUmSQVzyVRL7uL8U1Ko1koXXzdbGNvmWdcA3hr/3b9KL4CKz+R5lz2HLvQ9zhcb 7B1j4dIgn4IBG4xlBkeIPAc6spr/iAu0NAzu9ZcfiF4w+ShILaRD0rfy++ZyMrSgLP0ffA3f4+Io UCB9O4oeOjBS09KcYqxtJg1k2vgW34faYIvA3vAsQl4u3u81Xx6We/0QGAUeahvbDfrHXMCL/efI 7f/pdnp19eYa9w/py8McMVgjow4SQK3dPCua+mI2uBRKfNj2GfI3y4GBQXe2b7mofAPBfNrBUkyu j3ADz0Eb+7Uvf3LxAKYKb3d40mo/LX1nOp29FhU0x/D1/bmaWm90xbfELnnGNokLbT3erNDRDFSY czZAeAoILebo+OL0sOzCLvBNCw4e0XH/4EFnyLBblyItqmqcMPs/okKhIjWs5S9Kl18re6/32Gfw GCbacb3zoFXSKKdF07Di+9CjFt4Hwqjw/5QQRx49xlbMUeDAGGBBxXyRE3Sp83k+rWQ82palhTOg 6E4GRD6gT4sGzHoaWkrO78mNEyGDCdnKt5w+s0mdwQycAECqv+igvliSMxKJrS7HJjiFzQLS2CE1 qgJHsfe/QV5RCDVhhphhNcHdLU5RahuB0rFF2wLVk2YSuYTeZQ5OHoR4YLvKw7IF/uL/ZK3+mXls kHl4g0dahm9dHs8GduAasrhohCX3WzqNwFbDYS00O6MNTJbrCOCk+O2/+s08wH5D6uBKx8hPiTgk UOHc+fkdCZIaNbyBvTbcKkUwaiUPUb2NVh/xPhYOH7GqKOu2sb881SwYsSYm6i4XIvSb1d11845e +Qbx3xi6xztyNzYfkpOpfh8IngXB3jnDkEqZ6gKdhEN8jdci9+dXWhEbpn9/A083k7A24NRmvaHL mFvjazEi+JXllzpdaH/O3pnCigz5LMXHLM5PqnABFjQdg9N/SxAX/5VUXQ1SXC4356aTH4PmqzOy eraruDtNnKljOFrO+gTkepmTKzHcSWdcWU4UOEkoDAeN/jT3vORIRXRjIVCrLPY3EtdePqyEDmkV ImYlpx93KS4sOwVXToRtrHhXJsy/xsd2qtCJfnyHa8IvZNWn1ca7HlmMC3NBVoSa51E0pLes3BaE hW4db9h4rUEM4Yz+eja/Q+rKNs7In1ivmC4jquuM5NOCvu4XzVFdVeeStf1qUo6WbHEfB9fyn735 b352hIb+NgVNBs01YkV8gJa2taO6m0SYUynjBMyeJZamFjg/phSCM/H9fJcAY1J9x3jYGTBE2+Jx ENdCGQAG65KOmRsW3/9CtsVd6dg7fCOBbsXTIakAtpgUIYDCnQdtCCgpSDlvmAvqzYgbxqR1HOVk O4TfWHwgNKx8aBAdN+X1foml3IinAN24yN3zEsKg0xsLRvul79LZ1ihZhCpnC0IPWO5vnmIl5AYg QQHCfhVXNrymv/dBBCGdUAfJbx/43XY9zmBNCiS7/0TOZ4dAN/48Ky+5VBOpkPsJDR9FNWR1dOCs ChsO5r+Xq2VTkcgUFvIsW2HfK6LT6D2bVMmpdiMDkD+bvKJkdYGvYpHj/dbAzCyHVCR4XMSn30xV Xq7dhLqk7hwn43fggpgbeoOGlYqFDKnfphYYqNHHEdLE1OgA9qT02dErkCIG1ULWn/UjBQymWo0W EZURjRLFnOrG72tfyax0F5gz8e7oB16vKXHadpKOLthcWqEjJjRGMEwufAqdT+fpBOLAQylUkpZ4 m0ncm8ZaJ6rHDYY0c7br0D79ivXyO9Wg8iFLkkGOs4Vq3plosD46Cbs/YH9TpYYTtstjE4H6HZD8 zQH51bVkR1IdgA31euBPtz1oIHC4jn6LD4THM28vUFATBBmrwLOEmUELSkRkr1t1zwVkbtw0HjaS w2Zlf7k+JRCm1bR/elclfE446gseu1ea99BKgr3DQ+zvXx8Z2QN/GY2cN/If6BQDd4ldC4qp0ORo td07cddc3U5GSoTelEp1JL4/O4houICIT8bQZGRyTTcyBHe+C8OZ9H9pPoGIPCCYd++7A7/tCERf BwGwhEwDErNsC8szQDDaCRaU6IeqhH1uibGn3DbqYVux0UvKQFCMhhiemls+HEv/RRTShWdidEb2 Wg1XThu+GXgY8EFVWGb4mCfinlfrfrkb9rW0C5a8MzMGn7bpvY8yyxOP8eGAjvZMIVUiwCurUvmn kNOK7PezRh7tdxf/w5UWdjzv1jITMPh3EAv8lNSVfRkqVpzWlLbFUkbcVVuIl7f2D4l91YU/Gh2Q 3g0nwdc6NXHoAtzWhMaAFPkqWZJZ4Pk/NAD6CpOAxhyxhYbMf+W67wzZEBE2pyvf8pRSSrabP+Jo fkQU0PU7s/PCgFmoMGy1EW/oTcIiaeNfXf69/8GtO6ecD6/QN0f4ncdMkuUiWI/BPeC0jABP2etp r+o7gl9Njtb9oGBWsAhCSLSSydw2BiUhjZVTs++uW+suoN/Pz5jQQy3S7CrE4KT5yU67Sts0R4DG gCAXX3IPaWduZochpqmMvUmV/xupUlu34Vs8vrISJmZ/8zo7jgnNIRyh9A8Z7rpCMecW6b4Eb84K 1QuFkksJ1VStHW5ix8iR5gvVuxFBL/Db+QpnUrEmAGGblV8XYF5gMWFfUUkS9Iz+xjwJTtmlksw1 fBV8FUS8+tQy7GihseVyUYoo6YNHjok9+RGfFHXrDioZEMYP7wq4r2B29Nmt3oWBkcsPvqp7tLsT TnL1noLHoPuHEGtgTP6piDyixgWc8LJkvGfBY3Wz+yfzeJznolx+vQh1dE1M5Plv0IKtUAViukYI E9yVAvJcB9Uf37MWfHt9aRQo/PrCCkiB5/Frrdy6CglUtYDuE4zdwfkUARR4xu5Tb5kWbw9rfNBp HYA/jvI1+35Qy9nFytIVyj/zxgnJbkSb7tmIKelE6z3hfElwda8a12g4AuwaX3BctKfs0/Uxeaxk UmTjjvBzxrkHRWjI84GvstH46Ya+SZnoC5/KXGO+h0lUGczCrWbohO98eukIM23uxQoCe18ZElHk Du9PPKTz2scpWKNnstbQ2dKOezpouC+h7D5VFGvrMJ3RT14mOkmltWqu3Nd5H27F60162rb72/AZ gJZ8PwcoXSQ2OuO1XW3ifJJZJSaVbyfh6l5dc9CQZ4SjAoNdmhGCEX5TIIB1pxfQ7Tl6+WDfiZMU 4TCjKzqwn/8gx8aWH8p83iWXG7yAdXBtMM+oerwuKi788yf2DwPdRlIShqWKs0SGE9/sB1dYr9Cv SN3QS+35U0dusvM3cjcWpaUlRUJfz++cVBa/tBnTqVLTkPT4Yts5tHKpenEA0YaWUQeL5eC7cyG6 6YiEoOJUyDqrFk4ym1vFHZP96noRKfrcyNqxZEv27Hol+d8HiOx/7Sj0R+kuz0LQYv63ElrHhMCF SZDIB/AB1lVdFpWsRxndcSTdF8Ji1bBgnEisAG4JWr2JUwo0knduS1KV2Zh5/HNiU3Y846rXNGs0 Yvr0pwDiGEX0J+6khRVZWqNMnolxsnPVvUcczyhS9M3WTvhQ7LtWD8kzLopMBmmOWtadoMK5UPa6 5CDGB/KRgXSutamMc7uEk/nOUaV2BPOXyoNMEaqVpQLZfEm4QQWmNMlu1gPVJhjemP6gg9fiEyAs AriQ/N/TXwH89X47ULYANRHb3mdKsz6H7Bf7CBXWd7YhYTNrLUq6wldQoFKvEFrNPwLZsZuNeN64 t84VMoW3iEUp8wNMantvphLZJGStE4FNGBYshHj4yxI0cXaYI0QIA/UrUOYdxyrjGdn/sBsemDci SFyDFN7a27bo/tb+ihCVsuxMZZkHyG6cY0o9d6SST53rmTf/hM1FemrqF5BnF9oAePcVQqOeoBXf bGbud/bXO0jregi+ZHLwnfm72cXiOlZuKMEx6DRB2EJHGiCfre2ld+0uh9I5edX/HKf8jpJO7KSJ ORdb1eh4JbOl4qI+TnWFneT2bu7NMLViBF2WsvE1Q6BK5FZiwKfgzoXnKXSx/Eo+ts0nZXnKJ/mW 0hjRb8Wrbt+m0TotyDOrPKIdTgGKfKlVs8ePFhY39d8oHY+r2pPCEhRzI4EmJPPo5+Fu9WEbHHlt oKSGfuTo54XZIO8AQGiLl7tiYXVGRmQFVFlI8oo4OockyePJ0T8aylOnrPKDcNus2ZDXiE9MnAen A9vSwie4S65J+hFWzCFt2l0JvqmlyVbBh8sst9FWl7Yyt3mbMNueGKmBLy/gozRCRAjCUXwc+b5E /mFosy4wxH+lIEgaISs/eJeERTT7dCJmHRV33EInI/QA2RiYEBGT+FTDAeyAZHf79WI9zOGzCSYV EPlZPgtvDkyKpP/2Evll4w+Ews8EOw/iMkuXUjC/jE7nYqi2itpLqksIuVi6kHsTa4eO5N/+Yb9D DLyc8sAbHC2hrr73/KqJYul50geEn6J339Niww9L9SKbkLEP0oMhzQSS9fYkWyICn2L6oM59WeNy GsWdu6kB+riPue6+QdRG7t2agDhFahJvc2H41Vz02O6E5zG0NisWnGJcTBKshcLBuZQPdjKM0Dka FEfPnHXW+Enxra26pf60IchNjumnhSdd6KzshOqb7GtbXpH7MhVkNQqEnP3qTgJ/Njm284GY5lU0 Wt9iEivuHUZmkN00OOuW2UHstRIf3HnigxVbmfWNCHz+4c35BT33k2KEA0DEVsBRWryl5NLMhtGU Mo0V36qaSsg1slR8VQaZKcqprsYiqnMAZdtiH3+pXWCpDhF8Wtlw9ZDw0qA6SUrFmFgg7nQ5zRg5 qexsQkaPDAkJYbX5IEGxzlwTA5YTbCqczG8dcqvbH/hG5MaHdvy9EXJ6oQwnBRQ6aLeySYR/B6Bl 3XJONxSPJq7iaSRQn00BU3zDYXguRHUVFyqtFH4TSjxkmN2q67wk4njNOxRO6UEpRCo83Ry0rGkk wW8mQiugr/Q3+XOiAjwR+Ct/a+KN4qBJI6vtOD0iGP9bcO7xdmkmvn98f/GLgKIaDD4QFyeYe1dE PT3Ll6SaDW5JYPXpNk+31JjGxrFBZFnP2DXF0T7p/ttMmshPVmVEdju3Tg3O5H42p1x5Q/PhXK4Y 6RW+pS7CSFz/CSWPn5ayxhrxcg2iipgUSA4sCPxD24SKMkPsz4/d3lXVRvlmR/TcKp4/GBdlr31C 8gpbXeXABsQwydKynqRVx5EeXDUOi/rC8LqmvpUXjRDR4bp7udaAGROEv+5iieXmPsUD1Bm4Qgm7 V0+yMdnRF2dJLWmt1TzDpf9+lBpxKo+H++FAUNHOhavB83Di8i9InRkW3bDkeblTxnYTs09uCULt LQAVT32vOBwWgQbNFZbatEP9jeqgVoqGw3H790thF8uMFbOvAGOuO0sY7JPAsModuQ6/QGVhzjYk D0y+nsbQKirCxpmaahdnPNAhA5vQJ7GAJ/hdc9BzVvbj6o4j/1vIpaj8J59htjiQoel3y6hgnciV 9cnpembNAQ8js4j8ydPf6y86s+Zn6u1il/JKCrQrJ49Xu7CU9e2mepVIWmOFJ8JtT/dYJwDEn++3 oDO6UVIE43x2YbeCCQfAAxmVNUqefv3bu9YB26w5/273Cu2eUrhRn9oOPhPEcliPOeBqyVYPgiOe DJh7BjG1Hj1qFdQYLsimA9fWKdPYBYb6snvsR3lKm1qfoiLnpNIItU0X/KOVYqYrFGiz/OaWRnOQ H75NWwoF5h10wVca3UpZOaa0yUM5zHogf4/0N1knVdwmfbYV/y49uc7WhE+05RkBU9Yus0B5Te77 9ye0A6QAw9VuIcDHfEWxlWni8ZgfmDd8R9W21ALAmzVc4SzgAbJu+SsUc0hcoF7L5kJBjqgIotTn O9Ve+i2f7kPgR9NlEX1aTfjpbSJ2dQDw4mX6WuZJ/t93T0xW3GdhfbA2SqGnl/pMGaWUVcLwWdMb O9ESkkIYvWK8YeMwXHy328KoxNbYYFa6nQdqgGr+iZtI4G/ODztFtBL02z6PHr5nLlKcNneJJ36I 1sVBZb1lMG2lfAX10am4n6069oA/CloO6O/CdY9XIUblhFsGTlQTcjNL+FwtKbtP6zGdPQoHgseb ZBXnHZeK1x7E66gdeqIZOc4YbRFXGoexqkhClAIDM7RsbGYNv1hzSgjnlGxePWlBIvslUsQXzPMy tUCo0M8VirdHJMAbaHKMOipb/0FzF5ttUHf6YE/bXIE6A7cIyFmkhZlVQLKcN9Vn5KGmr7F/cgh2 hjyP8ubrqeN8HzCNztZQfoN/MIxqVZwW6kRi8LTyRDlXbke/5aW8yVRChmXL/ASp8nhLpWDgQdXW gYffP2JTpMwO1+xnc1h5opZCTwD1D9MMCsqJLKwmwKOSmVga1tfo+KCQyHDj2/8C9x+0eBAzIyOg SQ4K0UKHVoSegXsZaCR9j3DTEVDSq5H7W38Vw5qI1fokh28gtAP6Oraft3T4u1ZxhC2HoIjK9d46 SyHD4iS+N8J95Z776EVLzoPvuiqtmbWL8lMym4rVmY+7tXN5QYw/xvkbng+BhYz2J7iQjhMi0NsS nojjSuHqzcd0bYebI1mHydp4DVsip2dKClN+JjBrH2/WYfFmTDjLUjuzMo0Lg+aiCsjf26wI9hMk E1hQcpcdE0ubE/24VFqK7lv9a4AGeQ+BjM5jE1PoSTGmMHKhbK3SLW1SW0D0+0T9MJ7nwTZXcsNl POgOz5GM7SzbPPhYo18K0floaDBgzEQ+7e7NLkdx+OEp2Ieqy5bcCKgV5rQs9OcljAwm3DWUi8Sf 54yZr2/IzMJzVN9CBQdDvhjA8DR2TVN444viXc9ymJgyMMrILoRRZQlhdBCKYzR+tBDIhZJa48sy dAgwnc3hln7rnxsHigezJZY9bfNQ3meByeg6gMXcxMi4Qoj3OtVgtwp+2hKvqS56Oos/wyzGWCVc zuOMKILlac1OGdnVooHeJJcZ8ftUhk/M/XxeYow7dUL9AffxO8Zgzl5XkDSvKM0uDBz9FpeobGvR 0DJtkY1rT/HOCpTDG5YRn8Fcuf2E2zhw/pS5FjjEkhtMlXy1OEWBccnB3uZXVnVZEB31xQEV7cXN TcsncBJXaZqmfzScxjvrtB3NOzkuCW7D/orSZhCYCogjIGoAk4rxWMwDAhHjfDA1dNGpVzQfw84e gMTIBaOmiwAMQgeGBKXDS/RiyK5t1PIKvIydMKbSR4R1keMRQRi1feXSbVADIhoi4boOEgzIfUA5 A73UjyGW9X2T9hplc5AjJDrp076N1UHMsx4aLu7zPpHGeurbRuoQLMVnNTGFUacuX/xx+dNmY40J sJMmRBqZsBw7mN9V2OsKGUlEoM+kCxI8JK+/9L+01GZM8gGE3xbbBFwZKTnEtB2mb8cGAeAZtREW Ln8FWKUFT51hdfLYv8LFVgossrrhjIIGt0ISp7Qvb4aR9R6yKq6xjUHJIVV61dBe9VJf/oxz1Uqf bEgZGLjVBpO+ZBFk/rrWsFp0H3M2eLhk5KpMVHE5x0bW4h6Vw0YmKsLsiuaNV8fUnRp0589k72Rf rMn8vlgGkZ4PCIJvGxw9vjaoe0w5lSMfsOrqHuzZRTjr309TVUQBdyR4IroO3ZRSAIjX6G1+BLb7 tFloLd9MwqexC6CDRQ4pmcpeYlTaF3HVWxvP/Q9XlVMRPGztlLuuVAICLoIrItrw5nBRxb0PI26f +aJkbWJ69/EWw5psdQ+oYFN9YooDTYq5nsdmgckCWsrB6gztoraeUXCJ0TWmrHGoj0zXlSO67UGm obPnrVob4gOQXrmgQ+it4ozmc9XruQd2XyHaTV7MCzN4RwADfTxcpzu9wP4BV841/4TelDmpLh8N AdCXJynzmauxaD+KwG0VPclfjiRpE4gh0D0UtskpBqqGdO424/YHRcf0el7FfkqrGlbNWejWjnU5 hLvPLuzHu1o9i/Vk+roJpgxTFdiNmwre/bJoNiVm6zEBcdAlt79EibdWKyRtpka8ls28vmLe1jnu dSCoywZx7xfQ8ZC+uCU83py/NkU4g7dchzo5DXZNd+hqS37b9W/moi5bvFyl0TyqxP/RIYnBWiTN 9yexV6WdU+7o7KLnq1Thjjt+Jzxw/Rxd9aVjcq/+G+b84iU0DcvucXTwdmU/d4nBMuyQ4ytUnLHU 4xm2s0c1zgP6yPcOuHN59IuTc96LuhMQ9AvDF2jJay7a87ZfDXuCnfikfq3N0ciYaj4Vwb4xQvaN rKA2CsGRDarTR0AZLqQ+55iPVm2o3zkt8gxhh8hkbHPw7yNEoo9QeFgBJLy/XfNOdEDOtlm6wycl +OWrTGmgExa9Nc14cjTpzxABjeOGyzWIFZYlOtqdCY9y9yU4cUGSDtf9r8iEl4pvIGVejKv6NwUv JQ7B+qaS4xYVg8UQXCyHtB5OBXpc/xW8aeyFxvFt6T/+ANbEA3cUMgQ9QYtW/pBR2UqIMSogOfzV xVbQctEwI6RTZEqrxlfeN/0mtS/s4IIe4C5i7IKCWqzOPv5pwOWZz9navFOjZOr81jqD86bbkzbf E9MYqsh+x+8fTeWdNJLZhpUjSlLNsLvlXSb8axLPYvAykJ0wOqZi8PS56vsJte0aqkn00iOCNtSm DMZzBHXdy8tZr1cW+MHJ6S70W2jo7aVAsO8/BKPBXeqXpC3jXx1tqkkZZNpbePvApQOriaHfxXAF I4GucH4kkMFVC99uxY/1zRRUqJ9KsbYsowJl8nz/pNpZ4Lgozud1t9eDR3J8wwQ+2nvCm4f2XRLn 4g5lbV66sVQcTatTUz2731oTVHirJEFuRBc9E914RpRzczJVbhbMGNt8y9jk/jK2sxbgtMLtz8G/ XybTuxlz0QBZGU6XYfJGFrBGkuMbJIzWlFuwzpRNjJ5t/KcqyfoffVs5RtF1D9C/E9bfrK9HrgV+ ge9HbbzhM4WH5AF4smaeI7P/NnP6rlsiy3u1jDuniyI3tkE2zM7R7r7552hJMxzYmdqnaNTD8NCp /zNr/GV3BtgXXbEe1jcgkpJ7MKsZ2GXK+jLxlT317oHaGNCuNWisA6AYF5od80N+yNhLW0RIHe2O FbrVpYGszl5LnDJeGx/xjzauGD6cDe7E/u4vjphI3Kc84HedNbr3jjisUWK8UaPMJ0KDpTf6W/ML YUdQ4QwaDqgC3bqGuIaMJ5YRNoy4xtTiXIo2eNOdi0FC4vptWI8cyolDKiqssyyLjM0CZbBciGe7 lvLGlsjdRk0ATVSomHqi7mp/fFF4s4xmgu7SyGOaYcStkCeqFKQDMa/Adltdv5AwjmbIrzc+mcrU gm6fkPaFRljIaJ/5cwSrx8vpqAolEgbOwR7iyWDEogQ/OsbIT9PqJugvz6GCsRDNzXQCB0YgH+N3 t3K7Dc32cOY6aXLdECZkjDNXNEeiYTuiL/E9kOViBi7fPDpR8f1dbUD+dWdAb3zrqE/gPB/DZNpg 6klIQUPLqmUNw53cC+m64ImVscXJVmgPpKhgPO96d3NhiIoLeyZ068L3gDZOVZKIVIoYs8k++gIO jB2qAiQAtD1owelVV1sy4CMApbYKxp1y7egR9lUB7IPfbHjkCWEM1OdL3P55V2jP4qg0vDkvqaFH uhSpg6nHgUh2OBP+rTNMzY94uqrTa6pMSMAp4MG7qB1SRWygj1TNT6/46+V+PBpI0LyytlzlmnR7 qPRLSTGtk9a5WpA14qKjfEcXuWTJu64WfS8dn6LP3QYQA1eVrtYkrXrrkQg7aFKF+eyBqb/nRRMa Lihia6Vk/2xYWJzdq6qn8tShqpPrwmg5qhQQgDU2W0wiK/nsjWvc1ZLt1oXr2V6Ns+YxCucfJUkg u+Fzkxlk8MEEpPLCyNWfq9acZvYy4US5Db4E/1tVFig6kw/kbXtt+9NPlmmuIPozrx7YbE6GJoR0 Bm+n4nOrxvf96Sixd9kBZ/esRwy8R8UQwfqwDRncf0IQaPYaHWSdW5fpByD9zI34bIjZX6fh2Dvp 8a5hTdYhkinQBuy/BWlCuq+4apPZhohJ5mAp7QumJa5EG1lJiwE71zFD1CtqHPwQhELG289w+Ds5 kS94okCt/dxWImmzpxpw+ZHiIoArkTMK/ItvpKHEd9mHUfltBTupHMqZXD0ttV8cTAfQFIrC22/9 Qf+vCYA7Rt7GtraEI9IEDDu8QhO6hT3Fq6c6ql8mZ80qY2Ko8XKMQoq2okGwAZxljoVbVhPAIaQR VDots+m4b3QNmlMjkXMK30T3Zwmf+vr3k2AJipkWqI0hx3m9A17RG0V+Am0uxJwIZ56pir8qzOTu JNLWQ7nS1DYLGnLRqfbG6uV+MCbahqlIbS9p4d516T7qbwAgLOJeJS/m2J9VLF60rBNI2Ut433rt Hzz2/C8dIyOZxduPkUNXAnfC3951HQay3MPf9J5kdSUCZNwabWj5D5lD/336mOjo6dZ5YQmCdPRo D/4/98/MLSQGgw7AkxaLEEo6N1EvrAJAj5Z8Gq2gNFO+sH7171mmxZvyJZSEt1ESIXe46Ua29RNg ygf9GQZpGBTRM1emQQwQM9jS81RfGqEIXh0RgSz2wa1x58eMWLh3kM6Q4qp7X8dWUjqls16GFpix Fh9plAUjtYd60wVvXRHZXIRN5NStP3Oyi52KsIUKoV0/JD0JvHMUFeVuPFs44ZlyPdCgVZtcIVOw mys/vzmvQrYquwvv/NcKRE66JyDycxP4r9WMJgzLSHb1DAzaPjUh1iHWPLJee+7v1om8kThvv9q0 Gws9t+x3mkoWLstCIbbCWqMLdCUhHOthT7d0qLk72HCFOy5Pm/OBthnlftQNntMVcmXtNxaQsweY N9aWJNfvvowncbvQkAopyJ/9MwQSjf90nV0RJawTpVBWrB1pEWpjpwZSL+mZVnj7/hIEav1xT+TX 0KCEOq73vB45mm5F0rlnZaMqaXSINqWC6D08CSHRFONMZkKq+TdAbOap6L3kgh7Jt8UQ11tFGVdj Yk7dAcUSro16wzjfwKSuDgU7GBOKIoqu6IJYEgSTsN2kXvMhYXXBH5QcD7gnu9WpoDeGdTs7YLun E1PjLIQVEYQEDwZ/MPOMjR+hbX4s497bJRS7x47npwU91jlD2vsO/vy0okHFkyh4RRqNXiyaMtY8 +f19SdzdZIPReFc+QzPOQDNxWR9CywogXo82BZCUdXwuI+iHSgMlEIZ20Nh6qlh9oZPqfUfQcr7Y UXcYbunP5dDeCHhONPB7ZZ50BAvbHvtDH+x6lc6o+TKKobQixo6Ogo2SfgsMmW9zVkLcjMNN/q7+ CX5FGwIhjp7q01cR73vSSVEN76whl1AtyWmjxbk1uxSCb46VEcVHDO3GSTIYvIvw0V8ZN9gIhWSc UaBL/lo1CctrGjJtftcMjx8De+950DQNn3Dr4O5nf0vAKveMOFfBZUejn0VG7WwyqeV9INBSNNkZ e+EcOB+1NH733223QOIYOylKLh7BgpLdQj3IxSuaHjmIf0HoFIy3xx3ubK4+QOaIVO89GoZIoOvo CJ8s0Y8u/u19QjNT08CfSQbjlhpN8B+sqeLGYPUiVV2BYXLURVwhqNU1c6WFfRcxzG0VICVO2qP4 BFpwrFXJZU5AT0jjAwzAnKH4yd4HHXJPR3wdt7pq2iDeDNkEA0JVSb1VJXgO6F2U5pd2wUVnBxsy nm7GWcc3EXr/DqYw0R5h7knniduEvVVsKzb737CsNXSWMW/c8FQgWLVmHO3dgvxUVfnj1tdJYmfS ml7vhy2niem2koqjlw3qmM7qmY5KqM1Fvh5HOoCqlwQBeqjrZ295prLaMHO2iqgn95e9yQPnub5R dcW9EnD86ksyHkUtNB8SVASEbhNWE5Ytdtf8J50RwJzyMpA3g43fCtmPLY6FbHCwIQup1EBacG3S B9BR5qxHZh7g9ROODgjSQqYx1+62IjTVsBdzJSIoeJQW9rnBhTgWzBwDjRcXKJAKyfX9N2DFbcyl H5n1wzfXW89acxcnz9XK5XMjV3EvRgpDVt+fTWK/qcEX5UJQ/6Y3cuYh/xfR4neoWeQDXnjxtVhv O9MS+Ash5ktO+2ezePAR2W7IzzvJQf3Y5htxHSr9U/Kl27+dbASytrYPHwJ6/cDBEEZTbkfqxdwB yXqMe+WhyJR+ZYJ5IsbqkvgeH2n0ca/vety3Ze40FX+v5yvt0L9IbvDHP5C8mPTXQNHs264CSnyW c8Dz0t7DimNjnqbwX3BmIdL4PbOAHamiH5tLd+n3YwjzzJF4pnTTH+bMVYRZVA9MihcCyuSvo3D7 t06FhYT9IXAFbb+bfyjiv0RuIxa6O+xtH/R5JtlbNB+iknXvhsA5JIrB0cBJMnx6BCvnXxd5Hr9B 7or0Rn51iP22Sfo4x6fTDPq7jcjDBTjfvNqOWftz5BZue1QtZCZBp6/03HouDZydub2rG2J/yiqp ekeE2bRgC1pqy2cpCxYlIJX1qG6Dk82l19CedX6OtYN1B5tWqT9YTfvn8TFvt0+dlxRZQOlqr4ZW z1dntC/gONsZ5lwUnCl1PDJSHVP0VsDteEP+7JInHFTKlu1aH6BhF+N7aeAHHQRw8SG7jXtsbFR/ KrMQQSZ7Kx6nVArxpG2ITVDMUb6ow3EPQx8GyHkdu5y9swlCqrmkksrsXK4AcCCQzD2U8N0FbEeY izTp/wCALar6n5C7INh0BR4pGyYT1kq8UTGlTWpUw4TUdwC1jvQBoY1VtQX5SY/SXHehdmZtDwth ANDyPL2+MhF6czpmS1hYGMTfhkW6hTVLQMS3T0adZPsIab/m1eNWFOxa0CBeeH8WZ7FJyFBFghrn hHfuUZexh/zkb4lBXtU7M54cAfzZ2fOs+U6LSTeP3pNgmYdvLirom93+28m+4qUaiTWdAv41Z4QC m6eKLVycLLZQy7uwD5pHi21ZinYd9OY9ZmDJNCTYbZssUrolZlRo4t7UuofGZlV9rTyKPGTa0REt fVRKRYO+Qvn40I+QLW0ETwdwUPE+g7806ZtXe8EAW2FdiyRlIqN5GI7lGZS+56S4u5kXwski4eFX WA7ms6zIcf9VkVMgfItguaxrDZsl3/dpIjN8ID2L89Kw9hTjaRg4a/e9Fq2+QEVk19NUKauqVQat P5Ht4N/oydnDIFNPMA6M4SE2nYYFBjs5BtVRZX1hqHPj7uXPP2ve/jGMHXQPW28oNhJIX63DHpQj +W3z4t3Vr/QmKoBUaqmrugNUXkVAFZdLR8t6QUL34VFFBxtNXbbeX72BS5TwxpqnlHvJPwLSY/H6 ytUqXQPox4XnxdmsEXiHgTraSUMviIcao1EoMEbWP2dBeU5KbsNaJDaXxO9KLPrDJ+3p0W3y1szA sDntW0UR+cnaYhOgi2zi6wehns9mjzoaoxfFLZ0uyfxz2GBEDNy08SxDEUuHvRqjpBj9pyrVJ5kt RzDXh7i18ssCcTId1RiTRS6lTFeA5cGCl5Tk+MuScKM5Q7FquuOKH4KSlNAvhKRKPg21rskvu+Rg 8VgixhpKkb3BWyGBRVhLtYtX/+MBnO+v2DRuho12F6npG6s8zEPkiBSWMPDtgJTdT47sL5LWRO8x zPj/joMd73edM+yiM1gMGxtJi8kt+HJ5e6WaHaKN3anwaWGtUk2LrBYiSL1QWVdTaHVDg1NDMVa6 l+rT00PHCJMihVwj4LJ1gpgo6nhzTd5sDzeVu82gceNfIcj9PgRJAVIJ5C5q1T1JfVwZGRFwYh+f CZOCrwOcUfVCKlR4prWmGvyY5t52K1tCZ1svDjYzcAkGxe9EA+Q+bkr3EQtb1uXWGbfouwV6Ab8q u/FXgjIcRMgdst14RgtMLmMk6EartKQ1NNRalwNTyHWMA5XsRjlV1XaNbJUZ6qwkSWGyk6yNyual l5TgbOoSs7+MOD2xqmczwQox95V5GU+75OlcAM1sYNWcmUzN+C4RBDP5s+z3jWm4mYLzDfpwjrqW Y9WkNqrO0BAOtJwyr8ZOfn3d7/QSFXQZaz5YuskoeYktW8d9TPt/RoleH4us1QXtYAruZEZSMvSI pTh8DsKOEB7r5p4CTCaTvVOzmlD6bFZW4R3Ar+ydxnPhNmS6ETG4tO0nKUkCnD7dnwkvJCspzr+Q oidHRFkzo77LPviEfr4xWTVBo4tGIvP1cTYsx7D216m9KMlcPG1VIgoFFZmChMqgRUngQsohal7r iHM1CE++BJKi6FyJjcpBJ+dcJ6yVMXRgp5aOZYRrSpED0+AG1Ffqlj4hb3o3dDY6m58XwqJHsZaU 7Qh+iUhNoqXKScJV/iiYuLjtyhqHJX3zJliqpxhRFh1ePmSjQntfjhe7ir5Zf4ktc1ddu/v511zw gBzm4Hd7IPdb+kh8ZM/oIMONSrPyVNySgpRBRya2Srd3/3h+oT1JiXSk2gFYFc75gr8oTOAh9EH8 1jR9Ecg9C4IPpWYBzP1LfLzNDVu/ZP0Ptnsa7hw6XJ4LCLhqU6DE3e6R90zqVnr4fYfdTZATNdN+ OrH8rliYDOql7+xmd5It3M2ISRXsAluT01hHLZrDg08ZA/fn9USn+ZmSSmh41KgJMXxmSgf5CwBM CrYYKSIcm8TsYOcT9WBTu3bDYRTEvHH9YCbwUUPVmPaj3bNAs2PtKgb33+60TSlYMSe5vC+p2eoe xdhqdc9SM41qiUedm12NUeMPlKnkilhYfX7f3717a/g1HQLTNZ+Hkui09qj4kfZv9BF1mYU8kpku JzhJnUKkwxJehiP22KREyWziiYKC0CI4ijGpH3FT8vNV9RklqLuquBWkbyjKzuPUnVodF22gfrYD TuiDKxdEuzjqQ5ZR+b0oE2Q4T8+A/LR8ylvw4FCABnj0YKoF1fbKMHz/YTH4HcNTev2+oBnYCYVL xwhCSufRdswlLwEL8jczWHjLSCV6WaEnc/dwwXCNdXp5FWP226eOnxyWtf9IhE2DSUDqWpVlozUF +zncH1v0YkMXbml3kaXzCTN0KrWyhqydTcX9MduPv/RpGcTuPoQm0g7iY5WaMaZVPtOkhqlwienu yr3Gy++SeTei7XYScVO6nR926nnoShapXfS41T1GNDCkSSBaq6aJkPxfBSHVB/ikWK80rrxqzEAi todyrzflZqOn/zooXwpaEvIofA82QPal+Gxfukpi4O71dLcK6B5pvkF1UwQ9UkNeNjFi9kanI6+a SkL1aNSb74eLTesgwptRSQVzBH6/B0jq3OPwBadoMQujRmloW/ji0VwN1R8vRDclQoT+yP7Krgdw aqV691CvVH2LV3lbaGAuJp0BkUf8Kkg0d3O2ZG+cD6nXI0sSs91Olr4b6Bp43vQ1ppuQVrr/SG4a oFXaQUMfyTEDal5eynMImNqIwDnmb3+0TzvkNK4HvsSBjzl/OY+aoVooCxosb7n7YZnNpzsbx2fq DQaoisChLXY7YOQrdDc5lk3/AblRSrTz6Wk4T5LvnYjrWCVk14HKjfQL8dAdR/VUlUXLHMpVank5 6SVmZn5ztJ4x/4yD/FXAn92tDfz1LxMY9VFi56tRqD2TbllsMe0HuMtsEWe2qiDGIGQhZbfxSe1F U+pWuTroV9/jKrJtrUFrY3l3vsiBZfonpnNeH1Vx0714MZ94xd41RJqZ1YnrzXS1Ig81uL9qeuXb lbs5wRqLclAq8DNSgUJQBuAVxk2Jw4AqMF3m3QYJioaUCJChCtd3mDOzvETC4dNkkaZxq5zXhNSS /jyFUAsyb72hDjv6Y5nQVmyVGIbL5djYwYyJa+S6SCS8KnqqLIFON2yfkyMXc1DfkmBDHVtoii4d ciFCEmnczp78mmLDwLTeHE2IhG6/aWWwfpRxuCtl9+puhB0k7YuQdEP8CJCntOpjoU6mmlEu9ACd 0UoXbAzrl7/M19BUX6pVMKpuLyiJj2yHBp5ZNjST9wEEoLv/EnHVIxOyyC8CJk8l5XTs9zled5L/ 1ubHwexXZVznoAdyWROF36rbQvheAIT39YMm9vnwcRYLwTentXmKA45WMta6FWs4nSnXFYNhMXZw EBqU1mn+xclfmpU/ofVuSho1wJSRXdgTi0s0RbZzW2AYcZ8DKmFzv8BtPGaVxsrCjd6SSl2V6TQY 0Ah2a0cqkNgKQl9d2shxq0NwCpZBlCRKdtQY0CgQ/tDQAg3olB7mquYokiee9+9QJMfW3ALAe6VB DOHXjrT89k+ABRsz8WCxmGq5uLyW280YqSu8N09Z7yzrQwWggHlNKpk5RTMC1QKlB6YLmq/A0s3e bzn2J28fOsG8CpsRhmrSibaWloyxEfnNZA7YzoIii0x2H5a+MJvGjkfN4s9TDagX3SAT2CpvMdsg YsJF4bzRgBt5gmHP/7QmCxeJ7NLUPNsi9HB0nYjyz79IIKCQ/gIi0f7+1kGriszrmm2t7XlfQriT Y+bQ0nj2qkHOFj3nmTa0Jtbs7+zj6tdOXc8KYPeb2+jgfgSQXNSscAi3u+40OxZ9ng2pLLdQ8sFp 9J5wwk1Xm+VapR4oh/Ws9nyCaG90YXHl6/bNa5iRmPn6FBZZ/7HnbC7CQuSi+VIcucGyp5+okaLd +3eYkvzDGhzPOdxw2ELHHmzNMqWx3pNBPw8EaNiWQpIltPEukND++7cqjdoOlzeBWmyw2iUPMm4O 8Gc01A4eMxjMNMGWw8IGbj8ihdWLrDHM0BTLDR9CgYU+d7Txlxq5mc5pJ0R4cgMNshrKjmCmk/1S rc4VPUYpvbAqGM0y9rvrNXBJePyykRrJTvawC8yAwZ4KUsralbug+NIaouew/8Q6QOZacH7ATHPi SOQ3O2KwgW5uaLOC7TWFIamMiPCellnYzBTAbO4jEsuTTAVtofwLX+SeOMteXagqZvZpVsula1Zc XvWyMlIXYIuR9HdiXGUEH5CUzBBqNFUIwLqR+Dd3Rx1AzJkdTU09QwhCcR/1kSUyDlr3mcgMo9J/ p0yvr35jQ3ODMrad1YnB7qoSHsiYGqN/D6l8k4Lq0DX7YqhuT83oJGphHBUqDk8Rkpzqrtgq7oIQ q+MWJIm7nqwSpxZOSTtPyfq24PnGAvorVNaVmM1yNcX2JLTsRwvhO4OGcPNj1WVjhL2xvsuDhlxZ 7fX0FttrzgqxQ71WHSByTMqj/hZqU6GmX/YR2e0CUUgrRa5ngDd7Iibk7haDYdpVW6wEUdBfV2Eg L5WXhpIayRfZ7Dm5QFiqiznQAoIg58Gi3YSHnav0N9CUBkugdACz6rMKTpNfDsl0evoQZw2aP7r/ ga6KHgHVbd7a4SG/4sytZks+LW231Uc3cpqBveKB6OOw/q2MAMBH25WSltjbzFVG7hOzr4vV+4U3 Cs366g/uCrRC0OrEX9b/rFDtKrlsZ+pDsqowwDlCqEBxNfNEXQ7+TROR9GDepEXHCTcJPlgIutzf t0D64ot0bWv9V8G5xIdZ4ST96C7/ooYnvbGxWsWMS7dMocvPmM/jrDbS0wpXFcRKCN3HL0CyzweX 5H6peJwhv5RKFyrypy+zitefbQSKGRNr9sTjbRsfjyWG0BXlkFAyTOoo8cSjgyzPQ83F9sLdtMGy dpPiasfspqJM3GTvOFcbO0DtgQq4CcXSp/uGVdtIaaWOYlJkG3yef8shLGiA/WDLcicLB7tbbf2I zIOvW+PiX12ped0gR+MVNVPE/FT1E7IVg2w1qGSGuxk0T8qGCTeF8a7jN586O07RXm8WLfvylupB CXBh01+ykSI+62hwMi40cCPHy+DkjJFQ2aK6/gHArAZHoxCrzrHmuefZGDKK5nyTWRpVuyFTDcsC G6vuMuixynd3yOh6ggCNamZHPmEgFOoCygYvc59j17HCz0OmnkCtiFYDePud2uoQelg+vODEqwVj OyXJkVMiSHZyax3TyBFc54n57XPWIpWblTWWcmbJKsJ7fqjBpn/SG2lFL4ffGj3R7WpAA4lAZNgm g/tbMpA0QelPl7/ZhzvrPllzPJpbBrQ+oPqgyAs5mfycbjrX26bS5Wd1uUZzBSy+WtSOgKsjA1b0 wJ+t7vithZV1nB9kWPD7yte96i1dIGGIwMwGWi8V+9LNGCqE7aes9UGdRJHr4y1GUPRBIzZ/9VXW pD7hG9rtrzk/L8XxZlEW1XTpJdFx5GMs41I9/djiH9u+0+BlYoHaoRWGZmDYQsjBiyTe7aM+I7gE XxDVrdmo5cTXWfsbKICmojwcJGN/83EK12MMnETGjvzXIyFwhy0AIDBMEcdKC/wJ8HvPWrqLzFqB o8V3rIX255gVDOdge8JOLIew1cKEu2760NGHoor02WOnTb43AZ72BlnOmAKLFhyr0//Uax0v9RbA YWVqlnnw7uAXUHkC5pnUWAX3FtvYfWpA0g9hP7y+MCPBs3LhrhXC+J8ZkqBYbJwRvozagC6tE9/x hEtmg+YCHlqZLFChUNJEQg1tX4adKg3uCl7hUIJ32vfRu1tuUjIbw9jsxIOqSaHlp9lW70VAFYzt bKYRc/AV9Sby5ipiYasebrs9EbS/WB1DoNMEZfw27oNQ+Ki+BbY3YVOrelMms1UU2TNhBnauOkWI XaVh3dh6vMgAyFqqny47iD48yT07IwBRwz0WQeEa16K0diEUS4aaK9Xg3ci0bVYjgMpl7cbhM5Mt Y2lmkUfYLvwtm5wN7TrWWAtgTuxNOKsoaifsFHsZp3P9sesBpYea3dfoBlyax1Sk/ueuSsgBTKKo vEaa+TeLQvXOgAxvYbV70tajIvy+R0B+wUy8a8unEvYoJA0/o9T82yKdh6G8aE8t8rpa3fB6CeLE /opMXsLNOyYF7l2u7ZS4Wfuk80jzKmysXMWk70aULIFhGkgKYuKdZEz64aQI67XoIp0XrNeUxTUl /esJBYiRk6m/CpiRefjVlCqPIeNU1tTCEfdat7XvlAYRTTFariQZnt57p8dvMcR3IiPbdhdnPbek ceQ7tHjZq115kz9oeNv6PUG+JxZH/fOgsrNQXYQK7HJ4Tebx+2g5ekTWaFB5ZSqxIXzyOf/BHsdg VuZbpJP3IGHBr4dTtE/jACmWs/rZnz3myfqVQ8JVn1zcsl2oFJyIMMJXC0NlJXwJfgTi/z9LMb16 0Z5OX/m2eGefeRQJVIk7wWtvV5I8lOW2u5f+kvD64k5EcblfN1yiO/xtUizQ/Oc0LtZ1FG+lMpDs he8tsG8alOl7sri/t+7rYBnTyQsIszLKVxs/ejVWtVbtGC8sKTw6f2b/NTk5WOfsidFGOzhygFsV aquphUztOCmAhfxg3WvzFOFImz1+Buh/YlYAMbYLjChXP8Os8ZppYEaAy9KfpVhx6Ow+awxhIy2Z 8w5YrPmUU9E30JjGonEFGc8MN3sEvy7LyWeVvxRDcE57howIYP02hdbGSu35hr5TrgfIvqeu5qrg +FmTbXwiSL9HgyAwcUVZBsfPQL/6s98UuLbhva90LO33QX+6x/EyFfNqyTMEl+lwhnRruFoMXaeU 2zDRRooLMf034/+DLfPelCOHkBY1433r08Gw4z7krlq50dH4jgrAq6x/XH+Ph1I9W89T6fp/mnkW okNPhuidn3E2wKJusWLfJS2m7Dwe5buh0DLdt6MRDdRr3Uw4Aoic4tHPYztvTscjJwCsTPx7g4Za rj1TQhvDTZh/36ilUY7x7K7aqWNfby3IMlzD4BWk3qA0ZrPFoiwreIEQYynlI/kBQ2EWRwiqniE8 Gu/okbFdUaQdD3ymba2soPS1lW6rINA3JhtRkjcPzo1u1N1NiXN1cIsLAiSKHZ0A2ShzAIzvbwhp 4xQhR8B6ayqHNrOL8Bg5EvPJqZ3FAzkjKL7mYeZY4XFfvnNFtTmeu5H0uFNl80jOAt3ChFbBNgFQ JqpcFkwK5uQmlSokzamPNv11mwNP7sBik68bOqfqGQnQ85I0REwjFtOmU/d++GmRH3t1+bm3noQO EbFceonzeKrOWeBFg9K3siO6KAk7BNxmAhDpNZmR3EKBDMQIU70vjobSUae89pZY1vzndYL40iAd RNL5/bk23hM49Bi9iamkRtRcsO1hPMLeJnEox/Ys992klLM8pQh3ZSSjtj8MFTCH1W+8KEuMIK3H M+C0KDOt2fY2DpS9eocdHaFI7b+YVs6oJH+l0sdNlw6XzpCa/ZxSOlBM8WhlSI53imBU2AYStEbY esR+Ba8z1f4g8LbOrqXzDa3kKRM2he1Kr2W+y+x+owsxGrkf9SDxg1+4dXm2GfLizy/qQWjTZadb 0ipDD320R5QmrgRUDGz8dDK1UXDixnsdXego3oaym6rjQkZOja8v5LddCaaESPWYdJ1haNZuW66X tKpvXWNhDAM2kDGyqm51aAE2IR7Djx5VAnj1ZCL3aXdkI6SIVfr2CCTaZXIBeugHVUXW9db2ZbfV n1dg0Pj9ywDOGsRraxx8Mf/QvJ3ZbqsIGNGi5S5qwSBt2mqQQcwituR6aya1WJCD01ZAaf8vvAHV sMgoCX07BGUS1swwkuMaPyIOdmpc8GkE4P9BGli+5zHzgFe9AkL6z7+5K1T2LXmZw5P6rz8EeW+S WkROEZ727uzBMGB2avV/sngouOYaL5EjSPhyG5RzWC5PZEW2FtwpOI2lMw6nbUy9TWJz94UqmPqp obRa5ggKZk8vT68j/G6YiCtq2TYeJh28s5/pKNp+/wdpxFApadM9fU1O4youoh+E5pBBZLUDNA1m bFvFXgPZt2KDIQt+PWJsIl91j9GJ5+vJZZ0ELAU2QgkcF5GVmQWwWbW+EaBiAp4hM67NHUpiIhaU xB1213ebuVHRPPIpGbr4MAIMeXN3j6a68/6HDyom6oY9jXltOtjTg9sDdhjylz6L15csKbZjjNkf +qLIB71dlfMKUp+QayZFOFBskRy2EUxxNM6eqTE3MRCfYngrSEUlQKGaQPJ+N7N7puOCMQvXfaz1 53YCY+PncoywQuk0Q75m1jZAuWTU2lMZeqTNeQwZfV/g/b0q3+Z3e3I96trV5Hj1WFiCmhIJ0kfk wQh6ukE4ISRowU/+I6Imxh5qCKamxDITVyw0v0zGvlXWVPYZHF1hNLOBWnnZAkletQOXjZ3QUCLY cKf9Y1qSFEfLdDBtnVwObQ9Ezda6Mnff/cn94Gtb7IdBZvQJaxzrOT6NvD0UN9Qg3/wxUctb8fqq s4jkA7qmRky4tIkQbq9sDL0iuRbzBBLVhsnlURTZljwQlFB2KPi0Ay6SBiYJLT9T0ZNg+q2A1Mju NiccuALVvrGZOTqkk5Zkfj4VYkMSMwuaYeSz9+ZvXZd7qXo8Xh9XafDHfE5n9JtnG1yRT+a7276y 3IrfvF8fir1oQ9WNP9zftxEHcpcQ0P+3resvkGCA4zQ4C2vAxfLauIc0hZX86d6xTPkvdXe35/Qk Vvotwf6gMNli2BVzCBD2uuXC8iJB2wzKBimXVfSn0ZCSjk9zkl6RGE/VKrfczXUd2DcBy8gfeXL3 F3HMib2nKlt0ZVqQSdq85S4iyFeBuE+LtzE+b8b7HVHIGbFz6YA/QK5NWJf+RlCBfaP14rzx2dRo 9Fpf6CFhHkRJCZLolJq1KViMBY8CL3SFp2Inhs3ltlMlHvHYPJ2UE6PhDRfvBFjlBWpnQF7nOB3W RTtdwG8spfYriJNBaia7x1eVxf+74vX73zeyK5Y78lZ6ho03KxV9iLB8HFryU0IaoRLuWnd5oRM7 YFcMGxUFfNllGkkzb/gkjJElUtMZqrUMpMU7XXemRU1vBAabiwYhU+GOkXk9mYJ3ZU+J/jQ88hvS MhEaB0tquCgdGq08nu5ZRQJD6vVjuW+82D3o2MA/UQeeG7FAZcaeENOS0ICc7EUj3I6dxoW97TI2 6k1fVSlMVDlAYcZN/LyN72nkrD6U0OhMj3qprsVnrHwj7+/1ncqRo7tpu4OxOZjbrm1jAOTLCwAY JEf7KYFkpc0eGvwSaDe+uhX6uBm90epFP72k4mGwU0YqyVJ7GOOW4/znPJO5bGwLKdNzVzgH1/JI pFQncwGyN+gW4jyDz2dSJ9EJNzjAtEw4ZUy2vnfyeA8i6AAUzfK1Ttn2YOEVICQoRLOzMj8vKs42 cpBB+S7NsyZZBY2sIdhVYs4NAhjU4H7sinZzn+3vpyUfnsK30NKs58WkzbPg1QgHdaQ1F44IOQgo WsfQCVibIpXEeFAUv3lsBK0/NrRBDoFp4yKMMs5oISHCuUxU8jhVizZY/Z7Mjj3ZfocCz3JsTBBk 24q9kdi9BmWeHqqK7jUU1F0+Tdwj55UR4ZtuD80bAvQTwdvEt5wHvEPZzHJESuaSAiR2eKasNwip p1g+gtW+6CpnWFnsukkBd1+pcMoi4Y9SzFATPeIcKXdF9JOeNDCXlPzX4KaqLQK+UYUCy2AVKMDK YXpYhWy2BGGwdLDaMbInEjLFz4E5MAFu+lxeq9DCs+wKJh2bmFhhnwpg9Z6IaCIfJuZrIyV0xZrv GixbtYJHrFe+H2UjnYsouSn7+HwI1bCHJlzhi+272M61yBcIX6Hcw7+yj44XpOzbjH46jiU4z4Sh LrG07WHkK5+qQMiDnKuSRG1FjQ43wFGR92Omsa7lnS7R7veF75ZbQ/DYYJBxDP7q5LlSBP0NlZx0 jRDpr/4cNbNa4oAFuayzTsBB9ucvRaPd1HBPQNkSAVac6WISv8INFmolx4C7CfMGh6GQYEgb+KNa q6gip4qHtISTgdE25M/pJC93pmyuq9CbzsifNEmwWF8LPbShaotC1+7W56SVG10dnsFe1xzV+TLQ BhkcYuEe4fsiqfnmPWog6hvgSE7GkyvEq2MUobNqfzsWTUaI8vW1ifAWT/+V4rTTlLFfJQH+Zaom eExEruW4QYJxSix2CC1YQVwwpWqS/RAmbtXwXn8h7M7lsvm7MGDt0JXEnLkLStv3ntivaAQ/hzR7 Q1YbKqODUt8ZAmjjAvJCU//gEcWUkShK4N/Ks18Di0t7pxfazntlxi2wis1iOHBzDTCdpFONrvKW Zswzgq14hTu19EXitw5OjEqaKOYeztOE0JlxKOeodgFyEHXRY+F4hptqELA5Rawja6FXTSvI83Ca m0vk31tfM5VnMy9LkLwapZXjEIcDZMiv6P1C9WE8j6G/5iIY3dLlfKWU+v+KQH2Ftf1L0NCc0IBr nXoBeklgbOTzp5jFZU6aU0JpUGjKR+PiGGdB4aR6MKbpk6ATiWnPkNWxMMkl42PUDUuD8amqaMW2 +ALNgIOTWQeNf8rLfW4jOl/N0RbAhMGohq/3/QSqI8dmIVd2A8MCFKfY96V8nljgFmbCv+w8A9Lh M+39DhyVaIxd0s2RrprhYxibOs6Oq4odAAEOV628L6yAtxrEMjkjyLi8R5df9qNWC7hZR4I3QKB1 46PkBv8X9potr/rW3M1BI4RXs1KUg0S2u/HEjSfOTJ4r6QRxDqsapHqzZzlUjdipK8CgsDYwc4YX ByvL694sjCHJblqNcbg+0LCeBXtSkOTbeSEoxApH5Qc0ipzp9m0TKP7iZyLtRISw6vcJc0D1b2Us eaScIkEgRTwJRJeZFQ1qzwugwVMf4FFjOd4E/aMJJmEirMdGaJU7SDanjXUpBc6cG2VQ/nw8RTb8 LKgf3dPpSga+mJlThIPYI56Aw9qNhO/RA2Q72JijeW2iv3NU/A2PM2cX8CV4YRwLiFuoDrrns0RG otFXWNw9GWg9SXfSz535OaJJLK+d6yLJwjF3Cn+V6EnIZLGHYtI65HubzHaUXAVLQb9c9n82+DMM +au/oGVFnQGJklwv+O6f4Ihifz6paC5ZBY979FQcZiwCwuRwb1bTFVD8mvejC+AVTvavNSnWEaT0 wxOX1RlwSb6mSd9p0LffJ96Z+MoeycqUHIxKKTVbtMt5fYg6OGg2HiWlZukfbfbnzBdSyfT/GCWz zt8NaZLRrSrWUr8u6m62oGA1ihUjHawcomzm1mvLrGPbrgXNx8tX8AK3KdWzgq6uY23dfs3pf6r1 DC8vm5A/GYaO9viysgp5D5gbvg3HTRY5+5m4z/aWoFYEbRvW89tou+HpvCXSx6Pd9M0WaJTmSEOo VBS85X6jIQt17MS4qXRkUULDBITHOjVdR7t03dXuXmpYGqiTZKcgpi3MzEw8hxOQ+3yrXLSwaP1y sEgXzu5e1u9xYoqVR/4Nk4O7+y9+6t0ZW7LdGMkqc7A+FYWI7aKDHi3PW6qPXdI052yNRLdCOMDR 6T5+cnDmywiQ9UM/uMMKUBgUieeKe31SguIRseTwsx3Q6FiAfzzlVXQXT5qXaNWvo2yv8ByD4NLz XqWYy1Ub9dayWf4GBFdCT4QFfMH3VdfCgOYM1Y5fRXJ7EbI+BN6aSK0NadDfGd1b8zn51JS6Bvnq VE3tAyLTZU8T3yZHDE0euJKMLKWNZYr551XdjoqBqWFGEs1YaYqLIpacHfoaybdETaEXsIAo0wR/ ZgP83jrJoUDtk57+uLco8ix/gn9/wXJkmX3GXr3Vt+YZ79p+jRIAq7q8XumXhK7CFF/EAzxcAvps U4Yc5DbZ82hAyL8ki6v9a+NDzM5uP/CE8ibGjBz1llTvgDfq4MBQ88IFQnrBJQtS0JSWRXMrnBow AsoKTCaLWnr1WktUlZt0wB0v7Z6WQ+HbT2H4YVev0OQqzTzQiEoylfQcJ+vBzg1bq8B0AXIovgwU tHH5hE750rukL1gnprbOa1+L70vVFBVaFMtOC0HjZDw/ppTthQrB8lJCdFnglbAowNNUzR6LXEfY cG6j+x34FBsdswOiU8tH9OkXbW+dLbb2yzcV9tQ2nvLG0tdEMZ3cydenHqughkDBV31+dBnV3DpV B+hQ5zY0aEtmageUpOpAhMlrQo76FWrBi/1KLEiDWe5EYPPuKXyRliixIaLfNR7MDEfC9cJC5N/b 1dqqn5uV5yEO9sqEUpJr4mx/zcR86cGA+BnfWMbDbiKQbw/HIQeMECc4d+bldsn05uxckCNobjyp dUDNwW7aV3Tp29NnPA6HsYlO7T07Yg4HMPZKrMdxnK5qfgrXVBRTNXRrd+e3Yh6Rt+L/vqc+Rh+b i1TIlVf1s5jTilziH9N4/8JeAjHvdJpNgE0R57Ru9qhp/KO8YSX2hVNEyzgsFTUiRI5yCQbFoZU6 5twyDZDCZ/kkknyPOKbjvCStox63iCWBDWr9UQJKvQ2zo2c1vMIh62BQs6f3RcvpgeW8UTMcxqtV 3zaqBQXQh5O87G+rZsiGI8Iaqy3/9B81V/IB6+QmJW/I7ztVb3s99H8SoFMbFlVx9WougP4TB9Bn srxkl1GK2UzTq9peCg7SLuCdLn916eRDjNG3DmdgV8YAaJ9gQ79P29vGLAu6x1c68RhKXae2V9Go 4i9R8739yrp3wwMiYZJl43jLO56QiRhlk5DtwTL4ypvImxO4Jnu7YR2+wrrR9IsOSBTIAqgY5IiA nB0DA+IJooKnV9iKSqeyWCZe9owhtlFVtsGzpIv+RrVfSLMCyfCQh5REBwZDSBcppPlfW8yvYtWM MuCuwjEtuECJ2OLc5QLSPxquA685M8YxbUyoBmFHs7YI/wiMB6qMtyNh4TUjyx55WazKaIr/aVEc mTajmlnSyY4rguN0CcJHEFWxCmgsxyxqu4fo9cE2vmhxVPkkbPtSt/g8YSkSvFkPMry8tS9Yu5nZ zc5qmGaKEvRMjX8AmNnwy1dJCSdyCwh70sZcIQDHUCaPybxXk7EtZuvIqyQzUOGT4Cw00i8jm7RK qIWbqM651do/gw1AWW3FLewAQrsQ/DYkVjBf/naryRm7RBLHHqGvnsXkDkadBy4Z3UCnmPR9SW+1 KpP5oo9WDBXy6dc3eA4Mz/+nuQXEDp86zGegERVASU+uoDOpqULm/IGnXKV3MDWqUjntYZEFs5Qt g4S8h+/2c86HO6yScOD7c/0pOMCK+YP/vsSTXxBoJ8i+4p2ayBVxKiJar/+8tvSHw6xK+qX4/JZQ gV0oVvcnERbBXEQYkMx4WS3qJSdnZfQEYLefs9w2dEQ9KV04P8pC0f/YrDpS0b1ixUCgk8XG7i89 eB7QKs7Bghs5bpMJv0aG4+FJ3QZGI+TCFupb/LAPuUTEXx9ilcyrTGiBnLxSIpXV1gb8zCWKZvZV H/Lszvx/WcJQZy7FWJmGL1eog/ynYAYHnKwBYM21epNm2WIgxCT1/hU8uSY2oVHi5ASoV8u+vpyv /DV0EYDzqE3LhJL8rXrIaduzbUBSs76TgKaQaUBOwFY0PJJiMwEFscfbIWNZg0kzn+5RzsyH4WMG 2PN8h5o/EEzYMQhFMAM16tG22aX3Xknf9nudXuQUrpWSw+tOHyURxMcDupXYIx+NpEl3o5xUdgdG FJwaVJB9a1B0hd1QRvXF7VHLTmUHsgZTs1x6gzCXnSrsuDyYfUpQoezG6Gh2Qf55OtsComiWIj5x PYZ2WqvZEW5En8MkcGvWt93nXjAVVTroISU0K3wAjFMBr9LHAyWI+rISv+oi/CeFDDv+M+9/mlMi vcRnwaEMw8xw3OkzSEghLMIwlZ+HqtOJE+EaiLvhUaLfM5VP2Uvthwhfj9nTn/yddVLUu09wbKxe 9R0JpINJsUhAGyhsSj58xxGaxaR05q6juZoXCi0hHj9yp93p7QvqnxMX7XBHkyCCBo7cl+9LxSX8 dRQeegIh4SyF0p29XMT6vi5YREf3zwQQOzN+6VgRS2jB4OzJSC4i8CdCPQg3uwXK6guhf87sHk4O wZXQqdgoN04eFlmqFEIuvBfU6bnWiTHFQnPqpfJuEMw0y5B0RZTIcKn+Kqpnkhs9qGQ2spXIJJ+J YH8rYqN5H/QhoDWEC0Yc9gwF7alBzPml6OGMieKhyyY5uj90ox9XJA/XDJ7X4tVku/wRLwEEI0Kx QgWYDS6CncRKIFtbL4DWaxe6YesSrlpHxOuzjmfhnmO2n/fyR2kEnA7xtMDVHLPP5t2GiVVccoKA TLCCRovqE0ws+XdQ4Ix07rGScgEazhxQjrRSZ0MB8AsGqglkFjXgQfKxDduFYenFOXl0pHEEmnSQ gKkG/x579q/XTncebYkV18lTpbZTaM6gbdR8h6qJsJgqoiMQ9Y+CovnH2v6+njDCWdKZ8rxeaFRQ OTgyceBxHVl7yS8En/BFJJwaQew1dR1Vibg0VqfeHjG8QwRsSZpqHNNYd9wRRGSEFJmq8fr8N56a 2lpdpHTgE69kmUACEau0pKaEewVv0uYFzlD8++XwII2cdXVyU1nVVXbBrdmyHEdURbcabkVkUhJ8 5pTzprOGULQaYOs09x1C4Njyu4brZ8UojmgTIsa+IAcskNgM0Tcfe+BkTl4YiZIhyt8+WKl4Vl8b XIG+fKmnyEXFPz3vCLnz3Qc2UFkmDvldf/cmsgbiRXtoZjUNHycTxepd5Q3QGmWAtLTBh5S0N4xc yHyXYticLa7HreN5+4Kynb9m18X5yk+XiZkBh+UTNxF9vbiQCKlADXiF0HERiwdsVM75xl+FhuM3 sIa8ROWgDJX9Ve+6+8I+Eks0KuyEov7cXFtw2mgmt62bYYJ6cTz5XzL10/WCGHMFUs2Bg360UGH9 4Yf/wpysqvGhyeEFBF3+Xc5K8lqNkFqKLro+9mfcruVsTOVgs88Ne3GEQjTw3Kq6Za8iFRqhD+Mw cP6adejd/6GtZ8MA91LVlZkSsY8gH1OpSW3XXftVBMiE+jMJOM1O6AK3nx+oXxbNAeXUy38WOTjO 9aQDVCnmkqCdtmPCNtpPveuhYd0ytFjlIKYDiH4eShr5KqpEqZkDCJfHxrv2fav/XxQTl2uuqkjg gyqUIg247+prajxAQhnZj98jpZlEPfZZFnS8V+xIlj0DtMVUs6q9VkLhVHFo3GsF0h4rtuw3bzYr /K7VUdkWvU9kXVLvcM6pRjbFZjFa4JNpis8G2JDHIB+3+sjUMiWT+NsXOZoGduBRbGZ+ZCv09yUc NcmcyHXXtvlPEXG6qyTWXue496JGjKPgsniQ052cVoq1DNJifJHObfJBf4WQcnsvGT4uZLlvaCYS ZazmCExVtuhO4uukSdrb8z2r4HNC5jffqdnjmQlLpMujxv4UjxYtN8Csf9ex9Noli2ItBlTIFZTx n6SAF3JsgK5mSGZyCmrv0V31flX20NZGyTkYU+7AvmL6J4NhqqNU65Mlmc5ooe48vr5vTxZ40WhT 0fZqJTdTHbK+vG6U22fTTei109YstobVLSJIW/XRS/Lxmk0RUyBwJnuJjLC10ZHdE+otYOU4K+Cu ZT13NYdtCJUiIfkqDZrLQlnOjlgOklGPzyaW5750WFaXnL8JGYq+yHg/Lot+0Ts89s8yLdANpDOe jcxHwv/3HRQWJZ2gPB1ORLnNrzCtx0QP3S7r4CSpCiv1IkeCQJg4bPMi+FCeDbg6AAMbO9SxJcOI YzG41/06DbkhFJv65CNb1kg+QVLGMKVdXMBz9pPXIlNsURYSwdiqHUwUPsms0CRiNe9I778AfrDZ Nb4bE1rpcqmCPEaBejt3xZjj4ZtPXURDQT4TudeiWC+EseV/6qRAd9xL+QSDvYG0zj0Hvc6Mxb4U Lx4KNwJS9iYqac71rLhvCu+50/vHTMd6/p0ZikHMJuhRxq6eFvcqpRxcv1wSMhPy3TMxnHgJVeX6 4wSRPAQ+M8Lx12PIydVvXCqE1jsizwJUagIn5FG9LUzxw8UyRDkr26yvwGTRZ6dchNFR+UG6sDT8 fqLFVEOVu0LTT1/8V39aM4NgHE/MjJShI7Yj6+c7DE0BYLVBn4kFCsGWlMAMceYTOgnYyuCKfzYo 3IxiuHczvPfbWMEZ+qHCoUr3U4y2VDBcJt0utiQLOhszKYzhTSyr1ZHbWfrLsw2F4TDWbQXazTlu NSH9xjsljyoJKAB6i4jKXan0EySrHE7oo5D5iQY6YMw/xOO5B/Kl58+SbxLkgubDYc9QMfc9N6pH JJkZ3Sa36lv8Z6Y6k9yOxPAkHGNyISHSgb9Et1v/ck0qu21OKNnblY+H6bMEVU+lY0mocGp8sMb6 fahvUgy2gkMPfw4ZkohI/MAvrFZaqq8MDH859ichQnhOQWucDfOQow0O3XtAl03bZ4MUpim1zdgX ab3PKpEgaqqNHXJy12SEJxwJtenqS4lXkcrwjuCOTdtq47OmlZBfaYelsUY4932VQ54agv/VZL6A WJJta+yHGPyAJwp78k3sfQ/PqBPaDCKDMrrXmFQUupwy36H5WsmRSZ45Alay39SRrSg65Bz5hlLd Yll85Oi9hD1MFDd0Qn19l8RkPLC4Sndl7NnpTxON07e4D5yfib1qzTcevMdBpREHDNXnGwnGhQuV Uw7SfMfKID7BOku/N2wDEUbbUAu4IfPJ0cSd7j5u5g6uylDOXvgb1ztbj7Zkjt2xGQCI0m5oFuvm UQkqyHw4iHqBeh0iUF7itzLxRChS2wGxFfYz9OvAIv4O7FA2RAg7QlZV10UqYCmgZJPOiYEms9QR qWCxcNp2t8+GW0ff2zLPlV0FqjuVycxBSr7JLJbmMmGm2TY8NtvqpONta5Fg4Sny2rNG8JUlC48Q l9GUDL6TWQxiEUx7v9h3yPJuMcnd3UCWP3czWvGNopwD9Mxq9fp1IHtboWkdlTR/NvxtzCmcf343 iJeiSJrdGXa4bdfU5pv5Y/2ke2nCOfpMgEW2rFbHqctk3KrcnHkLis3pny9+kX8Qn3uK6mXQRgN0 WkWdpC/B4pZDE7apB3uIjjDMdWZWhAgxAvYW5NoEf/hWIn/FdpcTimkOc5wgkrw8HNat0J8gVvoa 9nV+GqSMkb1AyLUvcR1Oh/UUYmvZ1pQlSboyIel9Cg+dLDTDURnQwkg/aCFt2bi1VD2G2wYyL4S/ tM5I5dm32acAH1VogO4KvmExCkjU64KGdCnj5SJ+yKo0WSdvkhXdwic9JLysh4xEw7HJXNxCQr+r lEHwJLWBucy9tHF3oaVuk9iSkFrC+O3xQ3d6dHynU6IvIKnhAF2OtJag6yMLOsULibzwkp1/elJ2 djATe8u3rg9+mcbl5s/7QhrVTH/tCoPCuQYZoWHG2vedjlJm1fduLIxV5PYeq1X3MQgTC/35hUGl yT+HEwYYK2Z8PRdXaxlLIle/8zzGpAX6tV7WR2FmZI+EWiKUX4sE9J2Jg2lCf0uvlknadfiJa7w/ lLpsDneaicEq4hfrkGhVN8Ko3M6iVn0n0rtUUzD15GaYxqvqtanywHyJlC/nXEp0p1SRdfX8Y11T OxojP3p3Nqdn89Eebsep0EIZk1OpyLjvomCNwwpxoDnCHzK1f4Rs0rhjcj/byv6TwIltBS49tUe5 I2bSs610MmwP1RSv3qeq5jxWVh83yx9bbEEM3USFSWpsskxgDyz55n20EhY0F43/1I4x81polsJi qVf4VEKwZEatnudZ513Uzy2dlX9c4ZWqguSnIpNJIcTIfbTrDZZE110FqU59ebjpKzJTkLnARUE+ T7UUbfrF9jFGIglk7r3YwGVoxnp7zyjGM6dNONB6xmJSBPah8AA2ovvGECX2cPYvnnb9TVGkNFCe 6gO6qVTekYYFBQ2HoK1iyFbgis90S6389dwqe/ry1d6GD1eP+uAoX8qDGMep/3GtlSEdC14VEKij o1g5xre3l+BAQmESAh71WNgtUxkG3BLiPwTYvw8yuCwEf3HLroF772X6LZRHPJRn9Gc79yQgCLhM vT1TIlnSO4m2eRt32Kuxc9k0RZ0hcd2cJHiZkVI+rSCU4UWsAUg19PETxNOmAS4muwOzyR2OP16d KtBvCtS2P0YnMuf1CGJyPAGn0YH3fo4Q8kzbNKKztVxAHVq0qZy6C7nRsKt2PViI82/fIB2vZOV8 9Vk1ykbLKmOFvw6cOaOsWlDsSLffD1ddhmow+uQN/eUg0aA+zoWffPznjd7WIOcxfg4XxpAQzGne +ItsOeBfdmAgyIqyuttLIbXjbWVBx6yIblP9QTsaBd8gqe0l8h5pFoDBRHPCrcsVHTgN/tCYd4so fXvRaez6xsDDe0uNLpemj2PgKExSaGuSoTYvPLzoVrOLSGceci8NTy1jkjPAqGJ1CwoJzW3NhjSU PbDxwFnYiDa6xpJFsNfIuWwEm1usOO0AvDjQ5JlYVKIBnDboD3xNEJ/mtB/dLeOhTe5tQsQJOMaW 1ZdSMhwqXPp/seHeRfa+Hi7n9crYC8d3NMACw3pUxigO7wskk2UTjxazsBImdccorgULqQrWyRJd H5cUOt0tTkTzeL9CvtvBZbCCRvFqBIr8REfkaNKxTbByqsAzqHLxyiiGcAlojw7MtnwiCVYaS/SX BdF+21lXjDC3FNzch+vRld7WejrzWUjSm3IGFKdA8CAL2wHKbziP8KY/OvgZMRc+Pd54NYuSYMRW awgWz7+1hrPJ4+xTrkh35Ey7KxRkr15+bTLTFh5xfsoL75HGMh1ngETyn64zRC4J6DRHUGAeCDkA D4T7N0MsIqTBUlXBIkhtpCFLepKiQnmwZ0O9BBIh/zI2QZ/JOa2fFtX28d7LD7Cm6SZ925NDSNMU D6u6IaPlYLgEqGPgiES5OloTWTTGvuCKHght0bDsOtL8pq9XohHVfMTXWbjLtA2VONAKDHarcjna l0s9xfJDXuDFvQ87NBCW1rPgKmU8sah61kCLJZne1K5dwOCnfH5yox1eGUzh1PVOBc23+ONVM5YO piGR+AR39q2R/YH1tDERwFAP8bKR2tP1/cvnIU8Z8c0hiAmYfHU0hY2nLywo/vLhZ/YnBIemTAUK QLc/BJw0/LbXhGCruNIOAMUM3isQu6XWU0ZDbfmBiU9eJ76TFqB5gtrJgvFQ88NFJ/BR66nPUGrj ZA3YaukXWno30vKAE9lFho8q9pR9qdEqkZNnNyXHZROAVIeOuaXeD9OOm4uC1tCcEa7ZhOTjT66N Dsy0X7XuBQoLTA0w+z2iB42dhpxDgCmvYXMzxoJ0/CgSr/T2Rdnqusvl03MGmVr0FsvMEmKrJP6R 6zYNZw/x6+lyaX7h/S31GOktMjg2ocIZihnm0ydsP97/gvazPgmIgZc6B2mOP275SoyIwBg+raxk jkNW51Ac/BKxe1grRwm6tcs0jT3ndxKsORqfzK697nOwS6PuDu601maUx/aiQ2OOYsl401+6Y+R3 g7jq5WcotAm9gvD/KmNYs/P+OYHiKQMDSP9x5ErySsnjVLmuD9Dn72w+ln9NEgk6b/kRV2KuVjJz UgQAP3uoh8g+bmTkLhdOBwasZ6p6XrFxC0AsJSYulRP+YWjEoH7ekvU04Y1Gt3n9e74IGqOVEzct ghtvXEFJsbFiYWvIaQeK+HLb8Nd+1Bbi9h6lHldIsnxUhTcvvf2VDgvNRN7Xk3eSFF0WZp9gKA1S NColbyQpdkTlXcWdKt47PWRjN+udLfeaMK1enwhbPquFvCrfQbCOeEgL1rj6WU4yYYuHSIwk+Mcy KDsio32MeYmqF7votE52KuepZL2GNqfl/QlcS5AO+OibW2XLBZmHho5zO+6P5OirIfB5EnxzBBqR eV790j5wcsxKmB34o3SuHRF+Q6/4ONmI6xtqG7C+9//pHHIibg60A9JHhBpSUnLfmwIay+A44KZs bwzWjp3Yd6nhJ/pNHB69WdIMP67d9ZrhrXby4Fek4GcieqEEKx/YOUe40b5qx+z1MMFM49vmW5+e tuNBc6Na08s5EwMBFUlxJnKc/BNgxuGu7bF9pLENFj/LRxvZ43UDcahkujcFELWhhSn4Ah2qNnKM FvWxty7jFBGBtkFyVeHiWXOzNhJIiawWvWpslqMOUIW26uQHmd4B7coDGVFYypjhrhFLgDYIGUss +3zqDLI3ocC0sBEnw7tEjxxAcxdOWefyHiKHFey49k1YCTowpDNBokategM59YUglKnQhdh5mg6M V+TmjU1nmv7s5Yfvp7FFhWnU45tx+8jwWLSgLrR9fcfirTzWcGnPoAtcw8GHzz/Ytw4JIilfq4g5 jMzDhQ+41g7UAdYPYcGwoXeuGPfAA3VJn9vBmmDAwk3PQ2LWYx1OHsCVixcluAAFbV7OmTk81s92 Kw+6DdlbNJxF/IoB5Rbrwe6jR4nJ4UC0jonyt5yGhbjNELhgDp3/yMPNETcrepepiWbB4e4PMGlh MP1BsexL1BvfHfHW4tyTsYYGRVhsJoM0pkd154TsweoC08HKs1iC+1Uvpa+nfQ6FZIgZKekWqAhj mokl7jICnBQUc2JqLvQoQHE8UHV6NGf6SBEPw//h0Aap6vivXX8pO+GP/wOclUC3IKUZEDE3MmII nOm7ILKatE+9rseVuODsaTa+708mrWM5y+YezQo9Qb7AWedP5MBlWOOsIS/WUkQMf7K3ddzwBXlU siZejv8xikwU/RKt/kgqWWtZO7vTT8sAF05OlionVII2BV3onnjOdkO4EY7CP1uJAPqVGBlJbZic G0N8uoLLjtHYMrifANVNvG6ZiZ3UnSdUIKh2Yo+5GS/H4m0wwi0+Tt0eLfjxuxLKrB1FY1BE37Qa OQsFjb+0yjLblOhrOjKGmT+3Cfs1oLNRpVZC3rC7LwPTCFGAll1pxEIbhtCDWvETVkE9Ii+bT+Bi onCc8aIN8EjlcAa4ttmBn4uCc43YJoXtXCoW+AhfUxH5M8GxuwC0Q6sHcK5/Y8xZ+Brt8eOnsxfu 5F/tfJfqEGYHxaTo066FRH2r26Q4lmGOHkvlji4mauk89QZajmy2yaQZLsuhfEm3qCHYurg/LEh9 feLWbVOVg7mjn/xhwnmvVzTDjsGVqpSmqaQ5ETSuM4wjdxb3FMZo9dDbm14UD3T8cLJx/UWIuPMx 6utolIY6eAnw0zr8Z3aY8Eu5rJ2WfPchZ96YtaltZu2/yJxckF/WvxKrooObqoQUNRFjyp51BgT6 28gjxYPVAfXXKeL3bZOP06XNyU33QyBnwrU5K8D8U9/FBgued4t9h/n8IhQQcnqZJRwvOAqo2PlI GuPRJowPn16icmE7gZJcGRZemlWQ/8NGwAo9sSGzmQAI66CHMaYW69Ju7QOT2A26mW/jhOk/1c6R rEHr7FoA0+otsUY3kWeE0yV6sojYuzmSKy4mhSkbhymrvPmqSPwxUYWUqDUdKM8lwjPZOy1X0cbz +sPYtlSB8J7hHsAry924vcs6D5bXM8gUSMU/BXyUQmzShdxoq8wwiQdAtdnrGgXSQeoEt49rzAPa ++G3rL5oKS/kSZediuHxWjmdCcekWet7phMMjeobzTmNCx1E22aZcc+/MyLLrFQIMVUEVXL46f5/ Oe+W0LqJpmb8CzaH3gGPENleblm9SvEfmq/40ShiMUl8B4RPmX5GtQwhrGtGkNapmy0ZNtECn1qk qGAWGbXF1X/STEYdn0UR4Vxz6dns69ubt35I16HDnZos3w8orbDvpHNBIYB8RMzOP/MtRwr+O2EB I4s/qgVYG+ov7nMvU3VCDz6C0jxhyFThfCRN0SRDoxXoevTRnm2PHtbxX0UczILx7KPsLL9zp/t+ v3hAttTIQegcfilGQH6FoKuMZufKa4x1bj9EdLS7dS51B+KoTEnWp7P/lKRxL1wlA/6C4X5mBbCI byJRnp+BeOi5WhHnAawHWwsnyhcTT5jXRq972wLqh8yAvNo9wRgvBursRFjQNemfwGp1/D7TKSLo QpPsL73r3Mm4jZkjiHpR0l8KnVrRme/VPPPWKloqh9gnqCYtfu6ADOZXJkNfoV4fMiL+9LUE0SCG L769H1nxUO2LCS2A5r6fDzF3hVoYm8OFxg70U84+q6M8vlbQaPNDEaKBNInekGqKwiI9n/dGJYAI bEVRB9a4w+oj2f2ZePmWfvwdiUfgV92G9cWyDb5wgJkTcoXBTDS2MjEt4Zif0o7VSFB0yMyV0rQ1 M9xKAtmBKeNCSlZtykPGbVMpMCUWOs2ZhiwLBIO7bTAP8IS8I01+8ZoQWrVTzNXWrGBKHb4HyqZU 6+RQWEm1AENT+kVeXDlhqBcdGi+iQBZY1VFru2C/otGG3s7dQrZed7lSN7acJ/wqdL0msFTxC83S Yo+nRAkirWYnaF/n01g1bTN+ZSLSOh7WXnWKDNd73MTfIi1sIzIz9XgFe9eAx8sA2SwdDaOsh/h2 dcnrp275sRm5cYrmbQHvCho3UenH2/GuCXk0p9WWK2rSPRlvH8yCO1rK4dFjurL8GJJIvayJ7DPk LM7CheEr9s/qlF9faPNI7qOoCbpMKPku+UogQgW3vnaI3HsIaoB5WqYDcoXwo4w2KBj3X4xb4hVd P/+MvVtQtw8hVUKWG0pCkOCnzR4QoolfrnpppC1Q4iGBCgvG5rkKYDXHb/cnkOU//AL+4ee7ipRY C95bNUGtiwhDLyAfTyBlmY+Aq7C9tf3TB4e0AA0DTd4qya+H/rj7hOAAubZTFwNRqz67B3vjd2Wf HGaC1aLaHJ89jPF26S2uBYOetthtPMMbEcaebL9RifreUI4VAxPUoQhNEjNS/ZJ9FnPhMPVasU5O 5C/lL2qWHNbrgAMW5a+ax+r2JyqCXnXvoU3A+2COj9rqZHYGiMw4xxZwmuHB6bB+lrs8jmG1hanT 5WA3Lq1uEfoXAkzQkAQXhq5FCVRAmMGWqj2sqPRCTTgc83xkZw85omKeXL2uskVgXKuCuSHeX+rf doyIAuyeAZLKvNQJBIf1fgFSy0q+sFcMrLr1Ut2oiYTESe8yVPPmaoZIhunHrcadECdU1AL0pLoL go9jnygBH6JTkHCc5eiwUUn8b65xwijuiOSbJlraO6MGA3WkISTqecZx6v6Gf8YTGxzE3imiYONG dc62FOgpT7IPa57zH6tjtzV4LrECaEF12w9i8wbDC8TNBRCbzcfbOLskNivOVe7N5DoZ/g4DQZ3Q zmmC3z/L7V3PyI2lo5WpxgfY0AIykFGnfjq+k2NdafbfxizGgYRo/DcOIHa53aSwlujp7QVj0Lv1 YWPevlKeqFc9NlIwZtiJAJGnXLpk+UgVFhCivVWRGVT0ahe6A1QKqaCE1As7SF4ppjPqToB5iSil RYw2XJa+Z89D8ZFrRIzCOFFZCgZLtBgFZSUcd/Dhx9NzkjJbvYQOHF41CIpRBuNuLpag0ORBkrAE XbJBfdJFJYXFS6/Wui0c/dUqmCSViQC++NOjUysGoCqRvi2VrYxBWKcLEw0PiSBdVVnctAoxqVXL jphmvBdOcqcESoLcfIDqGDCp1KPmRD4gN6BVojWi4ysNpQTKkDrbHe1fR0DWdLgY2slINjcOSglG XB+SW+TbGScR8YON1V93Dn48u7lwPKCQFnYOOtYx6JvuS3sZCgQ5O+JsW5ASlVbQC1BINNAh5j4U aCEkXcOy1jLCqG9Quk0mFQpF3L0xLtp0DK4os5jZdwnf6qKckL7AGJhtAeMo6RtYxmK3VUb3bMiy zQe5THQq0Ayn6iCp9QQhQ0wDMsXG++kzx/cVdSyjdj2rrevdX7DKPRFBjQBcPPAiwSKql3GREL0B 1pLrJnZuzMk/rlQKzkp4/lWQVx25OxXrI60Tz0MaNXN+MmG9gr+kgyU/C02B/DGLDhCCg/QYiDSt BozHu4tN3Ronwi4UjJHaEPMKNbK94XpejZMnYILsSUFUARKwIZqi6IX9cDH/LhCxNJxe2PhPmyWd 8rQwqtYQzhV9QT0UMXcCMd+Q1XNo+mi4OakxmutrZEuN8z1Ih3OH5h0nrZftKjwEECiwdDk/MHs3 6bR0WOp4ZG3q2bh4KH5ZYOr2wON2Sk/q/qD9qMqIxFq6XE7vnn9E4AmqQLXdfnUSgrb8RMw4cbI6 PrbiVok4UFGPkqg2fMIrc+I25WWdEDavVWWXX2LeE4ZelrdRGPDlHUJBaY9+E8JSn4DtOh2/lF7G T2UfzY6NEgZPJnxNF9Tmr4IkQ+6Ad6g4nvIVzjkzJptsdA4n1FYx84myxEyDYTdSP4IlHGED5IM0 AqmrbRBOVY9jxgPXP1wjbciyV/rLy1BIuIyBYwMmn+uzj+SVeXejvBiRv/N22THlrhoazD7u8Y+b 136KWYYZTn8n9XBcGVdvR/y8e9WPvUT2UWiQOWrCRvEaXsHSWlz4g/Wg37AxbQZTZWsxwe1GOhQV 5rAP/HbBoDS5W5OjBLsYbfRhub2eKxqC78Y54vFX1ID3lcttUVsxAFLaUM3lvUKu/kySp52uNGvK 4fm4uhtah2VuoLMAdLNbhufVJNWeUwmsWU2+r6EYZpSdjNJxyE2gG+GOTefkkZCZGem6HKpfGxKX F678pNOF1S+AKyuTQO8Yazcg+So9pnHK8nE//HQ7Tapyu/4cTXvwxDsMwz257Y0oMVgKPJPxazOH wJSF9a9Fu3fBCsoXTZ7a2wh+MJMvZgQXrJEIntT/vJl+LJ7dSZbf0l8iy6vl18o+OFPYm6cYtiLU HcX4z6ZAP2AWpoNWybzV3V0xOvTCBgo94NwUF5zijll0EI55Tha48IvRSakSQGkT39EflBV+PzVl GolkCGZF8M62Y/C51w/ISSm1GJSEwwR1KiqjVNRnkYX6xl6nUppWeKzmEJZ5/LUniFanx/TmWzwI shy17b8AcGojQCShW8vYbatYNLZdJIHKjOmlDW8SYX6Iyo7GeVxo15ZB9TSaz065y5deNJAlYS1h M8V4mWzOFYFYkC4FizZky509wjyUPPMKN01aAzOgk8nSFbpJj7iU00tsdDxiWvBkHOR+0fPQ3cTg 4LhLeGKXObOzR9uSuPaHYPQORVaeLvM+h2CHGFz5DCZg9Q8lt39lAIR3Ivg1dmjsVtjFIuFPeucG 0u9uDn1DTtM8XwOFQ3QhDgITmRP/Q9cLCBR7l9jNFGny/kE+Qo2xALVm5+fj6xGhpVktC/g863N1 Y6lgAErYdUFHcK1ib8Yu3NsCTXjVW1b43o3sMHn1nLETMF5u47GRe2OCFzv3O/Go8oafye77j6WS 4jJBsB0YlRkfjacRxBOIBGMohm42kF6TLT38piSw2GkUcoLRw1d1audxN4Mk/Ba6jSAVNok2GKn4 clcIxiJ482/iBF5exw0SoEFX7Q3d1nkcAWJzhv/vaZi77EqcbRtLvz48zSB//KOLCY3xrZX8NuQB Jo4bLcCsKwsJ2s76+nyaf6MBvHGMuTmyss7ygyxGB2qmBlL3UwzVAIZDi4yxrUA0pAXEQ04UxVVY bUC0GOKU2Km7nzH7FynNt8KZ6eRte83eSR7AqdPb8rY/t9ejbHhJRMsIG0URld/Iej0Q6MQTyttY EcL2fyuLrID8F0t9Uh1L71CSt+QLIIe/CHMxA9z9HEAhc3A7pvkwvL3PpctkiVHRqO8noAC6vZ72 dZcOB78O7kQcnEkGd+lNs8j5Vft2HozASqTtQgw+zdCBf23APX8UtWSVesHqTqnoR26L3/k/jP4Y tV2SLGxOjGwwvT6dlJ6Uk0y0BfqwBZTXDcDH0D5SCsfM8TeIO9P3vQksNYRLaF9oLAdVlkfHhmba EepO1vtQV67J2ySZW6q+cf+aAXKnXwWDcch+rLeqe6s5HJyZLZR7+V/cqgFMI0lKreGoylMwGIxA 1NGwMbuYMtK4h52n7bdvSMTzysJCgc0KwV+AdXNNzTGUy4Kggh7cEVMQjlLFu65nSpKBEb3tId98 IKZ9Kuzh6CmnJr3x8QlYfDyB/NrLPe3TVJwA3wgbMJk/Ebsr3I96QygIx8EUX4P7ux6rLFiLd5Kt OZLDDWIjjz+mbg4MgPyyi1lq3QBaxqkOkBqxlrGAKSoLcvugkwslqnbLXs5VlgfZLB02ciUqy9su tXcy7fv7CHL22Gh0+PvC1+3AjmJxTnA9kSkSMfcvslRCi3WQPrG49bgSxe1HY/qjDqzq1iBs6uQw furAeT6btSw6Qk3uH6ReNmj1EbXqaPvi+puNJsr9DuH8QROhvM2blZdKvLzFNPskZeWy4vN861uJ YbWzYEc3z/25sS1BG871icxqmuTmHrAsHsnPAcya02cHC2rWspe1nFOoyMZDV0MaT5Mg5k+eVApb Jcn52Lo44fXM13s4BUzPtuyyUGEagXd6o5laIVsdbDCntJS9IroJKQ080MBpHKv0syY6XDRvQG6g HPS/oxTTaEU/g4EbZM24hKSmk6PtHcVN74Cniy7r8J8Dqxi8uNxoMWKrXcVwuYvhuodgx2vx4vwu hMw1ldAFOlfC6BzfF+uDJ9Q63j4ZcMGJJvJDD3Bfdoq6+gGishQ4CA0DSGzZuRQ9yM5ue+cbIniU 0PJH8Di2YnYuudhAgunxTMr0quYBwbalkK7DFERn8N4Z/LurnpoCFE0HtfxpTPMfnlgqJEa1LBZX eOVkt+SQujvXko7FXsNr8a+QAIWISQKxhvsiTN1bOQbkwLYiOjRAanXE0aOXZdB0XzD/cJLu45wb ctMJYWBEREoqvN68zpQ9M1CSjJqS/HSXNGmCi02P6nrHOIbEHIWQd69Lu2VSWcgjpAJcURifhWve kY+ruSyC+EdnsQKdUnYvP5qVMFDbRwMUKeaEMiCvPzero+J5R4MdZDdlvj6w+o3etBhzKwnE/qTK 7y3ypjhfdKzqd2q/Eg6yqpBZ2q98y9qhPBHxQ54QDQyMR+EMbfSyhFeoXMcM5tLDZ/xC6wJRSFCD BpbeYa9CYouKeZReLcr/FkmGtukklQ/PfthsDKqbnvAwSFOwB9iYM2OoEHGE1POuFMUjPC2Y5Anc GZGsZnPJmZ5Nqrh6ivOlzQ5MTgEZYYrv7WWtXBeTA9fQNz/Y3OpsICqGfpHBB78JucQWe1QN/o6N PmkYCnjJiDUWWNa8QsHYCQ5grRU2CiAvYTJpjxOEo36YUTetKEVs8Gb/99PY9R2Nh4YP0SJUZ6pM HOOcLsAmpoTNcYo5e6GgNgbgTx9qzba+ZT+ke3r//BzHvJqGnyPoXXC/KXLFQpoqOF0uuVGPbjXk 96W1aqwica9fDASZpnl74Nn6/03ruqUtuqRYC5ry2YfiopZJqqNsCHKNVWh/btXlEtomP4zgSpzm 2NL9nKcy0J/olP81Dfi9Hel5uWlrruvPqtpy7rb4Flug/AP2uVB1Yh6cPw8mnuMMP2T/KHVsMsd7 NgE1RHvOhunHyq/Lw5/FMiWoofna24QpWLMfFNUmjNeDxMVcTiuT38Ljzv7G2K/hl0dmvCVCtxyk T9yljCZXkUlfut7AJygRIVrrqQsAHdEL3uxrPN84qaGmgF/Vo9LJ3xzHidthJXmSJi3fK+yLZRUg hYqipya0I7r2SBC7yN+WdNgEJXnNJ7pyqkfk6pbGUNRutG44FVPC5TLp/7fWzEqqE9U9+hvzJPj9 mPm/TqGvFeB3bTNQtY/N8KBYzOPA43Jz/6f//kFkiqdiU7afQR1xrw2Jd+IPB7T8Tg5P5GWW7BX8 hPJmLZgeyrBVB09FE6JEN9glMT4nwUPssHQLEA1HW8Qo/XWuhTWunY80oGqa1hfRY26rUuBRtwC9 2SiNLEIWXkhqLvWgSPYFYgC2nkBEygvmr7nSp28mVyX579vtSGf1TDSRyhIETpw6MD3em9Bp4qYa jSOJhvcFlg/5DxNAJKeFe2gUs27CXHQ1o7Gqh0jlnV7HOZRVZhTbiNcTkwOpjitkxa1TK6QEf/1S 8kAIHzXgkXUHF7L3GPP7zZjWvTFJ55sziM10OdEMILUfBKafY5kdzxOmgIsq7rKrZNnZ4HhMwcvt 3/zawkq08bB7yKo5H3+GZz66VaDhwbNmKdUg9luMcrbE8sR6UAWACNOEgRbMxScv3ThGdSEAo+Tx ZWQK+6CTWV/uyfOOMLJJ5F4IlX8ewFDo8eLeJig/wJFB7wFsKAF3YdXxHnVJdegk1ULoJyw2JbS9 3WUIsTsY+o2hV9r9SdG9A1Nen2Tvnzp0hK53GAuTM1OYdTx9TQ/Q/nAl+1iI2rzMj/aDyAfvih6g k7nXzOXUYJm4xWqwk/bS93I+vvRfL3q2I/m1qg3QmquMsjiI0CbHpLWIkqZENyURMw9sEHq1HuGu 188qQ/3gyr9b84E0znTdRPUOW/jqYMSJXD4X8MkCaiiRrA5yGFVUT/uozAa21zIQdKe8rkOr6VsQ KaiOwan1b2sYNOfH/R2GJ4d9RLmzV+aTqnoztSbhlW0MY7CSfoE1i5eUUlxjjXbAzjv4hriHHwvL zIS48iQPuxZP8hhesyU9nNV3UynrH9Jen/hjvtCd7h15zHsXzNBNxNdjVGFT+BM5XDzIpfChGOx3 ZKJLANiEGRn7FuLdILdzJxhw2MfFCIMgTuoVKA/bfiKYOok0s4j0AMS89SKIr2De9Udf7eeQHmA7 9nAOWZT59tY4/HTAzrPOZPzcG93v1Ijfm9YGqjIyCxwqaYv+G/yjJRSqgnVqFOAGqtmZk3eQfcoD KQy9vML6jIaYa0KfmlLU4Ra+tr3BQS56gXeflupeSuTFWomA5ryXZwrHeEFv6Q+Aeu4AjhqzoInH ODZWpWBx4jCsmlfws8lVaXCxTpjvp87qnQzqfiQfZ2kUyvN9rG6+ofmdKIYslPC61onH2EIeyAMo ZBVTPBIa+nWfgalcnev78ccklnEr+iRVqit9HO7VSS/zuSpb3QvG9HhWvA+1V7bCq8nVcG53oSUq ISIfjGUCKbCCF/xUhFnJWjjib9K6TzJnteo5BFdPe/tbXyna1tgqldgeEbJshOqkBqcfTQMBUWex yczvsVnr1iiv/HoR2BCjhRlRXDjEqdbC5aM4KGHwQlB4q8AJUQPFQdu6sPvrPcEiLqBpa1YaV4V6 Rc/OVcn2Z82w5ueMbfZi8RUTj0M1hzlW4xNNy9RU1/GmV3ge0BqZ2NIvggtb9U8bqB3QCJSWqndl mdfax1UjF/kQTKl0akXUwHPrPOjNwTYAzHIJJrWGh8+GOfQb+/sYtWr/As5Ci/yz6UNZzHYAsvro sy1NqPgU0CLLxprKgMfGjqY74DAVGo5qGFSa+3C3SFDT+I085/PmsnEA9QWY02cgEgLizcKHaTVX nxenhrqZRXjBbPb9daHe8EY3ysid9E2+VBdhmFIrceIw4qdQ+T9k16EmH/90+yu20pkMqd13ktqY TqtOj/GditlN9ZHMvqfC5afj28PohPx1Kbbv4aRupWEYFRGMQ9cq0SBN0LGiIHyDLbRj6n7zjzZT FJCAWTNll+UaIhd1F7sp6JAnlGPgMnpJE7EeKDXVj7IfjUSpleztRM7UXcroxl6+MGUqYEM9E2VH qmGpE86JGSglDH/NS1+ERYCmPsMk2V7reGHHZAYMeooiOt0wYPlTvcJPSY6koxEQ8+HviAR63puP Sx9/DmaeFDnXEKPdFqe0LRPXcP0bW9wzkb6GoHxIubiYwzp7R1S3nAkekGLtcEBnCim5YVNAUtMt MVoNR4yBC4wF1iOLxH0e5T7BnMm6sGJ39vA/RgOz2lCUOEsJpuD0LGfRjIIsSiBwECXYb4Ut9mSM WEh0g9gxnIh0f4P0uFxr7Dj9gybLn9dQzzfmCJdOkhRDcIPAbmTCwLh11Uu23LXEgZbL6ftwDOkd u+LPNQFvIR6uKMQdEUCoHinRUoLwdqTfClq9OfEwusTYTCLzZqTGZdN2S9NwZ5mq3augxoBX1whA UOaII2gcVjnRbrVGKsjrjr42M168/dnQ3m+q80UGasOrrInR8SuzaYI/JHS2130diRVASqmMmT6p Xq3IDi42KziifsbTtGf2qwPvnfHPbe0km/mut4YfARXuh8Fqhye58JgO1Rf3K5qXm82ztVEnwVxH Niw66z0aq6Vng9lTiHA/ck2VM1kJPk9TVNpgDA/mQX1lrGdU/TPm9xFCHD0C/wq3gTA7t+2U9kF8 CbhsBwJv39/JhNgJFSuFsZn7osfFK2OO6Av3lVWlHhZFJGFJaSyAEChRlFzitF90OzEf97LqnQCb Ty9mQiCWHBVJVCQybeiFnTEKokucgaxX4zE1lPD3Ln3cPtUWvzORSSZRa/BewSUc9G32WzgmytzM AMkAV0qyTOPPIXL2uL7OD+PHI1puhH7/Lf6aXaw95656qlD3DEJ30pxYGa0W18rPfuv5n89c9y6b dhy9J2BULfTzTzZLN+FrZVC5rF+la7qD+/5Ia0OI2o/MaTS9STasIQuE+aGxYSGtfwlLC0/lCKA4 /6MkDKBLK5lmKUdmGSF3CdS6b15o+GodkSOWlDDFT0El1+3U/dut9OOuK9p0cKRmeYauB8o9CP4c FJYkyTQYKTxs606vYAL/K7H5AhNNZHK3rwEc03L0udUCNpdcMSSVZBwn9dPmlIZ3zqh/1lBeIrgs nljnIfQPgcr/cHUJ/p8XiqABcLggWMPkMB7b0oE3Pe3DKKc6vXOdV+rJGkDM8T3FZqQHZGtByf7A kEoRmKrW5GfSNUn6DYfZYz1HQXD4cqGDh0AUYLX2rmlOzI+edQE0HZLBYW/wGlsIZwOgEzawlaFg cqqDd1nCfXroBLROsy8TqxzqlfeUSHK8Uq66n0ICOEsRG3iaJOoxsQxZjVjRXW8GajNrodJAJ4Kx kRk//R+UpeaNr3SLAPh7pjSfdfZBMw2k7MflWzRFxUxR1jKJU1IXURCWCj97E+l9X2elD99P4prV rgEO6YWNHI25+dsj/N6Kzwg4+E0iK22QiImCblXbV2YgJVaQJsLQFxDA2tlygO8vsD2Gl9c6e+pa UTj/OUavewuVfbdEZ8P1ArVHp9i9fSmMEKUKndJrOz5eAIn0pDWYSdoODGiCc04Gvt3uVTfAqn4u jn8feIy3LUpuWG+6N0u+Nx2sGas1V/Oj2HCoWNEcV2vll4vwel8f/tI/X6wX31L7JvtkudhSHH7R KQEht0L2rzHlIPorOecJA6nbvbzYSZ9VhL57JfaIeAg61llbun8oKm0YO3KvYVdbgX0cPjPwRP+t E8muvFPfO0SzhEL2tEYkpBZqJSbURgzzoiGQcCyPdOeEMvHpUoxzKDMU2OHrzcxvfWpMHfwVirVe MNlXKiNOFRvLZA0K6dSyVOW14sA5Mvj1aWfyKC3nyloXTtdYusnB5u1SEek391MwWOkndkFknk3Z 1aZI/OZNKgLwDXPGxye80Lclaj26PFuQoQTqBI743SB1GISNDYnOcSp4Y59AvLSjdmQFZS4dqpDd guhyOoiq7FomaaMsCA/KU4ofzgPC8rpx9auaz/miHuAyCjjlAt62R3mDAY/7d8X+Iy7loVMPrTik k7giL6KNDirU3JgReHrU8uEXkWPC8rw/IOdrTwIpEsqM3vXh9mZTUI5ow+T/PgEwg9iDut1otaHY iTUIcWxjxueNPmL8EnvdhPvcoNPFwfIiPBDpfdEaj33GJzPJuJb5jCn2W2d0Xw2MnWDjlQ3zvzZr ASraDXTSyIAZHW5oXm+A/iWpfN0xzPNPHJInd/2l1pY2k8YI+xsJKCoZ9m0lm1FAWCsFdI7DukCe BeMn95izv80cjXQzT+oBW2GGfeE9Ac90dF0E2avZaUhU1a/yGQN30sCbynyXljPRIIkoobg9APzA cnXE102ZrPIx9R25FlAdVqr2RtusIrSZ9IbqCObY+XrCz0QUtktuoR0BTygYY+ejxqO9UUmn/Ayu xzgehseb0nPpSLJ7B1CNNZ4gRUXCWF/3/6vvoZlw2enwQdmo45JK6o/kXW2QCUkExlR+BWdp0SIW sguzgiFRcKPMuwuno5QWQDEMJdZDtHHp+2owDHAyu7bwHG3Taq/xAzhhzwtL7bnAviM4JUqwDKI6 jKUSKEzNqF53TywIX+3FpegLmTpKYP3FWNwUmE33MPzDphc47DTIxfzPJXLilyy0opmXadtLbZaQ a492gZSpBH65zjQ4PxNICJIEm0oD7uGu1DSz9WmFca/o+PcZm/2GzcwZTxeuYOIaZHTzMzzit6xb lh42qxbjX+UK7XQDUJkcoM4vwyvLNHlcrs4AWtScU0+0R0AyPDZB8ych3lxUNTD4U2JBTQBd9GlR ImacbzvSBg5qr8/0K6TgjQwiPlphaa+DNc5wvwpqZnLbw4Lkls9012RMEfY8xOZTDXhY9/ybt2dN wH2pu740XSCZAnshng6EbW8xvkdJAdmNyd15AbEC5mwNh0IVh1WBkAyuDcAyegLjkLD6xfOp8+nY 19PmH/ER1HAFDgqX52bTvYMjxeZKCnjrVfWXCxaBrowOJ75HWviDhA2rYJc5SBSEmqCPuW5UawdD zdz6HxzGRlA4gIx1eavRl6Gxy+yBpEQouPOY6nMG2pqFgLOEUE7/Fcw80dbtOO5qfI/rIVNiJSHW MM9YR3w+kPWyZjSdC8/NTxL2gEBV+Lsoa2AJ4Qj7plSZtL2CWKemptbxcb4QW2o1LFzR4aK2Su82 pSouGt/AXLuq0oX4mAbq1gcc9sah3erxUFNRV0q3DhWhcceodHPcs0oi62fN6/E98SbqOJS0C4aU mjqzUfmfrH+HHdzawXFoqVKu5vuuUZHf0Tr3mn7FKFZFVqYxi0o02B+Ya6sCn1Oqvii+ZTdEwkyA 3f5luLd5FtO8hrzI7goc5sfu723Btf2yfE/rRlq1Jd+0juG1egmy+w5glqKwmMJ39vMIYu08Thwg LAsSnfHXPeP7iQtg3zZ9KL8zN0VREf1f2XIIJ13GUEq0l8zIIqhacF4geCF17uvqgL38s8M6hDMA HTBvScKM7Ows/BI8cEMCjZlT14apiGAMu0gqX3UudbsKZQ4kZEqg0vK0xrcBt4nUaRhqv6ZFQuDe 8Zz+brB5sZQuFaWJIuyc1qB15vuT3u0rAfT2uB4fs1wryvJzz5McJ6BRvBSLuzSY20/b5mR/LZlI Ex7J3BdDXQCGpMRYeuWtyex7DujwU7ExSTrS6ZI3/Fkov4xVTdDqyNHYW4+Xg6LI9IzKuj6WHsqd IVGvIhoJ3S1rrB5aiaYKJygYI4NrkNyDXcDGukYOR9hS7FUBToLlf2kGoBbdSWZEhjEHsTYgDEp0 2QWuer0Bjyt7YCr8+tTHXP0CzoZ0kzYcLA1TFN0gU2MytxdrCiZW/tNzCPtVw+zLSE/esIAkmiIp urQv46pXpYds5jeI6HZiVz2Zh78OUou6W7V2CZ6jBYAQoUY3YMyII936+BCtQSXRI6+QqEz/SD7z YSMxKZb4tPQv9kE3m7qUylnedqB3p6WbO30RPycZ2dc5iyc1ZQlUC/wmI4e7uMgq2xdPzwX+bZ4o TujM6ncKnjZd8MpuLXQnRgDiKXAxly5835vpnp+5RmW93c65Xy1lmpt8BY7FFXKBqNnK92qjjl9u FhfVfcyV2lihbDwzcC9H+XeA3Jv3d8n4ouU+8RGfj3I/mJ7AKVD3fjn/VIycOM56msXPsTzP5et1 qm48eBH7Mv/IgpYduaSdxOdM/vAVMytrcOEuSj2XH/Yd7DHOXR00ONlHP37l8FnNO3LAjeFb7TCS /e5GCzrJM1nZbd4N9JuV+vLJGtykd8Ahpp2XPPtu8xd60xUwO/7K0Gc6O4ppWE6FknS1tUPeEDeY hCF29IljqqfvWmDL1hmGrfyFmMfGkahIe2ZN6DIVXrN8Y56xV6jVdqJvcj0zYHL2N3bXj5wh2qXj Yu4BlIKav/3M0qaX0ySF+CdigHIBL5JbFW52JZ9WJqCk/dB4b7naz6Sm5aTXEVAu1feO/ykhK5qR vlT+tJHph6xrFkB7ezovVC6mCnJ7HXCcHXnKK0FNya2QqoTICaVkVhGMXRAMeFpM8Vd+gqdZHUDt JdBzsq70ru+2Z43oAxWCrKHsW+k+FxUMBjj653fKRSroPRHXuca9l/jO00BhjhyLVV3LQV+cdOfb syMzniSP0oodffOiGNISPUEgLhBXF3eASmI/zClMdTKRbGg4oXayRwOawPA+RUH5YMRC/dNOn8r+ /KdDfZbKT5iVfJa5JdLDwyvUD4zbyGNI505CixonMvZNYA7BoCUDYVduXjug5YANGP9Dszy6B6UJ eHFy1TSiCayqsnLAR6eKQK7/NnV2mwi9suD+E1kcPs97s8vBOiRH+1VOxRG1BhFfZKxQ1oWCKe4f B/qG6EsR1qqzrR3OG6gPT+lZMObJ2hfLfbLjc2NB+TEF4C1KCL/lpiyNwdODE4PPbTZfBEXv0gdq W1+iMXFsMMYQmOtGDtdNDY+alVkG121DH2xQhiuKnJdg8msQqcqzpTez+S70OGI2IKedRoU/zYfq J2iidsnFIq7KQfM116F/xZ/rwjkyBBXnxSQWsnAChuKaxV23GM6Q/2dWsBxqz22+RZUZuzJ6gC9W w+FrFy79Zin3O6FFsof+WIr2rT1lJufAMx7eHi/5P0oQvCCr2IJBqmFFiASAPKo0g0xhXZwnbJ1o 5XPCIEyMHNp+gWEviJQIzRxvCg6K3u5O0Ve5gMc+3mEzzL662oR2eb4JByDr2qrrtAhBFc7Gnq8N LAbQo90r0cm6OOc1xu0GsdheNKI2XsWQRiYVlAV6pae6/C5H8SJyaZdvqWIiWstSJBMvivvmW2YV B6xnYflLQDlK5Le29lkZbgh0nXhDWOzga4tndsPJ+t0+5NBuWgKHSAd8mkx0sR7EXtR9D3X90+Cy ttzw5KS4QBT53TzxOicuYCjy+nZnab1rZKojzzIWKRbnOdxgnzA8HdZOlpu13O/Yb6dSS+br9ak7 r+ZJXciOPh4OEcnowc/SzLt4ham0ZmXW32NW+6ZxJVCgfdQc2FkeNNfFSBZkx5gu3vPjJukVBdLq Q/FxlV1ETWubLolCoIKE0ebWMPxM880DGNsj9uwSs5x4SxCZRkoDfjDHd+iQX6KAPT3blskpSmI9 5YIORXnOCG85G226io1QroCsiOczBpJ8iFF3v7wgjdISTGqTBTSgVrwX+E+WiZrHsKBd1fYMmAgW EPwQHF4Y8zxwb9JSQ0GbKv5sWTHaQqw8hA54jvZmHPxUKwNFAwakR91lh3mmdp7zGoDl9ihtYStR wgn8K8SytbHDiIf6kKyI45a5kxuZ3BaArisgBx3auApSfFXYQY5NCtLKglP1ZW5bPJQGlpbUhv4l fYOjaZrA2MsBZl1uRKgAcILHskOEg/ehrdnNH7PGzJcXBUedvk7TywqMXTWbM/GJoLP1PjlNf3yF 54b2V+o7hQygpDpFuW64qSGYshmYM3Yp0SJ7QvsuF4d/aUoKzsV12ImbTKCiAcdH4Pj5tzvdjxNT 5lsruKbbP58Kkf70u8ioORDvZu66VqQ/haskZ7sAvQpY+O/RpO9e3yDvo7ypWYMRjFtWFORebXj/ moOJMAXHZpphtIdHGBTeRwwftovHWmYfE8RNyioo3iSN45BF+U6smbLfKG0qAQLIGnEYDdjOV1c+ J5+rzYoqsvozlReRAIctBQtv6hjjYBxw0WpIYmNYwa0RV1m+ZNc4awaS/FS2hPM5+RxaLCKDCQxI /F3GgbpBou04eoTIuffLK8csL5+ME2GR0VpOkZHkOO0QR7hFOQzU7RGbivB9jSzcS9ITwP6L8UQ5 ZPWB89sNldOOOxg+D5cmIJGrFehc3EBNhYJ2Wbu0tA6PFachMD8CKR7eaZp1lyzYWHhwJxnh12Ao EBpoYcKaICrzrqTFParOHGH0CaMsEgR/kH9N87vmdRQm8qr8zzt2UggSVqLrRRBSVLGzOxKNHyja Paq0ysdskraia6/CZrI3beppcyN5PUIInrdfPwKi10Xf0XtpeykSJkMYxG2QAVQ4qqAAgKU/wnsA V/D1iZUUoNFUoVXkUDbR6thrY/wiswTvG1Tqyi+e1GHz0WoiOPEsMauYONqsUboQe4ZbeYOIPCXX y1dIUjvcm3Sxlhmm4OQ1Z3FmKuS7rHcwm+ipB51ARHxbYmADa9tsIemmnhcomtyNF+3JXsD4DSi3 xPDjWJbWLH1iXzMi6GUv/X2yeu2kgE9wYzWZdhP/R8VtgAM3kVU+1SDFo/c+ywuqCWsnVV38nflY ekwlxfj06K0VmfKFv4W0KEXSn+4tcwWserXfkIOUHeSzMVdBrURcyRB2W5GqpN6CiPZUw/H/Ilju VVQOuCRk/zhzoJ5GVN06C6VdZW4NKI10N9VZVTKILKknQnN5hyldMg1Ko0zwi+bmrnasoiyWwzPO CCR7pn3NyyyenoLVq32r8F+4CSBNkdQBydb/dO++lglBvfeKcAO9+5aSRsQ/Je2V0IbEUQD8dd7i Ooq2dhWPs/PewxLZ5lcOP5vQWHyBvF5ZUALskEgGiM1frX8Xrf/ggzN/2oSbG9CflftvrsLKi1O9 d/flWPv6WSVFo+CFw9sSq/2Nk8Vp78lPkMF8O0vyW98LceWrALjl/k8IMfj+AX7p0jcVAc2FlDL1 9yDWQ6rtICgv/pyGALnSizJVoZQEMtAiwa5s7uStfHogwL7bulKq0/P1zrYQK5Xuso23Wpuau3Q+ AhCaHndEc1EyRllUtYGraYJ/+oIXJz8thUI4duMen/8AUZ9JCfPvr7bx0YNtZ8SJalCn4zb6hwa0 OteZghYa62Bp4cKbyRrgPCKGOsz/c306y75cAriaqXmM96HUh4kPvmSF3OWWlX0Uo/+UOOqffomy hf5TJm41bAOllnHitJeVmYf4KmYqZt7+zV71Amr56CeHJwqf7ufbLAjm6SnnEFcn/ohYEjYFz0O4 iVPYjPBCLGtTjVV2B+QmqanZJtO+9HbJLRslWJYZ5Y+PRPFLyecnD8wcTzRwC8AqTwzA5a3zhcsf 0rfyjqZ6lpN9WkgNE5dgCWSu3UemmfFAziJatOkyPUKvXABxYJEX06F7DukHjE3Ab/GwBN5SRiWC r/jg/G7igqAuDtunzMDwxxt5FOcHS1SiaLAc93c5o/FkxkxViReseRFbwVjiFGOLmxhxufXpDj9d HKCpBOgE14PSB2r25yCWnO2GBHyxCVeNqAcxJd8xizEA2tocj63JlW5nDLKQqhkt8Tn/r94MEN1K 2G4qHBsW92aqBSS185mpo3a9qPi6pyuUqW8ARzPLBMuiPw78YLRA/oLsxcCxB8dwzFJNw33iv3dv Eer88Ij5wcH03IYTVjUDF8awxTR+T+wnFwCIYELIH3vj2Bmsa2fUDL9covPAg7zMsi7ZSPmtGl3O DPluFaKxZ6LD9HF78u7ScD1DGCUNxdndWEeno2UPGuSBXlwskGYaLT2BmEiWexV0mq4wTQYq0Ph2 RDw4DyKpEjXJGFkdE6oX2IWagHu/dFtd4YmK3QEz7/YQXgeG94cGOc5NgvXoFDTCkRbvB8+zPIki InsEQoVAPKvS0xF/JzT0x/wXqjNe0hBUB9m6L1OwwVUZxnORevtLESe0rBcvnw0Q4eLBQ9Qcxi2j lsEphp596eLcIe6zqEnOKmvAISTSrOT1TTpvXmB1rc8mnFWJ2NsEO/+fglReZPiQ9mnEeRZP5wxf jlgHeqT6efjnFS0KP3lmv0AQgoi+0Q4IcdpiHjY9oGLTdo/7hRaWAcEo2zUTomNL+wk0H+JI7XJq /t52e+C0LbzrUNDK9ZObSU0RS0AOf9igE7n7760tH/5otKM3JUbKLHMIyLOZy8E9lomCryzC4iGk C7ufaVcy/3WU+SnWMakEC2TqSL+Jaf12qFDSqKHM1+ZmIPacG+QSpIPAHGOrD+sHrNW8DJY19R7+ 9Cbn3s8qCg0Y/YWBjPqE7krR5KCeVAHDrm6XIy1EueYkNemx8xbyP3VME1kSFvCkos3anO8HQmNY Zao72VUtqlwCZUqB6t0UxUPUn+FFEBvjgXtrcSJKK8HsT0iFdQuRe9/6qcSIIG5ry79kNA6u5plq CkrJPD5GWE7KRn6XtbLd80aEiBSrNA3nRdLwfVS7bSlCoDIEPUYenYmNnXbM8apHxjFV+afBzvQ5 ekPpvP0xVYg+wgcaaI3+P+UHl7Aujj4XpPFhrhmVvqktNWO85Ut8wLXAtTf8HlnzYOsWY0pOPuEf Qab62sOJY5DLf1HCQW6jiCnAbXDayakJwPdk/OlFEZiuescfhQduxSmggWe3ZIi1b7o/Sh0UdMAq yKjyGuFdhgoC/JX/aCPr+pcWXAHpTqKWkC6CoDnQ6UfZKyOTYHyBwtxGhC+ZTfmmS03umd+VTK9v rXBa+kzO3iOKTg3eA1186/0Qw27OS0ljodK5K4N4HzPF9hEsTTN+oAO+69V2viJuBRVai6LXib4j HAAMcnJds4TvrvMrNQkUHe/L14R+0a/NSxwtMCgfIIUhL2yFDKxudqpjgtbv6wW0ViMEZyXNLmmX lqCULizwJxMN07whHOjtZjHIRPqkOuNsuSBCxdn/5WlY8iUV0VsrbSCUnqHi+1oY9YmkXMAcDZCC 0HyG2G/ecb/JsZfSEyip0onggyIJiLIaBlsxTVYz7Gb6stjMjazql7xnnC58DPVtALhdUH8tDl03 Mv1ep7PFsEaC+S92LrECdXIxOg2pM8T+JEnofNO9LabYzMiCmwDDuMiK75ujQwaRq5acBv5fN4bk 6pA8l5jKkxLL5TbiB6HOB+m+Jze8rkRDkLJkcoegd2RkaLbaD3GmSTXqCgnG/z3cpojIS3oTTnGW +V5YQxrFaozhvJpB/ejCa/J1tDQqSy9aXC/aBczJELGV3xMTXec53PC7VW4jXanq6VXxTieoIB31 20UYRDBCzIVQNX/rpt5AXRTikaoJXfeVDAYz1Ltp5FFPJsVtuaJ5XSRtxveX9NO2ciB4MkWLR/sE 7/3tut+1nx5+iv4WmJWenG8W4TMUp6FQavMSJ5oBChxI1F0RNSl+C45fnTLtKaOZA1xRYvbQYnvI l5/OyUfzJQRaebaFPHaFicHDGGftpAwykZcJnVG4vNs5GdSK6sarfdunEet01u0yillsxoEElkCr +AIT/zAXi8YA/bbDj4mAjeZ44SCCqItv5Yu9HzgWmKPV77TQu2jcprylntypf2akTkIcO8Zya1AJ wI5aHPSPy8FBDxeGRrCzK9AsMsFOlMvbOeKw7Kv2NdEFnnxYf1wsV2Wfu0t0zhHrM0ZKRllVfyN+ cMHDOECzIa1/ol3h3/1eTPemGUysqtVQdABxe456EZQhw3OZQ0hT1l5C4FB475OlePPgn2D3y6mw hr5xSgZ9MXqrTKmuy2JJczBQ8e8Aj0rdionOa+x9R2Hwcfd0MnvBZI94KiBq589OgxUINsF6iZgI DkODus2ABzHoe4p8D3GnPSXvk9gINMVPdMNJV6hfQjmQ5eC/g6sXfZhVU6y9rkNnI4g8XFyFylOz zO8eEfGYoSf3JwOd+8xaziVWd8vIiP1x25/jCuv/wsmcE7iWRj7EGsI21NIPP/Kp5qNvt/St7ieG bhxsiY16wa7b9pijf9F5Y0HPjSnxGdgkD14ZnkyubyIdwoyLv3SX7lx6o+xJZvYqtbHvodR6uYp/ nK0Ffm+ivor2Nw0ihc69lHG/4OLASGypcSNsYGwE2NWfT4+08WNnGVynwMRftFic8kqzcNwbqrii c4ZG8htujNXpeRkN9wWbDLm7XD6axULnjb9+6YeR8eYM0AeHerEpP1pcAeELw6ZOZmAeOOoBmB0y NMDyiqpaUYnhQBZWuVULQIBWrOPtjPoFPMwF9HNp6ZyABgqj/nE8Hu3LaBeJ8/TfnJHPNAcrDECg d2alm7sNCZh35QvXpb2P7wuhE7MFIiqT7hqJdMEocC9GorKDJmj8laKntQxrbLL4UsAv6/i0Wozv NYGE5cogyzZBl7mcEsdckesqns3Gsh5kXkSHd1LutMFCDWzdzJ5faVSVmHc1ALtLaFeAwxzIYrrG sM7NL1b/ZAdRZmA+zWewe89uI3oBu8yBLXjOd/BjIaw2mx5yUoYAcJPMOBqE0xlG5C3QvRKrSnYW vQTeiOMkRghF2n48GtE3Z4gDh2OSDaLHWn07USQm3a/vQoBF8AwcrKOWmWJyJkmlRgGAJuFRwOLL mmXVHAOtwIj8cl+wcAEOfL/yZfGx0tWtm9QloYu2n45H0AIM8msdEflrtvYytQ5975+fHHHe7bmR 5xvN7rfYFLTPDrT99ij33iURhdc+KI+N+9dtDM8gXDyNO1h6rF/19tIeUEtL+oFiTm8iKX8dYm4G zyAjmHUXtKvOr5qWNCO62tihMGqM81pienbb/3mbqQR8D12EdK8O09n9X/37zzRwLWWp/3nnXiqD cCxJazTZCk09+/PdKjpJ8nd+KEaj5tL7i5Yp1GlSIWHOTwOxTdzKchlwowpSwKxhwCvhIFl+3QgK H1I/Ss59dNraGn7H3Z1l3Z24y66tMdeiH4kRO4xUD+fXn/L+UekMn+EPUwO5Z/yyORotpWXDchK8 cd9tHbM4i7R+9r932d5a+D5vXUIXPquebQxvtYv9qi6WQrCjvA1GrbkKYXanNgF2/1CuVmNn+qUz E//J0qq5J3K2oJHyMPkAliSOhZjN6WLnxhgjGQi5r+H0kjEjhe502ykSrEVZTx/1kloMPO1F/GLf MWs0aMDWK1ZXjsDPSKjHi9g4wUjSqPNiFK2i0kWL61hIHvPgrD3KCDe4dwELiaoBWHbcmzLN8bXb YRYGftWg6oqr10oQrdb4Ln945xj9Rozsu5CRXiB53t0vn+5LnHSp5lCRMkr6vfG/eaXEXKxvquPe 3lloZmJzuohig+/Pc7QuPZ548IkFEDlbeaGICcpZwwf9u4iHnn+FTTuNCykfyb6JagNg80ipD92Y 0VvmH2oL5HRmTEqpiOrctpX5Vtd+OP3QQQ0gZZNXTmgFg+49VrTleljI9ierhlI15HdjksuZ/6EH XEEmdl2KH3cAfRYHKhFjcT57XnLQiNxo5ATCXx2Vxx1zy5DQH4igEQhXWlu0V/RLYXBdQ07tUYah gPgA2CblPAdJ97u8khnMHYD2Tf8ntZQpJ8ASDHUD14aEShMr4+MbatQobfVJ3pr/PWZFPgsN45E0 BGaAXySDG8U5BnA1BiJdVeqF5CI2kt34v84AAMtVWAdAxv96nHlLvvuruuzUuSBbd99x+EbMUVLJ PzUBXozDjQFq6pTMCWFvMEU0PY+NWlIZJn9XEC8/1KS9OH2+j0AbBm5NqZ6wxM6oXQsNeN+Y1FaY 4oRlyzV/MCpX2mjBJA+oONEuCW5f3zcUP9+Lx1Hh5ri/jW57xxTxJNZnTmDwRxqBJLu0Ax7FZYiX FaVApGaosPRwvumuZ4kfx6Xo1vrci92zkv6BVYPMAj9sokeNCgrIIAuxMdWbXtozEh9h6FnKq062 mEVd4XminEXBU1a6rnlSZAN3sAqPbXw+7ZLvpq1ZdKWdRduchG0oZdCSwo8A4EV287oZPVW2X9pY Paol0VcXuJd3B8YfRxmnIynn7+j/8iKXimkGzA69XyW32U4qf0jFNbtj338/tjeNUqgzOyTMAyLK WG6r4sDZU6rKWsJYBIwUf+dBnq62BkUm/QLApIaKbggl+QVcRWB0W8mKmCUseZvO9BN3wxOAS5Cy diU9uNPo+NL4gQvNv75aG3GeWw8qCGU5LSr/JZScUMiLwjrONwkWHgMbAuYMVin8m3KtrkZpQItr pTCT9yiezlsCYRxZ/8QNPaUAFFnfUhgbk5mRldZAphSvdLJ2q2vFJjIIaao0if1DfPVG45GmnelC 3pwL2QmUSUMH18VXTO/AQIeb869Jodqo/bVTuBd6E1XLM7KUeZlw2AhUcoO0JZBnTjb5pTauBWA5 JUrbccJh8U2apPYMc+sHq83MaU7UWya9rJQuOqYdyYC/CpJbYly+bK+yjtimwqucZublOiHWujhw aermpsVCUCimNYLPcomb6cG7hpQ2s6CPzVJDL+u8MlJnUE92o+lnakbpm41kvm/joHExqZNYqTXx FRSdIuj7S+Cd9d+rl/PomLB3siqxtLZemgkPZN22m3VjZiyndGCmA8jvCbq5ZFwIPJeT7irjI1gV tT3BefpZ1yQHCcSGscNue9xmtwFR3/KdmP9WR8r9y483giLiw4ze1gltsc+WnheHDnZELG/lcyhk hMQeJrIz10K1CsCph6UreHaAjgPGKhcTieoFG7jAv4TwoSmj+Hiok00RFvuf8JhbLNkwWmGQ99Ul xSZsEpPk5+fGjyJIYnZ10bJK/pSeWs+I2/Z2fU99LCCiUxZ9oShrCMzNHOfid2REBhlnNIORLYBU e4/4rH6ocrQIEcIbJeIAeoI3UQRcB8MLAdsu7zv+Q4R18pKVpVLqzyQg2KVaHnJxQVr1JOShSFOp XvZmsTTohhjeJkE2yrrGeX8ocQoMsiXA+VLt1Be1buqqVx9NTee16Xva49anF9G3vJoVE3O7tlVP 7P3FQkQ9t1eR8zpeINHzYORivqUdj+kWoXCxu4M3Odx6mVQK1/1Q7JpD67lxP/a4Jq8kgUniv+Dp 43AcZ5bsggEdHRuXn9xuzmqIpSHJK5WUFmsu9Td1LYIvuAmU8Bpiiqv1v6OAbHnR/WbZbueevRdm fMETnOKmloImCL3wDUuaQZpOWk/0c5JkbcCJC63yKRDV64jW5omDfNBtEWZoOKS+McdT5Ag/lN1l YwQANq05kDugpLK1ijsY/+uU3U8K+U0tw5JaBj/26X0IXaxufPitv8oAi3VPDmlOcJfNhHoAeHfz RKSzsc8LPgwSbJw8B/6GgKvFq+6/avUE6n2/cIwlxM13kIpFIAgKfbNLc5uVVL1k8iS3ce3BuMW7 154KscXoLsqxqKUa+jHBN+qt5i99DBF+6axtG3I4T9j55oui4v/NfRBxZajKhCPIwqE2gIgSYGSy 89Xw5CsE0PSehuuHlAGzn0F56di/Q/THwUJ0E8hboXD7rBUlTzdYMybDImV8olH/yZG+iHxwmkQO x22HHZCO+R/yHUlYhsGZK/sbSQ7LxfJC0oBivd3ZnCFsiAtj6kAd6J+KxrIJRdulzBA9XImATaCx e+TSBFJeexOA2QTBavQIn+yUnKmEJYzO8zyb3VvhwCybazQZFz5W83hWeiQL2vxe8uQ63DtM+vgq gURYlppwd01eGf8Kvg04WgfzNsgfPy0+I/6bXno7Q2+/4WB0SSAXEXW7eaOuMDw4NMQwksDlN2nC ug6TUVju4vhdNH/BstMxbj8si0IbNrgOLeb/wJwbUWi1OsaLpF5+zSzhBxqnrP1mM0wF6P844xBQ E/bRdiP68/rpzsWfA5CyfPqoAu0XCXuY/In/Sulr6NG6cg2cLeMFS7lX7DiM4+mnuctNxVX6vWBM wr/sgVkBzCEr0X7+P+WdF+4p0m5npOXnPCRNCIVqVcKbHdhYt3Tk9eUmfygn0qCU6BtuD08TYv/A AQkCnFXPOZhsb7G+8wvOl+g7NA9CHBnjQAPvLn4OOLQOjFCpIhxKWDOi8RVEMQb1mYREsfEEhAGW aJKAgj6kCty1q4zwR/UbwDp6AEG3IbKHuLqcO3pLJA+fhkw5OsUGVd//ugv8CqNC4dzlFGbsUs0R c5UxF+P+x82N2JZk6G3NTermfKBztMr5iFVVF3Pl6WL1OX7+kJW3CoagYNAyrFCYz885Wc7KezKr p9J9xzpz4NzHb0swPcoKsdjUWwURfTRZ3Js4gH2OvGdSLrVDYMGnaeb4DjQvxZ6ZB9Vlq/XMEPKD uGM4nJwM2kIuuzsw7p8TcdWhRmhtVyo4oZaEkBEhqEp5e4Cn/x8q0eSPZ2fgyJsUCyrzSv9mpJxl 8kIYCAhfQHv0y3QepSHHWqq/jdxez7ypkfEj7fYVm532YBae/E5buzb/y4i3xPiLzRPGVmJAOByN UuXkjENe82Gav7Qj5j4GKB9+ROjgAImsjRz0z+6GPtz/eoYidmNfx5gRC41y5qI9dMdpZxYNdoGw XhdYah+r4w3JjgIAYGHrErRxdXUICXTHkUCr6RQ/Qc3TZ5yn4S6RJCffP3Dp2cHWsK5FeZDI5bAO 9Ueu0lc3cw+mmcBfb81uNJCvOlAo06gWJSfD/x1tqpiWHLRvbUri9Zy8JiBSVpjT9OOmrCIGZSzU 1YtBag6zqOH7LIaiXdyJvMrRqkOmPakoj3Jb6A+IFdb6RO97y9HI5V2spyDkI+hMuh7eEsS80kPC ITU5ma6eJ8JFGTTn/zhr4Da5AitdiSdX6zsDMcIrLoP6jj7U0vJJhpyqClWZx4tOjz8+vd298OAC q0Lf4Gb7Ukfjqq3/FybfKf+5kSqDmUxPvHEy29IkLvztMSEF29PxnxxUqIcuqNjW7dDvP9sR7xE0 gRI9PyPwTKIXPtF1b29I+uaPvLGGVOLJFzeV3kuORFQJ2ZNeSBW3QuHwD/gK7VfZf96EpFEbC3XL YWhxjbpK5DS0Ml618IDOXACOooOvXxFhF0R1fjgTGbaTXNnmoAvlD5KWSjyU717FORBeViqYt997 cK3zdHEX6X3oVXtN/vZ2d5cRAXjTl9Fmx6lEspNFzk0edCWSqpvWVfgHvK2IOgMbIh1hHrewgqt9 F2/TzEcQ4+jpuvTfjc0uimHlRVSIHG7/yzOz4JWIMBGIOmC+MNt5lCkgvr3JnsLj396KG3ANFJ10 E0MBrh9vLPl4MdqZHMjZFVcIQ/bLCTjcIEg3CHUyEo0COYRDxLVGDmuM0XopjUm9zRkgAb0wTrka +dQhUjhRR/uLPQqas15a4jAD0z7FquVavmvVlhLrDWAZfaMU9+UA7VcWMLKfDMgaVae/TMzEHIkd aOJq/QlIzzVp8Cu695z6q2LGg7PwU1WYT5YnY+tF8hPetAFzmw/RPtGrnwFOrJ2SlP/7q3KFS2hC FD7bs3twCHkMz5yoWw2TR8Z8Kc2IGT0YQCZIw6WcOdzDgMCa2Fdhv7oIuhQovAdwjTINzKIkYCDA CAwQO7yPyOmL7m/+tFoMabRMQjUl7sF9ZB5hPm7aXxzC3EQKfBf0JvfJMd79cfruEbdmX6T3GlkC F59yN3grGFw9H4OQ8ZZNnzOSDKW2YXV+HtsrdFsO/BF/apgeLTmACGJaqr9uXC05tEigg2Z20A7w R0AvNf4XawEzdQO/zFcQj0Y4ru6aAR67ZcbfKdFozoRiIodwLvnwU/ytv+YFtV5W0ZWZAQP+Prcq H2ld39I7jMvaYBtavey7iegTYpFUKC/ZfTOg7SbOm+7dMfUHyHxV1UJNRNSqe33dVM2eg9XOfgRr b2D71sUJpzD9bHHKEc1pe4aos71RcQ7uq4RWb5RM9JDZ5q5KV96WEvQMJyZr7pxrbxC1CBcartRx RRCrEdWx+3EfJjpV1E/xhJTvogbmch3DAWuM2qOcSqYaCVamODjJekUZjAe1Nnxhq/LpXjr5OvWU QGYe9zSVcuybhGL1344eINJBDwfizr1zRpaDM2j4I3pXzKUVnfovVjmTUkOVBOVT7KOg3IRQ8xDf qZVSsmdW/rdI++6R3Rca/z3vu3PtFK5FMi0l4dkHQxdS1g4aWnUr5AHPzDSYBLgx5gOJz4Tc7pKb 6Ii19rBqwcyRMk+V9S+DbYitqKSJcXi3SBmKYCrioYhMC+elvES+CNSm55FuDFmmL6WQ4krt8u+h wi1HRO0SeC2Gsf83evETKUy6jlAmv8my+77cfgG5cs4Ru9cb7BxN1dV3IEHtNA6PnVAPpK1+T/7R k38RuOM3oxiwJ2qjNVsXs7NhjaeTJAOY2Ttlx3eDIo8iSrfBI6axj14iETe7m8SiDA1+V219cB42 y1AGwyjVdL7+OHKm/YQgGfXOl9hiLYcDZt0S0qYQ0fj1GJW3CF/kcpZ389Lw2nFR5jDJg8MgZ1I1 Le6xjaH7qNSjDTh6pSnYDNfK6tbZZlxEJfmb4lDT3KU7UaongLkbeZAPAwo3hQvSSOgfjfMRlUtA JmGp1R3h+UhTtSmghEE1HVxsf2u4EJdMN/UM7Wkc4wr+z+9SWjCLktBCFmjQmIRbYxdb+Tqdxwgu CDkexnqjSVFdYhQYkVlmR0/sx2Et/XCWgxGh5jN4r8uFPJ/jGe0F0BS50x3Iw1eLoxmCl1T4WQgl rtvjuyRzpr/HToxWojCuyDxI4Nxo/S/0diDkQyiMyG6Ox1IDPXzKdv6ApUpHuFGOyGAVRGkHzv1Y lSCjSrrAS7y7C3hvddZqCzMI6hxAFD6Liz+odc9in2vBDTbl8mf5UGoteJUk3LI555UF+dcKrXJE +4FewfpcdggR779IfyZEGeyTDp4NulJlUvXmHB+uq2Vfh7hvyDW9hyWFYPrpoeUi6UIfXrbwiDPI 10WzHnLs0/eW9tZRBDU9r7WXcyoWlqag0KhmxX0MpSZgIJ+JcsTq84GAf7ZMkNbs8kyeRxQn0zkT p3/aEeAgzOxnW4pK6+eR6LIOJeiY1fAkvjGCJhr4nsnlqvpnm+3pDPjQwqgj22QWkxw7poB04nkb UrjtTyBK5c0CZucmio4yZ5rdKzCv4g8IPXwaL7RaO6fk1PXwoox4y8IkwGHKz9ybpVNTQu4lYi+3 Rp0JkOs6LH+aK2jsFzE5IeOCw+EfX7gtVcLn+UTUAOixTlXdBSA6p0pSJeZdKkRbB6DY4otCdJGw 6Cti+2X1sZdHI5Dxfe8Wz8KlI3mFsyw0JO3/xx1WlwK5gtQuhdUX99oMarzSROu/5oDQWdEXf3om E0fZv+FEA5VvSzvIE/QmN/6dToRocKUo5H5CIW82+7ujxiIsZ6AkAL9jNyyUzmxtqRArcuSkpi4r OwGleb3k113qsTv7vJbAmaxDE31892OoqrIdgJ+NHil0/OxidJ7Oy4YVY5A7J5VqwuEzi5edLFFc yrg/C4zuIzO6borVrOuS5dCQvrNfRIUwCyc/eLAim93LpBH5c0xQshUlMLFbtnYVRCYF8S3m1uh+ VOm+NVrtGsppjPfB/tZ/HCFBueEfxt3PE/Vqe9y4rorjHcDKE105b4XTBpzsP3T0Dz7Z6IgeWOaF ycEojPqDULQGHr8rz7rwGkzbUHV7vvCX7tIT7Tpx2sQBkOz2Iuj3CnMuZjyS2/DbTTge1t1+Ixj3 tv8LXDs7HvqSfS+aLNuawPABtm78qjVAuinSKLd+pWTP/HplgMHfbBCObzTqbzpzz1D8ZLsu5Jr/ Qmf6EEwoH+wviqmOuA+GikFm6UY2eGfe6pa5O74Byb2iXqhQnKPVjFf4b5Zz5HslHm7y3OgfezAG fSzhQEmkh3qwFsdN7tcWEXuEUl5D5hpQUYP2Xm23QYo5oR32eVHhLKpvNaNqB1Zn1t8vIpS7wNBL 2WT2IutLm5QrDooM4d18mcVf+JbEm2Q5DE5DjOoD/PiKA7eZn4vXzzxH7n5NEiL3ybhOXQ45XC3A si1NcOw4QiHBlMmFOHz43c5vxp+v8MTLjybaHZzlw3NdeU54xROL6kkKznlp3uTQEBwKAc4HEACg LguJLXbtl776vpJhX0ODBZ2R3rwPyD6nrubnXBPztmxqczb8O2FOsG5hX3O1UvaWeT7NgmmdG5f6 bV3Vj/zRgq1oARFyh3xEeVeRy5+8jeBM0JcZSNN7OFYf0Fz4nxgyl1Mb51MIxZdxBV9vi3vtKIPr rp4MdfAyJ3IGPcs8g0UKyjDu2/B3hnCID7OPdVf+SLoW2gmSkQKGo+9e8W1QgxwLUJTYXqymkQSY QHf5j7rZsSsNUP4eBk+0raECZJT86PrW0z/ritQs6Tod/I7tMHS8w9YdfI0jR4he2uRMuXxfEsoh RPixi6Rwb61kkoV1/MWrnyT0xalu3LsRnKADrPssdk6353M/UqyBB2MAx+9PUSm2R9XkPvwx4kOM SLT8AvrCZHdnpjm7NdD9IaY9qGCc5sTuwFj+BLINdOua0SRRG/7HTlbv3LPLesLtmhGqZ3Py1JJS pdEs7YRVn9p9bX916mCoPImRinjFeOqDh+WM96gr2ZfDATqkvCvCw0Zks8QC0rI2Miv+EtEc1diI un8W13DtDiNMUA/K/Oc/AtDm43/JIrk1Le5S4FqZWZc2LwFLxklJSswNk1u2+3zsfmzmCAkhTieo Uk493U82+uhJ8akw2S7NA/0hZxisPDmXzqnmzc3c7xn5ygR1Khrp6ftD80G0+0akp1zqdjBL8zfe 3nfB/PuGWT+SN0IP5+VGrY7gfLhjSPsqbI9STIvgG9+p9b63Y+vdpuxKtYxncCPVTVAnajl86JBl Hri9BPMZcPgxJkvMfnHyohj/zDlYm6C388WWP0qVLR9uWSoH1b7ZwNhQfyaAkilSq232JObre1/Q w3bNylWh7tiZpomSEuNyMJjl398/Qi8v1Vlo4xWLh9FlN/bYiIEMs9YDiBAzDV1ufrvqXptFSbZt nJrgZRAB7/HuGba9mO2J1/Rihj7Wjkeb5iRAbWEx0ttwkQQ7Il5aA93nr/oy7ZkKpVh6C67pz7Ee S3Y4T0nAdhJfsN/741yhenRrpEIOtj4rM2SthJz5z4OfHG/u+rQtwwReNWhnwMp3e0D6vTRRMwXI ogXnXamV6rLz16xa/aMvB3x+48XNujIxDvHlYx9sV+bed8dFXaZElrXpVJ2tMm/h60xl7sn2GrS6 uW3VOZ/T8uJZL1I77DL1lbCcNBH3bwCFga1KnYURJGhiysQTa4qlko///4c7hFWIZeJEsWJcJBxh 6trQi0Gz4X3iBdsd/Z4+uCMEmHz17MCjroObPDNf9rc8pgD3qFOPymT2QAxfKaJcf9E+kqGvTN97 iCwbHdKH8q4j1koZ4o7tvq9ClF3RF8Z1gbgKgN2gu9aIQb8HWaSx1Rm0Cy8eYXlBtOG6nZiUvRCf g4Av05aYOmKgxbl4pQK7lkq/tgqQRPN2zTTfjjK5tyZyks36H5vCs7IqhBtHYldIJj4FA5Wupl8r Qg7+fGeuS97Ur4LQhq+ZlOVb4w/iqTip7nUZgTUlTMaa52azPEUxmCAhrCBqMSGS1fVfxlznrvjO g3qmxIzQvth4EatzAogIsbpXOJqMhpEzzDWnnGKCvRKhPROrm+qS+p0iJzR+YAGlrCqWfauiBMES Olzh4hEzwnVE9IGyR+bkDq3LogM+9Oe54aRWsX7CqVocXuR2iOJxv65Xc4XV8mOiL+ul+9ciOTrk BCwCvOHp+eYNw1Q8COFV2zI1a1wy7U/BGsimTB1ZQvnpJ8nJ7Jq/Q0RUgb8mBxbN8bJobjOAh9wR Xa20Qy4KWX8yRvF/HDle1RDT6udL/JC9TctI13Rjz7DUKvGIZCzCAE1AcXtuByj8FwG5iQUulWyP kYSuR7vJwSYFroh/JQHzow26P4dYhVJUlbbg5VOaxVoYsBjYSjZnloU+x9KpfMAShrOjv1ENUlF3 rtobxotQRAk5RJtDqCOVI57ywL2M9ORn2o663hMyvvFeHeqVgPxl09D28l+KrxTTSOlsPU2D/Xo5 LlUd/OISrvxOytZHwgqSX7mrXsrfqDtq5Gsgs6CAKe1C6DwEaYVmbMl6erEUUmVIReK6hRa+Za4q XipQ4NN9t9rNaUr13PvqD594go0ePHlCMWgabGN5E0YqdwaCRXm4gQH5EJGfI8Zj6upGfHFKE+eH TP9/ht86QfsxwmxhCXnxRTvkAA7OwAOz8CkkBWT9wRrxTHpavDiM6CFciu+tiHvDWRa1y316Bi57 +eVahVhEtem/wv1UyVZk00JBQE/c2IE5r8sMt/gevhxKR7oViK3iJOcMriaB+RIR9xHdT1MuBU91 1G/N0Non/rQHVb9QhbFCpy4RIdB1Zi3Y/kMXC2oevL54fEhq+9fQ/1zA/CpcuHpEL/jn+MLc61V3 wYk73pSR/+kI+rCHj8ZKmsLULGxeiQcNGPmsgVG10zldw6JfiGK/Hhxg739tTe5zdte8R3Fl9HSo YDVMkvxwb5gzXRbgj+xUWxr6RmLlEpV1ZiFyy8aWaP1S7RklrQZIgYCTWhCN5Oxy6NB25+d1chdv ISRBb0XcnTYyfqmAlzz529GSxXWXWFwBIYJGjS9R8ZuQwV6MjCo+wItQKDx3+d+nNAWMyGp+Djcb GKe075AyNWPs7cOG3fBUNahDZMFXxB4hsJFmiqa+guyPzRuJiLT9n/Z170hYOPwE1yJeqekxe/XP DznoDuH3UALnY7Y/WIZ+9l4zun74GsbNXGOCwcrCr5YCjLLnHHGZr269FCX8JAxC6KgdKRrGp+bB NQhmUFKouhrNVR9WkFw6fRa1fWYRcggkHC5PGAW7SapJue3zsd97Ugs22/XjDgQ1Io9h5mRhWJiK OWGvWtVZP4XfpNiX1R3YMJqh9kE04oVVsBcU2EpcACBnPb3YF8OCt9fOzZaDKllLW1j7uEAH6nSW XZFfxvSM+yiJe1F9ejRXZ5Hr8Xbz+PcMq78CJqeGh/2qMSBRDLza5J1YkLXOMF3ExC38VriB/+ug cDhhQlZJI+t9BkuM2qDTF1uksbAoLClVEVCvzNAzjoDiuzba7pZGX8eR/ZM0C1h3MRJkh8X5wAE3 Azd8x5BaTUa6m0H6r1CARj7Y83qnDtPEa2cG6/zz/YRmSPXewY3f3gJJb9A2GX62ko4+inW5XsUT +Sktpgt3g/hvIiYnAabnOlE9WJ34ivYcGfOVi0qj5Zez6cBxl9ZOzJn9YLjKyjMkfX0tYcEJuHq7 PIt+KYdM4YZcMf0rnap8hVZyG6pMs3s+s3RdVaQDIiXSt6RKF1CaKkFFQ8gUeLKRi0cmomRRWvqc ldPQ4y1JgXsICON7B3+rAzu4iztozNMGpONMbS2eB18KlBt+/PBfOrHnJs2jYTUfBE9vSmhFXX3S k5K+glKlWtPjObDfcoksfDkVupLjg5BggjNdrzUPJup3BW5u6D6PadtK7iQbXy9W1HR8uCD209F4 sVsfW/YEPX1Naw/yFEJ9Z90Rrh+OtlZsa3JMMV+gdxLQdvJHI6LhcW169fSYoQTubiyXf8a8kESE DTA21ufo1EFP1L69bPuhzaUE6htP04TZVhotDfzLRloXUyD/LfEuv1iLLRwWKDZe4bgUkAGGGAfR 5RHHiR6v+9ccmLhnQmBMEHcJydgjefK1JBV1LlGydH1mVDnOlJ6H8TxQd+GlGx4Dmv5HDoiwqqV3 EMlyZJOl2deui+A7pdPmq85JnVJ4Ox2EfDL3BVrlBhopdceu0khFQs4lOCxsMXljxlDDZL2bXjJn W487zz4GdmDCWZDBgaI/EdUfUm6QIanUqowW0Lsyq/7O0fCqwuh4oNHk12tbRb12XzafQQf5Qjnz Z8428CvaxbIwzgr0jI8amH/zMbysji17PTgt5p/bDboxXAB8aHCqdvfjgA1OgrvDeUmhL3mZU61a tKL2Q8vZYVLdFGQGkKn+YaVJN0bDMrKqlFXpdxSGmiBBlV3thiS/91SFIpr2KXzy2yN/eT2EaJN3 9BIXDUFqrWTJezJ+blfT0+oF2lbRVDoyk4KceSrmK+tXHMz6gxukeGJm07+vl+GGE59Fijqx/7Cj rRPSdmO/07RtVt2BfCV5XYVsXtKMsSweeVaCvV5C5RjW3i48HUbGn6xmfO5E2XIwLDR+n16BJiGs b6/AVFIg0NwOoH8Vg/Fm+nq5MSsf2PcHYctrcGKrvZVqOq/zF43NEx9ESoFmT4Q4XK/NQ9HhxI8e Tyknosrh6Q57kmN9hBc9SHWl1fZofbwC+yBMI892w+/zjQW43PPwBkb5fwFq1lpH0IkXobsVhxnE 6WF65qCQa1vCwLbOeNxOkrzDPYmzKxhDNDAjM5UuJFE8jPrUKZFhRTRNg7GiH9/uS0SZ3CZBGwGp 5JkN9C7c1aMXsXymg/VroVfugkT8atPvkfjSOLoK5TJscyuRzaJTjva2Cf+zQUIGdDWGL4O7Jwf5 wpKeKDPdi6pHO8BQcoeMP/k35mumL+RJFoXtCKWTstHLKkncFLEbKVVo5hQ+7+84I34WgesdvKKI wC/5bCI5sfSjm3DpIYGpHZd3YA/g48zIBSlVfCLqv8G+5y7Ohc5+0dIPmLU7kOP8yxLMQyT64v5i hMuOppgwRKf5wXOoAsQV40S0ew51C8VRn1dW1JTVri2deqfSq4BNOepv1DWXqIyj47gE8vc7QjXw wk32UG4PDfQuvlPi6UJlxD14+wFlxYGqbhqn3PK5lh8sm9okMcHxKUNz3CcfkImfdGkc2Gq5grSH qacL+6Ih+/boivHugkWQuPf03oK2TeGImqMOZv5qZP4P2zhmqvPavw2QKyHIXWNGut2VrSfOKC++ OdxlYsSRVMKfZu70l1DssTTnH1ghfgOSHFRFfb9rwA6hjhmutpmd83q12/J4HIteFZ+u5ErLaOtu v8mGmI7Ytmr+YxbH4Li4Kef6paF6oee0bpThX+O7xiKJ52tErQYHI56YPR852ClHa46EkksSIO6D XkDWhKfONQz05yfrvK0bGh2Z0yEbAe722fV+Ofgjb2AGoAD1f6oJSO6ncDQ+AuYHVx10NmFZn5pM 4OZFbVH6IHZIgCNpAe/F+ZCmocfkvKoaBZMGvEK83KNSGq9lK14arQMtoj2pUGhHqtajtIDHDYeI D+v83dJqJLj/+187b1jz9IEOtNQiFL2nkGz7trBe90pfxfcyYYiHwru2vMqx9aX/qQJdFTmF0Xb+ ZM38gI939po2kMmn2MC5wahNi315T1O2/oY7BKrrVUqk3csDGQ7iVSPNHq1C+aCnF1rEK+EAgTOb Q2Q76treUTjYaG9l3aAws1N/sIQb9KYeV0kzCisjayDLnBLhtHPl1fztZYXujUUbPYNdBd6Yyvmv Qu0tBmpRNOjZIWhPq7UnW1B3gtddS4XEoxxWjKFbJN8YLGfhAZnF4auGlZp4vouTNUFFXO83inzk ysZ1/mruaVkS5hzVvAfEGOhZnkPrJchOQjQ68cuofc30Y3aofNXF0qJbk4FrJcgunwjpr0lAN898 k0ze6jd9SWlw48hTjuhZ7JCzJTnir+IjVjdaUA3cxRxWGxZygElyTn3hS7TY+f2aYW+lWfb1HRxd DN/acFEIMpIZg9btMVRA3xVeUNwGI/JMEtaQa8UuQGamFzSzja26yoKQg6M23aOmpLlmr0FNR3Si EGUKdI2YicEPC9uP7Vo+RJVOnzvU9ilIg55jB1ejVl7a0Tow1NxbxyvPQzl2bwMBT9nR83SPvSyl pMh7KgONW8CL6hCDgVvhBhn1ijngCY1sQiJIGFmEactjhdQXZYcmJGtUdkQb6Xz+2JaaHcVaXrNO IDFBw+hWKWoaIcuzgPGw3lpTxMoLDzr9TsGl1hwh/SazXTS1w49FKPjVYyeGnEDXhFlHGF1rxzdA 45wd5HBSbAkLQmZKqUFEcc4OLbwdXa+olvDoc+oFQ1YVSV2tfM7gQOroRlr7bV9ASEHlUl+DHhog RtqTC5vhAqJwb8+Vor8tFPoMfShKxqDU2fHvKQOk8oIKQ2pMUCLu/exCsKuXJYEBmnpJ4gS0JS1S vpM3GBSWI6i4KzqcvESzAlKwmNzGW5AYLviqs2w4Zgk+jcx2IxXQUnTVIdKVIZgXUOhy40I3Risz 1C3mQqdHxmm/FCayXnznlKhls2aL4WNtz/V2bhP5XCl1sgx/m0szrLE/pbdvENDlu9OU7EIRDa9H mGzGkTpaseSM8ujrb5aQHWaPz+z4Ub+783fgs8/zshuqseSMeCIM+Xu98jaZ7PgbuNerum/5p4LT dauRm3kKLh/NSQR59GOx/IsYarKW+k7YKIulK7q1chXXFCWfGAVPSnwzgZnQLM/hahooOohYsmsA emlbP3MxNfQczmt7iuomvF9P40fAmox0PVEUpnL9Mfsdyu2hpfRIjgx25lr6C7Yz6bAWzBF5dbj+ 7HyZ8H9BcyWzn/DtTrVOhN0nuuEFQwoux6czZF52zBNCQI5r+aWbnIupdMSaI65Wgt4IcEiYOeOi XBlitkgVwWIOGzXXOjVfLl3Svuq7qKaZQvkiA5g9sM3mMX2Mw4QMt0FPpKv/wyQV3JXTVWYNA24m TEchKa/9lqf4q60tq3eJOF3SlNGG6k7DVvqPkMKPamD5EByfGeyC+R4QVYBj9DIyXNOQGfnxkQK5 RmL6/VB4vL8S0dwkiPlkfhtgpeBz1hrvynbhh2xoo/vj22NIi/ic56pIBffnE5/B4BRHWI4oku/U tUyLZmQhp6DhZm4qzQfxkK80vyY4lbXnc6c+hdXseOre8R0P6H7QRiNq2phZoCBHmeWwkXrEwqwL OJMeMh6/7whmhe3CvYdwhOOeV83qf7fw4pnJru2GTMS6C/axvV2iwEf6r9EsLm9ikoeJWiTy7pVl hslhD1vzkAUWXptgULRJkGrCUpDg2E9FuJycWvkO6MDwvWI2g9pXVa890Nnpyr3Dn5Vt/GJGZLKe 4p9N7RjG0TecAvx1y1NSQgQ+ZuN9UyfUEKwkhsAEte9hRrlo3LgeKDOvf1h1foW2D+5olisojQRN 8pMOeiHBzBSOkH91zl4KI10O/8Etx5/LDhecFipzNqKjZayD0Go3xW+Ki6zahUmfWzaSjWA+GkA+ 8slP2vTHqBvjlzp4gaQUZ+T5c6ak0Ui92QAsRu1LMwaut5/pZCwsxigpjwo0XHWfeLxLSibK+Yvr Cvq8inZM0RZljV3oZIyUwSDdv7zDjVDB+mnTumRferxT5u+So9nMPoYKEF+GmmqpD7Ey0cg7hfLN LS/XI7W/E6nPkaQLVgtXi7Wq/7jKWU79y0eB39e7pw7vf+tGcjeaozKikTy8MUrCaukf2xIZPjCA c8G/1ehZo+pjmuqOGNKFXZv3hI33oScvkKQMCb6QBK5v8CErw0rzEyC3eYRZM2z6ee/KogepO4/b fjI27gGHMgdGZNgCgFRuXMQHUwzyoX+hVUCktnXJqhSsQKvQAWyWkszhID1g9C4Ral9INjdZdvr8 bl34YDUw8LpzylqOaSDBFhS5pXGlKMZSm2y45G4gm/qgT1Wv4/ZmiQkT4ZFCMvAPj2h35uafrJlM Q4BGcZP2rbzxQnIyAe+ZbIi/innL36Oxz3xFz0WbHlTiZzSp5gZOoGTmNr8TnYC4wQpMluOKeQW/ jJm7qCweL/7UHohQKJE/xdLOE2dVsc63rUHltq9malydU41QueJAndO0ZCgOfwsesFQztenXqEOY qMHhN976JzU0cDRyawDXjOCpqsFUkr/AEilA/KW0L1THfqAruC8EeEMSkV7bd9846lRHur2P0pwJ mtcxquHnWgo9mYXmuspsZNxazZoR10lQCQiExhVKE6OkOouKlzUh46W+HvqeMxXMaIh4A0MAnsBf IMwphoRRdXD2iYbxKd6aX2lIr0xn8JKJTKEaDZPpdC//vu5PjGDVvkvIdm7HlWt7XeLAwAJY6kc6 OwZes0eclQyKm3sIlfZGOM5Q32oHnD4jc3glJyM7v3V62cjSzIgI8AwPElkjkXwGIje69xE6wQpZ snJ2qaJbRkkD77YIqEteptwMuVg6Yn9Z/r33ocug7/Ux+YgZbjuQsbLOFxmfIiMejAe7kwTfnnzV 4IxwmMh4LWpIZsAeRAVZTlc4y2tjmpiWFCocShHsD1nyJE+dvI6YlG9e9mPTMpqq2kBJsbGGHWnM sq09eyvVnQ758kABYpIi0hlDzToWo5huBUT1EC8NnYfHcZ9v2H4YsMnQDW/iIRMG/zTGVEHoMx5V 5aTX1tg3maGBdMZQ2LOy7Zsm6J9Zn1IMqgT9YHTTACJBD0LBZLc8Fg3V/CAjjd7jRJyjodRW44k/ 1OoDu5Ohiau1Uj1xNmJi5y8LKXlq+euxTMNmOSAJQ9ng6zIpn5FicDRA64JBYthDn1RQjDOo9us+ /yhsnx9uqol0VvOh1wzD2vJjfpbUAN/SQlZ7wjXKzcp/MTLwtHDF3242swrQZn6I8DElV+0gPnKb Rvwh1Kk/DilnA210NTl9Qc9LHZwBiW1IpRnUS9KlWFLyUNGYQbJ8g6R8tOaYvS71Bge+FSQ70guf o0nZDlLamC0imsUdt7KKHOynNWl0ZHXrbX4VK+r7Jw9tvWabU7PUiTaD8N0Otmm3k4vQqqtXZqS9 d7QE2kjE1ZuGMI6p2O4DOeu3sJBN31jBEhsYmtJNuTH79pFdY9suWb37UrTjtURIiV6BpBaiy3lc B7Yr6YPCwezA+vKnFnr6XDEX751yoe65sNRnXlDyeHvB1drL/eqZxsACdz8yph6f1ZQH3O6XQNJb 4RqcJVS0rsONQqDLLAxZ24m1e70fc8CjTGI1i6QXWCetFjv/X/voml27a471OfwXqi4TIac7E9FW 9uKrUrHsZ3khBjXCcYNSkxqhY4TgexjeuEKjbPell4a3o5rbCkkJgMifsyD5pQfVC6vXDKaBApyn WPzc7P4N/2DhFxLK8tABvb2gKPtnYNRmvBLuKNod8aRfucrBSzJPwnl55EaCjrMnw+5AjvZw4+FH +J3UX8GFrE78JY+hWfogljHyCYDqNYtvEK5H+ALR3kcljHo08/WUVweVSebfrBsHJIb4Yke97NA8 SoFQAy51AZc/V02sjoWKVVAkNvNFOsJXo55U7DgCHXBR4EL1E8UcIifyOVulBZAh+OGePgHnFNIA l8tfjFhfQ5Kjv9maZklf7jp6oFi9MGuPI8Pq+CjtwzkaAFvWI4RmabYvNZpuaxKsOxkTE0VkTBay jznA/plgKNHWl5jEKFS+1r4Ev3HlnVyEPR6YB03paGFQ+zwLMkVeYBAY0/TdtJxNhDnnDzEb1q3y ehZf+s1Zgy9vlQmV7Yi7V5ULQOaLskzoUaPDNYijWCZ9Kn3bS2H2PvjMsMFr1g4LPJz7NLTdJiCk vMBe1J8yArx+bK1MYxfYBm3WZ3BkG/ZxVWMQLcvyKiWaGwygqO6Ovgq/nmTfmJFsHtZiLDUnnfA3 2QbCIxisdaHvfyBQp1nkDFkQHXkAsMN2DugcpBcozR9nhEzk3UycHm2NtlStsOo2jNK//8N5sVuZ AtEk6WikePBIr7DAlZfplm35Y5FqQvbbcGthXcp+nUsb9JbwyVeFbBUkTm1j2MiooaWNy5AG+Lao SLZgs6sL+l+/QiCf8zW1Ui84Zw70Xoy/MO21nBi1pFskVX6k4aZO6dWWuYpoXCjv2goRkaOWEWBf aJDdnGSHuq5uWIsJFhpJqh+IuNP1jdDtutl7GA1mUUjVQlknJVPNR7s40kBU4QCcET/S4aV+v+Xy J0iV1kxD6dDQAUZPV+YHF8ZbDfnCeyTa/T8+nG6D8U3fi2gfzTFuN/dbK3vHwsO0Bnr6klk4/WVY yxaru8ZaIxZtI2VA/hOH2xV9YSYODYwcwaUWGnqDIDO+1d7pEy62Af2acy4sgvmcJy4XewXuU4aE usboC7XYCMso9oN88WXALYp3Q/P43Npuda0rz5kwfJQEZlQHHEvYWyGFjUFeXewsPFqBZeUcr32E Ba+Mu373sKGyN+DwOl4gvK2cuwZCXJmDRrQKFZKR0jAx9mWyt68Dx32cjbIuqK8FR0l80rmDkKnA dAIFjq+yVTNbyvbW8SO7LtDQ3xFaDROABFXXTQxQ2MND5Wl4HT4ARoWaj4u0d/mF5m9QOqYU7Gcu 5nozzRN5efKfrM3Kt4LLBk8U1v4j4n7HKDWRwLrYsCCmLtsQV+0xcFcFvs6jz71ib3ajLdr+NHl7 HNfEYpl0tI5hUe2T/UN5JFTRqcqXlUXPjSvLoAY47Fj6JNwddRdPSvCv91nflUOMo+5xjoON+zND q73nCa7YCKlsjaRYS8TCqbCRL2xTlTMnpCwYqa1Epkr1YxC5fGc0f2xqc+wss/b2lN5r1Ulh2z5b qvc6jKpZdPeQADOR8aCI+TD2vYUvFKWqNkwE20qmdeao6ePs7doupPBPW6gY1fpWjhZazzcJYNhv 3X0n2dejDiouNG7V/W4RyD9X0XonQCzgxD84mCgGncPrsE4y/Sac4a8MjmV4/h5k4GwuAAwzFJcW 9WaBaAMG/m8HkeqB55Qfh5XKQckKeMTCUKJCKcrVlghmwUxn2YGUWPhpk0EUp0aDqvaqDTr48bCX tnzGTR+XxfDUBSuX+KZOhMoGboBmyw8Q/YXCbvyPYXVZDA1S8enuGn2ZNtrp8B6kdNLoKSFuYc3o hThd3Bs7XNa/33Fkc0wF8OCKag++2XcbU/kzNALeAMP/zyOTSOH9ozKhtTg3J9DM45VCk3NOiQPV cox3ytyl3aLW9hrimnXr87EHj2MZMgG0rdTaRTMMj0Jn6pJSCv09y6LzzPyAePaCRc0SxZkZZ2Fo xZXDV5nl4iCMIa6xNjVpDVTfKbVvZxFjDc9RMwzy8IgQkLGHrjMP29zPeRIiU/2Oe4CDkCaNb8tT zcD0VGpCAqswyExQZoXDF+PcM4tvp/lHppp0hiZ+BDNC4fqFNSeuRu/VVCLhMBqUnYSMQrb3cfqh Nr/0TgXaX1XOVkTKWM9e1QjEajs1it0B7ptoemV1kUGyHdZSY5G4RxLZvRG5rZBjuPFizcvQSOSc CWIBp1E5y6z54RYbp2GAmeGxEZmzyU6RCB2+/aRK9N30B3NDRcy328Y/B7UlKJpJNCZNoymykxob Ijyp60XYEIifQuiiwmptTf/1UFgLnX9MXOC6Bbkb7ZhRQnjslmTkPP6r2CbTmmyyrLFqHzN2miTS /fUadN70QPYiet1Ev/w/faP/cN4ZIUag0CSSTefF+HN/ivy1oWQwWN4g/pzbLUq7YMuVD2yEYQvu mW4ePuEgAHmHpHaUBtTKeRU3xlgNJQj+eOo+Rm83RirATm5GGCRDwBT/0faqdLQR7c/EmfWjIHaS OzYN6eI8xwUSzMukvLt6hLWZD6yxhQL/bsVrkPUwuSVRQz2tO5AiFLwxV9o1Qni9KACnNJ3M3iy5 Ev9sykkQHUc50DqCkW5U/B2mcVXkfSEiA+/PzGso827zu99ENR2Ew6GMRVWaZhi0FAI4oh0mMXgw mKs8m9tn9J37fE2FyHMkAvcyx8kHWk2TjjkdsHHZEq6z6zPy/c0wQQ9ByuksvCrrOPEbqBGr0Brz HTOAkzeBSpDhYzc+m06M94nevLhsTV5xlIfUB6yYMsJgrOCz/FoNcHn50mPpucFf4LI0DVM2BbvM eXEBlfiaocqHPReDvFikPE90UgBPu4BIk5fVIhyDkyLIG1KqBkeqTomyOL4Onzl1uxf/JnXJ+94Z ky9XYjZCRkOk95obv666QBzekmIt/lv+Syn6ETuD/DrLxB8+RzasDbMtE5JoUSViZRNpL9yoEn8e XP8gwF4/XMpY30fC1WxRblStISi4+PgkEvMs9dx+mszsJ0eULA3cDq/kYntpqGiFRvFfxrPbkbRK uzNsqlj767kb4L7wV4OwgM36Ibwx9ugMVXvRsfz8DqOdxtIhHbWJJiYWDOZXjti++QY7a6/Of/cY jqWEaPHwLqv8rzdMkdQiquYdvsdC4NUge2+pO0iJAazZymwX1mEJyyDfOnNf5CU14Psnb4iu7+rw 8zY+Z/fdYrY7tbK3wweuxqPfCj0vX3SbHZD3PQ8EtdPXX4TM5JgVeoxPzO5PKGTpzZYsVXtMLRjF OLTQdeyMIH3iX9DbqdwRczPaG7cvjW0d1hZiKxfr1zEMiivxzeRBvNNYACdZBOcTUYuIVRjuR+US uAG483z3yhk/LiPm5E/3wK2yK/x6evhXfBomSAPZnnUfk/DABIG1WjbP3NdldoUs0K6zPMdqa4lG D+E4wMCO1uiwp9+U+JkTffA3ANkUzvWgWbnd8erEUc8/9My8Uirlg2H35IjF+JmH7wl1LEdVfLTb AVl318R1jg21+tRrSyhyMx+oPIOdLMXxvvBklBSu9FVvKjpxqWAS2CHvx+rNyfkZDxgJ9H/QYoYu aeFHPklC6uHHcyk2Rak2NDG+FQAdvdqJ06kg9NSLCTtrq/gVgmsh1Hazne+1gWSafGJsCKYr2tJl NiYLom3e/NjX06lEc0mjfyV0FP0LAXV3lMf74AccJZURvQB6ECc/X6cFHLg3TSriUH9Py+5PdMsg bY0lHTx3+iZ4TY8Lce/YhdroMw7Y1E3SotE2iDuOFfD6bTTw5CnkxSJkgc7T0XLGr9cDJdEdynBv KCtY+ZgRb7bmdW2gnMmnvIOx/SMUDoxwBq4Lzogqvy99fQjFuqupGxgbTsIA8M5Qb7UwprfpUsiL USXK/jNPZDRmNlIBYJcJOZweF0ic6nm71ExCWIsys7KmSIlMQmiY0vOIHWSbOvRsyaETjoLKa2I6 qFCf7cr5pAlkN/TEN/Zjbu/PWsFmzLx2ravv+nEL14GT1xkbik4GvGJ6Is4CeDXyE0Z5dCS/Kndf dcEsxpKx98K69zBI+XMmPHShP249y/iYGtGsgM7ffyFkhzaHph9IFaA2gRNHDqDfrQdHrkltOHMQ fXT+iUBpygIlMhIrciUxh0BYZJwgZH4yrW+iWphOFPZM+eiVAiijf9pZGwXeSGzMKlif1EihDL1F R6QZK08DH6+mfQ00PlvYR+ccBBoIX2ky6dcmHNxi/CHJbTh1hxLUE+nQL2gs6GAtpj4Mr/ct/Hf5 WD7YNDLBJU7NY5PcY1SJXFAq3v0zxlQIxp77x6c9XodoTikDkxe9wvh4pRGz62KwjIDquE/GeOfq Uq9g1N+MxEN6nCD66D3QE//ks3/NsphvDDrdCfb+zw79FjO1BgTl7ZUgXCKdBLAL+7YrpCG58S0N T2Ay3gzDNtLIkRcPTlSP0j4NYvTTjReLI6QB1/FOHBstP0hD6Bid2yjiqCT2zbMSJLrzXV6VpanC MpM+UzB9wK1hVkgyfukEco6uSodtaUgG9UHRPUvXnXX1UbA52JjNY2r33HgJ2BEwMjJ9NmPwaZQn XiaCtyCS4gahAhhH6BQndumffSLkR1tOJLu3MNp9yy5niv06VURDti4C3IShEJlKMEM9qKXCOjQp V0SI+dYbqgOKDjOsDMRUUSq8DyqT55kpuyJtHMIHPG/as8dxo6im6phOtOyxRn6fnLuRNH4j6jxn mddjq3T/EKTukGrnMTmD4pbqzWGDrAaIRSKddmgpj82Ulqd3SEVop5Ztk7tvuhFLoY5bVvrnV2ce LxfwpqjFqnQa2qlHZczLbP3SjzDVdkP8tEmwmsN1hdxCC095XMvZR3Xwf9F+QinxzgnltnrRq75j 5IsQ8Rd3+vH2Yez3bGTBDR1MQ6Xc2kbonWBRT8OZKiict0AB3WC51VS6i4rwC6PDEzQ1OZSAHHMV urFT+Md3PB3y7FOYvb0DpSV1n/Aq+/nLaH66BfLoF++5xXtpbWwQ2AzkD3t6j2KraG/jYfTRgr4/ Jb8I9Fhu+Gbg0Cz4pwduRoSAxcndaMzJrp8v9+QaD++JbGpYCCmDvTPJPgHM/LVSTB+5VVQ6YOyR odhNIKCuPvxX2mXrUN3Ob0dJcYGYzaMUAzgta43VqOlic/DnnNRRHNVqlb7ea1ab4pjnuTOkFKmG 19P03uSw8IYYz5E7lsSEJMk2SxVl0hBCMMVkHNptK8KEOyu5Wiqm0Nz+cCcqE90r14aNOmHoEZ1U g0rn2MXbG4OiaGPR6jfpntRvWN1NyFZKjWZPsw+vQECoatw1PRl2cX0RyqZRkW4jQ0jFzlA83xbB 1sod5DoSTGGCmYW2Pjh+i38mugom1w5vyB0CVfSrjp97MpQ7UWtgCy/uU2d4A2i9o0ZqAu/Jm9tE DDVFOgrKfX6/szMl9iTZH8ApeBZNIOFNtn4u6zXDOXwSQ8Zc4TUD6lAhUOs9XPLJB+uMcxfAWM2M EkWzj+wSA3DVxB+C4qtKj0ZEsoTshPq0IxLrAClSPTIJe3IAUNk8X5kGLdSk2wIIhlFNKRrmeRyZ e5AuXu3kGwc0iZZe44HXu3PIMdKw0pXfUvsrJVAB4suvJOzddfFA9ctqOpGy/rsonUBIihopicer 5x592hr537EHbUG8C5nj7kCgij4rhTmSIcLrxi70f9QvPQNXhVSP7w0gEeQO5sgo1H5uNs3nLu04 Ikf0yN1Ow786U6noOwsfbQJU0o0yXDt5fyLoa1+lChd25mgDlN7dJD3YSjPGvZ0f9cextpZcW/gn YjGdP8BXT8cAwNsEwNZuqdpAN5XBSpA/Jl34MGJqs5s3dOooX1SZvec1nf+yf/czJBqGCq4IKlhY oM6/WV1pmRs5bTpkcHau3PxbcvjV5EBPlaYpSc+bIs8+x85/UXm/x1H7EVWDxckYRnUfru9HdYzE i+OT54EhSZwOTMwJkGw8Fhksz6NJjxlGfVZsELeiYMHaLdI6k1PaBCiwx6DPgmXsB4V+aJPEKhhN pIpwc81zle9Ck/N7svFYhzQ4OKeG+jGDBTOOsPrxFmvmWTaQdPZ7zPuVT1em+Q5XXEaDtqsIm82G iCEMRWMURnzIoUY86s3j0tIebC0QlX5XZFire1n3EWiAsTcj3niBDpDfPkQU+5r7CcRzl5eHezAe 2WCY4LlJp15tn/pt9MjVthdfu7M+DY968J+XdscL1ioh8OF8qJUcNrq3228lxuvT0wuxwJdZHxHB AsxwPJb1fytgTntF7LQoikkTElCZ9/kowiPdf6r3XtlUjsFDtrDCpSk0gNRUuVJGjTU45jVxiS6S RmQzTfbi+Yf9Qc+cmBnVBg1Dl2yqVeC5VyAeAhsN11ZcfaMpsdmMMUPf5vvmGIGNznmGzfmLL6f9 TgevQHWOacyz9kiFBnxWdWRp4cN9vmg+3ipazJ7j8Ho+eFBYkHctGNM6IUGwJcH/xovJ//sKUpMT onpGAS3k55aaIzsYnM67tTEQTcX8ItgwAEg0j76MWYHq+DTsukhP7NHxmT9tzSYlRjVHnDumzJwV JgrKUrXjBFjZ+2v9Z5lxguNB2EXYHbXaSPDu3MZZ8TvntZ80XgG64+N06lhymLGocWiGwoUPFTIL g8DwYMTnWptWwbGCqvXAQVfiVrMl/Po9u6BaDoeJH2lLNrf2RwLSSfytCj+IsrvcQbSOXsnOBUsZ UKP/f4IXGVFRGRGzwbIROGZi6/qq4KbTwzDISrGi3q/NuxhLiwipHe5oVZGmtQ8USUTVhrbuCpj8 tmoppOvC/SzMNPi9WlrkrCL8MvGqtFf176N6bmgh6LMAcXmpc+OnIBq+zM+YLsTSkAQhx1Icrii5 sNaaCXhO3Xm0NzxmOwGtyBgZ9TeXdGlzPkqLKcWc73ES5VbWR0YaFxEL2KnEeMDVGX1Hs3+xxyTi NISzDuEFru0+sx2ASKkHm420GLqOnls1aYcJQj55JppIzIhzIOWpSDGHI3OoUB8gNWjsR+N58xcA wJ07mz/GMWOoHW64KZvPep2LBUrOAmCxyMvufyTxCHZeDWnPIdGcrO9ozbMXKmYnJ/NndzZvUIw6 /cQAZMbKZ4mFfBoha4z0sd7AQnpt+k0bLpTf1epVxsUxbyTb3eITavUbgJnWnSHTsZaaImLdYCQK jIp66sXgpg9w0v1j3cY3uF8ltJDkJC0qOVGH40uwS1xEdR+nMYzb8r/kKZ3TQlLj1NkE0Vp95ywC VROwbMs2qdofyLXF3ZBPWks94dZT2moJIp5+d12awBWOB4NJJIJwdSI1uDsfHN6tfO3UvFLKmilW oh+5mMvOlWdHslNWpyotE832gCmPdnLBwphf8o/MsS1A6uGlTgnIvPrrOP5/ZzBkSYxxg2TWX1YJ 7lXbDAXJzJTJHmcinzmWITa7nhmcVkCEHBq+VtohPnJ8Q0c5HcuJXS2yrFpWlo4H9cjzBvamPmOk 6wLM8SZWuD6qZOFbQkM7xril6WRtfe79Ste5vxdnsMYYarQvMZxU4ENoaegNwWTlNpKBMnGA5JfW MEEYJhR+JP5K0TsrUHjQ+DP9doRKf6F9OacQUWST/y9C0Btk1Gepdte17vUksWyDhuHjSAMupULm RHYTHqHWrBIWALw6GcCiql3SIxon+Yty0y89xyL2KjzfHzO17JFwU1SNDgmw9t8MQqpFGzMda8gk Gx38UiWwaL4km9ujeHupzOtN4oR73iHBJtPDOhW3boY8dit+fwWJNBG+gR6IYFzZ2RKudCdW/wHO WxgqLVg/2I0+FufB5f65g/2Yl8ZGtD4TLvjI6CDojZoNro9J6P0lmNVvSvT0qUaBjhd4wgFEt5S6 qWS9DpDpcMK/eVZ1aSaJXnXOOFUJ5f9b9lDduwehdOI0m7ipzInDR3VBtvvP/xukdeCRAxd4ypJk 57A6bQ5ai3MvvfEtzmXMSDeT8fQ8FGsm9DVAKO6r3juQsyJWMKDQiU3+n/nBwViksOhpn58ntbiU ScuxTHpR+MiXkqjufVhwqfJm+zJcye/cymmbQuqqO9SZucO0PRaENNdyPszZ0N9TtxLpNS2q5UXZ tg2Rk7fRqBV4UWPll5zn9e+aI0fxPCxJyA/Pdt+9QAsZl5RCeBLd+qZnkXbXIgq90vCbsasKdl7/ 8kmoFq64Uix5O614teZqaip7mrQ2KosJu2bFPnd0x8kb/TAM+3goygHLhAoZXXK3iKp9n/KiGFGd DPkusKOROLHTiESXv14CbBefa7FlUbVJLkONquuqarPb/+lpt/EyHjsroT6RN7LsPRsF32Um1H+b 2hILrCgMfa1EXbC9C/sNER7wLehx5naQmgHzVgdPdWt0BHu+OOoApIpXDccPe4RmVoeSSIVTqDH/ E17ueXO9ZFcCIInOj9Y7yoezfTMBZXFM4/MeHqPalA37XLrL5Jw+bbXash8QupCNtr12rdPb7hlY vpe7SYIpn1C8dOQm4wzkRG4OSpV2SKAGAphvC1X8dmSzLv90jawBUZwXs32KE8Xb60BYmzBZYUsg yvEJyueMKLNKtCZhZo0+xry+7ZnYTI/BX9HMqeAGpuk5ZGXrkcI7pqQbOx8PQIKKODJBJgTKLHBx aK9JqrayvPb8U4H1q83Rc/G14Sk6Uc7cq5aEA9fNneQF6ajdZl5mvG5fULDcdyPkfzFbNU04qK+7 98toSmbZ8Xde/MeaFfQSFImPtH0ApIxhElp1R1eafGd43QwFBsBiEgwMVTnDWZ9M5J1dwFIdgerq vJxp2BqPWh3B9+xDCQIM5UcZbWR4AKJnUhi2M1gpV5QwdgV0fCQXrM2BnOjvm+/QFAjrhfRvQs0m aH11CvCOkijH7H/DJg5TPtXOwZWrz887r/QoE+zCytp+OfpUvyLYEL7bePF+jZgZ0rRZidGGe01q 8p4ePSxXMrjy4eRpI9qKkqgl2Tx2+X7T38cd6IqaGR7m3jYerTtT8IrG1VaBnRHq7QXEwqoP7Xco PhBuUtfp3DZQYzD+/4Lpp3m2hpfBLlD5zUcyHSymHhNJV4uHaWhOr3uEfOq1MF5kw1AmgObbVRMy dc6ZKYgM3zIv5mNxfoSa9EiBjMw2n0D8xKrqga/3P3p2onTlWEoB5fGf8YXNakDRsc9cVd7nqNnS ODIEb7JqC94eCPaoAPEqUHvksi48FwscViAkkjZEPsHq/hJZjJfd4wj6NcO9UodqR9SF2einO/a0 XW83bFAxlJS3I3wfpvsIbPbXVnrPPqG9FxW56txLcuXSv4s0biJOnf4Mq7leJkqtFvyThmivsgld xNO0lBwgwlCOftJk05Gz4Ra6UW7XBSPsx58kvzOjP3aztU+T/TNDbRKaO9SoMmBxUOptLitIgZB9 cd76KiZ9Bdz/jChRqjoOHGGXEvv9ogAglW/+zz+PabsTKJHtzBhCDv6CVSb2FFeRiEKb1q5SwSJo Clc0BDNe6uZnY40Myt+qOVs0EE3xacKVsGWTfEuPQ6nbi8z8ejd0kdoPDDSil6DGAkFyPR/gbpj0 NoFRCVM6foHYfdSZao2VOEhJT4OcyLC5pr5G37LCXXtAvMfEtk/SI4qn5BhGTt7uCJeDrjtatt74 yNxTBfyy0E+WTynQwCDSY2FJMqdHBwNn5yh1En45aWFCYtWXwCTgTaSqAdzkMP630Nbl0ZtHjgOC UIx7bkRsJdBgU5SraBUC9ZHZ7w+1lkxKgNq7UgpMMxiByuuSwFT0xhDf8H+Z2FBZb9Kzy5HCsPNs aJMmCDKELeN5rGPem6hLmPXTDnERTWD3I2jLLuicZkUQbR2tZ1v6JLiDk5I2bunUN3NLOJ5Wbc9u HtD5f9A5fh8xickalf9T53BNeCJ1dnBQpvYDjIdPEHy9o3vAb7WOMMc2VuMNgGLJRkSzczYN86cH uVseqofsQKskH92YwhKUXgN/XGbntIQEFeVWZ2Py0e8yJkAf90JvxOFj88UKfzEgZVM6diCzu0D7 vjH4JNiw2mEw60dXL1v+mW/S6v6qWPFrBwYUqbfqAa9k8g7FUu5JQIKl0OZrytibDZlnO4xhcOvg BH/9koczo5eGtAAGCLPZJaJByACQSByeFieL6SPAf4y4Zj9aIHjtnnoS1iRn6Wb0VJrvkgYXR1dT 0oof+ouUeuHkM+Z8ZfSzkX0RiM5Y89eGNReXM9WiiHChwvWk9LHKNN3KjL1A48EgYwx3ztjOpMUQ 32L7qQh+l2pXf5BpOX8k6EpcMrptOHHwa6sD/nJen+mXq+8vkdP/ICeClwvIr8SyU/LSNKu4Yo+i fOsy191TOj8MYvFJ1wKI94BSYehETtfQBHpNPmq0D58Gmqd/5WXsstDUQZ50HKA11+XcBxqT57JO EgMxC5WWn8j7+bN5iSRYqhamrcW+GK1SRAo29meyUnggRGfCGLAlyXC7Jiq8EjwAzDiAebtrnTM+ jwlpuss38YfX0peT3diP63pEz2hkJuGtAuZE70+f7/c7nIPZwNU3E4cHD1bJFBCXWr82Y+KzdCfU xvKhyEnCaj5GND49rUohjniPF6JlcMhZ6oylmdK1QG16W37PKcBkQ8mijAHo2pgK+I8EW8Ym9cCH dYfw6E0lzlsBHelEgyqKqHKNZnfNgYWiMIo/Y67w9dhzFDg0slFfhq5i1Fw1v34FaDqmDdalFZim l/lCnMKc/4zXY22gQFtdJsFVQDtm9Q0fNrAr8hnGxGdJAZNI2OpxjSHx2BC0oBnBXnb7qagwcAhr XnVmpMD2T3+4DQLS5bNkD5YNifoPp5N3QF/xw8bVSTjyrttnplpdEpDTXrniN/9/Z4vVdVNLLgpz XlKjKlQ1Cq+SI31qPWIGRG+vhcOs3vfXGCYs2MimonvKuRcjSC7J3u6OJGSh+kS/1NkGjwTUCYTj 9UCjE05HVUhslIqRBSSy0qMxj5iJ85IulgLgVq+tnvF7YXyrGzOSZvgDexpRcWjDFjizJRzjDsiG Vot1wEwtsy3eVENP1ZqfKVAQ5WmIbWjBqE1g95ZLzIAPr9+HGJLV162XEY9OvsMiVh20UVP+1+NY YEp/HLbcWaBTBAwk2Qh4tkOhzR2xOGC1a/boliSSilncxDwXhC2iwHWou4eZ2giU2VtvLIoIKA80 TecxSueTIt4OmYlEjDZq+Srr4Ays2aYk60TZbYmPOVoCbXjge+5bxavNcpnNxQSVK7Ms2EHij61N h8HVEHlGcMmGx5DBpSCuQeyKSmGe8qUyrjcegGiXSjhomz+UFMCSEJuzUosBjs3IQMTW2Lb437E6 UKRrrN1qxzCBly5Y0aWOh5IvgwiQhvIOSwP58kMHHXtldoJbfs+VrIZ0Oe+Of1WY7/cc73FshhLN Chdt67yfEtyRyax53FPbz4TF6opEZR7+Kia8oYOIYHVkl+iKAqxqXKeHpraqB4gbKgTOfBaQ7Pnf L00uBexA67baZk1Dw54K9tzmwaaxXmLFnRd239vOUk5RpPDMFAo/eyYihokBNpNC26fBS6qcRK63 ZTtjfKHjpX3xDvOFz4J5/n5Sg3jacOT+HqO3sn/Tt/hLO2O2C61DDN1L8VkxHWANjHukIdrsFy5t qJQdkJbnH+a04KXTN23caOwQW78YRtveD9bqSFSfJrB0jzvK4SFWzq/B3AeWScqxBpcZ8ZFzNEWp yfwFARLz86VEyCT7kevzQpOZh/Ghsb9OWNM85TLYCtsSXAvN6fSkIKZ0G4/itg/J8GZf9klVXYtl 9YBmyVfUsnl/wmEO4GUwMe/7VkAaOxLwkDSPs5Q+N86kLJ0frwogBMe8P7rBqCfEMnwm5NGuZXIN 0f26HPqcB8XY6w3sScdOLYthscFp54Qn4246jNIsST9OiZHf0KDLpvlzAFMpFre5rCTYU8U4NAtw FjsQDsaDGybwcrzIzZ5zfREa41RhzLpCJu7qZS+pgVqliW6R/R0PXYiXQ5f6hTRhjiHoQdP7/9Oj zeuOxdb5AWmolXZLNRWQv3qc0iN3BQbEfpVqXjlQebzZtfISdgwnrnhqU7qvJhxcFIo0poTiT7ln +B1wBxMX/IQ1DoiTyvURZSakAMEau0rh3qe9ZZ56AUS7YvBx9k+kKlUQ/Lo0vY76YBxC16FbBcGC h5HzXECW8v6xxlPHEWlPoKsCYwRSltaJv/8vq9TMGFWOg6GZIvL9IaU9pU39wrMHzWk/hkQMZGYj m7d4R/lc+2YKAHLkRdacpk2BkvSFKzOL7vNQK1vYAGe1nPw2q8XVYf94IRl3lQFy6Jy+M40Rqtx6 3dt+TCOwbnzgfk09CDN6Mb5hkLQeWTKp0T6iVsGvEU3xKa2hsstxNlw2Gaj8wJRF/a6LL2xgjcsq wnomOogP2UbZui4ekkGc12szoIczAZuosTcw8l8DM+OPT3SjnFVsyylB1KL9ZlhiQLmbybRk73wN zeJQXFNaSenk8b5Is+s90GRApsnvEWVuqGrSy0lw6WEAz812mYGd++a//V9bCUpHQctUVdPdmTDm GxjVa0aapMjFH9uffCbRz7LTOf3vfB2if/cDwwKCtXTwqlSD7oGfsqv8hP3Cx+yZWkY3fALaT962 XB86923lmNBbmmISv9hp0+O3mfj8kNXdER8OEeyI/gU5zqHoaLouYXyFhdlWz5IEDWVWxxDj26h3 eYH/mGSyW0FeJezfTs56JeM1wAYNps0dXtjaVx1o89PjbwpIuu6ep/kKWpohJmYEr4hMYChAlLKm KyNKpx76mNo1NRpkrZUCtgimgClFe3UeHYLfWbolsy63bv7zce5RWxIY/zUJd7xUg1+tF06rxqgg CVrfd7mgaxXkHIbAe7hfIs9/+LJ2NA/ISCojEPHiezaXN7HSS6WVSVKCOg6V7aZ0bWKWH9I79tID 13hJqxaA142V/As29bjnXtdsQIok3RdWDA6UgZobB+vbd2Xn4Fa3AffhIzqWzoShUHYrAimJWSdw huaZ6tYcvrVtyH+dDTXVufyIoB45co8kyZRq2CN6YmC4nqDA4CJ4w+wGSxeilekEt6MtFchj7+ZJ mk0WDuK4ylSv4HAq9E5zT7GjIJGA7Vb6Z80Gym3Uj9tczt5nvPrkqXzXS0V5DfXgeaoy1XwyhK/x YTT1S1TmvemLspmbix5uSd44rpr/aMRTdg47m7dz/Nanu9+B0YG3g566wUeqSVDCAMSl8WHDajzx E7LW8AmDt8n7XXXKdTClLOrQZEYufN8o0R8g7ZvC9v4IS2D/mvE1IZR5PvEdV7uuqMBz/ncNKR5S uJMym0Calq1NVSwhaRM1JmeXd4gUJAzAZYI0ySdyYEHJJUqBk7baN+9qmgjeL9LIRqlekX5QVWuJ w4gwyGNYdgi85psxVd+SGf0w8KoWQDEz53R23AFwZBsqhkSxa25Y4HXOXoHaqL1EHaaMFAwuIACd m7u/vg2cS/Np7VYCZ09npDBKOcc+YgGYbq7/zgepncvbtmwZDHgpjoySFstTNsxQLIQ3B9vhEGN4 u6aiKmaK5qStFPYhDhQ0/yHL3Xz12jxQ+ceP5dM24TkQKdoA3WYQgga7eCdTT6DAmyWlUg0H3a0z Z9lZzU8W5VhAYPbTcyTIwIy1pZLVsE+P3XlY5nkENMtshBrg4yoA7WnhcE7e85+pnDeVZhPfiRIM /AtIPpcayZ0zgdv6TIL9wLBWnWzYL+7acTMLmU9VoCKg5V1qVBUllOSCTp0nR2mvIMxIXym/XAyt WfWLcgBarwxQ91EjpRX7LPgtJ0TVg4/ZBA+21jYX83hcceP4Em3zsNqf3A3cNKRoRD2wMnz5OSIX X7mUW/h7ES9XwUNDgQtl53vF1Jpz2RzS6ahL7ihwQtsXWYatQJjnEEWpm7IO6KQdeBM1rH8XXWLW DF3nC07hzwslz2zCWq8mxLlUM7RVEwpPRa2OF07vAI4UMn0gS4955TK+RJDLipwtaMVITRwv/zUL kz0ByWZbU/Ey65Y7UHC19f+ofsLTFKX408JRAdUova/TKl9a2NYvIcpPZHFyyd/nSnEgDFG9eTJL u7cgv8LMAva1eBnw/UQxU+hqFLTNhehphSGJ4IZ5wwBKVPIQtJuEqCrkQoTBF9zpEfQPee9bCh2v yiic2QYEdVfkVFDi/FCt0rDPdflyYAw9qIs207pSzoZkJVXbN4cmo0ya2D9Aa1ep8m4AfriuGG+6 NZlUl76bkzzcSquimKWVz70dJVobPQx2/Zhjft6RUrxld+VjtotdvU8nbGBwFy3HJwFEXfHzDp4u ABFW23NWa/uV1Fs3002PxBA3hhpyxEspr+/KblXb/+HVW/x4ke5/FG+wKw8mR9NNQc+PkHZVTS5X TZ74ajDeUI39kFzO7mQ/Y+2L9hos7ChvtjdqpwR6NJ87VsGyythV6ql+r3WZ72rZMq7uEYY2mnwv DGfet2A39SjAdYVoUx56WsjpE3W7qpQxb1qD8HN43CUASS2OntqXdMSJLjq0AWbuvK/CpVqJnBj8 9ZqXWAXoEDRuI3huyXSeGM+nY0DcaluQou6R63DBlvly5VCA5FKjvjtI1fBAR1UmM61dtIDuodju F0rSX9EY0TMssykOwnkJFQCSNYLA4MgQiNoCmNV1HCCWq4892oshBnRxKYpDF5Vnhib17CKJLkaC Qaub1GFbA4rrwJbeaiRBwnwEc9ILlyGILLN5FuO+ACq83SaMAiwiTcA6f8JJIyJid1yB+HW3Xp7F +mCLoKwTXPvpc0+R9G3PDnMXhJYu+41hFJrfigK/gqQX5XQ0FoifAx8xBMwps6myh1fnb9NvkP+n yyR2h7TND0h8Ggc8X1lbap+vTayvoV+8k7a00kniM6ZjNlyWtilpMmHJr3ZehLAZdutWkPzdKAug t62r1/1BGdkCOuLaX1gZOJotaDj5CM7wdKkvigjVjWK1wXlxGtWXCbGbHBKhyaPmcEgKGq3gGIXC e9W0K+XwkN2r1ANGhLb/W9P2rcg64/0wsFtnOsGgbryNk/I4OV1V9XO9738WHcWT7kTGpBL1OnVt Y2jy87xs3sprV/+0gz/tDIdw1UqFA3CgnFUM3RWtWvvnFXxV/Bg9o1Ngl0snz8mCdKwfHNA2v8l5 F1FLRElZum6sPhDz3lTbnHAPpwA0gIgAjtuT90upH6Wf63FhM3yix7mC8JSIsw2V9uACXS+9KOFI maCT2z3H+XI/OXTo6p+d2gXm/Qig7CqhFnCDt++0vWB4h+bQjhOqUD2ACw2XtC68pMo+PzW1LAi4 Gu+aIIorHtPcm6vr906YYm5XGPFTcB+vdsM4yJ+VCaxyz+vny2iDEsdksoBXM9vE7Ke+rOpRSXF+ xrisCypaXcVF0T5UjFOHpmufU6B5Yykje6JPpAaEaA2c3U+3R044MFVfvKpUpFamdVNuOHkQelfn r9IZzFhc3jy6zIBZnVBLZYUHim/oecJO9ZuxKtEOtJ3v2BBurc0hDecUmRSsYweIiTQZR514kASS n9NbMAoarWbsxF4gVPbDSgRHS7YyTIcpbfn4y6dw9Ao8dwFS+9EV01StBhR+NoqoOWRwhS+no0J7 +/jcb27TgU4WaptvI1T7y90FBt/9DLUOX/cMv2M/NwYWnMHZaEPlrVYna5eGJazV+qhlXrsUdEYZ qp94ecws4epfszr6lahjaaiAjVjiO5PzoCbh2vpVVHJM0tzLxKbmeqqvFjn41q7uAcwiZCXY9Up4 7yG7QJcFdDLNldM8dg3E4FhApJWhmtsqOYkah2hMwPQkz8D70M7ke91iRtJH81nh3aCCrm0FGQ+5 B829XX+2QIxOSYwtz1mDaGfkl6Qwl0P/TReDQR6xTikM8e5FZ+kioJH7pve/dsrnFpBW7KMQxhZB 63JF1d8Bn1tSASjtrBEjdcJ48tDJLNQNlomqjhBsnJ5PMswkpBVyexFYG5lVJCnj4u+KigwFTJDS 74mW3QZWztEstGb7GK2+fMyVJO5V3+u+4szQCl2r05W1Zse8IO76Q18njO2lQB/Ak6vk6/PjwBSl lVZMnlWrCUYutRHvCrZbB9knfKFt6sMXdEx3MCQRKYLqXETQ2xXvOcfjls3IFugEvLtGF8VUCzOr Gnst5UrJArBFsgzzF47AehEmwJLkSDaljzDc8MBvvl1+BmwbbwohpETj/p1NHFvFIIAuZiEtv8IY ry6+ZirzYFKPKicqzMNwxUFvnV5A4IulKu8oLJs8yZ8JJ4FAyHMFWwookx3YLCwZZvYdTfOq2u6d UKHL14KyzY+pw51si+yBZyMSnEAYsR/n2yS4+27/9s0xYPh4QDkC/6QWWyM4ioqIob0MVsTNH+L7 BL+q3FmC8F4uTU4sIqByn+dk0kuUtAAc4/f7+cuNzRSNV1jZQTLpO5FjF2yg2SM2jhSaP4N9P89w v7P6M8ZhH7mJ+gR4Zxp1XZ2uyB0aS7DFxm9IISSy9uwMpcTOMcOyzn+Q6yg9sFvW2VnNp9nlBl4C OcDA4oz4XixYGN4jYHJ98PtlhPDKY1OyXS4QGAKi0MUMuP7YIaUOscP/hGsT1Hq8NQpDaevWe52r JfWOy0WfIGbLPISGmMbHpa7ElVxZF+joWVS9bg7WVBI29ylDbpCwPXT2Qd1AptvljJfmCc8Sizb9 6FDcViMu4L6VY1DCavRo4iiHYWHJE3i4GxvtArs+M2rdd4z7r7lPLXk/k2k3WZdRnDYxhpZHdvRB mv0Q4z+24OGse6KN/eQ11C5YkYv4TQMcNbMz+yR2QIaFWi0k25Pb5PzS9lDOrymTMGFjIl3MnrVb 3XAYrt2TdkieZiMrp9FoHo7u0Rk/o/dkZnkO0gY5twkoRxTRG94DZ/xgUBczKcO1KQ0Qar8Zf0GH tiya0kb6CFDMDBvACYpXDcmUO9E8BGIQcAkakvoPgf7Tz7tIhPCuuJqm/IEyQsEuCzMO/FQkrcIi 33Cmek7Y42UQx/SfPBnnajebXKolqd/P2TP9kFZTVaMyYu8uxZ8hOptZRxGsKRqdl9MLCVz4dZOo +Fo4lb2m4NJ1ywJGJjArESQDrRZixD4jlJwAT3nHTHEILNi2byu7Q8D6FVzKMXDW4t5WfwwQiAYc aXNwwodoAHonJI0nAfyq50muIy/SzKHim9N9Vj9t+ww4DCrizne+/jdeqg+8Q1Po/1G8L9wnqwb9 fhNugSy85kemwUIE+Rx5X4+KVCa7HCrLQcMTgmWdG8zDpjdmUQ6pViyWIhXVPrUIDaO1lzPm8lB5 JQJuRUHuDtgC5KdwWx1x9ljupeGp5XN/Fv15IodOfiiSEqnNiYp3OzqKianWtEOlJxApnieUgWQh /rjjPS5BinGUEH1wiM6/wA/7XWJboV4crAK/PG/0p8qOCk7DSdUjr2k6U8/M3VWVRowJQUb4QvSe yT+2EcMrV4Z8bQg9UdOL/09FXkdd8YVRlZuZk9mPUsoaGJ7O05oXtXnoF6xxljSDBnPdm8SGpB+h hDgg1CTCaikT63d0JItL/ij4k9IOOUv1uCHS0j+rOkVm0/uvDXwE8ue/GUQep+DT6Q7/5xwcEugq bzcjKEBY8DhHU7S67PA47cs7MoOxEEoIgfVnZX7CVN+SdXmTVKPJpvN/NrqYovYNbGYq7xguYQe5 kRKAW/qHJGccJuvLDoz6TlNF2W+rw79f8n/knqfL7ef0JIshNgK39nammSYPzJSLkM+vJV/dpICn WmDgYZorC4kQbHWIflDYD46vNtHaxaS1PLH1MeuWcpsiVZh1iCv2hz9FmvTZ9MlAo6klfiEZ4w3p WT6xk51R9f/XAEZZr1K8s2ph7scAqjD/fcJK2UQ8aoDD1GtEPIAqC5ruxRIaGl3+o6XmY+WLqVj+ ldGKCuibonyKsX4XkH5s0cWQ697y4ej3roAf9VF+ikqQcFNTscMG8zXfLIL9tzscCJe2SUZOfB+J teGcRqFgxcS1wex1U6hM36e9pshm+REbnvx3CqpOkHt5mlQeI0QZAiaV8tLajJ8B4GnVJVWIAFYa +Qamvedc3u9KsOog4mygqZXI7z4BbF0eRjXewtJXpwmsfOYgp3QTNGV3XGpAl3pXH49zHjDDVkJc 8FHpSBCXkYt/pi14ZlfobK5WMNbNy2x2p+0I2Lws5iu4eNp3m12CZJcokzUFsaV3MULtFOr/9x9q VUsDgOe2hkpjHmE+latUgr60U6Gf4m0Rh8XtiUfWwiazDXbEVUQrLLGyP8UWRaWQtoH2El87p+0i yckAzUsmA4XerpdVJqHCvzGsFfixYiXwxw15pQ0prsA+JAh34a9N+JIy3Gku86ZCJX4DffyQACeS xATYpBxWbWmyI0V0v5oPAAK1EE1N8x7kxW9rHtmGqxRe8VUx2pKDvW6vKlRCMxTEmq1uImSM/gqD bg5fnKZuvdolcwwGV4kVsLPtPDlD9yTiRrBsgL65RVs3azGq5vdtDzCiWHi+e4kqpY7eXbXeFEsS Ojw9n3xTtHiexSs0GI3SGc5CxyVULQq0Su4e+OG1m9pFSpfcVHAyCU6vClo8qL1wWPVTiOAD+xiU qxcYb/UaDbZWA/k0auUa5ikzE2WvlZxtnPWlkiy7E2Ob3mS1fb5gW6QZ+NGKdH/YdRwREZc6CCuW OHCrDtyNNHy+QImKw3tykKWE+TN6B7ljXtaNxeIOySAhZPvbC5kLPSQTqR78TplbeCqBmjUKSmpz MDmhKMJ/B3z/AKzzHK+mDIqxyYUDwmvvIuEP6lOzpRM3JgRUGKJiKnHPv/ohcumpCHUO1HEEdXis iF5TdDE4vrxB84WY74SjwWVPeccHvgOfM1j7YjJ+x0VY+IBiKnz/aycucSVJbT0GOrYJy0217RuS xBe+xrL3IeH/6v23oMlXJ/xZdgt9eSwfeCAimm2rrpvKxPjrGljgTgK5a9q5VanuoAmS3zNYV++H qa03uW0hwiWucXXcCci0CVX5urOmYr1wqIQIp8k3v1/2YrH5Bn0451HkC2uMF0qbpZEFGc2NFTQp acXBRNV4aR4qH5dZ50DKatf4MVbWFhNkwjmaB6YxtAQoc2nbuM9+MPM+IhkZswZeL10cugmcq48A xWHKREWyWPt052SyR8MV8ORSCUXNU80rv/ydhapqK4uqDy0oG6EBV1d0pqYDJ2xBfMp4HQmZaiPC rbXg0rkbM931BzFJ0Sga4xOShKdZRhs/vMRmNkL1vMOGeED8oklkEksWeMj80IXXV/J4sTwrlDrL sq13WpYC9FwjphWVLzjsN19lZD98dxgiFUar1HeGdWoDuR0z+Dbcb2IfgPhi2dZonPR6KsN4bbyC v2si7lHQWUuQdrONvZozrYnDMi6iOr04UFdcpC8Aayeu9zS3bmwp2PngcO3hYhK2lVTI0AYkTeKm NEwFshdbwn7usIh2/Q3vIUY8UKxFnJ+zvSCb5LJVGiwI6fEXCbhMz2sUYhqNceDoS+Lya2bqiz8s VAwv//d+uVNMebhUFWDPYI98QfDvaDBz6i7MWHejgnXGiMkYSPWggXGh3XY2JPf+vhjq8yiZIYhW frOPkfX/p7jGgB6u5S49LMmgRr01TL524p83qGjUtKrkzg8hsUeEpIPPFHc6opo5oAnpwQDG7qFM 94ZP9qG/34TESe3DLqTJauczOHIrqY8koBeJtgBQgKKSLgmmXsmqfIzF2naeuTZ20kZvpcQAVKlq PcaG56u7KeJQe3WKkEvatAPlF0XTRlleaNElAmH2AqpFZnhSWL03RR42WjHxmUkfTFidlk19hjnA XO+Ci65hajTZxcRYkCvZtBlJ9dgfaqtiLa2dr6mUcXNO5ECmKsBLIHahMRN7RZisDablAXp6h9IT iGvw2xmEMO/ri7ZNg59JzZeIfsepwdQJnjznLXWpdquaO3edlEWe76KIPZ6bN4PdNyt+FweRNuyz bdJvpDdW0mVkupbuSE5Smw+iyEhtCxdlFLQqKy27UsKRj4hZQzVJcvIsIStOHOH/SOKCko/EHa4B x1X9jcRyxNyrL8TCSUDVtYw8W12KTQQGgjsd68ER6bTDec0wZ3+D/moxeOgl9fyrcch7uZgn2C+h TT5lry8+KxpiYj0CfieOP9ab6Rm2m974XyHVNwOv1XYGkpzfe9tYroECU7JW8o5Scr1xRi8Eh8iP ICseh1RFLq62Q0gGSv7t+l4UOhSnFAunG+a+EUmgYkGXfjLkwKpwDYOFdXJlFYb0ZbHJ9O8qtP89 QpuQfl8gKGTNOuwyw5vd2hUSm9mzd0T8KZK7271gJXe5GWcSvesTS8cAMm2tDDo9ivgcPMRjpNGB cTKB2aAuuVRGxewlDNdW5Se/4d/rLjJUJF3XHWgPK1/kPn82LcnoCrPGaUiGoCY+XPQqMzTWRNYc UMsIVQmSfIVBorDTCAzy8jUzg38JTtEAYXo2JuDSuIOtHC9wrgbERUOBtSOkpSz0K+n0lCrZ/Iza tftzMVY8yKagB8s377aMEUjAYaTDvONjDA7FyhZp7UrVvLAvg32ofrfsc0UPTumNgXZrawFv/iQp O+STprTg/1k7o29KLkS/YUtP+oxrxj/LMo9uhhciu7zBoF/GzaKJtuTF09+ET+61StJt+xpQfzcP jhwtA6lebphNzoAebo94x0cUWl6fvlpO2eYdW/zg1/w3SUEyC+q7fSwArvOKqcEWay+jaY2RpC0I Y7zZMHv3pBNTpbferJk5hCHjLonbv0yySssSJjRxHvZi8z0qfMn/y16FoooxLFwpchenot2MLYow 4Bd9El7mP2B1jcYvLoupdoVv5IEJjr2mQoS77+NOsUnvrfVHn1yXK8SeuVVmTw7YxV3nVy6Yqq2B qdIGQV3Eeeoc/mL99be4N0OCYae/Bq0bE97KV8A5gmWL+Q73crGCEdbvG1s3BesAr6LW3OVpoXDT iTius9YLVDIYDXEy/oXUbZted0dPbzteQ0aB/qp0pGWJ8OLdBlg/mWHjcSNI4sPlaWw9fhe48DX3 SpC1XJy7Hv9SgC+X87Lk6yaMKrk7WYXEvyOx6UWrej6tGTbi8C/yRyYKZtRdwYOnkFJaDHGlTXlc yEI4BDRvNdAg5S+QJlXslQ6Z5ZVbxEt9b0bko112ndF0Iq9C51opeGz75Wk1pjWDFzIEKwJO/yMv ShLvvNTyCys9mxLzywa/Ac/RYg50F26yUvC+rdjZQEFma8ulcaZ4/LkEvxR+iSGL+/s6NWhBn+Wa OHPq8gV49nKKgNmgQ59EOvjN/L4l9UNpq0gPkmiwvdxwcXKltm0vNerajeRoXCZK/44FRp8nlBz7 Y/+BYzI1hQHtdjYlCe2uQx0hDfh3gxLLYbYpWwauaAf8bID4tEuErK9QGW04ZTc5MTd0giOLsBrT VYAkcwWErlAENcudaHbcvaOcMPakea3WUo7W7z2hX6YzJnPdeIUbofTvLUS2vgZJVesQolD0CHld +/aZL6qPD7wEcftpoRwKx7CZTNUBeMdOScW1qGYeVVKmI0LwD4hGaoG/xYHzPtZC67B5NZpkLTsb NLjh2YXvsa01r83I9VDZy4Hm5QSAPbLyAvHI5z7WSoNeck+78VlLlHcN9HuuJvnmaMiLtUPq/Xwe TEc4m8NZ2e4pJko17gc2lRLO3voXMKk/FK+NkWrgAqKtxz2gLkh3i42pS0Bsx0NybY3w+D/abxcq 9PRmafpH4bEol0g54+uvhkyLFvimW1bG1jVSb1IsqyVCu7mbVgWK6oNpRwEQGdNBf83AjdZYIJRp SdTBlV9dUBcCOGRDhpfnLIVqEhXKe4kpMfgtN/uytLmsaV+Gs0YryRnSh+UO7s1Okaz9NomMBq9J OV8uZYeGTxFU1xg966gCEQv5BFVeendNeIVl2a1X4SSoCEBA04Qv7uK/59SabkYmUzJPl0ThrcKH SF/oJe01VE6BCMZlIQs+FpXEWtWJFfnwhEYB8Fnet6Bt75VUf4TI3/kjz3RYU7/QVG1K0fauSwGA hPM96Gnl5m3R8xwOSpdeogorZvrzJSH8KBg+cdPKjFFtYvdlBm/FA2BGoJZ84WUECZY9DSFjgKiF op4Uah5o4aLqIHmCkwYk04T7Bhwk8Ephhf2SkFsdXSgAUppaT19PtJxZs4U8mh7Yh3w9ymEei/n4 73JkaT7cv2YuGYOPqDAXvaN/uN70+vbRkJiEgn/+Zl6VExbHqomy6cZZQaWyu4TkNjpPMvFgtPmk JJWYdI441i5Z2cp8YnfdWE4fVJW+E7aV6fDLA59lMFgqnD7QLL3b8Oi9lFuwTNM8oRJmGLyqO8xk 6i1uLytMvDMfARYMFS9AquhgFn8pjFG8rduxvDkCcDFOEQ8UatXLRaFA7WTfi51cjbXTGEIWl+r9 AHLyy1/d3cIa/AjfhtFQuOTp4S70tyk6A7PDx9BLfj98o6d8NiW+09Z8dYkg/ZVblDHBkMVmV8KE AiilQYqlmIN2La7n9Oki2cvPfMrhQdFJ3YSparR2a6DBH15mTZ7f5kBBfOF/7oHZRmQ9R9ZoxEPJ daHsGRjQeSAfRi13Knb8DAX6NesK5TunOpooQZ6avCXDgSTs/z4K/vcaKaFlqqlhWsoLntCu95bF iv6c6YVXh2Y/6hanfp+m8JuFqSgEYiXqAN91n/OfsJZLsp205lnQ0JkGQ0Z6nZ8TtnehxOoHix0J Q6HH/8v5Ny7IoKh1BYYOuaQvSrxNwgLFaPARgQASSGiuDEyZ+6Ya3jF3lSlIsF0aiSeE7ppJrr7t ZRNdIoEr70AOCvkpYfQmvq/s9kM5mq0d9BDdGU5GW+Vd9GUbWB53uZlZ5bpQL54aACyAVYx6pUTK pzCyNEEEMGXZxLzgKlIINVQC7VucXYDfaAvUkGI5AvYFme7D1y4ywsUotjJ9LaTipwM8BCwQSOIG B+ssrFmGrDSw9NM/oVEIqf+fr6uNbepBN4vLdgsLTgtKSZcpG/aXrrKY+BKTUheNuElUiHvgJKp8 A+zm9WFHSB2aSLGhjQEFVzPtBPRKPp9qqjlQnwUujOvQ2npW2gw+EGzeW33Dh51T6rNyvCupVoNA DHuhZ3vSpoP5o9rCi20a0Otyt7KNmkLjgZEFQ8D1JWDZWqH9QxBC2JZwYL3+638xuq+5qU7rqiC4 iIji6YpeeM6mJs0DiHIKpWZR5Frm9389rHtGyTUzqOU/7Mhupd56g2WVymL004zVZkGkmm33JcRL X1N/vTDnF3Z+6wVZZqBpioC0TeKuEf7D5/HkSL53pXnT3Jipp6eZBPR90ZwovudlXPOWFS7YlZ0B CV30tNajDnXPGUpu7JgUyjvFxDx/B1/+cR0wuytISkXEOiHBdClkWOfxXn4e+7bXpbWMnB3UDckO 81o99BsWVF+K8cLBevMNPH0erTggit1DKkeh0m3Rc+biEXl+emgiI9KTMsYjtKeJzYPUhfaegPCV YDP4d7liH+W6S/8J7sn/G5YvIE++JKgudVXn5J6bTqFfvBRcnXaY7QCUg+GtVgquygUpbWk3Xt5+ tBQQZSGO3PDFFpMAA006tXANZ8vPy3hRqQICIGZRsNKeLmKNQ80IfGfUGFBX1wSt4oDqwMf2JUz2 t3go6fMTrFjg4r8ZMQnSFw/0bhbb6mPNGUXeBmZCobyK3Klm7Sb0Sh1eq0vUPGPsJsvXeJmI3dvQ 5/Js1YM9Xz8otCjGWCqtTNF+xJo/XggznI3Hdm5i+rFK8Dd9UkFFIJ+X5YNDhYmXcpITCBC43qrb 4vrbEAJ38mpbKdx5BXS1nh1sCQqUVjySsQWUeI8GHmH6d0Vk5PPAhxM1MHmDVb5jMqo67gzSoc6n mOcJNW7E8MMzSIjHzjKoi9WKj2PEaUAAvzxsGSdGATKmJAISi6liqUdd2VftDR3IQPgv8jGDw+AV Jp1xEAv8GDFI0Lrlh0AfzbtYXuw+hFDaFyr+D1ZIgX+WwxeTmH97sm1ShZMVa19beiMerUqyp1X7 iVwZFqreTa+KE7Qn9KJZhwSGZriyWlNyapnyw58ChcIN69+JBSKl54KRptdF5jhVNB9EAQ7DulFm XmXCs/tuqbRhOFVSxKOiVksn59mbCLS1kADqRklAn4IB+elhz7hdFw3/Wuj0iYa28gnevgKn1fat h1kzyTCKOeVxDm3YRDBjZRHU+YyInZjTvht0V/qi/E2NWfVXtny9gBhgJDi8SfkkJHlKQDjYh0BH EkFYmuZ2ALmPDpcpw5OWHLd+NGAUHa6mZmSyBhB/2NmYuPMBON1fPCyivs7fEbynf+HSTykCyOMW mXjb9MklspqS8b7LzTdPGJZCLyQ48KlN2zM6iSgeuYlK65bdJ8H0gGgcH4d6sKgjRFeH7H7sSx+r R+z7bTfBVClDIAM8SMILZCOEIJyGxpIDNtzwbBdaIFIw93TduYBIrATEuykNyqGl+nmPizrBz5iK QdHycVuhU9aj8UvGalvolvjtVinGAwTY1++1wkcxeqUzfUMFNGWGbreiuVVlgQHlVyA+d/NRwgT8 E6/HU07eWUDkX6R9P3pm5jf+D0M/iOENm6+xc0s5U6bawYgL3IT3keuBE9Dpiy2TSoEOwQ+mUNqm fc2ybPqJrbtsSp0MxEJCW5gYcFy1BqKFrHu0X5CbiwYSSdTU594r1vZTgyRQES2hbvtHtFfcERLz vU3mDCVoiJ7aY9/7UGL7Wzl62NFrQPW5lPVGDiUcO/UP0rCL9gGea7ybiLPxzEMvPLRciPyn3Sse F1B+WH5TWVulHzLin4eEudzyGZZ8gescS0Q+rEnTl3UfTtGfW4eSVDstDTLYkK3qrQC+SFS43Xpi dV74mcpgX3Yojf5e3/2Prj3+5FbpgJtuj0PKkUbkrAyRONUZFZW6Z2Cc56k6PRyUKi7b2EXUysxg ZvYEg9sVJMyUcV0hMdP9xPugGpFWjpWESD5ALGKk1dAiOJJpB5K4WtADr5XgLG1n+lgVvETm1sXd YvO8GCMkwm3lBmPXZYEaMgdAIiuslWEk90CZJQHP77MYLWPPfrnYLW+wu1iA9Y+RQ/E6OZg9a5jj bO8kyHnK5u9Z0fqYb8QRY6ooLe60iJk51cjD/3BIdz3O4oFspNLx4mlDN7hPUKGhOkWuWu6GP2bU YpI8DT4lO11HjFRch/m3eh0RfLpq04h1JZllPPgpxNAt85/40SN6iI9gQJHMVLzaNJ+ecdA/kAz0 4ctCuhWAFR2PeCrbwzA6+4055O14O+fOVrLLteVDlUSJ0IVW18a5vZozVs603OcLlOz0DxbvQCfH VkiCKm9CV2BqFqlhkN6zGZ5WAxhMk6D7T4hxE0E9PBPVya8brfVD22zQsdtX5VTIcvRbDTB9PxZ1 5TreziRGPfU/TDTqvjX2+WVs0gwj7M6u6ZtcATR2vZJ1KLTrgI/HD9p+ZMfQMdDT/qzqs8gQvHBM KzMN8JZpyWWEQGdfJzBwMRhFFD3GZVjH8FxdV6uy9+yM0xrV7Q4An+xlFB52sDVHKYJEgpQA45GU wxkPbnLE6UApKnDW8qCnCq6Sa+1sW/sTGkZUR517gOoMgaWyngr2KhrBA1LnhDMyufZdB7ATB1f5 bV/AcqPkkoffuY28gZbR8ATFvzJaVUwS7lgapLNJr2iZvPu9k9Wdpuc2G9e8HTePQh8I58HjKTuy O0WwNM7eHCrDgw8LY3AQJ5LGSHLdDJs7rBUywpb3M2J3QbTAopQneLzmlpA6DoDNBcwmILdRtKjq 9+BP8iwIXZ6GGlCWrGXfFv0UbQtrz9Jtl5z1iekJqcMgSXlpHd/dAjBOvOlYUfbwoxRI7N8PiKOn de1XrL786WUYgnk3iXtbBUBEVUvlpKrdC4+MK6mJDK+zUssD5nqsBSEB77GQDHTtCRQuUWhKzZVL lOMZXRLwtBhStix7tRwHW93dsf3pA2zdIve730DoZb38wxHkeKs7wrA5Ou367rmAdNUM+5iEBv7I vbgvgTwIWkC5rHGL3ELLGSbtY0aUZOchwxNh1PzwElvLWbuGPjbLVxLsVGkOiCmmunbhL/CZHuOz NKOi7FNiBc1Fck90zojzbCNs/wz5jIZzfMjj3nPjhn0wzqILDC3HNCps3z5SKjbPkvz3HZQrRzHG VU67EghBa86J8n5f3dB+o9PIZdmI2FpivOc3HKaD9/A2zap20ebtxTQNEqnY3EytwNh0wkiE5N1h eBQnmWQaf5toNcT/c4w5J550U5OAXggMOZnGR93mbPTeBSfuZgmE8+pCcC7ueIcJWeb8JPTE2FKB FPxbI+l9UM+6Rgshkt6qRZYxYK8MjpfVP8HqIZDpdn4HLRRj6nWoKkz3UlR+7fIdVGzDMSxF6ort 4WyaNUlviPX7vqhjGodhL84hwGnpwTtNChB8uWOpRvPvRLwLkq6XYAwh7uUPRqOaX9yXxIFNzB7p 0dOZCwexoqhexESJsG2m2RvOAqXLZ9S7vv9xuAohh2m0ISYbjEsMad36TYy2Kwz3hSLfOf7Lv59b hhvpHBeuRfJq3nuNuD5HC21oL7eduo7FTurFDMrWj6OLe6DwwUevhTevQfqSJ0T+KJUMEMW0IgRJ 843ae33Vn6UpChdrlu7LL4qQqQcGrcnRpKOiq+6PykcCvtDH/ggAENqXs7qAXXeGGvyyehGGHlow WwP0jmIWAHTmYZVmMTcJQcOcC8zaxhDAz6CKa5dVaT/eY/RbHVS3ueg2JbEoT9yWjeLO7UL/DKs6 J8B2SNz8ux1EBu1hkSoscZstY4VAbytn/yoheguUz+qc4w3Mrl1eNkt9efBIUHWVrMAHBe5nBday cKWC9J2tvsU1Cr/jPHt1zfPP1+a2g9aqGlas1hLykwb9GYmoUZ3tgTnUmcLzd6/aFEBcambAV2hk 4lhldCyJ6MScny80Sw4G3e9IKU6j1n1EucjYrG8VcmE9uS7zpe6zuJyt6X6cDhqKdU9ShP0KcsN2 VeqkuZjILXJaZB15D91nhm2rzvxDxeA9EfnPfcSDk0CToIjTcp7QPNg8o5cFgvpgDsrwaUhHtcvn 1vinS9qm/aPXSVnE1bF2VRY/62/gbWoTueVjPYkquW+KI81PDmVuatLxvl2yo206iuooMfhFz2yZ laNhcvG+KomeoX52SlSTla/TReS4QDjRJiPSveiQ1eKprPFFbKzk73NRx2AeZD/X0s1uqLaAQ5SG BoA3nQfPJPl6DtygZ8AVdycgiz9pcv+Sq2jDu/UEUK5Tdk11hzZPi5tAZGWpvhJpV6OBTJzkl7tU JJkgDxWtZ5BZVJYm3S8Uxs7dKmSYb/I030MCbENMJhclkcsMwkFKrT7VSLhhFU+lfNKeR1ISGi+F UDPJ5hmZmDG8RlpyECsY2x+2zQyLn37y4lLv3QBsMjCcD7G0/FcnbWDONtMkC90Z4p7Zw3at9lsy WN7kNvjlzNyb9hhDugaMybmuZN2xuoLAI2lQE8K1dBvfXE48pbYb3iWpOerQ+dRQSg0tsxZ8xtdK XRMjWRQ/yWaJuW0cJWhotni9beTQB5fXHTIOuPgbDDpiKzyqPTUtwGyee0Df5yJdThNBEo0tY11h WwYepxPI5Ln+cFEsNc7PKF3U5W5fwJpihxW02EbIjj3EKuBMEy4kcgQupvBNpYxyw8HfPus1p8eJ E06uy6fm4ZMFNziEYN1Jdp/L/Vsf/eY0su8SF/u9Hx05ev9Q6TWg3/4KXd7+lzSMm/uFvTDPrSXG npLjWE6P4RYogytzV2hV1hmnDQKmYpT2xjjup+TK+P76SvFX6OGEgQnfuR0Bv6c3sTztDMcHdwj6 LdyNaWLtx2W8llUsdFCeQAsGtlMp5R5vyrbkKVsjIWDDIebdzodIvZ9MLESm5cRFibMpECQitPdp D66i1kpUL9bLxjS3cWLG8DHRZ6JHyGUzOJxygpsDDEaxG3vS/ZuuZVY8mVRYCSF/CTmaPyuJ4poP FZvDFXUc+HScrFzx5HEpYmDWlVTIjg+/SHwtpeDVzFz4t/fofZwk2QwnpLiQd8VaYlQDgyL0MOcD 5oDSL/4YC1JHtDlWw/Y4bxs9sPGl+tkbpSjVnt2aaykzyQdK9nJaoa6ouAI9w5coOOh0UJj1xSqo HrLhivGY9j/ls+JcajDciv68zUUP+mPXEO2f1fniw2Wb7DVYWEieBdRPdycz5KqEOx5ql8s0SuBL OXZYf5/yOaq/9S3GkgRbw31jHITv2kgyYyWA9l8iM//xLRyLyUuqfhhC6vShr9BooCzEPt7cvT5e jn3xWAXHJgMMvmW98BpD1JVj7Xo4pyL603ks/BeVycWyx8B30w6QXThdrZqyr3imurKKLhPRHaeo /k01AZ5Uz6axak6CM+a8JcAQB/hVvFpL4D45YKR3WAtPMougql/01kJ/I8gay0oDFbvLW6iYnqLI IxsRJiPfMFjpMa9pcSztdR/0YVqMxHDUmxm0+KriKuhM4WjRnTxv+TzZzdx5WEC9Zflf9j7OjRQA P24/u8rst1uxG9MdSPpiSNfRII3jwERUwmUllyaskkUhdishX36d5w2hptlJwsZJ92RrHuerKppk PrWkF4Uzh1TFLfZUbDU8hNzOaBeaRoaE+SC2BKc1jVqAiMCgtbfEkFzlZnbjV7JIlsQueku1CRiy +IB1+IpUawWR0GRegwA9EH09mJF9NKvlH2AC8BOURupDcNIMjdyJEiQEe5nh8X2fVjBNsl5LCI1/ kvGDC0EMjco4ffVejrVIuTuyAz2LF4TM1Yo/5Xn6rQACBwepkiV4YyGugVczlC6QDaUvWITlucGQ G9fDJK/X0rzLxMBJGLOol+owCcP5vo0+4+iA8vv/zvOLt3SHl1/PvtQHytHecUnaS349VTICbNjA J2bDoYmdsOySRVIfp9yvEQMFkSVD+BUnakWuRanEPgE6TCNWkqBWWlv+vxyqwTZGKrzg6vvuH+uR WcxZKmn1WlbHxD1v9YcN86iU/sgH1vB1EGbkvBwVdWfiMkw80EYdyWpWxleW3ObwQMi3bJz3yXd3 0yS9bGghooFPXmR05dBWmWYHMxkUj4Hmj0/pgMD+9b0hXn/mxw8noP5u1cMq+9Rqyw+dAnmROuDX UaFoE77fQi4toDfdxbQa/5ift3hltQdnZawtKJpeN/JWgvHXcsctorU8Q3YdB0XtpMb6wqhQYkla XhS2XSbzRiDIWgHmxQbhb6tmJpAqx7W3VD4S7Bt8e6x/XtEVzwjTP0jNPuG+1c1Yxy1maVSECqjV wQnBl5PiIgYNFZulFOzem5KpmObfqlS4PDfwY9424uFGN2xVh7fVYhFSjVOGDzUIqWc2AeYwlWyY W7sbIJe18846fc0GTXXNhPPI1AhsG8SoG1Fq+LxLxbuDa8vPT1Zvlxkm5M71wNAnSRnfKaZSyKKp oU/hYL+s4rFKt/v9YYWIJ4g5YomjuJG3S/xdx715EQQJsMsd6FHBZvpYtJgDT8kt4MwC/5JF3ziX 4bUOl4p/hUikAQP9aEpy6amSgym3meoWG8XmwvSO0i6TQynNdllQiMigcyy3brb/Wbr4lujmP4kk 24Lk0B0R+64JGQQasGrdocCeRWPJ4WXRNIsI0c+5v5quiGVJz/GYnjZ3FmaJW7pdHEhSrsr9Gsj8 1X539smP2gWcAKQ1ZYLb8vcbbUZZCSFHFlQFbp0rBfq/CxgXJpxKcdQcfNaG0dd3+CwyD6FHvSIV wee/pUKgMRUVsJHJMKwaWw6d8yIshLqqfvL8sOT8gihN8kDwxWywzfElxchhLy7PwqlrEHUDdqUu xJWUzgMdc/pq+zgLz5iGgkQGhZN/30SyZEWFBqOGeutGzbux/Ojd2cSnPWWBcxXKbFZ8XLKiNOpr fTK+DbPKR4rp4i1s/IZI7Mc3AK1dr23y66zjoG6fNVUmfBE9iCf5PSAT746r37IlPJ3cjKekWUEP HeC42GvZKvrHGBIutKv9uw6MbXy4kNKwygZU+gqqXA8/0HlGano79jfn8so4+anVMMhXlL7H6lwO Mx0nZwas/xshJaBdJrlYDeZJGNZ02uuTFC9rZwLQ0tVSNDPFZ2nc8+kOrrPMApRXcZzeP0WwghOw ef1WvO8FXB4a7mHhl07w0FcLlK2eaSQmpZXyEUMvbOrXg9GT0wVDXunYefaY0f22/CJgq1IWJ4fN VQrd0IT2mra+E9lRdYbes+MuLmW1pczwz/ejEiTGtFBvgcJ43vEVHRdJQI0wVwOH5HhWR9I1S7wo FZLdvKL0su4FqERrJLznrpuhQEs+UnoMGb6HdiUlYX50Gux+BoTEFp8bpwMMITkZ4MaHJm5fuJw3 dziNpdVPGYlHdoHOCaFlIAA4h9prg7SKCeCuBl2tCjjFQbGCwSC3hZzxpWlY+NjPFOFkMMbRkzwW jRcCFJd+NwqjgW8vrLUe/4c2Z43bQL8yFafV9UFBEe3EbiqvR9H32dwU8jZgiTelT88IoTrtzbJm fNEBXgRyaQRCOdYPESZI4NZPOV1j1NIWjjCbDZUvz3ael672YJlbl0XfmpxyGb0zQj4ViiJBWzUQ VT5UYDybeKXxJHrWTrfv1W/AIw1BIS9m1mQkRW2msnIdUhUAASprexjFjw7dd7Dzbo3mdggXAv5J O3whiNrBC2m5qvKmM0I5fNmKJWUFKElbF6y9g7qxT/HpvhvfrIvkEi1+PKTde95Sv/y0ZmYaV+ap 2LmdWaY4DYFgjM5R9Zq/sg+Wy8gKa+IRcxuE5WnKVVIRWCPkZlJwDnQ6RH7MeWAGcZFA0zSj7tpj 4mgDL31X8UNjgXkUZDtgrmlrP3MKqEU/eWpiF3VjJ3z/WMabkks9xFYmbhTNHChslr7eBwE9zkLn 4bpo3CgYN5TFiuQBhdmsoMMfiiCRcis2fTDL/tkLtfQRh44xXjfG7Cb0si9CJKWXutfUFM0grPtx Lw9jqZRIbHwG/9J3596XkRT4fvqsi3945mHIcNeAt+DhABpK9RBW+oznrS3IkGLYsyORyFETJt85 qj/uLxr4Xgv0HN4wmzg8FWYMvDJWmtBJ59OO2DxPg7TZV4wL9sjngm+DmvORAAqjj28c5xEW3hub 7VgQ/IBifGBbegT3muvdli/9wayO3do3eDwZs7ufg+NcxvYUy3tELWPSpxZN+k37TmQs+JjTfK6l Td0rq47/KhXWSiIoR3CKPkQ9vxMN7RAyLKe6rn/G5WiEbTLOaFZK7NnrPbRkQhMrBKkxpjtzlqVB n7Y2w+wvhz8ksSjgUkbkXObF5Y+fdyD8Mq/ObhP+Zqi7i3YIKizKo9xDzyHjvkVDsdHlfAkwHZH1 bYdp2OcAw7KtBZb/rt7oEDVWY+KRuQMctqCdHlqdZg1di/UJlxUh4bqojy9Nv/32ojn1OU6EnsTI VK//WReCBy7dE9gGOTSBF/4F+i7usfJ1wQ89FZCO9sLt2PykbJMmZJkGId3pwzvFNJ9a+zjfNF4a KOpxiQCLeVZhRYJtq1FLKUg5AH34DFnxTc7mok6ofW4x15uQYrGNKsfX/n69vKQ69gv+iAkoxt0K NPKVqHx7FzzyfQOQpsqImXkcpmbiLEB45FkVFFAAUrv5CXcHXeh0JlCVr/IMlglx0jpznu922Koa qWAA0EXWJQEgXdCk2HI+cLmGImgDvyf5SgQR2z2gaGRAt6+yq6kdvdKGbx41yWlezT6M/22Z3yuv X27QVZ8FfTfnU9nMcQQgJO+AHvKw5L1Ddfstkf0ym5rPVJcVaeNFb7Vm0LwGPRECosNl+n4+g2K0 HxMwoeCsv11cZDjD40IEUSYJQu/Aodj1F5deCvtRJsxj916bvpsq/mzqdc1HEa2g8n0JDh2UhdUD yCcr0lUDP7UEKXHu5d94OUe5SL7CIbzqWf9seBVDtOD60kVmDCAbm3fKmueOUykxseDYK//MH9G0 t3MJ7qlxWIHJyYfPUiasL3lAYiPcrlWyxoOqGQdoRLxnxtoU+UO1OI3TNC23cmL79+vjXql2+tM9 N6Pkywlx9Lw/cUWaCGyIv1fCNF5rftQXiPaGyk7Zjd618lvt/eHn4Iv16UPaewfsvpq30Rh1aY7a +/U2pEq5OZuDBrO5/g1s+8S+Wqy42D50+71W0/oOMygOivop0hOSK7QufQ6dxDiw8AnNHIXzrpg3 fKRFBzpzP5hDTS546EQr4mxoAYBOriAAMg6r1NFvjyOg7bQKKOuiV8GpbnVKjdBvaq3Npq3J6nrb SMlVjYWpDk1Jeq4oKpwT/gg/ON1wLHCvOMWPXXaEgwP525Yjz4GPyczO7leOmfbKAwPhMhlj3j51 tVrOsMCCYxUQiY/AVFOuzfcSwDrGmrI0LRuaq//6wPXGT89KAc4WGPJKPQe8xfIafnmkx4/CRh+Q 3pO2VgVRx2QVjMsfUtBS/t9+ETrTvA3NjbR+PmhrA/yFhpmqK5S3CvRlQyZGk0VnUSCo+r6SN9vx fSDFyB2+GDnzdivPwJckGxTr0y/cg/wa7BV5fum0WDJeVAOXqQmGK7YPL8jIUWJDbHcVufCJ4mJH 3kiM7ZTTBcWhDKjRvbNS3laKolHos9/lB7+EjWR5fC6p3BBY8oDbRn5LggCU/D9P+4JvvKmSS/MJ q2qExJo/omX9MjTmYhhtgWu9XLZnssRc8LdcLCeWVH3iNFWfZc2RdqB6nbk0vQ5YYOlvh7ppqTsH W7PVHY29F43I1bBnqrg6SU9N6qHYD/lMD27ovhDitokELiz3ZeQozn9Zzsz29Hz8lUkRyAn0wNgg ZGywEYPEbPdISYiYd+eQ42eFLhRKv6mDnj4FCZ0f9vVMG3A/qt5vU3FghTzfF4X6ysoGaHdwr45k O/6cGbm2yO9/pWPI3nQ+8Mh/tZ4Ln1IWIPMKkJLkDzHVNOMXzSkRTzRa4Z+pPwz0HrpXRmMiTqBY HUdQzAelH+npjT+ZFfjuuTv4f5creG9Ub0/HXk7M65DA75Ag8qAxktf2Ae9EO23C/lPKMCXHpd32 xR+3wpZ7X+TtqODsS5qRV0ij/RsYjm3u18mIZ0DoEM+2cfkc0uegAOTpljqoF6aFhwQRy8ww9sd7 K8kCSrZJHNQfq01m/CS836ZwLUgSotZJBkwV/o+90OxjwByW6Jn3HST1HPcMGAMPh36aqUguaEY+ 3a5N2jpPGkYDN6Q/GPtACfAhLJz/aFlAPGzpbVQv2W61jq7LN45A5WNREaEKAgAq/cv8BwcmOF8X beqT2/zYEEkpb9q/Jwj+bKfWXOmbMv886PZEaLeFzqsIpJeRJTAcEkjEZT8CWG17Z47gwPMHwIdA d2bPCLoe2nGlmz3xjA/LjEEq/ji9DDZeo1lrQXo8MC2dQDXuk6V4SyQyGbDlyvORaVI7flPaiQ4h QDx8L7ricft/5WBgYl4nTyfTjuhwUE4EzWcSP/J4JSt79dAgs6wUrdWbh0T/JYbfaYT4orFNMeyS lGLFKrV1xq8kOCJ/yaKZ+dQl06wmlamLwA+twr4Dzwx0GLZuxlpIpZu32P2zm0z5HxKPECEiuK84 QC5PbaD0AjLd8g3zP38XbyovZLs8RW/nzufVDl9Z+jBHK89BQmP5AnyobZsVIqPdG4N0JUneQJHK GPXrYKKaiB6/rM9vRj144kWy8U2jlckIPn7KttU5TzSYIN0QuzD5GGFw7IQTHr1oaHRuiDKBXWKT sqDcNHPer/VBg3z5rI1GMlU4LEvySmrJ818AzN1pMPeI/DLRdt7ptdD0OuUsttMWy6EN44bo4ryd H8E5DK9sdAV9bXCGu2TuPpt0s2QX/asmhcERrWBdJLnBCA9mUEb9gcXyFSKYHuVvxAmtBxZnBqjt p+nZQZYz6JtCaMYxC7nFKSp/ZCvNriWCe+UxRFWYiV004ZfDZAZyfg0Zwb1FgEtA/olaY980nuKw hlvWGcReXbNgHt2K3kiwFQxtPmi+Ym7f1zKyDhwAj4soboSqKc+z3xCXvqu4KaWXcyLjwc3MyNqo ix+XryRe8kOcHibKgY0TDjMntndmn63ae0zqXS3eoY9PXfvX6F6ZbIKYzwLDOhhZ3DAVrdvsGHtI 2euO41bpnYicUgfYpZvRD1Khdl+w+FXrD5RdzeX+Xw3skPe43nxHMhGOwGOU7gD/ix4uHdKUrFku Yag4U64CBked/x5M/T51CO71a/W78olSK5oKUicXXnZWpS4ZldwST6yhhc2l9dwmXyv6fc8yYMUH fefFCcecoMTk/zVyvSP8i3ui4tKju+RhkcXfNXR3c7LXzjLxDAcIP7rQH4ygjiTgZIXrVw5GJe31 NfDI6asSJqw+EZVzQ+DhZx83Tk2pj46WJr7s5r85nSKSUGQYq9aMJ+Kevi677fxjYCd9ucvwxZnS lKFYTq8r6BoG/cyrufQI9YOgzXp8PA6FuI+Jn/S/KRBxeVAUel8okhuPwnwVOJCybUBgrnEkPG2n DaHBJ9kY+tydDrGo1juovchfNgfyoa2RtWUFdVRYRY9ZisXopo+kuOUUVM0UDbMDxTCzORTKPQqf bASQplc+o2BSqjeKfgDtkPfG+aFlxo3MCVZomCqC4ZjilwJXV8+4U3hu3RuhotlZiOtcQnBJbhqk PPUgHgO4jEtv4zKpKSYUZQ0ksIk3s6W/oUeYRFyxnb65ZqxAjVTWbkwW8B7K97Dd33z2iuQj/SGR o/2NBYn7VNoqOB/AdSmYl/UPd/EoS+LUkb/8i/wNn2cCfAcjeeGYAWPyWMuI3bCtkZ0eXlOyj5ft OU1aXBcdesGaBdkqBhjgnm1k1AB+PmM9J3YahugdKwX51qBZEfgXeZeTQiWe0vUqJEy8a7QpwEeF hyyiDKvG2T4bp1ILdQZvwjGhZa7fkpWZoI6uxbc4yWoNRCBkJm9iRjNiPXkYZfpVFxlVsNVCNk/Q VBBOl9On0zigqDg7AIRcquIeD4gD8WZqVJ7znz18qnkONs1XFj8xApbEscxrHWm2b4eFByjb8Nd5 wvI6B7fxL/oI76nHnPQdYdfO/bkJOzhHczelc74r4ckEsEeIfGz2dmc50v/NqnsmMrbFXvtHzmoq NFY+S00AR/pwB+9AQcTlF5deY+xMY+vovHB6TY2ixo0taME7OgEMcn6IdRxvDGug1ueB2I+Wpegk 6tjg7hNo8KQxLyPNEvqARu7CDfqrpTJJdMh5IqPNPVoCPDS4gQ6yPJye8dmIOhQLVEiCYTNCGRXA yPawtWRALX9nHoH006rAtwaPvyNpK6eSusSkbustXZ47JBu5Ikl4vC9/txGBCcekByVOVvh+C1Az Y/lBC9LDpFhAOIkqX3yZ5CpxeIS5xaZBKZ6uRnyHEGniW6NBmjcOLHb/lGNjn7urM7uvLpWvVpOb psUVij9fZ+UkV8CZCidl4yaIRpCoq7+hkgcvgRn/rGLrc+REkjdehlPHqHew4i38xextwTld7tFj bSm9KEE2O7exIsjNaPQTcoEO10MfqC4+6uqa7Gmdu0vLNEnmp8adgWpNOSV5z23EosLBbhPd5yOw fHC4y4zuYWWIqqh42igfEffLJwRAtlW7FjT+TxDGJSDfIlf/Tv4yyT8BQXmWfVHMDbV4b8/GeI7D O4EfBJdvUqxO7S91AsEDDJZpVO2BqIoIflGd7gKYCQP00pTRoP6D545PiIt86E8xeMlKb+FX6dA1 6qP9zzsuOkJ8tYfB24CDrExFcHUIGkwZDZ/GA6z7ab8CxyOUkg9wSd5GiAWajG/OkshMAtFlpDZ3 fuXMRXUGAdO+r08m2fI/mkv/WatBRM0ihC0umTWcLvx1TbyuquS45o4XUONrFOw7kRQ0Yb8Vfo+t xhBiK+8G4NXOzXQ+r90Bgo33Io68MT6AsOI6VZsZ+h5a6x7DLutcQvmUURC2ZHCjlNOABjDgzm+x tEU/iiHWe3b5PJhLrA1GVzKkb5izeYfNdLjX0+utYhJTlXjjUQ95u+wFRmLvEd3g0YiR+azjI3x+ bquP9URQL1+WkoE16klvKYZXA+nvJXQ8Fm2QEKqROxdjIlys2hDI7ehaxtGZHSvIq40xP788I1BI V3XfGPtgJGI4dHFsZEQjMGXpMYwAUj9Wdd2TEHjV7kf5uk93nafCYQ6xgkoxYb1v/tE58UBYsk8A iABq5LZNMR2gpNleTrArv1iOfFrc/WxSTrE6mefB+88Kmjm97FvHpncS5X77sjKLCcZwZnqmyfd6 9UlQ81oclbdIPYwgaBzGmPQRmVaoXhEFMkicTHqQ4fi0lz9nmOO4aToRcVp5dfsdAXWCKS/DPqYG H1J+kTw7K1vKArItIzAr7pBF/deL2xB8KRIs4LW976KwLTzI53GbvT796U4AiGAfF8XgYcokGGbp /i1lBASGQzdo4cyfqmzRMRnDW3UEhYDL/d/1YCBfW/OmP0R0+zpQ7VJY2PcflwtY9DuCX5MaDBPg xn/Tf16ElPGhqcwTbp3/NjcW+n6AwQkOs8UChQITzztlsphfYTrukW1qpHuO7CTslzKWcKdBurMx AWi/2xz+WKgkWHm9bofCuvlKXRCIYpxsiYKQD9/cryx08bKlGTRucejY8D6zeEaS71WY3tiBz6+D nTbQgY1rJP+Y8hG2F0kBr5x5/px+Z4yIalnfbXlAa5cuu/03PRMcq8uzvmW+5ihNSMxVCKuAe8Na HxukmY0Dgzn57l9mS7tWjjRNMDcqdXH6aDo55JNT36Rspbp7MHLoU+YlozrhdnzN4YXkjIMaabo7 Oxw1c6fu0KJkaBmFocN6Kz5CV4OsVtY86/wUN60TJnKL3DpMDwWx/JifbzAy+nm58sWyoo+IWOe5 edwEmqrXClVK/6CnN8gh++BOT68HM4nhrXilo0EYPo9ISriCSck1FPj8AGAIrT2oINRKlpiNetSn wEiqvQrqKomQuUwWEh9W89G93lNv2HsCYRcvcLJRdRwdb5XPxsRbiewyM4RAYWnbVFBu3yPDK1IC monfRocIcV3PjFEcPu4rYgu5FKqMLcNFq0GcpKvNqxIYO4UjBHylihPPEyP5+vUiPoKs36mouYPw LzMxz6HERu5q320HJ3eKWAAttOWwFjxcNrvKLipKFoTwUDYS7MtUtat0J8dekGpmODW8XSFVEdvc VLjX/8RKzWgIJqgCz9E6qmboWgjRfAOG36VnVhk4ffB1Ik1ur+bCrvfYAv8dqE/2TULn93pOiOcs 9tR0/o5WeDEEnFukh13VpM9Ke6oRLlFp0hpms0yKiAX9EwJ4DvhnpEEM+2mWvGXBv66RsMy8EoRN qhGbwk04S6JF/DgDxwjQw2zF42DJ41ZRADrWQ4HjT7poapv6wW2tm34ShtHbsQxppJTi98FlpV2n 6PrhQyPh6jWHwLCqDboAziyWIZbgaSQ19BZIqtKAU9xE0olBE/wt3XHxnrz++9m+fnt0L/RSfjri xaAclWB8jyanIe0GS6g8/nGwq8Zs/ruVwaS6uiivfz90CNupqLJaZT7gHTM9mGA4LQB1s8xNV2np gtJuT9SslwQD5mjAM3fPVWFNItNR3zYTPFEugSTCDkPKQT4I2RBcitJ+vzQwkm5b6e5BB3K9gPiY YUcRD9GW7NTtXnG99edbY3KChm/wrY6XtD1g41NIXLFTUKXg+871JjsLijab/MF4dlFakQy3Tb+b zCm9OUXs/6P/v//KHeyUZnwUkPSiq0J9oQ8+E6rd0dZ73nMtUeCGJXMgVUxCX0tIXuqowafW5PRH 0FlGIh/ZP8IZZdd+JH5rZvSJjhDmO/ixk6j7tKKZ/NWfUoe64ursF7aJNCiFkhKp8m4Ut9wRrmfA P618bZ/NZHVnRpCO2dwmUO1/x4j3DY8wpu0pIx7vBxUKJK8hv4J0fd8EViSKO4lcQScIwvvThrOY EAKN2jSm7Kye5evDK3y/qqMY3WCp62Hfh9cWhlY/3qmNjPeyrR3xUkvwBwF/sGcHTNqw8ddrx/cD MSki/G2lq+m7701cKEjz01DaUjVVEkken3GstkjI2+cokIqLLvaOPKwXaWf5DAveVuCjIh93YT/F b1QOHapPtdQgWEodPUp26OLYWxBzg56r4bnIxddMENMQh7xxVA1F8zZvRegdWAcFXJTDlhGujotL EMYEGdtZN9zhfWy6nUtBrpNukqEVuTuTVhqexaUSPHot5Ht96urfqxL64Z5b257a3M5n1iqVQMqe Q+ZvNF2iMiyWrzVoogljY2wCBGtkBQwHbzM7yqRJUnDU+fs5X/yjjbnMUPZulU7BOph9HgHWn3o4 p71cemZ9wPWU9BXsplf9wOV2J2Xm/Ok6iCmF+7MtUu27utT4yfI1LAzWhD+rCzmfkdNfJ3/QbiKh l9DLNoVFpW6SuoBjsFDC9qEFkShZcuKM3FP+OUs07MSUuQEvAo8jfTjxV7+jbxj+U97BF2hGnbMT a96W1gQUq4iUX+Q9LYhEOAB4Vwu9sjtx2FdPn6OSKN/JotUSPv4esgJLU6V82ViBpxXjPyz5MoLV JG+Yv1+lAxod7yxI5kwNBzOKF0pBZs4vNVe2cjj0MUkEFhmzFcy4qAT7leypq38fsBEToddSwc+T HToYv6uYZ+d1xBDfLazQEp/XmJTI87fIwdTLMMYtBUkrlRiS1/CawU9KL/SyZ0+ulIeW5feARiUP belGHqNoRECu7TzXV2hKJaA4lSNke3httSa6/8LRSF6GnetCyJdGdnOxxxYVBiVlaY6o7HFJ0uW+ G0vpuy0K/2HaDNNCMnK2QhiV3Lhe6gSo4uBPETjdSIyjKaaEssGVpZzmeruh3QjbkLJ2pA3ccXlz 9AGTPRmq+md3BBZiQzu+QkURe1OGhxGGjwxEIWq4wH56V0+txV/dTs5DExcx+nqIILEa4TgybmCz NX/zgBeVGuII88EE5Y+J0Kllo0EEsDii+mIjbOIcENWjGYuTaxw/2z4pwN8NFzIb4os6vGXQpsYo hELnqDYsSnMEDNPspuogMxjWPClWkUwmB+G/E03Nrv9nNVmKQXjmrPDUTWJHspItL0td6NjCJvAn fjmTyy2Mcy4lRqz4CFI9ROsGFqhka2VJmojV+Oy5OAdyBEgc24mLCj+eREH52RVDLasj/S1JlCGQ PZGxsHyuSu1iuKz2RUGZleUhsqjEYK70Yfev+4wSV16VTB1yqwrWLUOPeNHG3ZcX46LuRpaynaIm AsjCrgLVpz6ZjdOCFx2Pqlrlc9pNdGNGiwLKSeyLJPbXpcBib4E6cxzgS962DWCdMdUNCSiF+tnH SDGmFzVfiKvdcbHhfSFBvIAluoAGgabUuGnaRBbU8Tph6hp4jyI/cGQ9nEsB1nc124YjlW61iYR9 bdjlrXnl0riImGCK/0k+NLU5pRuUax4iIR1qYjk0CNS4CBDDRlivQJMRkCl5aegYONONB+SqSBMX k28mmftgVLq77vUptT8Mf72QlxgQINH2dj0gy/UBAbGqgNkiW8ik74IpyPhjPYnuNg2e9+Hmm4WB wc6Lu+/EwYz2RYUa0crnF7M+PyR0DZ58Oi+MkeygzJb0bEGpw/NpWFo/9AC5jj4F5o/k+AX8aiPn LMwQf0aNiPo39FlKVmnTZwL9Lmt+sDXMIg1F17GFO/V+ER0OCwTY89VxWFOXxqt67QPQePe7/81o c6GdcQNO2JpyEmg6w/WL4dot7GpKoPi6yDEoLnfH3KeFEV5OIxepCyBRQ1hT/vwhEuVN9d2EjBdT SAuedZNSiF4YMdn2WU0PLYDS8rSYZY8joOm2u+j/4YX0NO4MUx/vKs25sqg5DNUngdLIf97PZI6I nOHJ4MfM6ei7/hhuvGblsh1ugMXc6/RKJvTtSo3rP3FjBLtEhn7JJoAf9ILcTIm2dSJZ2KauTbGr 9cPNZcr5UpeKKF6QaXHnBOBO5kIsszAw/8x3/0vxTlsFcw62Jyi/TlX7w6g8U4yQxZ5D5QudpwVT 4gLS97oACOhRjSG0a2kbhBdm7QZsAAN302pnjT2hOZvBFYMvgbn8XPBaIUUHIfkWJSGqkmnaCEnu mxRKbTyI+8+QwP17HpKVMchTUw6qWLDpSnmNPqp+kBmGfhMZRWfWZ5rtPLaIW49X4FjMnXfDJv3L hIiOp3g/UOmVizdmM5E2aTxENYh4OoS4WeoOW4THek7irVZGVpcKT2uiXU1UcNk1Hf/4pNtdcK6X +g/JQE6O1bBJgmTVtonFwwDynbxRH1rKsaTRtZNVuqZdNTlYQ+x+D6BBacRE/UgVW9u+bHhT0iUF ngsutu/O4YeT1bJrszIx6dg3LIDT/MnBJfwMYnlcrtNQQoee9tVlIatsFXlUmVmzm0y07t+b4m2L buaAB8yvvkfZiSdqWhs/D4fnrcdp0PZoei6EhXc6tZINdmcpMPVSCuTproynyCICJWsGe+fvZe4E fRyTDtQOXWddQuvXbBQeMLvWTTs56XYybiV4QWpgtLe4T/O75+h8bqbjYmOmoheD5nhVZG1WY4U4 p/RmCF6wf5AStjNV6ewWyvUGA3+QqZ54dzK+AV8AmAfXBdZ95VE/KCnA8sEgIjZr943kHPW9Mug+ Oba3hldwJH13a6/h2BfQWl6r6W6hBaacww52pf/IOQIcXdXmqsTEI8GgvDI5Yezm+Akz9KB5TmE3 61aA7yiMIGSt2XMJmtmt4ZlGFxJLexUe3gqhryFga5E8X6DKvq7qhFeupgXuvRFxc/kHavOqnbgh y9Z2sFe8HGYHRvQ5ZzMdhCSkPdxtxm4IErQT9lL+kHfEnnlMz50uCzTTtn8zpJG3pOczlnxRmaPm jxG2hEcO9gGnaEUpMBEzIsMWqVSNl78Atbw1laQ1C42TRhBRZGiHYKS11hifAwb3wRn/SuJYdCyU ZzbpMKve4UaSslb7US3WTP1ZMg3dGcYymTF65ZkHcHEuHrMNgSCtEh391lBfTKQEywmHWpda4q4D P5D+3lYMJvttDa7qmkYdkats01LWRWY40Y+RXSHnKDLmVPF2fHQvuoZ9ZZqMlIlo4mAMODat6sj3 OVgkbp1KlR+ke+MvE5GPou1rdCmVT+8Sdq1usKtpGwsX4fRnwz4IedBEFMnBss8mloSSFQDHSQmo G1kyr8BISHeswYBNuCVEEfcBwg6iTprat4HvyXTQKfiUoDypOJAOgZVrtatcODePemnzQYBc6LsB yIa3AEpGGYW9ywlf+COWzgK1lnYMigFauUbUAOjiwVm+jOL54SKxpRb/2CeRB+hhaLMiWrFto06E DHPRtRFjWNWN3hmEpWpv8s5tdUxLvG34iywW0/xat6L5G5xQMdkWaPm3GHj/C/mn92zOncwlFau7 M5hNKyc5LCnZFEI+ziLvWVsuUNYDwLO761TBVRQI6yL90m/qy8Y1PyJB4UU5cuz5rDG5340O7BGV OKGBqrBeTtaVjT3xW3pNyyTO7+THIcnhdwcovgHygEJ2TNA7XWmBR3QQm4zO2SmzANAVFqk3/96D AhqLGJy6jua5HPKOTj24wu1sgznsb7wAxWQ+kB1OnzSLlcS5cEPhFGaIbZrfi4QoqBx+yO952990 CbIs56/gpaFTd+wVHSLZWx2Qv4OKQ/96R5YItBREQvKT5uUAidin+Eh01r1/LEPLBV6LJZ23eJ48 u6B87QLBsGfbKU9L028EZag/EKKGIOUm9eOzpD5rk7qtFVZ0mhnRKvNKMLgrj0duXpGFcwt2SWD8 LPVHs+Bn1D1GNEIPdFwaPvuP/htCRYQOdAaVGXaBdwKTueHEPs68J9+FyixTLDC4opc52pyxa8KG 2GaN3nsqYmJTuR5byC0m7p7QwuDyWWJ8qSyY/BPRAe2SzVhjo/JZeK7JKSheE3Neh9AboIUofjK3 kE0SSRkAffYxjYXCVLxxfONS0fVDo/9ylCZMKJKzR4utGldGAvAnM5tzYSpwOpPZbgw/MZuhE5E2 PyPHWlS6Txw31EJcRRCOBDE3AfY8R35Y3qR20JlOWSyXWD75LJiXYssw1yDoUOmyAYSeambMMkMv BUE6/NYUTuIP8HqQparsAn+YaB81ToNCeWcHZDwZqXpBGc4KiSLI0Ed91gPf3BPCmFkWCwGeMfQa x/FkL7q1jzVn1imKkc7mp63uC56sxD3livfw0cXf8aN0ft8y+k7XDQBouH+/i0MvA0Zfecv5vvxG c8qFdjDCex11BBD3P1UslQE/5BEVCSo5GNcU39hEUYD69COiPWtOKOdOvaPZbmvj0R9ilcAhSc0B fWCdvDaDPuGK66outW2lyYvV9O3OdbnUGMzFlkfcsGNEHnmTNbOerlmMfB8C0BfVS7qsPyF3lkKg 6vZvHYoBba27Nqxlz0dRSffstzFdyLfGMY2wWYPu7ezFhhKirpp7jlj1lEHWofN54RZK/HfzoJyN HDpFN5fJ5jWPwbOcTB1MSqtUCv8cC//hXsoipNiestEFXXv7rdEw7JluMXMFCkatKDIn4faB1vx0 z/JEzajxTYEmcCeFFpvAnwbmWuVR7oXYWTwdquF7AFfKbC8rS5NQWCuX2O9fB7IjOv8irPEig85h pzWKqpF5R1EaVQ2/wNtZnMk5MmMqGCbiEjZ58o+BtP1hVpwvYT0iHZRg3xBprywJnEyat6V0xbu+ ZVSk+wvKyUCh5W+0oCpsJM9G8YZTACr0J65Fc2jb1WNHJPsQYf/AQKiVcyLsm2N2RcGT8RZS5i6H FcqN+CRAL9cIE1wtaUlMMbRfFwGv3B5i0AsD1LMRn4thF4i0TJmULBNi5XppVvsG5ZeFip4+6Pzu mPBHVC5GY8A2BVzqexssMdmHv+tPfhaBU+NFvb2wtR3CUVDXAPKsI16KNXeCK6e3RBeZd0Vz4KKr rnLUDTp8UZY6ach+WJVXWjDG/ttaXavKXYtZJvZVtMhmsLpEwHjG0RUkX/rMHXhsap0Gsxqd0HvK N9CwBIVQQrE2jJavgz8c7zQGW2uJeZTYGxrH+1i8RULwM4P4/WLdIGuXBvjPY/LyLE4U0b3ZScRB UbzVBohHkMwoPl36RsgreCJDqTYQcqY6uam6HdBvQgk1RtemtyQ+bVZOubkKkKe6Yk6sFSoW9mN+ S0U0FrJMiNi6Xyx56fyn5cUCevVjALgYbirj0dldlUUtN2C26jC1egty/0QWzQTT57dnsEk/mu8y 8V0+v0FMw1zHS97ZUzY6MTIAJjCSsnj0MYoIi5EtY2yh1/2SubrtaMoqJS7jUV3NR25hP/HZMMf7 0gb/Ty6rNbuLsW9nZBCiRSg81zGlXBKtYJtrW69ClSHjt1pD/OMeRO6W1sXnKiexYEk/yQF6ifD/ q6Gc7bZENDOA13q4CBk71yfk7q0K/da/6qiScOGX3PRTQUFS9oEy899h2Rg5nsFJtVyoK/K57d5g fvk3WOMRdx/231x1eUSxVspnV2WQtXpt422PE+QQ5FKk/2TfX6SBzPlIhiWUfk64BviaxxdG/kTF EImX30oQftyv1JsqoxUz2ctp+IS6OTvTQIMAjvCY/i+PZTrtGif1vU4oLBS00UcMlX5pVWaDD/iH 3f/XHX7I7lY4vYU1HOZ8YK7KrMqj0tT6CKZbd6S2ijk376TutoRvM//DoA+rKn/tmNZTiIAPHbIz spAAPKcviU8YG36320Io++tabWu/UJCwQ0AtgSt3boU/C7jis2McioypHmgsS8rZZvcd92VNS3OJ N7HG9KMySGq3V8yWD4YdzNkeaCHcE0jFe25Up30QXdlrBk6dXQzDKTKvNch35kv7BuOcgNd0UDsS smQOqb33YDO3nFKiE8haThrmDRLcbj+VJ5e2Bur0J9vP1M05Rh4NSiXHacOnvBN2kS69xKHOi52W dXIpmv1MB+uGQn2AdOssjNluaHHpnDliZ2odN6C/y2HeaY69Cs0p8YaPb9jxlK5dz56PvxkhJSyG YUSgoXvRvDRkd7/wFWZP2EM5o9BQ7JaQq92EbHr+ZpnIlIl6Yvjl4dPepjjOrPSEKnEsVnC3N6FW g6UhaGsQsCFjRjFRVLUxT68eZjqBcHVphcUQGe0NltufkGCEbw9mRpkLXt8r0af0Z905EwpuqWNz KG8KC3M7Hjs/6XULq+vxbzgZpZEa7dA8kKJ6L7GKqpu14QI9FQ3g6jMukszD5Bhage7dfebHVqSh UMYJ8q2uOzUth596u5vWP1LNCi1hJDzvFdGaJv2BaNN/yxjuPFJvw28irQT6hzKSp2i0I+aWxvnQ 5m3e9RxZ7U3hjV01F+jIoN95ElM9OyWP0O6N1BhrFvy8N+398sb/FJCmXBpuRp9yIXRTMeFqGNs3 49BWvD8p/PYI8hGHcLQJSMH1X/beJfLrZyOLfk4ru/UwY1CE+XUs36XCqvShngcmFw2cRO+nmmaH j3hw19qss1heASqQlH8gaISEMwW/RSz42uaK9r4sUroxUDdFK/a2nhIUJtdl0Xo4SZN0v90TEaiP MxivVx19ZQTJ6tb+yIk+SZ42hJuxmIVMRBRp2AC2yVkA6+1a6I5CIzNuYikrmOrsU1acHds9mpTt 5vE3I4yIBDdpxdv4j2tQJEvjACZhJCqHsLPvVeLAA3s/vfvYAsP9is6GEaLk4IQZM1QN/ZcHGz8Q Jbsv+Aj+wSSZZEHF07CDPBx+CuytnjcmFjJYituf37doFylQXNBYPwdVpj77q0Cl7dOA0f3lGRRb cW2djcyPTc7VprhF3ce2egAlshKfH/IGSy3wEz9QsJRFzUxS+QFQnP7O7hSQdisSka3GtGAhcNbK 5aF0xIObUPbSlEr+P/yrJumaFjjxuynS9hyOk03XT5h7jHBRnVn7ZivZJT/tcyry+iI11BhIRnaF 1ZnoGlxOrLj+9G3iFV8mGZ7oriaK9ve+qJU0PhMWG30yCN8NkdVq/PZRXcjyf6p0vLRriU5yeIrm dptn0uWOdsxAZcOXsln5z+Ps5sV/j5i6H5H8UTUziB/UeDzHNaqzUjxxfa6MfmU5qtfwI8j3x+VM vXefV5EnwpfvPEYN6uMMRxZrv2G22bwwCJS+yfpp2y0r0Dggk01LA0kuDTMiQdMenUqsQZ8s7hms llTnzQrSEcL3fWRMHjHqxH5Q8d08mW4k6S8HA7UkUF9KnmAUSok9+KCJdQVzFr4jpTD4JvPdJwXg b0ktXlxKMpP8gV3xHOLSSQXVBhagsiy4jwAHGvKS9fEcUEcgFmjsG2ag3JqiY+EcMrWIsV5DUQ+a Fs1b0NcJXyVAw1vyI/Ikb41h/kjzeSWnBhReJ00T2ZqlacK2sjbw94yvPaV492DfwzSuGZbmAk+6 H1i+DWoBauol1aB2kP+5kIWijihd3pwv3Gz40HJPPor0SLC8yZpSOdVEZf263r5lHPbz70qzEFvD 7hPTiIlNucIXFU997xMyixER9e54gbkNQEYOo8SgKfEjO0F/aaB7oiGcOmljgJWO0+zfxXpocQEX bLezJx6U2UvTvyEAasJkBve6wd2ZMqAHMWsLwJYREbtOHjoemrfoQs2yX8mHrOD+HD26FTrerYSk jIiIQkRdFocJGLt4x8zgd+/OgzVUh8vEACaKKe4DtW0fRyh6tY6V7fMtkTxx+6rC4Euu9az09xQ5 wbWDgPGdePqfoao4b7wqB2jm2cacrM1aXksQqqdiCySavXzFP1lknmWOYzH5SQ7qTrWdugO6C+f1 vl5MD9EhYNUCDEuuW64s+h5XfOoKC7Lgzu95svcjdW7Xal7BnZs8mB3V2kY0oHivEmpQz3qBSkH/ yz4En2m4lyB5cv6l4JeY2y/Hg3dMKuY+8QEEEdu9pqNA0eW4YE1Z+4ehITaIBHzkWEvoNchoH9oU qgDYQTkMAizyqtP3NMA55/M1+tHlDaAxx4Vvkw/6TE7rUBoDRazXsSFTVJauLvslKIg3Xfw8PWTu VUqTj3hQ8ii698slsNhkckMqa6Nsa+/l8QdZtdv1si0OURwFc/ybQbNf4um72E7wbUEI9sGmcjnr u+1XbWfluLY1StslTa1plgFTIARUb4f84sM3ry8qUzzi2D6Gl4nN2jKGI8JGWnRYD2fpC8Q9xXtv tMoGRajOeSOm+ouxk/NHG1Hn/vk/S8kQ4i7k/ULnGqH2muz+AtV/B1eVaXJOu/udqUFzIZLuN2J7 Ojd5kFMKv8Ako8VMhcM1xwI7cTUPcKP/Q9W4kLcHnzs0jqHinlqXdA+URRCmpaGKjSaoNIHUT6Y1 X6+d2XLxm7Jw9DrjpIIGzTDzP6iA2JVDSEQL2MMRMLjaAbfXcJRzMHmgdzDHCwO+YBSdz6kIqeqG WEPhghrUcKyedWdFKbAYAFrWwIjx5vsUh0DF74819okuxq0C2eUrHZeBwnIJw5NyzNbwz6UiKIMu 0P50FBYBCx2/kjUsgPdbaO7YjADW3n58CmGFoXRllj+92TK63UrexOzye/a5pcPRMeEFFCRi4k0X DRS9vOSohZVzaGdRdmDq/TYqGVqM+RKf8I2DqWlgdF58LBbmbrHs66whBIeoqduySJTgoShGwZ/D tTGm6C0y9t5MQ0XLa2Axvh7ZYDgjS3xSmMRKtLtGgYyTXfZczGU9K+M9Ols4WyfxsApEDnTfUOQK uVP91xbctFHZP1L78MARieNWq7+5/GDfAAtw/XzJSxTdmFt+t4gg7O6RYz2aMz9+GmthjzLQ65bx OK7oNv7HLhqNuphR+rJu8NcxZG3e5y0rySWrAtoz2sh1zMejBN2nB2o6O58tYF0i7kURWTGsNpWI hR6CpokB4tB84TNnBsvLqCasfpvVqypY0cx7X/hKH16xQxqnFW1kCM8oYje1HvIrZ2VTZ1630/Db j345ljTlkqZtUTIFSxUEeMHH7mcqUwPZDFKepL5QkvYt2sd+OVfd3pUujL4TBxo/6MQETFZk7N+d Ef2hxBcO5cDOklXSFER1SdXdDZJw2ZDqpGQTyNJqGW7RNDgGVbckHETF8vROZ6AgNFilM9kjStOg YJqEguCcd3S82iYs5y2qj3b2d7UuSAeDwtamruHUOaBusHwam5wONRw0iz9hIp4k++/Q4HpxTz2+ WZmxGdIO+hniNBcKQj8KtM4OrTB+UmcCEmSlMzE+zV7oDoy87LkQhRNy+VvfChlc5bvjIthxtMri JnSeXLfK6bbmUVWRGR7ygajWZbyxbawlgfbriHAuJ+UUSsbgUv8lVickpflbI7KI1qGih+7hP/Yv TgZxEscyMbMTnzUjIFuljiXsFI9jdVQixDH+FudrMq6gvq5/StfKQJ7moLW/doehqcJOPhqRhAsE h3qbCdjw9a5LZYN+5xiAh7QINzcSSY+PAnjGm8lb/EvGrCfMm2wkjRkkcAGpqE/vFmbQYpjfVFDl rMsuyciZ8TDlwsY4l87Igh50abSgvC54wOv6I+2ELxTwe2+ouyAogzPOq3Clc2eCEIMqA51NQrmR Xg+mZ6nMlnrQukaC9UajmEn+v79OdDV0/aKQmqcFutoSgvPZXwrdm6EzBaqH8TA2tTZyo0cM+vFa 2oHtH2vAS22MA9s4Qpmz8wgORQfOEFjQi4JogeQMbKc3KdIegBgn1yDo1tR8u1bQy7FZzPfM4ZmE nsVBDWS1UkrU3QaXH99utNUGoytnYe8j7LVJgubbLeFYBBoWe9WkX1p89Zd3Sz71cJcIxqNBRh+O F4WH7gkOY4S+FKaIrl6SQ4rx3Yrclolwp9R2IY9aeaFK7dDkXMoD8O8wd5Pis+M0owbTKmonxmNr txKp3+A47SWcCYiZjAJcZnS96FA9S9ZEd2aZf8oLgmNvEpM1gwxFV4I5SaWC2ZZ5wVGDN1w3M4c5 iVr+06ysUQ+uMEzfDEu8GaRrhU0XuyvVLZjX02VY/cE+6eLyx4YmPC8B4Z1lE8E+qiVw/M2WQC4W Lmy9laHQf7EaK8NrxwE0G44t9R0mfN3V3gY3DnCxhXcwaRLRpeBMqKC6rkmYv2/3alloYPoLN78R 79M6IFSb6UwM3DAxWJ8T3DBmKUJAdatWDhcm2kb7IuJ3K1h58hrZIfCy1lNWBdh8rDDrIjqksA7I pM1nxtUDoW/DCQsykFBb+v/S7qDnw+8fXimEb+DsNziKP/K7gA/jic/+ZJleaIDssJ/gzHeEntwp 09gl5znFsNZCTrw5Dh6AEbfaH2qhI304fsUu8qpdTpu8X9k+o+m9aj+iLqRL9CPVwye7kW1LL2hR XZRnxnRGfFQYtao9wgeL4rg1CwJRmqo/UU7GrlbRtZXPgD8INxD9KEketu7jw5pY3udZjOoZQwk5 4Lx5N+9o0nTDDoU5b9n8VP6LbdfIAzXXQ3Nci+sKfOB4jAR4CNrdHrh7UsYkBK4qbDU83jF8HRTV DauCl5UG5uqbmS3oEPNbYb5jsu00EUxUilrzpkk0JQ+Ifd9aQ7Sh3+hL+2GLykS0eF+oL5YeVxWH yl4HhjwAZq373dWR8E0WWmOxm783Iv1MsAOrkkf6y4NSBiLKDdV5OlpgmPDiWk9tgoZgkUlp3LbK x070JHlfubkdw+HQpJpQaHKXJrnI8tGIT+H/l6dhJC6qm6/aaRw3h70DVxzAsQdZfYyNk+wauu7P 2Q4tj0/rAFI7QjLVqLF702dUbIvr5hIBwiUKrsbs0Ykw3gXe+/VkaXnmQy7w9LVEvLsZh9mECp6T O/dfznnj5Xh95L/xp2u6QEAimPTb8lsRPcm+S2A6wuIKdpMUDsXt42oAdsPnjazPOL8hiBXNpyrX Zvr8RrdC9Ot/1QkfZbltf0pJkzQLZjtXhJ+84X7ulRzV0ty6vmghgfBE8I2DG2pqPsx9jBKVk4GO 0O9XSUYrSlg+Wqj0yz0Sk1S8z8dMyogpX1VP9MZCZL3WdxrymPZ29Ci1q53tNdvabwKNwLD2Ic1S kqQOWvZ2aMKfJkEY75FlCxsdk6yBvubfkq4H9VzrhjiwGCpZNGXpzj7XEyeoIdSuGMD7ro+qoNo8 scN7WcvN2FJ/YgBUZisVVsMqwmEkn+6IRZJalRe/EiIQ6GSSDVtqIyXK8TYjqNqGHdT6KEvA060+ zr8Qy7XdyADERPP5P26StIZVUTdNnoI12/5YBvzXCYEdovvMTXrhYkNSGg96/x48TEMabNQgwLzs OznnqaZY2TOIdw77aJpx6oRtcrGM6cNu7CfAunxufvnhD86VpPKB7vs3+gkaANx3pmjzHk1IStan U2NYY2fAO/Elkq7h1gggg2EzasAbBfh7X3FnIuD3JGadWMwLyXWp+0PQSWQxCpEGouai6IL/eFfV 5+yjCJuJCJV8LQq8s5Y89rKfh00l0uXo/rsi0I7E6tdlviSTQBMFWCLqX3EirmTfIwtE4+s/tYBF a6S2yEtWiXiSJV7t9PYqZ1frspRiObpc9vo52Yr23VTVCJOdhwMuN93gUXXSG9UGI6zfmWi5Pump Js0i5MsNXJjklHtAPLRlRngbUuOfI8RqULCdCSW5lhrxT6Wd2ubq8dzZMQ+abjdeNXAfLyFf34KG UmCKG9BkzysYKGMolWemWgTcTun/EEnRmEiDTN/qiyyxaokhjHk/7jTxTLUnqrLtTk1VwL7ZP0WY w6l/ik6Ax4okVYfdKdQ3sarxE/ZGwdpIZA1OZ1PB4d5gAnEjpPi8b7VMx8Q9shCCmsFIIEOrUqz7 M+xbuC/eEpyu0wXOIM7cnFryFokABmY4QnRViIfJyAVZJZE7N/IwMzRwo0NmUD21aD1avg0/Q3m7 EK4N41x2Bg+jY9ZqtVugCm0iZGE3Bpd/TT/qR1TBJyp5HjG6/zzVTWm6KoSisFuVAXfsF9h0Qwp+ o4KXQprP4QUDUS9zFpNichXIldkbO/lbU4BlGyqVACgWgYudREaVVY/9KtLCQbiIgUSRFAVe86rX ILuNFJNjEC9tV31KsEo20NKZGO30ouiG9pYKu6iEuIRb9/S8pR2HqzkYLlPwju6TQ5Y+SDQV9CiV pav4TPCtRiISu9UjPzgkjQ7IpOGGpvDb6FopipwuwJPkauRk4uEA6W7cUN5uK4LzPvhf9C/ruZNq 3fOLS4thm7rI4cNKOeGPllg5C8zZg+G+C427RdWt06GWTyZBjTzUd+bC/ILdgHPx0bnvAgkeaJk2 dROZjtbEqlIIWR/3UfLi36IUoU1FGeQziX4cxeImCh+kQMuNXzpAzDLWcYDLZHthoQ6yRyIeEq8F BUg8zEyN75flICKTOIUysmoC/czPl66UKRKwP7oy7g9/+bYMv4Hc3pr9owL8UrKj2vMSvDUVPD+j NjZ+xyPZy1i0gkp6Q3j+oIBqpFDxxhbzqneO1aYLMgBcwAAn0LDJqBNSwR/oxsoykWQ7bvq2xfzD enZ3uwbpV+syxM9BkZ/o452k2bzVhpDjcxKoM954QBZ5hXLjmCQs+5eGTCz3mQ5/IfEgTnxzqe6R mcxnr+FmycLfUF4Hqsp9ZCSZEP6BKOgnu7jGT9OJ9VM6wjbon6QcGvE5FrFRBnOUYtBLrHNPRjar toAVIMoObwdRB6jq/ERoaw/AUJ8Zk2lSPx+mFeOx+GLx1h8dDbmTcRc+sCOrYTna8HaSWApgeIVH RvO7pWioA5ordWxDIZuJb8Fum1j1LW5Uq7yA5sqoQzQXtbAb0BMjwF67jF0PrAg79TWgZzP2gR9P sG7BXp07JO7HzlbuOrVp3v5w8qktc/HGTCkC33aB7fwT3wXnMWpmMYQesBqFe75ZTTVQUZAbHZZ1 W8D7/UhRbOHSDJ5a+BWwsiIqtI1MM0QGpavtp+qg4eg2qG4JdC8j9lpRywycXdJBR7xgoTlKcS6h fYNTb5qzwVfCS5QtoIG3trrSuZYjbbwJ9JFTJqhjfZJClEUdtuGg3c/qnOvzELbOb8Xq+TCfJ4IW Oxuy6VOKQMX3lwnEM/BnCySNRKOOnPDSFWgmPGFO6J+lQPPJxTXV5UnQwUHUlC4UQT33+PilPj1Y OPdCxkni/WZ4iT5e1suHu3xC0D9wQ/62TTKiALs6oy7pQB1bEDWm0/1u94/FCpmbSlrjKdrG02LN Z+WlS89pzqvBGIfpJ8CruSQjasmmQ1v9/BYzSjxkcb6n16s9pcFSdVQqkXnt7Qwg86ZkV1C93/Sr +zbExHKM2NAC4jn64skB3FuuEWA5ril4zCu8Gg47RF9ALsYFZAweZSwehhVW3GXcTU5dEc+JLOmU J4qVcaZHOrJa0cSssXHwAexJyheComH5ZFUj+qR8dGr5TYMYPbRLEbi40yNSub/XsdTaCtb/kwYP xWVrsWQ1IIXAJPSA+K3bO77E4Wc8dKaE03gn5ADCZ2d62KF2/CcFvBjHT/Zzv07EO02j+ZEW1AuV jy3jlAPD/anibXl8RVrXnDHjL8bjXgWnpAGVLDSHMJh97Z2iaoSWIjCdexmjYC/0kxIxuBdKXMvR LEIAIh+FSGzcFLmXaDz17UwoYAKBl7pd0J/gTSh9NnVBYc74Il+4g5EBtaSuNf6wh9g2l9TUyy9p PxhdXbRQmRyfLglc98ekj38Eyjqb3eVLooz7d4hOxwqMhwekvJbbd3zDknhVzBrh9yMuV4OHH3Rh qV0MC6GHMjPIDLA55Yxj0nA6VVFIXxB5NFsQc7bX8JUqNZrWa8JqMn+aSXL5aHtDBike7cpWuDCH JJh8VKNrakjCv50pgEyr4MrHQqw5eh+oR9k1ZRa8M74GPUmK9YBZxdghP3dyK2eowqpSHj1j/kXq aUwg0uahzvY5I3DMKrZS5H2e/Khtz4fMsBPj149bZDoIb4YKmN6PEE0UjiSgl5z6Xz+PwgrlxO7F GgG1dZSdgADlCsOqdtsNRhsRpYF03j7NRFwFN1J0EV/n49k6+aR2kmXrX+nLsZ0mYKd9ThrvZxxb dk2CSNR//Bp1K06UHUuxmi78aTGYtenY7b5Ifg3pzqzsyJiSnCUfFEa/2bF68169P22UVR8e4Yz7 XN7Yc2BwjBwNdYoBIeoQm+JzqyXIrXyBOvmoiq/GPboCcoWqgTP9UYkpZ5KYRRX3j4Rqd2kZ9Mws drtDXkNMo8KR2iUA9kx51U2XLURVMFx3p8M5zlqERg5D26ieVWg3kT6k2xrMTGyG2bZCK5pCBT0C h+iLFjMLZ9YdwzZ/18VI9gD88jZPf1yH9Yp/OgDUbmWnXLkkG+HXSOW3C7HR3uZ5zFy8CLs60gOC PE4/9wCH2/IjBuwl4AeqU3cHq5vjAAxg3l1aDFVaQ/cnIgZfE/4xoE8NjuDEmV3ezYRC7DexLpP9 cXQMIrTuMIVSP8cF1tLHESqhM49j/jgdthcul7ET8S+wFEv40eANKJAZzHREn+hzFS+DY3bralUZ bk2rVo6+d1p6GbvFxsx5EInaWIG5yzp7gGRzPyq2ZTuu1PUuzjfOcnix4oKkLRe5GTFZrBsjXYy3 9KuOmlLL2HXXcHyqkT2DE6JbY9yxtzfAXFzmW8mMn6rO3G5YG9IlKkJtmRCGUVZsqmByUeU8Zz7D ks/tMKLlw4reOX7qFmrM4BoU6Gf0AZRv1rYYDaABIyPFNDAfWAWTD1kppNv/Qg+7oIXnTge/nmqV Gzrj7CS+KrZ+SAaqDDchp93g2YHaktjYZhrx/Fv0iUoyOxD+Kt4SJG75gWmiTRJfG+zjTWmPFIpd 3PXJAIEcJzhSxaGg1abIINRzST47Rmr/lgT8RqkKaHI80CY/uQeWiufqz/R6FHIyEvU6djNtpNX+ N3gKX3iYfsS0bOGHBx/0PK42CrhymrQwj/WUhZp0FG6OKZZ8dRuxKTNirEygZPwFrHHMaYJmkpBM lafZodvZXXIFoPXbzzIk02AnBexTxRhaCkLToVAVwqY0OAUER/EOylcXiyU6bjDn7ijGAGyL+H/w uEa0c6rHO6rCZuk4w6z9fCEUhDvXc5NctOyKMNGqznVq5d/ds4tUZFRMuYVh333KPYCIaWqXolJo 75pKbHYZLTvZVQXgvl8abrph3+lkJ8pmBDSsdQHN0M6QQLvIfdOy/vAHdTjuEKbZbgZkxYSrIlwi pEufO2ajdqrDIt+xQSaEJKY7NkJrhM8KyIVrNQOeCkiUziSmgTn4By0++zguOhpxuWMxMfXcGJ3M I8f6poECO53oG3IK6QqjVYvoPnopbhJhmhDRNhjl2p8EA1gOopk8dX/Aer5iqlxnNKTwyNNDUnrp eOZ/ha2yvdh6Zx8+1d7ZfwOOtxZ+wg3PlMFfpKL7RWiFZBlZsnpQ2VJrNaXQ59spyqsGDRL3UXZg sZu3FPvVNxxyQJTlXsTlVOfVrRdkMBkmQ0PftkFpnfc+CtOMJxt9glnMbQhnOeCPI5aRZpwXSdQG xURT8pK/hDyMVDg3KfjpZLSCNHeQPFa+iJQr5YTZvzau6mR4TfoJjRsJlIUaMGMzjFj2F/TjANaD MWl2DbuauYh1/aykRLAAQ1+yt1Vb964cpqXV2S6kgJxL+majhJQKCn4bN+PBXwu5aX/bm7pd1p0M SweonnBkLu4L7L4cqKGs1dfnMqumn5fFgr0Ogz55W462iqPOc8vFt1bzpDt+P9mHd3//4Z0RokXK 71Iq3mD6shR3p2UxEblEPO/p5jXvk0fBK8OICfVW+g4GMvMh+x2kUvrZwihXe9gTcc7g3/G5UxPP Vr4PelVuv1YpD9SxpO2+WdjtOXgP7X0nWXxjL6OMNhjRtrzSjsxyb+Qy5Pz1g3C/2PRXYVeb3oAD qvy+nUNj36sJaS+6VEFV8uCV0QWn0hJ3jQvWy6XwMMl3rLJ1YKPVYbBqNpeYEw7MTl2jdt322xHl rF0PfM4ysP9rX54XZJwXqRW8TxTmEH20nq+9woHuQsI9GXZ16uKujhkYkb8OKjXlca1Z1XB7lOpL VPZ8INlQ0aVzm9uJZI1g2LRU69RXeiqfM9mWPDKOphgvip568A7QHR1hCVyv5ZUmokFR0FTGGp6H jpnHPP7WT3SgImfS2n5MVGS+KduXMOxXPhenvY0tyvbSEn0p8tpDP+F8i3031BS4l0GeCZbZunHt YomXyOmYRNlly2vrB0zUSlXCVTJ0dcbn6xpU1P8fj8Z6Re1z707ovoByChZ3j27MZ595nDqIBqVH ogaf1h1KhK4oreCJM5l2NJ1gpbKL9uhobO78fvFLiLfxxjbc1XeKnr+waliSF4xHnvVJg9gnoBxG rN4qrWk4NNWKlIojLwRwfV2wuSjV7B+DigoGNuqGMA+zWzyuRDjyzdB2AakWMPqcFAaL9kw0Zww5 Io7PEh46QKhQuZv/lE8UsBLjzPPB8UsekEfAbwYMQIO28e+qc/2Uz5TEzv5VT+FDZdefygQ39rxM IZaZvM+dNgP3EGV/RPuWH3lwLUuY07HsWk1NdhP/LPI+Y+xM11xdYdwt/Aa0ffsy0DbOxK+AM6Jb Gcgzte6kKC1C3oreDxpHaz/+tvxK8lHrOF1yn4Quw1nS21Bp5q1npqrKUjz9tXV0uYm6n7qTrnfe fHxqOdJTmPDxhEjqN339SYPbTHDcv80Oe6SqUNSFZ/hTLufRCpT1v1WALnOkx+Z3PJIn4QblA79q rLlGsTbL6Wge8ST4MiWmtOLtHqdMZZJK2BEjf21/XSMqkxKKLM9X+6c9Enay8I+iJDFYlW/gwRKT AArYQluRLnxWndcp4W5Z9BtatZt+EXlmDqsALbnpznMLHUBPri8ACrMb/IyoCondf7ndLAfS8LZL j4uncOpmfx3eud1TMGzuea+WEw3qgbGUnKgFWCt41P3vAJCGbzl56V3WyogL6Lrred2yOuOQS5Lj o6uysV8VPvZ8Ip6USSZuA3T6rItz3GSwU/u7fE3IhMecbaPkpoTeVHPbAmmXmsxi8JKuYAnrpaFP pSg+HoCoALb9TYyQeIKWsx3nHEYiU5KupvYLTbNc9A3HV+Y4qKTxmkeKlpWpiFsVBc1q1r3ks75Z hqGmCpVtso/32oQfM9dK7OoFLsyN/vjJx4JakVwYKLSD6EUyQrLJD1Khnqmt9YOoXVUsCQ371/ys YWc2sDI3XdtUqRf+rG2aHbWHnOclxg4KUcQleYlCAuZmkn8Vix9j3/FRgj2z8bu+DVQgyPmN38iv +UvUkKDxnCQCP72ky19o5gDGz2HUAKlrMsKIWpieFcfkb9timzVt4mYthJFjlW04yPjR3j+mlxXQ klzMa/xM4U/9Tf4sAna1vVno0Fbyv07+fq/30cgz/l+KUOpwYoB6GdsxpKL+HLvvqZK5TvC2PZo/ v2tInmM4bhYWnwk1h4HfL6gkLGSAFnjyF57qJhH6q9d+BczNxi+AiIxDuWJ/oEacOa65SqZHVvEM xlGNFtN0DGvAdu3O6VT8xl/5K2WowyZdWqmyK4Y1XBsBmMne58XeLHNCFeXVIpoUu+99oauZ0J9z 3NhuBwN74Je59XCiq+GqGFlLU1ue0VlkMLSljWqBIJyMjcXeCoaSaK7tTgkjmJlpT2ufydaNe3Gq BNooNBmjKWtCClax+razew4isSAEZ8T+bklMdEAAgrAMvfm+fF5RvBom3q1rBlcgBnRmcmKv4bES Ey3cX23S7OJC5x5/qzm3edLtVizIN8fFswutcAwkJlt6pIWMMu0uJuhBFjrshyeib223HrFJ95Yw 0avKWH0b+pNdyIJPxesm2vSx7MmVGV3ZSYSDs0DItlnHxhAQTgqnmEXKJI4fRF6ERYitXsS6vXfo 4PBT8ipmqzyOXJty5y0aRglI9ajF+D4U8d5lIZPB5FrhvdsbiCpwINQ0V6blVn1ciAKsxp5bWUqZ 5ZutjD6bDK0SnyMEyrWN269JsIlhruhh1Dp8zcprH39Uz9Kwl8iBD8u1kRFqnUFacTjOWrYlA9nX e+aBHfiv3CH7ErYMZSu9ZxdQRgV7McAPswNalASj3N55EeEk57/NmOPy0R+AdL7EiGH0lMfIXBox bHhQ7ZHVyis9rtAGxZGvhio1+uvd1i1GljHndOLOqHcjt95/zUnQGUx1OtcGyRwq1bw4iZ614iij PwvfAqLUj2NgAq4b1jaz+hAiDcqf6FFM+ElLsS+orYK+eZlPY5wb5PbDQ5pIKoXmb19mE6qGeKBv oWwB3DhR0Hz/ElFCxWZi7zvddXWuORN3czFXBhh3ohSofmWO4A22onAE63tZhBTLuaADvofYmzxP 9O0OoRvwK14/STCpc3IaeeWk9OXD4cCMQFQE88VCblLIu9ypgNiqF5S+gGBfuB2wAmh1iyoOBOBf er35O76rD9jIJht/UBtgjl4cW+spZujRBdOQw3wS7leklqCIgoAV1zBSFLwHI+fsg1MyDxMsOem9 loDn0CwrpmVAPBmgP66iiQpkWTQilYdwkUPjwld5/lpY6OyENR6yZl9rof75NK6/T1gRCbTtHvwx WKGvvYfVtYDq2kzf/qGsqDQ4dQEbTS9LxbvQHabZrhJWhwmilWdyWC4Ik57FMUyVpFvA27X6yiPS SOaofznrHiORvJXp8M5jYVI26AAGYRwOSKCM3S4u+onEYx6XIvhjG25MQLROAiFCAJwL1NlIeAK6 wRGv06ZKrTtErgxfZdsyn+T6/6jp9AJ/FhRFS5nrjeC2zt2TZIRkeN7pXVboMDBIPn9/MWX0ZbxP U3FMOHbxH88S9EYMS0lYOu1L8VX8QWkCLbhZgFBSizZH5X6kfLymz0IgzAe4yoVyR1TGHUS/DPrO V+0xzvUMTYijuKJAWSEzWM2EJ+mp0iLe7pTWjcIKmpdyTBpUspHyfM9xOB7u32Sa9UStKfgTvDUS HHS7mecXR/oUAtrAjioJKg3186BPglxNzQzEfiF4VLp4cNCVToJXI7/Rbpt91gRF4wyqehLGzcFV k++ql6EovBF3ZTVfFAjESdoNFKFoHDutM02I3WOvBD7n9XRJCJLLK7memwB1PKLkMD4CQbN3N+Xm iTJEorZ0EZwAHGqqMrDT+UUlj9H0j+k58pPevly6tpybz/uf93v4PilH7P32cNKuI8sjGa9gDusu us/XwMN5xgEUM+0IZmOaKMK3b3h9irVZJyQHib/PKkWeIc7SukhHxx4nxbKw6d4O7BAbSUWPMRfd DI9K2ASEHmQXn2YZBPRaJvlxlt0dxQvNxRanLgRQhqz9kDHXslKc5vsQrHwgkbnFoCj3jH6gvadw 5hMD8K3mFZjzyBLAe3e+R+PvLumW0sDMhL/xBEx6bY+CAWgHc1W3dnFnTBD5A/xizCanmcjV9mUa aqbgfyv81ocVdx6xc6Oib5oZp9X7UvCXr3+Hz8ZfQ7qx1RogThxvP6F+rUh8quvkWZasWExVwZ92 w2qF6QE9WvQ2W12O++t/p+eB43EntlUMI1b9ePD5Sxz1ci64s38YIL1xc6DldtvEywQMZxnqA/Dn Pms2h2oTN+jWCI945ts0P4C4R8ZF111H6qj4IaZrEupF2Rm74wwXg0XeB68SSLQwjfW/wZLKLAnt 9AtI9FBv7JSaH3xIUXXr917yT32m2ym9sqDoRqSUvtE8rJyDw85HPlGWAyouNG8w8ipFjoySSiyX cWI++IYO5eoIDmry7m2wlMB30WCbHZ3Vmuumwp6+hwqgUsbVbYXKWQTO1wgYkl3kkgjqL1bJgmHr ujvJ45xEJMkDzmbTqoFNG/fy/ex5RGvMIUId4ABD+vMKpN1l4ER+5ZUizvXQUwFXVyVFh3duT25l dhkwF8zqV9Iwa3HEYx0pzuH+IEP5gptB+66Zak601G33J29Adbj6FsNZ+9mRsR59kNdh7/EWDvju P2Brtg/ZzjRMMjqhyGrwCIw76II9OyJ7AeA0CYN795gC5/5rhYJlBUu3DLxVHBYIWDt70Ml/i3hR svRVXIQ8gdxuVeDgqb0VzTOAHBLGD1Z0/zyJRIhwhTnkwCh6hy6JCYvea5x05s0o8rP/12cNB9a9 8HSLl3FWrnioFF4oeRK3UP9mUc7B/lWW/04hAit8Bzqs7MJSJfTq6KV6r+3emNekRD7mSgbXDXKd AuBUFBzWOWtoTclIoiiOvM1cnomIw+I+I5V3Q2BaPZsBStSXZkFSBtSkach9abqmTvMVp3Nyn7pY qGGfHfZGNfvNWLkooDfv2k+nB3G5pZVLmFSzltfvpBrdA0Ajd4NqEr0x/3/G8QJxTLR5TnoztIPd o65n1jEswBzl66DgQMorH3rIycPCWXx5QPT1FGRjEeAPR/pjERxHps4p4icuihoa3Eh716tQbKnW sbdYGAvtA5Rfip07ogxbvlBa/9/9JSgXLik1BbzMyqUtGqawMJAgDcCv6k432eqqZ8F2C6N/4Laf bJs4BgpksU6DEu9oujBmz6AgHSVhn0jJOkZ5MdSUMGrBpZ/nkAs+TkSfwkeOUGaSmRu8XjgIJgpj +Ydz3XmO26Jy5JVQnbV8kv+JkRbDtRPUmmfKKtsn84gHlxu7uEA2nvIMqLuTuyyFDXME1Db0Va+w XB4fk98lO9KwmrRBKncD0Kn4Av2fVuEqyk0MkT5KjrU8FOALeWVqHDEftBRaUFKBZ0zMWzUreOH6 /124mcv2MP86Y2AyHaokJoo/3FHJJCk9bRnoAcefRLs6wXy9MUPdo9kW9TGlREmVdmzjGvZ5xH7X zb22VBPHFSrDio3m+/6epwhSerj2r0rP4PUQ6v2DjX4LVxkh94tTWcQwvwJMwmhomny4fSx3wako BQ4AfaKGvjvVO98ba5EgiYk1uNRrsrJdKx1f86dB5tOEusO+T1l0tHMKC8E4x0Kcn0DMWFdunqVV M3Uo/gTAWwcEcimCzdpSlu7BBHctkzhqLji+AQSx1vplyaACW9BxX6Tqf3GcR4Qbjdpd8FzLLYmJ cILECIbOnBbnFKgSx9CPL2/ZcpV4q2MYgfgQ9V8vKqQl9auUA3IJmdsCPV5Ip2PVgL+ICWb8zPfB AE4saDzO2mFccma58a1KiuGXZ2ihDV1B3jQ5OED94Vimp97Z1H/GKmgCdaUKk6xKpxZCwIXTyMtU i2QxQ5qMLJFRdkHhQwJ7AeZubPXPLngCPRJBGjrJA3yEmpACfqAGx78bQezFUF9GE2W5VpzqA9po U9waMSsbxpuNr4Ye4/49IjeQu/r0EYAAfyH7csXTRIcHQVr2IF2CcQAlBapx+ufrg0njxr1iOq9X uyaVApH3I0jcTd980YaxDKKX1XFkqCxMo1kWnp+gRYN0D1G+b2UsuM4kga/ouWDV8ZMUFCRGzcKV BG30tAULOcbGjpvKiQlfei1bkfggJDuuBS2fXXkB3FIHa3e2cQzpSSlJicW+65XhmQ3aZAcyier/ aEbOZbk7mJLEbf+ii/ZnH4uVXse6maZ1xJLCKuxiA9Zx/v+su7dQyTfc1ZRC3Pa40lLSg9OUGZqB OWj5YuErzQiCSbJDWgq9mFbJ9CM/aANbDs2Up0JQuVudcjD8qJ666QAJKY8vnAXbV3EcrIp7QhM5 bozHy2sdujTht/qZWMF2Ulezd5vdw/1XEaD6oj6Wp6OgQ/t8Rfu0hUSE1OXVle9akVvbv39KdP6q qxTORxXSqoyEi7DjRmtOB48GbaThPvZ6sBCvwPEtrqbYYRyhlzVQzm2Is2mly2cxIP/iXumiactc Prhr2/7UeImKfFHECvqZyxtIsBBkW6eZEeOS/pLURZA2wu71Ei1QNLq3y8gzHbKiti4RZ6K/h7Ou YH8E4V8BTDQFED7ucnDmz5wgscCl+6XAQ/9pCS5XhoOskKYKomI0uyO85V+fTbmMWvCvPE6i2B5P y5pZe6Shdj5fSaENVt1ghH5p1Bv61pC8C0LyIlWsnk59A2arxtRpLLYRWub8C7gkDC8LHvg4C52H a/ZY+aiKzywhrBsB9IEKB2kOeqR2/UQidG9TTg8LIy9Dv8hj4jf6wMJ50dnzxiBqZjhRdmAWYNnH 1UsHQ/f2sfGnBeW4qmXRv+RZH5+6TP8HSIPt4LOR3eR8GGHXAQ6mLwA7RRb2baigx4JWvCnjXoPx prqDGx7bYjWhyBTvpy0Po4l/0yi1+r6NUlef0AkAGLXJnU2UQzQiM6H9Ly47W3mhCJ1X+0HcLTAL AF12tGxfPZJVmIiOG8Dw+gbSJTvhMDaOXR38qZTOv48n9P7oW4OZOckyx2UvS5ysro7e2cMgdniX LD8B6sHee5sDhqdhMlyJd+Qk+Cjc377slU84s9jPnNsxLa7l5x2BFDKS8McZ50Gsbbkf9OFeKjst RkJ51kpgb86UOX0QpfFow5RdyUwNm9tUAQfvXziMYyIN9Cycm8xwOxgy3E8J7ProjIboF+oLI7E2 /uBc6D8s5YOZw4lKiuUbZqUra1rW6T4whHnRhXuHAByVNgva3kaTroG7dEOX1sDNaoP4vfCWjtJz IFWy/EYYB4D+4V/RVCLAr/y4wXFy7czubGDgb7WsVA4KbdLh6w/ar2de5IS2vQQBIuEZ8d2sKZOS Vpn3YlpHLXXkdtWc9sI5WMCPSjyOmWPfkOTp40xRrjh0wJDqHbp7R0E1Svi8yY4oScBS1iNWhbbn kOj8VFQnPkdTo5Rmqwgu6z7vPdTy4Rf06VG4wGzLdYXSQzmmQZIYAk1U5OTLIlsVmgd2RUYTipgL 5qiGrowAm2JxKmZrgficyVQRs93XylmfTIh2iVnnxHOSZuL4B2sz04bsUPKHc4rT9r1aAi6951DU 1S5RrTfUO3ZcLTnXlk577k1hH/MMvt/sbfJDJ9k8sjwvEOLhOwYh4mLnsZ1R8ZPcLDs/Q3o+pp/h w4iUCdyXb+LMebbjhvBbdtegOXiLp9Wd+CzKc5GiWokdmIV2R2Zp0g8l2apYZ/Euv4RcMaZM/7jn HjGLnNCI0eEWSX1Oox/z0Qlp2503kg503tUoAQVjTTGj2peGnMsQoKS1HbhieJBeLiIz9OhDbJDp uVKfIdlC6t0fVmuFaFh0XMPeZy4pF0KBQuRB2X5JMciRX2kTKCvmcHRa3MsfI5/qEpY0YafUpoqO L7rZJ+5sknoAYxCFErpTvoNi+fY7bYPztJEDs/yHRjRKEHcKllenA4svNzZp0oITj5+pGAgo1qHx xkK1AyE6AWDplxJf2oeigfxgyWL5wlh3yM7Q8Bnwf0BlL4GSHgrBOMJpGOPaMlD87+z8N8bWvYON x8rPkyH5PSA9Ug5oGZlnbYMKP4NuErNzVf73su9PyC1oglNZqWxvwd/giBEkThgfBJ/jcATQxqL1 PM57uzoRcFWFO0xNcmkmS7o+G/r8RMIkmdqr+l9XqOgupiPZqTtTsB6NX+gdwoHdV41JtIX99kCg T+A9STY/xlPMNJMVMtwQcJZskuMxIVH57UOfTxv2XJQB1+MwmKXFQo1s9sT+YzEmseUEMgFc1wHg mDiYzEtNE66kKz4ZfM5kJ2yU+z6jFaM0dwALHooIE4WxeroNamcYmHpCXqQykyJG44TbDW/MaEdB gJ9jGF+xk168hEOMQ0DURCJN3pdgt2jRgvvWYH1L1fuVNLmBeFbMCI8VBj/j4Z53wWt0blRe41ET wKvXR5twNkjf3eD3mAfe4dUTgB+UpM34nE13+yWUqkQc+t00vbEPtbwXKAKoG+v83J8rhJ1lMKnH 5aUoiuxYNJaMw9OcmzGJwqmiNUmU1SIDtKIwq1SE3V+5dpv/aZjeoW1yUOYmuMPRIzcojAmc221y xA9tFdfHnN2BaG3UNYbX1PWW6nh4GK4rLWgU3J8q0crvN8qUPcKE/GAg8edEiqg1Kypl+J3OqXXs xXqLPgyO1SnbnWTuwgfUkMwATsGdMoxzDzHNUQyAySiXgq/tYBC4asdBjb8Sl0W3I9kpdNIAN2E5 ksyOoHPxgdCZ5DJF3ex2Q4nOS3hoh23KgSBxmJUe0zzoBGt0guWf9Amhqc+WZdQZLwelZHtINnuF Yk3xcCdheAd/TOimbX41P/KzQxCk0LEDoi3Amek61yPa8sZy6QBwTwTcwvF4h5bdqAm/8V0gHdXT ZGIiDRumlqDGODRPu9Pv8itXKN42frnyBHtEZARHhJMBZcwtu/EEc9PgZflKibnjRScY6j6tMY54 e6MGv3MmIjG+O5ri/R6Nw6QUWdfACWBkzrXnFrPajmP3MWvyi5k0hqq2DqqP5I1fL1MTM6QGSuGr QUABPbRVLtZoyZmsg/M8GOr+5BcsPbayOQ7ob7dPS2EUqeOdRlpWvUbnLRY9C6oaMgtPhy8+dqgL CxLsYv7AMEIVPFESDnH2b0pqRNk2IcYN3AQAJdBhQILaOZmp/eSs4VTDeiBWEBFclHFEyyMoAjB7 eGmhLUGgQH+UzeqmfCA0qjq+I9xEnWuJCPe1mKd776vwtGUVYK4fB83B4EBwvwNYNhuoqqocRj/8 UJrfJAUZuZmyQYnzRX4Jl7AUqYul9KxI/gcQ+1iHnP7bbS3r9HIExJ8N1pdUnDG+Nuq99tqlaCfP a/Rvc4KOs43n9PIGUKnV+61Q2MFney8F5mKUnNqiCQaRgFve6QLSx4IFXJnPFjxe+HhtbODTEDWb JsNyUZJkkbQ/niqVAMBQQQjw+SG3ULraat6NLN7A8LvC9oiwQRGoL9NWEA0uicKpwTfWQ9woutNn 8y0E0jeBYZYAcZrWeetmLF0nbtphf82/C8V1+Mhvl6QdohVr6InkF1c+8P8yxR0r+ucvbLcqARGD bTnwsgmUqVoIU3hWzwctMsBrAFJiN/DUdh9iYGdw0eyg8wro6d0wxYkgg5Fvhyk7lpSVAzXsmpdZ +hEaw9uVxbLGYLLQKkRnfIUMdnWUbjGAsfZ7Ob0L6IOMk/XAYjYhNRMzVEJpSQcL0Qq3u2KPCtob xdt26bMjEEraR6QVq7u0Fwp+Jnr8wRC2rJjlEnOI1qsahpwpvFWJ2P2W1QWPhIGNzoq8+bsmITi/ xi81LgkT+hv4Hw4yAzRpCeS5uoSxU3VPrx2byeD2OHZEV0NUaY5OT5Ou3d27dNbodimJUfknpymS IcvBdX9Q2nyJKc4jvGkfAy5gC7gUbSAUU1MHAFuiN1rseMgn+hF5e3vHFgGLSx3Yi3uWhp9n1c+K 1Bi4nq8zvwH0NUwkwDmg/267vWmKL8WokTIuGKbgs9/z7HFX9FdKGsucYcyRQqI8WdBQ2HmSsUYU K7+RwuXWiX+Xo+xeKwyxgR2nU94tXZXVCPdqwmH8iKjfLwXh3kDMbGjwFxBopCQkYmmZ8Hlhuqto 72NuO12YZUzuQ1nW6p0FebVI18bLz3UwYY9MBEPPUk63PV2rkaOyhALGe4Z3EH4T56w3qNlMiwzl 0jE+HnHGIQIi3htoIsAlsbZawvGWhY4QPgHcZIFhggsxccrkIlp3qV5eK0JG1JAra/4GA8tFb2Cm WZHjs5OBx86DM4Dora17OoacIWPh5eg2iWQtSty2ca+OSUi7Pfe8Oble8RPHec4M0+iueFCHos5P THnAums/jiVsFhwHIXX0M+R4P3j3ZWMvf/5sRZ5YTOey2W01jiCnxY0W2XFC8eNn5PiluJ0gW+El b4D1jFuCLR2baAyX6VzFA+20eoxlxjIdhXYNqJJFDeV6UDc+n/7J6Qm+hGgrLZ6cDn4LQ8nrGQW/ s8NXzOvmgB+1ywZwNwWr0kYV2SEFQ13d750CR+wOYHVr3RrbDyU9bm+5sccWaZ2Jh6tk/frDMBhx lAfad6nnWp1YHRsY/uNBPaLLKHWbg79n9DEsdFJRrQ0m7XnstdIzqhaAVlLYwofJNyR4orjm5ybG kDTnC/TTTKtBqPtllybdKfjNetAlmrkjX7WazminJsnM2krIF96s9KKun90ysrgEIDibHAJg0WW5 1ldW0qZnfAOrVBqmIGhlU/sFg8h/f4Tc6gEBACrXn1PF9adB96tn6YwE0AspTukmuQo+YQ1GOWV+ M2GokPDD/nGLhg8U6MTqJLQJPO+zWmnSm5km/nMnE1vFJiHXlWt7GX8SmTFKtYPQ1UOc/Y01b6nB yDHx8mMRz1JtSFfm5VQmIGC2UZRi7kJ/JmSHwV+mGBnCsYyorXS57Lx0LeQiaYJIvCyxfnuC6ono 2fjZ5tn5+oRMc1exhl5mBq0Lkz6tBKMHpnUUkH/fc5MBOY2kKpgyLuBgR5tbGcK++NsUR+ZTtmR2 N6nIQVdZNZgqyF7xzyDA5gkJaZw+nsUarsbUAxeaCeywtWsMtl6VvrEF8NxjLIQI/JiODOoVdsqh QM1+dVY48pIZOhjw1fQWZJFaOmfcnkoYHXLFAAWfrNGJbD6iF4FHfYEWFS6PWcdovNRRxKC+ssSr LLyB/W9AfRUB10fpw615W755oTSsSqLFYTg6kILFodvUVVA9fbI39T4yrxOyhCtE8rF4HH/GkKlu qwOuhOWpaF465l1KcpYMHsssf3WMOqmS9zQnWLXM0tdy0+GZJKWDcxaC+jsg1f8nR7ul2JlwUXeV ZhcoReirj9aphKUQgbfY2ORV2fWa3c+yTqbabbDjApJUYM/DLvDWFyy2mI6BBoo/n1cZRo5Lo1J6 GDu3Qxz9sXqmc8nVkGFc6ZWfKbV/mcTHKKiD3zsVanM+KuwtvdHaiTs3vTVPY4HU1Cbzv+W9iLwX woOXHVSUG6FCAHlm6bX1naZctXQZOBiw4EsOTXvPcsy8D1f0ceFFjvqyxKAq23jCp+8mGriE4s9q uTuiKGiXEgzPNmrXkTW+XKC8oxSf6bo6HVb9s3XMghi/AYNx7Ig0FsEZIu10YIKxc4JI6R6sWpwo HctL068cCPDYJJPQXHvyrdLdzpvvGgY6HjlhNoksjDj+ZZcet3QWwntmUvP7PCE56KlzWD7s6K+y 0zH60RUOOOnvYCk76iQePidFYcJU8lvNdxADqzMmDxnMqfkFKTcO38974OWS7EgGr82OuETd3ffv M08vYTzXifk/WEgCy62J07J1ZrpWfjIqveCI6whYXnhiCKM6DWkG42yD9NfW2eCDg8kLaYIVdp1s dEYwK9gVSFlX07zX7e/fdxOb7RNW68dZkvgvIbVMz+a2apZ0PuzuGcpEvsCCDYagKgFPSIfkS1SP Q5GgsfMlmjP78dQmo6zq50nzCFx1GhcnbmbAN6nxq5HUytAKYUx5s9AHTOOWPi/c6haTbKAo91Fy 3ph4nrGnvHq907znXW7uhx+XN0ja6YhTuOZpsJ6hD/bjSPboeveTHsKp7f8MNVV/Jw0HMNuKL1d/ 4qs4QcNTsC+d3p3IFnbpn19ilidAKlIhgoIdBJRttP2AHC0lQn9pNVPxey4WkapGr0pL35lMKwau PJBAPr0kQMEeGHTST+Xv6zGluhvCaatAJ/13d3bw2CjTOZFGXZresTAmsRZim7BVEUFpyT4MosS+ bu1ZmfjBhfgzsV+fhKuXTngYUGyIDJ5I8y2/40mmqrBJX3fbDAeuAAj7QdQSnxZp6HUeeqOrtIAh NddCCPufkB3Jyh+ETn/DHkg+ISfbIDMUseqtRovSmkZOTlPDGrnQfs1oZOnPZX5qyKn2TwNslo93 TshaYFTlFJll2+tgpg0JIxRnOHtVRP3FkQ9UyFZJxQ75CXpizJwJGXdoPeQ+SrB3RHeia4SM31DV E/4caGwTY1gdmi3mWlMtyWbEGHaNppN9gJO45U5Q46S0qEHO6znWVAMm7Wrx4Ipqwde+sWqww5bK h2a0k2nFETn5oVhTJkpZa+xycHWD8SF2pLT4wjV4JAGfU0JvawZ95pnKNeFBveudag+4ThCTS2bI 3+hw3FgrCtF96TyX+Cd4y4/2CN67Yey7gomz4J9xLocZ4qA4FdlfqbBGvwucSpAPV0AuD3J8r0Ys UfZpgab3ueHz5dSn7RdmGHp7OZtnyd455dxa6K4v4hhb1vIafjycq52Jm9YPAzIaqhXKv387hH/i LXcE+JHGHC4aHvSbdjiKp6qAzBJJzEm0cawRqw9dRnSiJ8fzITf83+u6f3IE7CqdZiZnDAeWBYy0 el9UNdqsX0d4oLOB719h7naEKjZSVdpK2KoYDUeQ83f5Jhvzvf9OCbCY1SbA00EVLZVhkJKgRPX8 PZeBHlSmCIsCeP5NSadrmfP4Xsc9/D2VSprEpS4F1ygTanlIfxrurOMY637/ciGQyyNfLGsX4jn7 fHdecL4DZT3fI/EZh6MoUQgJ5cXX970tVtNGEm6dseHvTO+5rWU+RGAVqve7h494w++qJOGrPE+1 FElJPNYQpnAGM0sE/oszdSEcnISA75E39StsRdtcbWBgYJXcLkbuBg/x4arGUak5fUpL2YjRLD5l gZcMPhZfLDeZnGgY3RcnLfGMDrd63vke/tgTNy1S5O02ae2Tqy/Bz9IBcVHSS1sBZwRT9e0Kqwug +Qbg8ObnGcg4d140OS9UatT+fNueZGvntbjhVFngo85ZPPAUjLf9trq+Bgwq+2cyE9KZKrtmJwDK rQia88RVWofTXQcgryKI2xSyhMPZCiXyMJyXMNOBqLtXI93q3Y5fzgVvJ7RRJeFlLt9HDI62TPQC 2up6G0DxGslknrqb4eSoBXVJoV96DArwWUnvnKTnZn3vVIeI3TdgXnpisz8D0OKhBDWyi7/vp4/y FC51MSRjEpOzvjarG3egGtNA2lefkNyd/2iLmjYmhKTzWHX+qlxm4XQj5PdTwv56gKHkIKpLh5fl pJ4/pfeAskwIE82uVc+iBowqzfISFSW2JsxaQ7/zMJiSGanPoHwYZQTw1zrAfgrutSsrq0b/NPSE kU+Wk4hTlzGqkfI50yQH/tRawr2QUXQ8s1MTp1iHAfxmUVLAmS6dTvVZoBu5QP6XOisyy3TySIUn WMErZuTnbF31cHwvd91gPNRoE1isofi2+yFCI2lDZbBL/sdfo2wNhqu1mZowwyJDVOQ1qacv8rLp aFVXdB5zKmCY4J0woPgTyb0vhwj0ON+r3Y+EQkk457Kmt0o02qnbqmYsROFOEYoRbTMpWx5CCnFv gp7ZNErECcTqZYyt35tqwZhvUsLestzqDOVZMGj7QN1cOxp89p5kqvtHpIzEqZ6NKbPfbFOiUK8v D5S/BPT5rfnQxIFDQ3kltobMPYj0l4KehEvVo480xMjj+67b9yRSKBjlCZfQsnuLiTKEP9CzGy4Z 0weCU9EU8xZYg0HGzZp/XKTSBZ3rKC8pfRHdD9fUuv9+Mh3m4bGNVjsA//FhBbOUZ48mnMiQYwDm Q5zStv3kO1vocMEaQS2CQOIemrS7bYIO5I1tCcsnic9FWg0+15Lr177n0guGJib3UeDtJD6vlYBI nszprp8BdREqGj1ZYD6l+HDxTmxI8gacpdXBmgScNfbtUE9WdMRTedTF3Qo5VSgUwFwSPa47qiTe iq17FIj6hf+/pRDC4ny6fff6ozExIa18juiI754mS24zr+4CvPhcE0D9wfGsx9JGebG6Xi/CwJPE 57nzfxEdjvB6LH/8f3MMjskFum211AXBd+Zt5ZqrQ7zaG3LR0i07h1Nrgie87C2C5dnwm1NheGxz XuzMMCmOSnoMnMiRyzLGMQmGCBUXU7zlNtyk3ccycAizuQDRa2TVFrY2lARne+Sk0DnoWgCWpJiD h+PALtmPeWW1QPCiYqiEoGwoVogQJEbYbq08GRTtp0qlfZsS6OOUr8x3gcuii6IWlTHyU0Ae2G/p ZAI7Tj/YyNR2i6ILCdRje3a8YADLkGab2W6r40ufEQ+XMS0GsB3FcAUH8UKfVLON/uv7z7FcT9pD FFKfAhJaWzr+kHun9pgYqCNjttw/6qXlwBkpS6uQMHR8krrKWgUitLZcaIIlcmJpHhQq8YHvIyqy qHJJwmr7BqBeA7bfx9sRhZd4pMMhQxWRnmziQE+UYiHmfDsqGJvig3SfFo2x8dgCsYvgGR0UOJon OH/DjjPAZCTOMTJgYKwAVVP9RFCfA3cGCRZL8LfgoBFu2z76QWjw8L5LnQZzB/Oa5oUv+oA+ymro D3Uz1TNYPDkXh4KINHSFlFaz/9UCSm/vsBXBzkdSd8GY0Cx1ogkgpTcrnpWzlifAHyojuAHB3FWA nSLW9XyzPl0QvBrvCi9AMiSiayLzkGm2bYgf7ljBg3DFCPMz+cgBUF3lek7vge/YTu0Q8mK5H/+f SjfNiZEdC7Dm7BUToDXMVD3FHQCcPraTluhgWXf7vUM21m0kjI9dq01x44CGNY+ubak0FHZYFtsS IqMTqPtuOf+mMRsfQ8ZV/6Bqd8HUeW1RQUSrZl70LAaG/nfq81WE32ji8F3cbyqXkPGaFm6kIxwu owufXNtv3qfGPWqYUPLOzyocevLSr6jpoAGeD/N0I4Bw4ItSLrvuBSNmaIiAoYmE46R1WnvpTtJ9 12QFlpZ7uHDvKCdNXixsGerEbhLYzGTDNvYqYhZBQ9Jv4Y7RzV6/JDKuREgp5Aq5t7MYAiRdV6SE n104leRjC6WPOSiLUc/tMM+21rlbYO+uzhndahehuHjWP2AwJXTdSblxcAj7J6to78rteucXR1Fw TFmFW8L9y2Gkj8HgvtcxEQbS/Lhoyz43ehJhF6BYxV8y7hO9EEGAajJTt/seTC6GR/D+AajRxuHO IHo/oPV+YxzkiVOFrRfUgfnpuTXMHPT8+WZlwdYuVE5Sh0BtmHsV5raUgh5b1QLfzuoVyJV4lkdP Po+3ong18clBXskna15XuPlD8qpxypfiCWhWwD4BWiaXJ6JwP6d0bSWvzv1SEFYKBw6il26yHj3f NpoEzHqbcI1cQLcA5Ocx8a/VteOKSve3J8TbZDJzdFDrO7YbnSjK1Qojm1z044M2JA6sOxYli1fX TSeBMnKwxLJnvPrY43W2WnoSVVDu8RgwtqC19e+8lS1RSqJeE1P1UEl0MJeYJgTlFTuJgVz8zj0M S8/AhOZLKAt9yZT4Cp1GY+41FFRa7pypfTHmw/AWbZA/ObO8+iV23SROxn52slJd3ETLNZmd/tzm 73CvXSNXS8MCyGnLNigSSSf7wegtWFJQu8H6kiTqpUtJLS8yJkYCGH5TBdLdEkLlpKyV40L0Ua4b G+RGbgM0CGytyk6h6neGiXoB+5loKedYZ+XjEV8/h/Ko1zSFPHh0rwSZrH8zuvaX/y6CXDUywGfW IY9+//oelNaguYAlZ4P9VtZFHU/Cfy8+r/lVgj0VAWsCiBjJSnJM5k72HlTtg3rjI+V8q3GM17c2 L/Jvj6hGWYfOBvIAuPcek/+/vNFGIs16nqGeXr5WuUb326HpR79Gl4xoH8dr5csc1niO/sh41rAm ijQeVbb76RFmB7ib8I6tCOjcHwDEdZ/EmJMetAJER2NEZR2Sr+g9/Ms38+ZkYbxhQ9+w+rFXOxh+ 9ydHUzjTS/p+BBEhfP5Xa1nr705MMMkbLhmXlD/co+0yY77pD95kCnAyRp8WPUvTgsGOgmk+iqm2 UyTR2LFI8VZ3+k2+QSER7rZoxsCYwjoVo0+SP+Qusg8zpx9+Ke6MLqqApn9ywTMb7soGRfGep7Kq oFhaZPIaYFfNWvoByJm0uv5qUpeCCW1RhdmcQSBVocP8ZTmizOX6Dt4UmGKA1P3ymcDDcAocAyib +mVkij4g1riyTu+rl2m8ceRJlwL++JYU9TV9DtWR1dk1kNqPHYRixyFsnNbjHz7ZUyHnXXODFPOP iHajXeDEZG96WKGt/++i9g9Uz5Mr6pKJbAcUvR+v1sIIIufefqmkCKhvvPGBpMe/4ZnL8rg/GrvI VG6uAxCUlEGTg3GwU3LNtgjPa7wPUW5Rcrq+i+G5gPcOS8Afv0IK8wh8m0qlvKN5gSfbL0KGzIgn KRBVnQyPDJi+Y+zdbxOGApMaPhqpaUAWHFd/vHX8fmYW1vkfl2QLCzEXtE48bGbwnjeiMAaWsGG+ xte7oqofqcSc1sjVYq20Q9YaxYr5/2mRFjHYkJ51ONvxBM9mTmeq+35CmRlVxZvtVD1L4xTTQXTP 47c4EvGiiyKKWaHw8aDMpg7OY5Eb38QbXHQO0DZcQLaZZoequ19w9hp9S0pdSUq1EYi7pYcdSDc0 B2FEHMI9N8jc9lw+2gmWLYpACN0v4AUdkJ2ZqlUYni8+GipglxVLEMeboalcj6qt6bgvelEniAFe OpgydnB52F2DLUNm7aJUjRzXIGVbM4Q/58ZXUtIXTZJCxouKDOPkg+E6dLuTl0vlcyDHxPd+pND6 T2pMzQl+6yIV+oMh/ESFa0OtynT1RPMKpV6lYEfkUEjiOZWbOcWm0PpjB6M52GTM1vxy5DYSa0aD W8zm4xO1/oDLtzvQhiaBU9gbCoeSl2hIn/Nb2cSAaVT8VtUoMnZP+C4bZI1P7kDfvShLPAbybvc6 Po+5+TJ78MtS3iCKnMLZZS7I+xIdSOck/8qUu8Dn8AHPn/jQtD5OMMHudeH+GX3qVxZqKh3/Bx5t Q5E/oOmitT+COY6lf7Lohud13+DU5izrkYXmtkmc5Qmh65GO48jLQADWNENq+nG3aI37c2WvzehS xf3Yr3qJ7MPe8vTAPhJvo43atqtt6y5vEQmDop46fAfObAt2jqHpTKB8MolINVb3k8LQx+tI7YTb hwD1fBb/ELAS0GMJWpkBruRwXb7AxZabpM67geSh4MOZ69l1l/oRHRnX8mQCqFM1caZ/MpCmtrTn GHiYNAIzLJKjG/nZoFnVUmD5bf1ZrHDUijthYrBcO6zA5Q+YLKam605qdchd4G3um5hvMMh83DWv LpMs1wXvtVJ2rJc8VJbd8xq0lcbpe4yE1X/A9wBxZzGEpcj/rn8RoOGuQSkmE5+0ajSXX7uya8Bk TEzHyjSQH6iFeYcr9hFQLbCWgkZ18yRIIIgiCEoIJseUwpJZ8A0AonqN+Yf1cJodbwplzY2xPFkH WVNxZrlQIYjOLHLB3XH1o2D/q5QN8/Xqn8NeAE/4odyJCGsuvEi0i0w5C6LpIpnczEwCUimKIjDW o9iwSBAu+bSkP64p5ayz9owYwWMOBah6XlmFnCdri4aOQUz7+Jpj3pdTV9egA/G3a2EtWqQK665z KYnmgtc5RlFE7RGd9pVuTSTf6WbhaXeQ7JX5scl+dVLsAKkHDwo1YHcMXzXikvy5cUSJWHCu6rCz w/xpwObEWg/Id/APbg8PtLqkElEA3paycDfQ4tBp1pIg5YXzx9ZXcugMFYVnyjnC0JRyiliPj5gL qj7br54W5psvgilTDVU3PgU2Cgup9EIXnPy7eeXbxyapMvIAXTWbIcyVawfBLgbJFjXuUPS6yZBy W6qFErR/e59YOlAc3sDLsWOjWykAgjRShyKEdSuDCQsAzibR7WgtMOjXPZuM7+ltgPAe81ie32oZ p0ZpTRBB7zpgQ9c5mijE9RUhHWlkm4xZlXiDPX5sJAMEL7oAtRgxuYQuzT6T5x1lfOKuT4oEKSTs pYgPueAb50ZjJTMfq5R6CtkTwxNRYZTNiZaUFhHM4s7EfdPmjdeEHIGvwLmbaPVY4kuQl1Xswtig SY9PAFlajTT4fXubhxvkBub3vE/XLmwj7rPIykDCkD3mSLjGQcEJ5/8mQVByWOkDdcBq/l5bXljU CRQTMqV5JWITCm420wNVyD8tYt/CgGxRY7PKmxDH13ZY+aItGbPwSIYAX/7Iz67IXCE03WuxxEnC Forhy/AYdU8t++GFsJGDzpzrre1IgnAGiy86Ti083FrDyh37O8e7vCQU2NudLK+z4YiNNmk1r54u /lMFjFlhxCCnCoBt25/1MZAF90nB9zCNxWyWW8Dat7+Uej7PJhThjH2nSMbFqRqEvRJFPYgOU4u9 19Xc1nNI3abtKMkyZXJFsCUbtjRahRRv7xA7tpUKpJVoDSS09zamohqEFH0am7MtmkM9JR7j9lkp 5mbtoPiFCbZef+E/kf91tsuyIZ2hCLL3yhqZwWTYdi0AEHYUdCnh+WliBGYAAQ4n+S2i1vavmKGY TpzhfSfU82p1XgtsFpJqCpd+LA8NOBMBIcSb/mrCkoQiS9qOQqYCln0+NTOezgRhiOsmtD/yYVcQ IDHyPzlUyKD8S1Ux4S+0ajjEdWAryTQF85TytHw03iYyKN0jNTC0zK3isWpZu3kOdWxwxZS55/eT /MtYFLXbv9RUb56PkhFGrsPddysvghHGmWWhV2jUkpSmbE9/rQgqGdniyz6H9nNGCar780n+9vQ0 cskFAah34NbfvQVUiRWuAvN/LvLzevxYBobMNndPLTdODGEAexlo+2q7lAyP5m57EdpHYJKfwYv1 Wd2tDYbsS6QA6CWpNCJB+sxr0g2kmbgadwKtSC4t2cSB/fYAEYNkj6kLN+thBBlIDt+PgGm+ntr8 fKvGQmOqRGpZ3VMwh413U2sVO9AfOU96RMPV0S2vudS4ANPvd3sny7AwKqyB/AHoMmdsguzG4zVh CJGxHeqKbKExvKBJG5ZHuGeMozQWJCTgX9vqUGH94CYeri4ibugxu38qCJ1V8WW+71k5I2DUvr+N IvdWk059bHGQcsSHsEh+/zSjxKv+fvX0eZwOgj3d1ofDm3X5BLezJNnh4obUG1C9oPSesHNgTCJY 9B2m8N00lFR657sUiMptvoPJbVvA0+jsVyjmhZzanJVkyAA23wzcXstAg60TmrqfGQB1hmF27Lj6 eBeGt0Ccyl8me4PZB5DTKuFF9OaZOG9MCESMd8AkXd01ClU2PbE9qbV42RH0UjdPueFboq0eQn7k fgkm4Di5gEn75hHQhRQBH+Hf6/nWxIUdDWOVlQTk7sz70nTM5kg4J9yP2sYncJK87Q9G0wgJv600 CDTe2kzHhWJgA5OIudd6tQPoLf5Np/CV979eLgfmsqzlfb6rritfZVfXanxB/1wyqqDpeVywh8Qb W+GuZwfpRha0xxj4vSebZuNmxRz/oQvT1NEIXxtr6FKooajyUSDTiQc+U4BeImb8s0UtJfU8cvEQ 7T73ZSjuhxw2kVfUUqiDQWN56iqIo8ek4QNHfbu4pi0B+h1xOLgwW/Jdk7R54jhjaSsP5BKZHZWk P10chUHRLnacAE9aTfqM3jPoMHkK9aU9e8pry+KsoFIKxJwW4fBNWgIyGmmBtnwXiY2scO+dCvJA fSzi6X8/r5JN1Se16vt7f8/+2XdWEnAdFwKiB4QgbCRiDff3g/ouOFYkmQjkdCHB1uDOhPdizdcP gkcOOlBag+cZfK31Z+F/YhuJKKobP13C0UNSUS5PJWQPmzhepJKiobgNTSOYSpEFg+qaPUW16p/T tBIedqlByNYkGEsMnB+VbevQEO7qRUz5NOY4jQA+EO0jv8xMtOlF9ezL/zaXgzTTDVBLi4vw32t4 6p9RINqj27vd9WZN6ztSBdZ2vtiVHpHWyhwO889m53RHVKSYCojcvLhDtfIzRr25pjNyFDB3oALU 3/cAuYZpjw3nSmUKgaBD30MRrHtP6lgi4jiG0SvjoYdoQzWC1szpzK48KiWzRwhjPUQhP/SYN1a9 9oOzcLO54VqS7SFoi/UOqug3BP/7ci6UiUsEyebqHF3QXaYiCWDb+F02keMBrXsha/YOwUJotBwG kOH8+OFoY++UAjlFJ3IHr2vdG5ngMH80DsgMsLPXd1iOkchEhvHCM9RD/q78d35eito1SLhNiYFA KXz2YntB/Ni4mn8jsp2qnbB7Pe1GDGKwN+e2oOiSe60CPuyJAFshj33xw/Q/qKHVg97OSiZVxzcg 6v/mFKIixrVrs0CganH451SURUiv+AtsN1FqFgqCSSvHQaphH5b9RnxsiR1WRSPqmMCoJcj9Yy7M 9e9imJOOuh8jYVPoEuGujaY5Rr8lfXJYp4cgduM+jbzBxR9ZL2WwkFd8VDDq/ccJJZbLvkUjeTQR bQhTPZ9RYDZTfbWHNoDy+iZ3+bz//yEufHWDWz/33y4LsULGb+vbRC1Vex6B0fQGZszo5KwbqRLb IrPkEzsPUawiBRwcEPFmGA6f6+cwywwxoIeTHDDAXrQ5kz97KW9HgGAQLgfUSRtbOhm8+YYVcWf+ 6Y+zmqMDq7PNNNUu0Kory+axLqozk7R0gCXaV66LtNJ+O3BfY7w+xK+bKaOL5m/gHbWMoCYIDm4a 8KRxOSreFBHk8yyku6jcjMdLvYmqVRtiyhQ0tgDDVegi64F8nQaLccngQ/PtdW7FdmpQ2GipbURR GGhWUqMRxA9+By3DKVDC3VR75Erwwm+xwCL2Jx2+sRDl62alVmCWBuGqQjVyZWXQG333adYgkbM2 eJk9xgHzFlfLb814i3kMFYoSWyhduPXn8tfDxnyzIf+tCZKOD5sw4Vwunl+kQZKT/JtTeR1mcnqG R95BdCa6BpD0zdfA4/toNZ+wAeW87V27Axp8i1wfK0XdYxvrzs1BtmiznKJksQ3yH1lqRRRiaBnw 0LaOjansQvkXYien/unmgdRqAHkhO6zUK9b5dX/inHyoRO49LtYf5eeS4z0psRqb5kXbaYZk/C7X sTf0hy1Gc35eaCe62mb/Qf24/aPT2+vwxSkkT55UMYnlT7mPhIid8VFj0mjWniyBBlRJqBpR4THC VMXDAw35KrrPl3XX3LFa16SFayeaojAxvSHWhkpPjjsuqy25wY/MH0GEjIos1WKVnJEKCpTuLShO cDJTer3hq/DOOhWngEpR3oX3Tz/jBqzkYdTmSHaEj7L8iDrhYRgKwUhCUIs/4MF2JOrqTawsjUdf IM820vsneaZevr4uTXX1BwbUdsFdXVYWJtvLu6BVoMW9ttEtwWVUaDHuFyinmHp6AnLy0XSjLz4T CmMKBk2kM/QMtArSVS7uqlkp/kw7xNDFsv8c2CQMm3ovWvBF4yKfUPxWcXxUxC0CNVxcsARdnMbw 67uEOJX7fzkcHoxEQPUFUi18vTXpZld3Zm5TeSOkZwznsXKgl27dNEfXy4HAvj/XaGTlXsF6RXtC 3HafXOFZJX53wz7SHE2sWoDvzDKA+rsxc03F+dLIkDHWRtkTIkwqkahRDvo5lCa/DYE7kfkQLTdh BONjeflbk0hF9cnRdwIfV780R/Atck+Y0thJr4yLBjVYoUhkF2gf7T4kFjKp/c96g3ZECBEY0vqg GglwnrLoWlMf/4jtHQ42vLOGrbhgkc801ev6cfFLbIViY2rCveTGemryyhf/ydBkf8ojmj+AYJ2D 89G9naJi94GsaZSeJU5TJ53XOTF1xvMSlle/B8u/2NdzguKZKBUVVa1PfWpFGNluXxsiDlg/sbw8 GBIhlOawnYK0Qxx6qKDATX+fI72p1WTBsX/zoG/Nlzlaq/pakXYnI6DixsCGo5GbRjF0XDIe1SAa h4jO5GPeTBfAI7UFaIr9o8a+//F+/wymk7dy7GddXQJi0xwkUtmMfacfu4mdn3tuBK9KhMGGSkuL hQZYithhDg3zQnV5YBDaF3rXXTTAlRKDbCOqwn/OjmJIGIxaJHS1Lr7dk56juMZ7T7MZUpL0ac7T BEqWnv0COj9tH8+QXIZXkR22nKVYSbz3G5SLDZIgNRlSHlTGb3fyY5AVQPvp7YrFT1b334rvFOb6 IlpoVnhsWeKYxtvNAsxN5aagLN4ptoDRYdTMgCVP2NBEcD0Ce9Kphol5C96+dbUZnzFEEeQUnTu6 nF8BrgRzzbqFPxgouCfmXlkQMUMTgL5/eSyFEKpAg+E1fUA84hkhUDqkOwDwPfZy1u64wcLnht7d GkmjWfCbD3eR2HlCPCvaCTdVApP+ZX1Ftsa5E1WNmNL8YEbKzzn0M3ltOhlyxLEw4yYVXaMfEDqR aamZiWfu5fvLPQ+oY8SRLIRrjSUT8tqLeFDmXeZFI1kVt5eybozNZgZV01osYumvWv+Bd6Lvh0D8 Xla37T6oIKG2x3qlBWDOL3AOjA8aL0fS5LH12BcFiYTyzEPLkpb4jjUP/EEVWTa15xHrbasn2ND8 +QmJWWLhytl9gHfV3F0wRXvJ1eyNow0Wn9kBUrdPMgT6/nNBHVlSnuKXwOuxOBsCE6onC2bcS8AA WeXKKkfdFrPS6CaZMqX1bPYXwodI6y96m5YuFrtKoUXPubOzPVuFj5ZUorQ6oO40UcnVHxM6eaj3 qrHNnhj9syYbRGOWos7dKcmgjvO0o8JWoFlSjUa/ySFhZQnMttvla+GCA+R/lbQ/n71ctcezMRGg La26fQezCdKCLgYYKLbMMskp2ih9SOBS8zI8zaEQJMpHmw7ekimCfJZzQ3BSKzeEeOhA0bKoLWaF ceC6CHdsn/nswIfFvRWHvUyH+cQI2rkRLIDBbtTDRLC5vArmiYegsDfSt3RbvYLcBSuiW0gSgUBl gSKoodvskf1kuiDZwzkKM9C2dANlOYaU1yt7YaqeWMTn3GNNi8f6ntM61bFiy5FzdQtxWos1hHKd z3j4lW9OA4ga+tij62IEDeQXhg95nS9NuPqTyIMXAPaCtBG1OPOP7Og/VOCrZT/6N6wAzjbN6ypY 0ruoAeKeSakYMOPcmaQ940Q7lV4RJYZI1M6uJLYVHWfFKknfEYdO6uID3z23Ey/HiAqH+VWeyp5b P5obBp55TbH1M42n7zC5JhYvLvMOqsX6018YY/gUaqYaK6JwLCmhG/aLU6vGKCcq4u1K+kyXYuR4 oEL1mm0l1skXHjFYzJ95bG5uPMCjkS/3ry0Gmrn6GaH4/nEtVOk/AxMcrNnwXn7jG+dc0HSC72Tx zAvQfEeUH2zyD9Oh4fW6hzsBJrGQZMFdkG5wJ6JjjRdH9G7ZKDXDealmHGTMdUCKZA+MrEQdKwi3 TsXU6b7ihwNZEA4fSA9HUP5cCd/Z+lS1w4fRWwgwPl4V81BjVQIm8Jk1eozmDjjQqHRSe5rTVlnp qkujxAJ761bcOvQtxy4gqxgU3J3aT6VhAcAhwFyJPDzke+KKXQZM6Cbyk5nKcMmXviLnFeC/IZlK MnkFAnXD2HfRtBDhWzRCwkBU9v+IrPR73mlktSdn72rG7DaN2vtzqG9yw6D+nFcm/AJDNIhHys9y 3RYGzOi3COkMp/hMr1CyTRPbf5BGSSKjUerlfFp6848Re7lenkM27iAO6lxAF0aAARa2FIliXDdY thzmEACBdtTkseklfJie2jzvj/JeefeomJ/sme0BsxSgFrIFlVaDJV6grYpUJ24yaOgg+j18UnDG ILBFWHKLV/z5+USBVKKpdb7uEC9DEErwwZ9bwLKReZijVrflju+PW7aUx9QUjBapAwo2otZPZFCK E4BIZfP5NogwrrDDEb5w5T8NJs+Uzm86D1Bnd53rM6sdFBx4LF1KOuUbuHjeeZ1vn0D3dG72cCpx hF2ebc0KopVmXvjh1oLHSEggCV+cuaDdyI74Xph509z9TqiyYczEVpdzLr+IqUOxy3kyiymTFinR tvH6wElU9bNZyTupmLKwOgc04aFCHRDIJerm4ZCmA0mHl8/TdMFgz3k86lGVkauhOTdTRQaXO7eA Ql+uqdzxqmFAWmTWGoIVncDfxLdeCLiPvz1bGovaazBPqOZxaz85ma0xzHjrytItspuq9aG5LqVy OSJCOxevJEb5mo23wwfTsNSjkqQ5HqTqqH5YsEKfGa2qUymiIcP+/uBceMAK7zsnTj3uTN8jJFkt jiZ1CgKfEb6VZjo4uedflBXibufuH4g/SYUGWLad6Z3RPkqexdDuXqVnm3IGmIzBJvgXUsr2Pjds Djjc3RikpMFhEl2dQB194acaG/+OrzRR7Lsb7HXLT9tKwema5Oljq/ZQ95sCujPPNSHy9kAb1CJj TqVse5amwrUlqOheFvSv9kq9ncD7DC0J7fNpFdtTIynwBQF+X8B4AuX6hluGZBVD2BWrQVlgAArL Et8vG/zOkE8e1f6RGxjL7vDlvX9grwd29eq2DME/nLwoZ3A4CDK8XFksbeEA4tXa3JT8O/O0qkaH xUUbEzXmjJIfxLzeC2Hg+vPS9B4xea+TRo9CaJWJrGH9n1FW8YJa+t3HrUn67Spq0oIogopd/fPX nV/81GPQMduKxv2udIE7MvROC6B5VSKcTLT/ONqnNMnJC/F+qs0JS9jcyKclY+lby/qAFyjsaoCy rwLMaAfxnWcFau6xwIodhiRqQraNfHDzq2P9UzmLvyjl5oS99hxTkSUZnWlNu2P4aSWAKTW73ZPZ Kq5hihU6TTsGHcHAySmYbzaXNuRs90KcuQxjIND21B/HHepyJSfZV1XPiRkMKqxBHPcjKYahK8rS 2+owt0frsF7jkr4jTA5BUbAQ/waz5722qW7W++hH+j4iL37IswIwepGbxFgwTxHlwogxqsPaHWmX kB15q+h88rtBrJz+rwmELokKl5e70vnNsFPdxX7GQVI1z5j+1P1p161XUnCjTTllzRuLVHcuYy2a Kmo8NDJfac8i9BGUf5OBcDthylpxabFiEY9TdxppPXTigZI9JaT2dpWXET1LDww2IgJPw9o//am4 QhfPVq5CMTtkdUpH9RHjEEgaTc87C3C6eMbF+ExkWpYqMzRiaSqWd9tqjvlfulWaZPxGHl0qFw7n YRStMQnOfcWUdYzK4oBRX1eY9Ya+X6d+8v+QrtxmPw+OUpaEtSEIM0DASatoTAZqAvTN+MmKr+sG WMek5KNTRZFbu4LW9AQbSVCS691uxdkvVlppxGZSE7IADZMpkrmV+cZ7w8hUg+45Hj6TOmjKeGKC UuXZs1GTH1LFArYD+2UCx3XBoGPlKK/ZimIaTjywRvjs6L6qej46MoSfaLhKa7INhwd/8jfLmrDa Du1eNBdkDmMzO8mhhP4PfDd63ayoqSUTHU3IgSbKkAsphp8Bthq7ptassHUZKm4jAjMqic22nC9j YoWYPso0Kgu8KPifI9a9SbvjehQ7v5TQjeA/UvcuniLILcQaoLOzyhPPCASMyss2ghIUKopn7+Sa //XusmzdU5FEOadoK+7sC0TUkWK74WDuA5wteT3UTM0jgrkkcCGT5TEKd1iipgb3oChiwhliDBN+ Z6FBsgiccummB2xjNfn/pvyu75VhJq79pJAUA+otDy3zGv22e58jRwzeT5Bxj14F7ziPHmpNiW1C ySDXvOkvAIFNKf/SS2rG36EFK1rKtyQK7ZyNmlUyqKnO4CCRlryYG9l2UiwvBP+QSVAQ80DmZIYS Tc5EnOI2lrJWzZ92QrKcUsbzoJlf+mV/dqkQHTGe7hQ4doBaLIUChkNHof5UmVRltNxMLkJKU4v4 SzJyZEh2WO0fRwDVpsK6IopGj/NZ7Xem36GJgvdlaJtWMJ2rheep8GbYfuvNRHhqDU0p2RnxjPPM jLvKWJsBfY2s6OHBhmZvHLgB1QoyaIvJ6kn/IeF1mexDTNafYnAq1CEagIjR1gT2YC26d9geLLhN h89acBIHLtWRJKWVBMepXAf973sEIQO/Err5SXDebRolUA9bdk1dS0tvs/CP+AB8JfL5GjoBr1iE 2MksqGs9rCTjMM0ZS98Gz+YwLbHlvBTURP8yoweAvhpvATfUT1J4EDcQxSGWO+0T8JkERNJTnHX6 z0gzaO4RsviSSi9xqB0SkkKTX+bpva4kk1bU3OgM4aPAoXYXyuQSSNVH1V6woAuJ+dSJ0pmEgB2Y 0PPsgxHK7vCRZ37pO88AnkCaJ7UxblKho7bJ3o9ByMjHGrq2iYqJndykwSsZfrALDg6RhTNF26Z7 ob6bQztylWSacKhw0dG837B+TKLs7SnIgbXE4vKTJAJ1qJdri9Jdpb5QT2K6DXn9XjZgkJ7Lf+0B mjHVGeGkZ1il6xy5+wyqckj2UYjLcrAQ5xj8gKFVgc6vYCJlIUuN3X2XMr0K6lEtYlDFaL/4zId7 pH4hpvnh2gSV3ISpsuIwxESD84aAYPWBKPJQC4w7ss7R0YN1Iy6PCrCxLFGqntc+8cuZm1gq8psE smz+lnCJM8L0IvcReJRruqFU4cRg+BxrWDXklk2ioGZjd2t5vO11AjwM7yRzFdHq1zSYfKg+4el0 eJNVCDLj0Qd2FJZKAErHGezEIkIBj0ARO4JXoQ0TQSp6JFFIzJuATwU+CQQvMNGtfrlC8xl4JUFr m7KZD4I034txsoeFute78koVrfz3euvaC4wRtblODPg/xf9EgTNIZjUSUSe/mSFFdBTRRahHWX/5 IUGhHFCqKKpfmpOggTM4D5HgRRxSyqJXVgRSsuaufXBJPi/FZK7rXBsgZ6qbyOgbCMlRgduNAtSq f5Hy8irf/FqMiEMZ19CdKTPgqwv2FgDvqCwCaGUFtfnxRdIieVSEIytlxhmENF6r/gjP6VTs4VXl R8ZNv0FJc5fasdv+6h7VY+AkK7ORnrFjYPgJ/4Xa3k6Sj9pBguVdXcZVQhgqjdsmBm08XXWhhQJ/ 2Ibk5rLhWmsXYcwI0oDrmGXvVTcatBSXyrdMT99b3gW9l02QiYrvjNpNwZPhE0450e+FsniUH3rp 8hGzT84lyZ8M7QBMgjKP9MuQU6rdeo3q4xUIUmRSif+HYDjb/SqUSJWnFBMv57gRMH4SAYmJjzp7 voIE4KVow3SqzHMHw3Z/w2EjuI95JBk4Q9iAFAFD3Q34VL4gSKbOunwItsp820f9+HjoY5I+/1/c 5W5+bdEG34vbdpGdxvWbgwhO4j++9rzurXKBTymWxEskZLIHcjfuazsIjo2uT3ZUJIjCbySGXWAj Q4HAwh7nkdn3blqlxbvNIafEXtBziC1jdNwXzMK6C5soMx7ov54TJAeBxDMHpdKETArAvfWbvfxQ ComFa71NG7BWzeXm+65u7xCww/bdUlu4I4a4UtikG6pVnA2ZDDo+Eerpq9RlNtMP+43MJXjnhlXW NuSsJuiLtSUmODk5XbLfIF2ytPJvdCS7Y1l7wmU34EYGfr6PKSHfYCi/Nvfh+0smDeLVI9Iomhkp IhuBbE9+SylNO6+SwAWIbpDDPowuETEamTTySqq2lwDT3oIJcxpSy8NhgCDmHwy5qFNh0+Rm44Pn yY7ubfuO1hYWROOaTJrN/uiltEN+HfaFFQvXrVoZT98OLtPA4UL1OtWrRrE+/VsvUlkdvS632XNi bfrLJk42fpPymEkf0lRSPCHJqnSmH9qibIjtfbUlSjA5oSCeY/hU5NmB/t6mfL/6wX3bAe0K5JJ2 TF/n867qUMD/nAWn2LEXtTzUSrMYEPodKq06coDYoXCzv+rEwsxB1rbOdzKClAQSmN4wsGAD0p8Y 0uTx+td3Owms09YIbgdJtO/qeMIaO9zPQHqNjSvnBOi261aoFjvjEFSZu7mfBVqTK+zXUJnN7s/Z azv6A1DpEoTH71vPO7YQXxdozViXseZsOL8PhhE5TnwHgzPsGHw64yUoZCIbCEHPx8kD5EYSjxJ8 X/DIm5o9lfy7sgDgtcjwgW1tfO6X/QA+Nlpt6G0gpZdWDtXj/7Im7kQmcHln8ITBbe/3Rm06MqI5 4AmZOZJVE5tSv9Og63d2H15rZQ0FC4wGK7u+pcvkUv2wK9z4eD/uAq6FFEOOpy/Nyg+2CJVaMKcw KGWSeON8f76HvarkS7XZ2YX3geevkaNCkfL1SlFdjaedfNhUv9zYm65NPVaYI6jlK2L4AeGEh+WI xxCtyjZZTT9rL38ARuu7XfruiDRxthqpdYSRxRCNZCtBADfkolRi+vtHP0jybe1PLwaaN2VJh8EW 3Uoti6JC9RjRUxVo0n3ofS7aD/oh30kbcBIjYeCM9m0jeZuoM662kLOuhpwJoK+Rgg70vrstAJs6 Uu6gp0GalIq/f8HhIilVt0PYjQtQaNlIdsiyV18PiHrGqicZ4cP1lBKwBWwmYFfw+XME6JKZZpu/ JrbH56i6zu277EiB3Uc8xsBjYZvS43tRHZW3QW9I0dcLDAqgnW9pVreXVktP2899S8h2XDS1tp1M +TDlggSoOqDG3k0PnW/8lbPdOKBhuG7A1LAvmCnYCP1oCwhouJxDKLI7ghf0r30LRJYQZxtz9v9B NXWDLsN2Ps/2GEqP09dDBaNfjwj5OWaeYXJveSNwwppIDPZ5qfqejPsvUPFplUx2xf/MTAU5fXFk MnI+vZ0egEl3HDDClt+1LNgmY0HJM/WUFFX7Lew7EBT6pXHXAORTyHu1BnXa2fMwz3vDrMUoqGct 4tnieOyLJnuKwValjJFq5Qqd/OFhRHvMmAohZdavG+yEYx75SbVo/0FBuwGm6VWOXvYO2mW1gXln WnNrV7nJ4J1CnIvPaZb7YFC8bP0OwllMwAAGvve7BuI2DNT6KWlLh6BZAMxRERNYb1Jsp7VrLFUA CsexHCT3zrAW9RuYDYqUvS21o+qkL/cmkW9AlJc3oxxVcS9bBQWwvp/SSbXU7JqHlhGH0Me/h+1c qE7zy6V+zCH/P2A1N2M9HUuJdAC4ag+NWtsjKKLobsUa8tM8lgt2zz6uQhUguq/Q6gBUwNuF7hPA gWUeh3BEP1JzlLUC7vMjDOiQs0DnO6evAC5meJQyqSHZWzHcmuRAOUy4Ldw3PrvfwiwwFI+pBFDM Eer0za4A+N9De5rIOXuwVoWGfnzOeBM4CK/6U11vWYG8LGyDOWnR2ZLPs/9yT9RkkM4dWRXAjYhG BGiwwNWqxls93Zm6QFdSs7xkIZ0OtjgU0a8MytmtN/9dx1k4SjJ+3TJEz3Ecz8wcKkmjVlcz3Uqr xeTl1JPGtB/a6rQyNkXnT7/2jMBH4yXEj64TxOGsrDRAVSekIhi4VmRKyvF1MMVXYcudXeBPnXHa eUMqjoZX4l0jpHIQcg6/7Y688F4BH1Vo3jYBhFsc+jQ7o3SRJIXgrZTPR2MgUpw0+zTcN9WADYHe sV1NzJAhOuXWgO5AFhxcg0fsE2hfXJrDEgDvnqAej9YKY777cwz9dQ5bGz4YAbk7TEwTsnVlUVyu zxs/F6YDCQ8y+L91Ty6bGTMMihrCq164KRevoklMWkvFfLHrJ2foFWOHeGcsnaBue5nQyC1QqvFq wQB5XSha3Nkttf2WwqZnChLr4jJw0IAPQAIiH7WBUnKypkMTtPJ1UyzZ21QGRu/RCEWXs0b85b+K CL4t3q+v7+Nutspu2BnueA2HXtBYiUkf20bqHLS2/C41E8pthSeleEY4DSM7LPC+0jY6mcRj5yiP f+4nL1XCK+lCa3tZJpKrvsCQSsspbUVMB32ziSQQ6BZ1jpJupSnbI/ypDSI3lVhhchJATQ7btBbI i5pUVrNoToEGeqs8KPVw2JMH40AcPMpI6iUXcuFYGYQB9M/w4Ny4GSH46h2Sn3gcaF3E+Y3s5iBq LMmIGlZWPJq5QTmE/Z73zh+d81Xlgx2OJJX6ouPQlMQOOr1d2q8SlRsJ1W5A7Hqo+7x8PGwPh8Kf poQWa2rHBIsvYeZrR2mQhkWN5zu12X5Y74cwe/N4WPgIE2FS4fsmz0H1imn6RTXh3dSZbS8N2sO8 B0hfFSk/DmNCyJYnUL/BAVFGNtg11iJKJj2vWsV95tSq9BPPiHVQySRsxsinYBHyIEYVEDe2T6It oHc2gaz9nkH4SkpZZjUHOJksuVBSk1XWkRKpxltlqaLUsBcMUE+Kx3sphUlbpq1FyTGOv7fVGDNY uJzm0hgTD8jye0D1y1116KDhfzuuHTrHkhO1DOuzKbBqhWYZvIit9D3wGZ55us3B9jmnUFHzXcpP UANX5Q6TEUbdGsluFOR9heB72fFG0aRwOnmH9zPsJIV1LjGYhHLUo7odqbW9UBSYxB5s4wZz356v DkyJAy77F9/ky7aPzO3KLZMyxVwCfjfQLmBkTc2oGMNg41A9AJn2pWGeI/PN87wE3GvgXLObfsX+ 9OeNH0HIq+frzEqBJqnTA7KzEosS90QgKBOE1Yd9ntWdd81FmOUUfxYpUen1wysTMg3v4XQtSdr+ 1qtbbaNeWFwvhMPSpyQhyV1wMEjUXWOfo90GO2MKjmRj0BajVMIGNtBBEyaLqVPL1d0NAoiaGEuA KG0wptLhvRV6+u1ZrCFf3vmxQv55GsyYd+hfMecRVJGwoHtBN45dSc3Zyg6dTG/717AzhzLiR7cS smJV/355DAFfr6CkN6TWNd8QEtA4v8UkS+ZjGmudUVSIQUeETs1XFePdg/bPF94Pq6Zxp9qpaYv9 JeTLeRmDFBz5K4fld4u+7gf89f6OucZh66krnTUrMjo5sW2+J6JQVqWxlX9MbLHCLBuo15yqng92 ftKk8M/x7qy34SVbZYGF6TpoLqxPYVOKddv+WBpVWmRQkp9azzFdRJxWnsjIyMOCnEBJqfPpgANX gLPcdKuo40bDFo363aN/3eFb5rWgWTIbCs4Cs4o9A5eTITzgku9uJ3ve9jpysSUZYZbwEHjIzbp1 HFFUadis40t6bYiuCvXbgJqPJ1h6+xAs+CSWGV7EZlt0jKc2uvy/O4RCJl2rqsJRSwE4ttpFLeco kL2jkShdOak2fyxwhZF6eSLarTWXK5b7TVmGXcwohKLClm6rHGxfkwAwMa6EOK1RZgsoJCpOCJyo f2expfSlYRj7Rsypr0O/PqL6OWWpZkSivavFKfussX8WOsErYbqPcXQpKi9uNp3YDyYxNNMAhsTX DqCavhWHmmPrvgrgTayltKXHt22JTe4EC/wf6mON307p7vH3s4JVxPUbt7zQG+9O4VHk6HUsTCRz XPZbFQZ+CVQ2v87EH9mrGu1xLWHdb1tDCkvpp+7e1VkldQ0DfcVCPD8QhmKqP9OjAMRUPxYtA/W2 DP48Ppwx0f3lWcw12GQ9eGyIrL+uP/8sqqGcV3TdXUC6oS5GLWleI40ekfK1/9eoBJ2OJ3rZuyR+ GYhleIzMs/wnJQUoo+Z5T0bRthBxvsDO2RSg888eD23yZD3WTfgmU/t6iU9ANI3FhEv/9Dl5hry3 3W8A7zTQ85k9p/Z7RhOxkc06JKP3IDyP6Lqzk2xxQf63GS49wdBDhK4LnWHQ34DBr/4Wp7XFIbhh 4dBLiMgBbuWp3VK5Q3v7wU7KTEO0tIPjbZrBq7UNweETrbeQvljo1Bvu8hpyKOkheYZgI7LO6MEv FvIufK/M0VzUkaeAyUkHqAmMphyWNtIYVJ0r8SR7xkRuBIq48uKR4OgS0i+MyVe0DFxYVd++q+4W vEY77WY0CTi61FynGD0vtwP7X5BXNmGTvyaLWOVobUKkYq9uiDG3Ufwnd3P6IiamCsJHiQ6mykBc mKukTV6rSZpG8515C0GoEyanOglQYu1i+gflXxOyP5c7l6g+zW3Tx29k3TZnyKpFZUjBUzldWEP8 7e3/YuKxZtbrDITVcgykdYzLBiXiSiOdXtUx/euC7H0dn4UBJnedqU5gnxaA+3/cqsQJmrSzZVAL JdF6u8K/EiGfKJyvXpC7K9Ww6mjfweoaAABsg+L9u/wZwBXZjAyXzilDnvTvJ8zjrl9Zxvh+pgyq OmZ1XT85h9LS/n83Sk/TnnUh/kypdQUsLYJFpeATuTMSSR1+bax0knViG3ubb16D0DKz1ZSyS7zw 1Mq6tWJHxo9CmAbpGZ17n/Cakg/iBWyAgHgqz3qAykOYnO+lIfrUZdURXg1gcM4l3zJX1voX3wMo HOnF3WtYPNXdQmVLvYlk7rFT14jrzqeESOfL5MyDD0xB6TxdyIU8TWCWMcM1iA1iwXriZ4Idtbgs eu64lqttvKzgFoirbD5O4/KP8LLsaN4vfaqeb7WZHaQaMukuTPZD9KfUu0uTYRGXXjHF2xhEJ27s qPKCmTkdllhWbASJnEEai41AgLUthT0lv5lHWF9eQ1swfR6+bgfn7nmdHx9q4XJKjsqhUxVXY2NU u2QSw66AQAVrv6rWW2KG8WyaYRDj1fxbsXMMiogAl6x4POh2fiGzUWlT0xJIM3V60DIAaMC/u+4y /Yv9MqyprFVkuVjOoi57O2of2KLBoH866bP39iFBd9hoDTVV1cyqtjH9B5egHz+LTvCSnYZ4Sq5O Ggo9DfY0H+xxmEDasGDPNtORNmZ69BGLpmwgJkNBB6Do2AjjIcOzIIJ6PatvmTL2EVAjYD0utrds vkd+ga4NNpBJB9ljyTTuUEz5xPMly99nkb+9MbCX2aV8LTsoQfsQfbGKrJpU40/VunK2sfdn3W22 +/fL7xQZDpjKTeJvsUmtThJkHSwhWvCkMq9411Mq3q+chrx48k7WzoqYTDC2mNJla0k+DkdO1gZv TS3br5gRsWzztrfMT5J/NMYqfWOUg6569NwMZIYeYEXWnqsIdoo0XMHAsyU9q4dOFAHCaj8cvYRu wiGyQaguHmC5WChblc2X7041u5Cej8PtU4sTuwHCFmG6bI+83jMEu7uMj3xr1ml2n3GDUk7aD5/K zQ8X9hXW0Efndb58IAorYc2qqGIWwu95YG71gYHyxgslPbaZca+jKgK7Mnx2U7tBgrDBv1tT1eSZ FYOugLOmq7yCf1nYVmsmYT77ow1sfq6tTBvVJ21kR2YfQXadP+1d8Z01ya7x3w5V6hVlJIVRPpal goTcU/jHmB7DmzLYq/1CpEcmA3N0o8nSiSGT/6AskFRf65iJ2HWD3JlHCIQ8nMBQ44prbaEEhJmo Cd9CpxuFJJ1wWQbziZboHLUmntz+MHbphmkuULUng6Dm83RzJxWDXDpZN6izOeFZAPjhCYHlJV8t Iz9tjh5VJatatz6kp55s+2lw9ZUsxNT/ubFq0YXd4r9z+IosJeuUPwDJ7qYxBHdiph37REz2HNL5 b39K35mzqG4o6muIxepWJzIZE2Q1Ru/5gfWnuoEnMn4pEPo75RhscMNXRrgk2dEmd1iZw3XgG0N2 ZN9KLtqC8Ljykh0pHsc3vFkWw/vxjtu/Mah6crICF4ZsPB+mOGjCkVPnMk2aghBfxgfNSV1bSieR InhYsMEv9goyu8Lg6RkRPu9vf4lQNbXWJq/9q8oh3HumQYIZPkovtQafjKnPkkI7r/+fqhCKv3e3 RtCGRQcmXOv3r+e7UMc+KS4ro1GC0CW7AOj9OiQHQwqMtE6wfmBWPmJv0Xzm95rxM2M+rsk4xs5o 3GL/ezFJP0M3/e5RSBxW3K8ws5uAoADSl0v7chQdCgLY31xy5jX1K4dwmn5eQ3J6F+Hxe5NFUl0u dP8xy3M394YDsSirx3liuD/c54tRUfOtldmIHtTM/TqsyVR4TORTWojupf+MVp6KwUCB5x5gMVxn mmvetN67W/kpZZdEEtFYNXakt3QtRl/LFaw3RGCbHODx7M728LsM9Jb4vFlMZ61JzDFWpEdoB94K mzTWogrzFuR/Oq3fyYkokyL/RTtFX8bAvkJLGbSWQA2Q5xkUEfUFbsIfrR0axDEr1W9cmA+Butsd crTlX9Q/CGkO0OOvoOKb/iqwnxAxcZgdEB17I9W94dSL+Wvq1/nqdqV1YosfPtkS7GQxf83+wP5w bBKYjGefZacZjJSOkTh2N/2/uhlKv9WSKn/L6rpXi1D5LFJOM5WnI0DhBe/wTvPYXxPNL4odrtqw E2Wsaqkoxyka7AcGizdo3JRt8/DM5UlL+L1TMnHXoJyxcWlv5UrdVEuTJOw0f7TnyOUqoEOJI+fN zDxFtWQwE/51E/me4l/mG1m7vTqHXsnFjmIYQ1SKbEEMBaGpakXFdsMC+LfTJmRcZJwFFUW9kT4s woxwMXib1/jrCDDMi6TZzoQRqEdv68izejevh7W1irvP+DbnFVjzFUy3IS/k+ol0iUhdS0dZH92/ HoidKwi3bgNJF6VHnPam+9fyZ+k3b2GUlj9tD7L/XayxpE9e4kLwSeqJVqSte59QeswSSsiyEnVL u3s5KKh6kHR4f9MvM7Wbm8UMzHl6Vcl6CTA3UYcyiekV6RWSEDmnQ0LkirU7fqJ88iSIHIWM6Rle 0Xo7RXqp5pWBQ0nCrYcCnmndZJSILcfrXZNc+pqkGyGP9X+m/9iIlOBJPWyLZ4epAuUekvZj9ZTW PhezPnEAaxncEx1igZ4Hm5H2drPw9vAqHanP3vvRxkO6aDyLIiIHYkOszH8kVwES7y3Wl3nwNFKn 9LT6obdOI8418aNsTYs5T99HZ1bZoJuKbgwrpwdT7RQNVknpFk1A2Vwdjtz+1o+8gigUC/6AmxBr 9VGiGUtZfnzrM84aEVEAuYMrjUj8FqJrvy+cf0GCLFNk0/Nat+MvGPy9qVh3mD203T1dppHmhQxk fy/vZWFq0C+F+TzSVuBmQJS//vOk3jtk0akGVU3G1e7d677DIVW5XudF8Hi7LPmGMQJcRn6+in1D 77+thf6kB/uO3tJHfB5kPNMpAvJvl4cs30vxNk+AiIbldlliaJeF9bNa8q+BdYF9x/kFE2ib5Bqt r76yhDbyB6qxhcKOgLZSlHhU8LLMOTODHGqwTcb59FwIDLrrMc6VGIvsheo1lluJMdgH84IP6fmq yEDBXYIU+Es7fTQB05qbWBmGo5s1DK9PdAoR0My1yiC2upuB0RqfeDSAX2VFXsfNilZcb22bqAh3 i/tzuD/NPS7n9BxFJVBLUw45RfidrkRox/9JvHkKWXvfY1YHW2leOxe0eSWUth+uwwcTv78oS9cy U9ngp3y8Vhkkz17EbbqEc84I4ca0GsvalSMjlGRbuBIX9hjD8l738RovaBvjuRFxLi33QCj1Geyw KSUQHAt16kn9ToyOO+AlvOV52Uy5rOgBx+/bOJGdTgOdUJ9K2QbjkV9NQUVqmO0lmCbiO+uZKI1U z5HH+66ic4euLYO49S3koxBpNCM4X8G6SP9lRe5OtqoxJkLXQQwJIO+z38ooBSnYRR5lUg0cYXEB RLk6ww87jUSvDZagiUagjj+bI8V0ZF9ezj1JpPYm9Q83RpgZli/gmsskFgwhNVfKWSj5cU6lJn5K eZGULcwLDrlXkaOCLM6pXpp0BnhRy3UAFzDzV1ANuDYc8Cg5Nry1rAIJ2oyA3/FeJ/xuu7HTWkrn Oe4UyJhI2/GAwz8gEvVDHpXs32GY0aoh8M1b+9QHo7hTfa5+EnriDNOe8vlOJOgmiSMDMw0yBYZy FQOW1uvA1+Z1Hbm3TdPAeup7jkKI3LXMRHscgW2lT5EbFXBgT/yzsEYN2oZ8mT6yP/ajpErRzLka CJOhRAfRWjJ0XDBLIwJNgluRl1ebbfExuCNpxIWDbclvuqe9mYUqDJSYYUmIY+gYoPfuZfrPJ1XI h35D1grlSembDGiHjl2JdM6Kvpxv6XMIThlbOmrTI3aNHWaEqDmG61a2dedBV/ndJCuqdDBmIF0R N91rRMUkySsdoyMHpA1apMImOD0wE6ap52nUI6r3K+Wre54H7kMA1sgD04srFjzboXhauKeRRx+W BAwgrp/uOMFuH84MCGYmqFCifNlVRdsEgWyB5gxQ9tdIwnRECxET8nsuyKimrAGPQx2PXg4epwDF PX0th1wHBIULdk+y8fLiHfX6/Bc3uqM2AXYYBRx9wvfm8XDDtMaXqgZnVxJRHJnCOnOiiBYDME1U iDxBwk61TbOC31huF0JIYbRunW4l974kH8Rq8b+Ne6hp6J/+oA4Ik1PcvAUdmVhGsj5bF6IBYwlO frW2l2BuPalQCJJyqfaY83vaYgJmTFd0wuMbqdZ198uQ1M6HHr4yhqMDOG+rKdUcXJGH1p8aqs3F QOg3YXaSemVNjt3vscqPxnap7gBOpyS0xcNOqRb6HIdZ0+HitPdiP6nVxysNhlpzYDd6BV2YSWcl BQ82ZIBqgrTQlrLUZLeQ6mOoPtKSlPc4pmoVlC4nGPE1vBbpNAyb97MP54eqBTHNkVcRmtVLi8uf mhkaflhaIJmdILctO1Vp4AZTT/YS8X9YQKkU9v+GY32Yl8Ej3cB+UMu35o/JApsUc0tbotWSGA5j rMWmqKa5Aqml3Q3890/lboWLUGm3XHfe8VHqf4oaDv4CKxtqaN6nr1BgHIkIt/mL32CaupUZNFWA MaSOVnxZVFxglV+5Ie3acL1r0NZKsBcq8AZy/XTuAWaM2dQRKW+Ez/QRsjbz543Amgzi+vCp6qB4 pLIqvNStrV8ehj1+IwDMLXFVOAX3mSWvTGDmEUANTZAW+ObKR3ijCleayD4+MI1YR6L9rJBhi9Mx uLb46ECuvv85jtD9T4kGgVRQZlMHyG31//HbQ/5imDWRvnS6u6qBjDf/oDxF5hP/ajdXFtpZwFwG xjLmxL5iEQobEeUUu9CTb4Vwo1YHwd+9w2bhuM5E028RYgfYkdQcBEumHQUB47oy1/GYfHVs6OgX HdkJSazZ5RjEQg7d/h1sMfcCmKp/npS8AZIZXPTmv8ZWy+QIip9PlYckxbpQutNL+arVyQB2gvJQ mztAKAx6yw0dWQl/7ZLy9duZjhSt7BFcMNulpEL2oCT9eHvsp588kFFUDSLdmoPdMDYOMQqWNJJ0 K/ktb2wSkRtLTooDAgPXRqGyT+9oRg2ko01UQ/JQRrxV7/7ZPmlDOnLSh85nrDtKcyzZQroez4e0 9k9l1B9fE1uOU+Ivfdwdg9qtdMovdAxhMAoO6C6MJYOpA0G1nKCq1ZSybW0bbxoGU3lXjMdZ6yNK M4jOkdt2Y4511b6JOmEyh2W0gKExqI6VxBV99LAepv8GS3U87azszaT8yyru+QIeRBOp51UNeEVy 66jcEez+exaFWgkqctkbVNcHkx8RfcTiWUx7k3m0Ku77tYkj9c8n1yMsaOfNop/yPUjXwpHGbLdS 0khB4T/HKaCt5U4iJ4M0kGLxnfhQO6LM4JPhijossJNOQdbsoMPfvhqw+tNaOCEjty17+U29S5Fu 4DrUuNUpX6hsHtu+bJGf25dEiHdhKH0aliD2jWRevTZFTAkKgbJBep6sCwO98mAawYUGYyP/LzQw He1S12wKMiCxPbxLaWiUSwRG+88s+rzuJY5obFrG/UZ95WgBSgA1uqQly3P2P0WKaeEquugXiqOu BjKuraRTG9veHCrtiBqgIPI82guPmVha6d8qE7gYr0vYKff8DVbHRdOAEO8Z4CfHSkVzqN2CHR1A rQBWrCxPcKY4qORnkAIB3EeG60JU2+ORvb9rSe5o8+tFiw4IPrbEENPkTfldYPPYVUmuQFoUv5Fn iBfQwIjr4/geF2pQClhhkQf2bqoAxqLKXGrrepi900MbdwgJtDqMWn1CRKVawPIuFRHwYO7f8Q/o whdTME0aS+j4zFsqp8T90jGjyEazWW4pCZrW5T+28U7c9Q7qnrvF/0hrOXLZ0TXShp1pJfkilkci h7NO8/7mm/mgDKlGeAWLoaV0HroD1rAB258lUnOQ7j3QF/wjjoXiwuFNki1TXDw+9vgiGzau5Ns9 FkoKFNarovwCqku9pSAfKSAT5hL5d+LAs1vH+kA3QGPr+MNzvcgkN51PZAFY1hEDFWVXcOTm832d +DHcA6x5FPPcwc08nqMaxDoNBxzZ6nLec6u8QZAT3bjPDKNniGte2CrK/d7tdL6SyZdcNIvSrnLY npyLwkgZfPmW8wpE0jS9O3MPv85Kd4rNvbZp+CaTYYE/LeuhgJmBV46b3UCYJhmmwok4xZpoX3ei HLPq4QJ6b0JHVutVVpkS8usyfw4/g3KWLnzwk9VSWccpMzO2nOv/49OnNvUrY7FkVzL2VXn9KHvz MNspzAH+S6LkXgtwzxTCUgTNZCFCCB4joVZZlAZgYZULhDYQylJyQA8/lStmmGtYPbnuuqgJ7Mgp 6k0MqxxrnWah5B8/solEyfyR+bbtc8ZriwxkoLLOvntL2edX419E3swq8mzSo3zI+MmWlBCRzJdr VE7B/69Kz6z3pL+Ey6R1Fq4GNDx6o84dX2Zy/fZFQRAeSbbyZ4gWsoOehKU+wF1LjNBQYGQhq32q sF8hLGrEbbfEr4giMXDcMkdtt6Y8ZqLsu+XFyTcDHzpmu9dPZ5Kyo1Yhsn7SzjX5nCHNycEDLUIO zqSMKRtJr257zlnVZAjvlchloZvxTzchssLspfOBj0mIYDCdcm/lG3Oivd2awcwYI5HHWc9V3o3s rRUOf+4M+xh3Qa6/NxrR3XVm8vtwdtRRGHHr3sbWM67jnHxOSTf25yvnDCHl8lrzekD+xS7zUb7/ MIHtdw5G9DKIrDh+EN6Z/LuvtECpcXQqHd7lOGlyqKguqWRxAHj5G5WyDcw9kFtXzL8Aj/yZUkKz p+RvCezw0fNvhEmC4vXy6MLx1Y7iHfSWVV5IpRty4Cl9hKc2CbKjBsD8fQZX9KNd3Bb/jy/9OOrc 281GG/gUmPVu/q+40RcgtCRTpEjNlTOu83oEISuJjb+wrdNXtV+qYSPsiiwEi6LPqGZFKDiTvCfV l5O4HxSpF0VutDIhhv9F7U02e1IrVfNmUQ41hamNuXywY0ifiIwZJ7gl6d6KGr35u7lWrEzDvAox jatKsMJ9WVC6HjZGfduhmemxx9f5mqh85ii6srfEYwQ5n/vL3rcJKvqYx79bxbYlCuFzbl/waR9I TwUiDAHvFzIN6rIQr3r5QAKsQoF4N+4hd2kNf+1NtklRc0MG97MDzGVk+ysx9CkdKOB4X7yC9RF8 xJRIKrGmQt7rXAqry7jMmHPDJU0iJQm/7mp5U84wl+8vbY4zWJW59Z6z976VoB/FuD+49yS1l5lX VibKvkn2QOsNCwExv3u+ktOIsPHC1gH0FNDfIFRiE7p9wuhsR1mX9EtCtvsgUiDP8DcDz5Cg/zec ZGwQDK9iVCTv5azWuinOe5gka7cI7rsd4XMolQXSX45EOUsnmTcPv/Hn7cwc2c/YT2GAT4QyJzSv 2V3siBUo+flBQ0C/p5C8avPnMh0TnAwpjPfPvZ5vIZJxB/lNF182ttLTcvLg2lx5XUSPmCc6ZlrK tiP+9qiGnYkynRNkYv9kJLp+/tQ0Ao21MZonABRg5s/X8sp3bEdwNsyUpZqxkYvSumxdVUITV/W9 YFVwfQgkWAoSRgQ9fnJZLMAmqq4PGM3uEP19VnwH5K8RoFjLTagpIOhJkLSr1QkyRSCkLbTnruqr CwCzOFCxswJfkF3VXyoHt6INAFQZHHyh/yY03wc99o5AyIIUbRq8Wo4WoHrpe2fkZV4fHw9sNNBG Z7oHA4Cg8RFyUq3q56Dru9VQJ9swV7L3C2bkeNjGn0U2P55XhsYvx5WTW3NZmv3kqDmh7gFUP/C6 VIWNhlykk0dGhgGnZych1XEvAlZLKsWxUzRlEOJ59C7f/8GF7j1aroCEum9L34PMPqaGB7cP3DOR Lw7U1p044hXtYU+5iDriX9y0ao7DTLfUvdKuwhjPVswUrt4wN0oZf/x3n+AqisxUR6Z4VeQzoabN UPAXLYflIjF7AJxhVb66Hz6KbB/TheJDC5mhOjwqknQPcoeR3dv+Umim0285Mc71uvX0Rz7TFEmc R3CIXyz22Foqn+u/5lxfLdWwRyJTgr+Bendl1OI3+FwdVVpfTdwPpPrOzeDdYNyr5bb5ZXMGGc74 u6oSa44z2g1NrKtCZKFV5I67MCU5QBoqSf6tijxing/TPeSpvwUwTna2WINLHNesokfyjBLwoLU3 4pjpI/RNDwiGtrfXzKBWziEguHvVPm6lcTr1yvG0XG2TsCXoZNtM6/eTFNKEufj6xpWVpU5VET8G oENypGswuCLaZsuDE6ah9kL5i9Ke5LgZsY4gy3DJbk6uq+cpFtO7OxEg3UUQ/bDhv1a1mh5qC3Rg TQSYVjBg91rpeI01NH0V6QY8P0JtiTumUiBeNf8j9S9TIn+vzAFU2YIDzsVIVUW2+uiaChwcCm8K nnCB3wzAbS3LrC9XB3mUtjti1sE1a9TFKUfhCx3OyJ96orbx6eQPA+f/nypjmYYBh0liXALJiQsX /FHCopl/0Sr2FL0ypWIU0XI4CNa2zJaww+E9SPSaCnvvnIyd8odkY+FoWFxvHN+YSmePNXXH5ZNv 79TES0tOjR4jQwmYeUu7B6D7WQU7x1JPcULbdFdP11jD9oBH8wuHdhlITIhVxdZLQE6SkKwqZDyP 0w2Y6tEdSMtTSQXg3LmFVYe8uxHLAjSCez3qW/LduiXIRFuOxXMGFX5iYHir8nkfZLKpbmOIF6n9 C7/Nup2S2VF+ZXE5LpcfJ+kaZ5dIhBy9UHDj1JUCc4s6oDRWwW8+U7Mj+Eb+vI1o9SUXegnyzq7t hJMbgNWjbOqxdabBl5mW0n6zqKzTkqzlStf2epWWrYfH9UjyQsdidrKz5oAf1asnUFRNogITFPor cReMgcogs0IehHBSDXGIDp2OTD64hgLFmLtlwbs1SZ+KyXHdsriREiNBdtHDVyIWHp3aSfqprf2w rd297X3J+ez6e7oy71jrw3KgRZZ5Z2HZujpPqINm6Y4kmVy1A67PmbqiRUvplQgcyAPPombwiQOG fLMY1q36AMvff5n290BxmgEHmmje2KC+OjnlmUPnYPZyyWOOG71Xj6Qd5PQbTrO1WsJEz3REsIyq EVU6Cw8iHlKP0O2PJIj4xVpncW/zgDdZQqeMI+3/LOorQxk9S0QiEsh+bn9r4d7uxhuDGVWSyWJT SOamjvfz3I3ru84x7xvUcA8r4XoIALM2rgWYs0FUe0aJAIc2DquoCwgRsVw1A3+QuWq457eo0ort qi4zWnbSjuehwVTURHIXOG2czrU0ZpxamlgEfr7zULYgW6OJMknqI2cJ+y7Dzr+YTLyRj5rF+DEE oaDpdPihKbyYlnKJz4PJLIwZ7EVRSS/9KgCtm5nO2hftRDyHY9tcYp1uQOhST/5NiKCa0stwVE7z ybK2+Yc7NMMd2IIuAXRVxesNP7lRCr7hUivFuyvmJJlW4KRF4h5fLGv9tClh8Kyu09y5U/vNcghX Dq5MBr+syDnkxJkZ65dErHjbf4ARl4GDzMJsXyHhPDMLRRALTVCbg7BKBM+GM7MYhCb1Bjq6T0fa PIYsvBxc+yHMrD750mniqufr3qzrpFhdu1QqYpBIU0O3Yc7i6CQmChAMz2cBe73s8scQyPZv3a5z ha9TU7V8jeKASuEWU7EIcZV+WBaSlbwcHUBa3T7HgEH5O1E03Afkw7/LhwYb5FA+nlQ8UAp4M9nk ebZ5cPvleZ3vEl+0RVhG/MWgbRhH7zkM5t8HbEbIqgzziodQjYkByxj5hU9D0oAUCAr33gOu2OcW dlOIYaOHaUfwEEgICQAotnZxwimVluoh+FjElJwn8TcZ60HGNAVYrAyWblR9H1xo0KCBOchk/+gl p6P4K2CmbMPCg/tCHcbtNd6fuiParuwAaD7kcxTh03z8TV/jsSQfsF1Wf+nUwbTpP9VoPrBQ9BaJ z2HHU4HI7v9RSJsRGI5WGGBXwVWhqiUWoqe9cWn5mvianhyMC3Yt6DpBZPetrN0nkoP8apmgbo8o rzXUx3ImtMbU6TzKajhQ5d+foTaGKWq1PnfYPLIDKDFW+Zw71aLrHUPDPn8j6vEImDJrQD3I2PHo SSMWlfZw8jHpdzZqEhAQ4y5X1mlbpCoP/vH9J68jTmza9BObLoBzbmNc0YWFZTUKBVDLgRkvvnio H3C3aHLCoNfyodkG4VHKBByr3jKssKsPRt0Dn8Au6qZQoV9tyA9AtccTv0L/Lt8BG9nlygenNpBs eeI9s8G0eR/IdgbPCWN+S6CiXsVAk58AG6c+vMEYYlfu+Rn4UC4GisnAsEUYrpLD7s/LcYaGuYqu cGfAkIOqFyseQ6c7v4f5jLP26cH/uoVXE1iesNqc3Hhwj+OgiuWqD9eQhf8FT3IyIJuZwrSnqb42 IsEKq3mV5iSc2ysMzUzsgbJibNMRYnXJ+R4ucScSaaTFGf4XhZFE6jOJjaDRuM7YKeKe8xeIO3Rg T051dYQAS978B1A9FXA1d3VGQ4CdXSsvWj/ZLx53dOkVBXZJDWEvtXekCKMrvZnpcQvfpQqKQ4ve FsYqJJcN/qCEeTo3BPryoV8FCQvRy96AGVWUZpGBVoWTzLhya5vWBOolGVdgFTzBmOum/PC/Hujp ed9ejeEWJZTutlvtCNyG9Yc/+QJQ+94z0taIZdF8s28SPvkLZrFqin04ChZwMmK64CsxXfrlxjgi YdSVK9HS+gHeZMZSmE9xRcwuhhnYfBNTStmgM+vVX1LEWG3S8kK26vAF40P076D00orit+dZG3U+ QgijuSqDH03WskTFHfHNaF0RMa9UXQFRChzbD0oVRWmYiU507HhWAbZQ/6cpF+FHv/6D07neUIXG LexM//jC0dwU0uHMQBcb9x1wvXGhYFiGiwdlG+0vovwl/pptHK+xHCQ8259M0LrJSYmPg4a5EVML 8MaFseeU2eHtOG6VS+FI5D+h6CbJpfm3BDpzUdRoUYMQhx8Uu2zRRQseObmZqyx+VcGT5vTIBBfY mBfO5nnul2cw20pYKfDFoftTkxLQg4Jdv4zz6iGYvFyv9SuNa2jeDEbsDhGxQ0sGCwlMoxy4pd+z lgD6wLOEHZPxtdDs2rwLFXIU0Rtg9Dq4YbC7ftzBLxhwrGxE13D7DXshOMo2xyvBh1bbhnVQdnaj b14P3LoSH1NHq1eUhxqWAhHG5HmVJiJO5cew9oNiRAYLy6zLge7XxPqA9umDFesQUMKUsRJZOHzt GWidy0ULpTL2zBpojmmKMMI2Me6cPaaxbbYDauy7zItPOsJW1SRLmvl6DMauNTEIl1ZhucH0BX7n T4gTbPslTwbYDicFCqe8B1awk+DWUFHqq0aydAUmu6Y2q+T/bO2DD60tYxPL5MytPLilY/uQ8DxY 9YVIQyql7LJ4TccL5cX5ALC8PNcgavmrZl9PoRUjc29bYJKbCHZqkNbp2KZp+zyCAge64kPbnmWS tWztiveQWzYDgSA46V/Rrxv2WKntNxv/zFNTUrzwbctRErVw+Z7hrW8FYjb28LjdwTqvNF0c2bkb 25KeND9QFZO2VP51hqVrTrDZ29ubGaJfCuJuOGT/Hi1xlc5nToKQBiuXN+ruq75ZmbZ9xy/ct3/r 3vGj0bb5erptU7jOdBazlCz48EiR/IRUx9sQMPLsEuGUEeHygcQl+h0gZH1+BgzKHieBkOtYiHGD hTItgNpl/OhdBLzvGEqDuMTRWTFBGtK0EF+K+dkFtM1hc09F0xJgCUk83SdZAD4k/QsaUj2wfGL6 QZcQGk0wF5JWCylwJLx4iAODL8ZIRrLj+zR/g9cDXubrDD3g7Pm8UkJIGwTbjes4Y+o9Q7euMJca 1sz7hXkedWT+cbwYJ1pe7k9bbZar2JE2+xBoGwXrlC0wohk1qVwuEeVJ7s6j9Gee5kv1tQkJCgJr OZ2GUsPeV9Lsx0Cdw01KjOgtLo/3qfPKRVvmTDXwDymcM8V6qRsFmto8t/Dd0ai41qrodjBmti7W XaNglHvyd1cpoAiI19bvFKHdgP15IRjWGiWZjArJrN5jIrTW1y1naUWwObDgFls02cGFK6zyryq+ 5CtdVBr7YUe5PEaYBJaX56jrhPes7kmIraYanUri+08ylEYP2OI+AJFOR7COtUHQ0PSMiq1j6YTH ciA6P4VUAJQCJRWJ8GBZvAli+DQNPYKNWeR8fxnePnER48TuZeLA0rYBhBYlqINaqAyPo1u7G8eN R51+6lb16B7l7g9RvTjvbtO8zGPLkClHR2E/t8LayPy0VRoOJm566fmpcKolkRwG/HWHE7QH0k+B 0iJoh/Uj8aHcdCsH4YcQvogPO4D0GBeFwN8lWxCRWMmx4MW3SoSFu1dtRv32Kf1oxmlh7kUBvjE9 TiEg4VshflM5fe4ACRMHDHB9AkWvftSauLd9LmaakqrDjuW0zRoVPZFBhTzYGxt2xihQo66NTZo3 4fTCNbVLnW76InJgGC7bUz1wmheSlsG9+FE/Uous+SEc3Zy3WGl582AwI6RGQ7OjXAnBzDcPLAjD oTjujDc/vcVkvo7ZABT0a2dPwHBQEAHLe+2CUSbwPkTc/vqWUvvJb2/NH+mbOItV0Ls7cHlq+g9P W0uehKB8meOs1ase3CCLcRnxNkAfuvQiH3BS6Cr1AWzsL9Bsy9QPX4i0xKWJ9yndc729oz0d8rLq 1M7whlnyH7g5/vobADmW72skQMqxBjssM6sTt/yWuTscFYs94YIHf/S4DZWSCzKsffbbj3yh834s sYY0RfdBNH9cZe4c6z5xKhe8MlI/oP3NMg8g23s/7q7CdrlI3s6dP/97Ym/Um0UwPckswO8MJHyt a677tnb9nw54wLMQUnpbSsU4gpGZITEyiCyjyMDZD58GxHc0lmRxN0bZJH79WBWPAKQW6WzBhiAp jQQqFd3IGyp01a3BkskJIiBWSx5UVT6HAWHw0/FUEUCJYgZ7QX39ODo9t62zSChYwZdIQdq1mPvC BcJS43hB5v0JWMbayNxsJyyn24ZfUHgpZb57C4li1pzKtYer4Zm8MTzsMSt6uQSo5AGK8hfI6Z9F 6SjECftZpoRzqbWTTKOTxIb2a3lKetrlX9mzQniBp8sUQCCDVshwhmwBviroT7P5tdP7a3k9bWa8 GaH15YbdNzMg0PeuPKCoKUMtoc90FGjUYW7Fc9NFb8xfC7t6LmMdhjIj/p9Y8M3oEOQ/Ynavsiji n7cAuqiUIRL8e7MpJ7KAy8dWw3kKkv0oLAkxUeOIsDDytjhc37s5z0s7Tj2ZdxiY30qw7xjJopnn WD98oCVRXsu0lbK8BPb7Iwb32126lM4w3QXSzoSIRXzDZEtPz6PAUsNR5VEzUMbZ72qpdm3JuQbG YkxCKPnN3f+Pt57sWbaj5WU21Eu4Sjarl5STXjHP3QoOidiktVcnBdaU9Om6Jm+sERjlj+dQnkZF zk5bbYaE4vccWCH22TbcBLuiDLyYuN4jjkoWXB/EB0dcrUfLY8qRxma4G0hMvwI2dtKFArQKVLP3 FmtJNW9dRnKy0/WaXXiogoYeUp58WsWX+up6VYNgaI8ZoZkbnqKutwM/UjdzjL4aHNBjgFuHqHHx 2+0TJtkPPX1VQtz32wgO2nMNsmARL8CaKVjgYId3Fy3DI1zsR1njF9gi5QPZCvBhkVOZ4zkT0LBv ae/yW5bfY7omA+oVvyf/p3wg6GIMm9LuOf6TAQUnfKs0QAUMZclCF+Oyl3U+FDwbFkXbl03Jfnbl y+bdEA760UhSanODHlNAzi1u1mD2I3Rcea9FagH0BXVMTV6DVHlTPbNU8NXIHkD+qTeaHwsVWVkC jhVmUHXBE5D2PqYKKw32mZPBodraOUyEvOrGeyEjVhyJtPyCsklNggNTM0OGXrX8Q2YsxujLp5in EzEJW/gMoNJ5WTXhLzAksxGqAsLsOOIV2FrslMJcF658iuRRuIErVqsiJxaSojOualZe7dqiJ1XC r5d8OBgBnnVT48S75xjuD+8m+77AHq9ldrNGiqXzEtw1x8oHAqgMr58mVvMTa06hA1p123de/Xyh WNJZ0t4hOdUzyZj5Zc0toRKjDWV5PlfbT6PPgXRxCckcr++ro8SDjcHAO6gWc3QkiqGMRWrbz5ve I0/xbi1J1ZxffOE4PuUFoNl9Km92GvR7mw7vNlVh00fuiaASIxCukhK1bviHoDOmSMa4fTGjplLY 8sqcFV5TLkcA8n2st6kT1hC4pgjecipMCW4zFW3FW2hKMCQyev3heo1Ie4NWApJHKfsIX+1J6W8j 2z25shioYbqk1Y5OLbXNKmLmbMqZWGibZTPo8tfFH7UWOzJDs2jT5mQUwGDBJC661hnRyK1HJgtN GqltsLcED4qa+PIuDyDEoMO5DRWWk8Aaymfn7FwKljs8zYnMHaIgXk25/8cVQZvszDXKQYUXUVW2 tG5nn1E0r+i4vnCm0g+a5Nt+GSG5mLMOgJwcYzrEAOn6lpi/+iGxwNd7bKXB4NhNmpRFZf8cRGYV 7hqK3WzujE+G5qZo5/5un6C9JOW6cwfoL/Gt78c/psBD2AW5tQT46G47Po8N6ZIJ3baTFaHSlxvE rUfn6tjrJBuoEVM3DMsKOYoQzK4Ir+wCSmRfW3TNP+ZYr4DOwH7FA4Q/YfQHf6fgQvU8MShsG1gA o+J3nU1TYw1JZxMwuwO9sll+ncywTGZTpTb6FbSWFoorFPFjU5j1UbR8ansXrKEZyZGy/e8GNsO9 Tf30jc4L0X54hrnZuYE+z2vEYqaq/eru3CYP+7HR2WDlCW6kY0ZoQf592o4XeZov7JgpnhRb34CL 5ivxl6d9C3MzVcvdGnWIbJyH36/edizLAivgkWBptF1RujnMybm34Awr1VXc98Cv5OfqYNGd5Ejl 6PbGuunpzHEk0T5QB/bAWUAgirdYaQNAISz8w7nEyScdOwgPMRiYKe780F3xUOs+rNqBSsq7Py6o O1mlrdNONV1Ct/MlhT09XxYWQt0wXVpIp3pcpICTbmKzQf9GVhRTl735QigFtznixVtd+heivcHV q7V8U6ETDx+4KsS4lSB+ITqCG/q4RNjLiwEIy34NaE7ACebl3LJgEliQaT5pkRxoWyXQALyBnkni 2VYEHXKWKjGbhvFjdkZspMbz3oAVPEs2Rp7z8nANtkjIWTZSGxoto9nFpIoMC2cLiTxHSU4T3nWW d0fAkqm/8W2fhPuFLR4xk9BdtNmK1nG0Eawac5e0eNEsq/7qzqCmqwoWlgLzrr+QE38gTjf/QK2c YTnQpxTYuy/eY0DDUu7bxXbCWugGRgFv0gNso/GENLh99CLxwsAWplCCoUkhjx7DXJ5PQcYX2S2S KuWAepye2UQOr93OSpEBqvfqoR2bG14wWAzODY/TffQNL1MtTtXIj06PimacFG64l45vTaWaffUS szjlv78a7PgmRxewrWUXOAU+CY+1Bw+PLvwLg0h7mJUyRXZQ8SmWh0y9FmiAk1YbwVkUklBcmTYA VugqvU7lC/+YvD2M7eR41zJ8DL2MnZ80P7W27K67WxPwCCHa7J3PkRjdws0QxjG0KGmpMb/Pe/d9 viLV0UYHHKKWu/6F7HXSGKSj35PdXPY7EROCpxtzOcIwhHdchlYemBhmuEHvuNQVIfVQlk7/vB4T MjEseQcnZAxPq6Tnc7HIlPUiSYRiAo0Dh+padCtF+jw8qV0xo/HS/a57604L8bH7paOvMBbcHeAC Udd2Eu/gpKLmnHPmtJ6oka9coCPxC7AqhS6UV6gc6pXQuJG0RcpDBVp1nJ5nWMdHn3ddIDIden4b oa6iMtBzPAGexKMddFBSkxRFUwYFeWufz7HiJh+NoM3w6DIIHHpp7CnGE94EayRNOM/+gmv5hemj cAgv8rAntb2841p1OvzJujmY3zV/x3eiiNdrBvfXrP00m3WJvCT+4TF0wSyfSVePiGCv7b89QE8y Md0lm41xBynbvUIMPlZON/GwyJYbUHA+SZlJyOEIteenT75finAZWsa0hychIJg1NVGYj7wIfvSG aBjLNwS6G5IwB0QaOHrFbT+VF6lQ3cL+WIDDArBULuKcg3rFnTweGzcyH83kSL/gBpuC4BDPgzGq LxxmEP9H2wGEMQgriZIZlskSQLvmk1Qubs1RbAqunWiSZ+ow/p2Ia/yVgAuXVN2m+q3MCK3j8pNy fcJQ0JXOrVlaIrpxWi8Wihy0ydagcX4xr9Gt0MwYO/IMvSWhLU7aOmE8jZEY5sU43C14P2rHS7VG nSuWQnYzWeQzuY6Bbb2/oGPu6z7us0eOe2ia1zlLB2xnVtHmu8BtDhOgnR+brb7g/w/46Syry7jG 6noCi4+SrmlhWm+nL+mmtWaNK9AaIxYwrFgOvmS8Oa8BpIPwAFTk9D3kMonzQdHp1IMw4lYVm5rP 5bPOpfQwPMEK7f9YJcFdwYuq0HBVGvDMO4ktYYlLBDLCEBhTfAdIHUOEWysk/HSQ8oY6hXTeh7d0 2Rb57ad5b7YOYgL17oruBslYN12RqkZdG1V57ijE2gdbY8YB0O1vNskOODwPXhii/Mc5xUoIPBVF +WPN0mC8u0KSTqEnTtLK4y4FXSNT7GiWm2k0r4Hm4lCH54um/6V/z5w7cviRk3evHl9SAgckCpM/ QDjh4Dcxrn0aGeDoNUtXWArJCOvucW+WXiRIggjissnetbzHWVQW7W2haUZQ4wP/TdJCZG2/7E/1 KFXAntN+N+rwwRn0jjpk+dT5EGP+7xh7jXjN500Fi3Ihy9Oqh5AfThapNXcH5UQQqQiuuRPmCsTn Zlsoi/9fZWHdQgbGPO3/VjEnNypqUqwaVIaaRgNLrCo9pBaettqDhBr7WcroElASp8j1mxJBf3T7 cCEbSzjkGgDtJBBThOsDmkMMjm1/MWRPNYA8u0jvkcpp/cEVlO6LaN1rD1T5gEZrYmk1ldNdXi6L BvDuq6aNx6x0/OVzNZaHkQtxyZYxnhWasbdh6/juf4qCJYl6SooxURTabz58F2IEaAGFzCxX7Ujr I723LcV6CDFXw03TzXyj6DeTZpl1mKdpR7+6Lt5BiGLcDs3W80+IL7o3OD32Ol7otDFhuO7EJ25l 4XD4CEEbnKDGsX0/iuLzsd/b7UgKQjrpoYIgFiPvWPJzjkhywX4QfWFB8QEkHJp+qq609s/U6DHz GiqdIKY681UVKiqYwEaDMogkrk/Pl6w/84dYdJK4V9oO8OHeYKGGEBVcVvHq2I48zSdlxY4Naz7Q rmMuC/NxzPEKwB4buWIJnMXo4MczR9yuFNWPMkdmXl6ZdQ/ZvBHaInyo2FNJEow4mLxlCs1PNNlC dQl0HgsD05URXlofljWxL2hB26EKmRpdx6io08F3NjN+FDZXt8Ef/1MTfMQit0fbPaw47gjz6bUS IShCrp7t6aq0IMBTD7vJHiA3o36oa6yQ0iSvMQgkzwBIu+/ape+S2KXeGy+HRJQoyf0hCxJ8UnGs SMqnTsqz1RoCYkn9tUXD+ARWsoXANhEly2sUtAgjnSam2sEwZDu5SsZ7EgArvouYcEKaeRKWJZoF Zlt5OEJ7N/2HOEsN+KQBSjmSUXwrmwFUKWOPEqYWeTJiHFKqnYwDPvns0yET1r5BEeMYau36NOTK DtszmAfNhJP0w+scAnPMbb5X3DUn4BKmiQKPlYhnn7+X8GVxH5w4DEy7+0bW8+fO4hdfHXonRE0d VBCE879j77SMggo5cz2opuxk+UgjwBLSjxar6/8ExBujIiOVuxt7MucA9YxwvLfYX9gGDC8g6DK9 muptkkhY3WRJr9j+M62ijf5I0ryV6hev54LLbAcGRs5urcBtxBH+XCs8IwSi90SC84CAAFYw1CvJ OK3XqT0Z4jcbanQD1lDtwsn4XPQ7sGOCkTdAjXRire2uXmgVoYEzA8TXGr+aPgeJHIVMCj1D4mLN b/GStdQ85rMX6f4dUlnti8BzJCCky6i223ds7e1EhAD/CkgMnFHHfpeQ+kWGb+lE25mr13cl3LXD U92V0LlAldDahAyj3uEZVaZzaNF9UWhhrA6lgEP6T3lbZWspmTXjuNRMOpFiBbmjtXw3uTWTWBaG 39d/IFsIRQXa9QBMEWpuInSQUvJ98jmz4/R1lszA6NlZ4h4S5QsHnfL0VvP/zxMr8UOPVOJrmS9w aqs4iH9uwQKE0tnH0/Nd4AnGVMJS/2iEr0o2RG1X8WBIb/W0cDAsYoZsO2jhWLTTtCibe3DtoH3C /g8oZ+5c4w2FWZEdYDZFApKkiNTH+t3agGCmnq2DIg3X3WITLKEQKxD3QV2cO6OO2Cakx5N1HWnz 9N7v9WUwMmM35LR7ZZgArZhPf1+UNICu5tPFqeZ/VK3uIMCxT01dIF5w7h99kTArvCpVExU8Dfsy q3d/KQBX0C2hQm6WyS/2+zhW6wHqcuVex72z+iBSGDGB8MGAxV2V8D4j+qR98AWKvWtEHNvV+8St HI46ty7WYgK4bj1/qM3J7cZ2765QO1HSwZ+2xDsAVTEXlCenaHc/shikJwTDxZPfyqxDwME3ajg5 cFnjWBAz6lfKz0+icIdMyiee2sgRVE7DNFuTtmsx+TQ8uGX5WWRljx90ihJdZ/FKA8JekCMjO3XZ et3/Eq2gCuKp5amBED7Nx5C29U665WGmI9P4DHIUjEtS7DMuSA1xlNB6DEwpwaIX3aASrPvx90kW 8gMtfOKc0rRUMXMqm+GriRD2VKf+JCkBV8SMMxiXmCLvWxZsJFkmSBwdd4TNoRWbwf2HtdP+Cb0p sbYnx2ol0qcEvHMUZ6na6U9aSjli2oe5luj+yCJzNrszcW7pF2ueIkugqdShqR91RBOHcYuTsroB X3mUHoCVrN8giC4BMcEgA11lxpE9DwJEuTHS9/JqnKQKdYRo58/md3Qzy7dspGkI/iTqaaSt7P0V d+DIIGTC8JHSyhuwcBrCGPQeYIfxSU0wYKJX8B6IEOyn6OY1j+52mXH5IjrkIZWpYbfbmlMDMevW CkcTXi7F44rGKU8HFKzTPVhNyrvp8Apsbx+koqawoCL0zhN3svny2dQIAJ9tMLQKTqKK5FpRwnUg 3WzB5/cK3x3KkYJcADTvsjzgAFtx9RvHS9NKtftBPHTOMXifKMnolOan6oakI+j32x3bW8tagOf6 a5i+QqCdgOtOCWY5dLprDO7ICvQXtgMB0mmJFZq5JPd9oeqaK+YkbHkLoCGC0Dv7PB+VqiRbgD3C K8757nuEYVS78+k0ONQYEH2ZOApL3yTNdL0GcRA0Jj4mVjxuf5ulyIhgI236ja07ZUc3ndSb5ynd Mh/9g94NqqKdLgGTwGkmB21MAr8Tn8r2PXnbuYw2v+fBVOmvahgiqpPV7nM7PL8gTzoYlOwLIRkA NrJ1IKgdmtvdzUVA04RaWyXVufuMMlZj/dPGcWsy0odDBmEih30uR2Ou54/ka0hGryfh4+d6ajOl Z/5MnCvsD+vPdfb5FvAz4P3cXltCmyiMX+INLvgwwuqiHYV3N6uatlybKjqaZCXXeZRHIhwK7EUP /Xl69MSHI1CAWK41tXmISOlxoCWDMRSCZJynhBTvDgNRqY03+fDDr5GrKBJ+oUagc+t8Rvw5WggO dwODft1YboQnBRazPcb7nLHiyOfGPNeaPMnMUElxE3ig1TGLm2B4WxOgRBA3KEYVyGX/aJ3bwQ1V JsbExDlJpQenFDUEf502uZBKE0OXnkd1JaLSB7ypU7BOkt0X3cp6FXfzU4Q/fEr17zu24jIWTom3 cOt176NV9Kh4xyWYqxD6yipRkmwiRfCQMixbK2lxXXYyb4aEIS1TlbuwVo1FjuznKhSo4fGlwRa0 Y045YTJ28Q69u5kP3c6eNoFf7HKtNSPKLaMC6eQlynFCiSk1urdqj6Us++C7NjlzbJYBv/Zv7gJd RFh7JmRwCydCINoz9ZJheHlnNTc1BXdEaNupJhUldY79sxMMQX4zUMybnY5j6ZrF6xt1xfMTfg2G A8NVnhKWjnxog9KU+icoyI01VV8KL18DvfBNiB1qKGiZKQhMm2nVTQX5DWy/hrH6FYCrm2K4JLNK iCvPdp1m0AdxQo1U8ad8TfoAyX/DHDaSUs0/tZYgic9WTbe5MyDOf2TnYxdqObPMOiGeroKeZqzM DqZv3G9A0knN0cwMAHP3q0x2W6o5WrkI13Hne3nVnXLL6D2eibvAa6LMmwDrK9YPMpUhypYCD9pw Hd1L7BVU5bINVetyEYHbFxORu7pHzE9zcD9AqUsQd2fpUmk6kV2wx2UkvtBxnLVgA+lt4msx1APv U7yBsetHt5anFAbuxdLggDQxb2xcxbuQ1zGT8mmXgrwELPe0h4GJ/FQDF6z+vwBXrXuNDM5VxCWE raudq7iOGS3tzLBf7nb6A73moYKpqkLocNW9vVX+S3mIaNF85NeCyD/AGlA9fnblAZHTBtFPtdO0 mb/gS1uQU4GpkiXILhLFCRO/UU19K1pon+KROnzk3G2jsXkFsWutUTpICWHj5pqOV+pYCLPFgDKQ 2xvJs/JyDaRLibrY5bOqnOOEy45QYTFGIAKD5VZwBBs/Df8CwjN4msTdtG1W6+0Sbhz+NC77sLeY eVkiz3/eaxue0BKcLFpLJg6hxBZ7wRk79/APNR4IOBGkYRZRoVd4d8z6+NBlhHB6jMYXg5+px7y5 ZOFyDF3r8GY4abBP6p3JZa9vJh5crsryFlSvTBHxId4UamJEdk8rID5M64AyTVzfgdnI4WsEEeJW +K7QS+/TR9seYy0g3bVag3Aa+y9YpViwL43HqfjpvjDEz/BkCNd5Y+FS0zJ7pJXOGrpL7HcjmVs8 RV53pWFQI0UTJfX4Yh3HkPkVkMaPt0Ak9oFYf5N7aBI8oiPuSnZnwOI8GgKbALFb9VV4TeD7lfrF dZNWeCPhpvUUQGYJC/iOUFJITgnkVzHQ0oSLVuwQKjDqJJ4gzdCyAzqU3+kY+hH/5QTU0sET4aVj EXmyU6F1gWsNsf3MwiJoul0LouRdrkmtnXeJw3frXw/iMBhKGSQ7Ki3dB/01cypXyHRp77cUvZmC mdeHZZM5QzSyQOJCpkeOdGoeDl45InyQaLrjF2CSyLXNS/7yGE9lN+Q93W3QqpkgJs+sWnsNA7UI Ea34q3vaLQl0bI5N901h+09zTn3LC+BaejOZ2DyKJZjBk+9PrHGmv4cujjYsjUpPBvN6yDk6aWPc 47A/A/FGJ9Biyjb/tiFuvCrMEq05lJBd1/KZSSnxGxbn19LnP2Ph23LSn5N/12qEzx99T9x4JsH6 MvEwH5M7RK8lH0Fn80sNAFUAID2804ThiXwz31DcLvu3bfMEKk/8ZstoG6nZngf4v5woo0Ls7B0J ktD1gi6Jj4y5fb10ax2y/Fc1kjk6zm/etccKcuDDQNgfo9sSlJNa4DQu+sLWPIZluzbRPGHqV0T/ DYssuzcFqHWEqEvRdwP9Zjc4wQDP9FZMjfQWSUVdMix+Gr9bRpXGrNzUrWtTKXN3y8riqkFNROrx 20zpmyiFqjapU2SXD89QkpjoHlZMBgm2XEFXH9Aocu7HuFxYf2whvblHfCR2O4I7k9hOkELByJcA uxeBDfgPEikt0D2HTKqJzdq8AZwqMmipULBEpZVgKZQxo7tf6qwOfMoHQrAuZqFXzFgaGV4Tol4R HD5oZNZ56clGrmjNZyNN+R7rB1+xSOH5QDqAMm2x8hfqRmd7SPasPSnFYmCUMm1KZwRU5oDtxxzE 7QTzXjBNg2jXMOfqZ4uSnslJCSTzE2vWJfuwYev6bb/EPbn7GPXeDcsu+IoX/gIrs6Q9cBkKqvN7 7jK67aQYM1ScCh2utUvhxBejQPjNvXpOZoNUC1uUCb/fxCGnw/Hs/kEitPcaydw4+mNHvuo8+9EJ GRnyoY89uJwkqEeDz/6D9iae9epoZRiiTCVWR7/wbhmiaE/qoWvG/GS6ZafsTZ6hGMSOidD8+6dZ Ho6lOnq2fAts/1gXX2MmIQ9ERhB+GPrO+Jxr+AjoJ8/ks+M1gw3m1rY7lkI0MAdlBB+jr689tGmk WLjfxEFkj0437qGhu82+73UJcJV27l2VPFI/AogSNBhPNSOYa+GkqABgtsQGMGSb2UoFQf3/p0AN 7EsbV5slHzI4OM4ltuAu3OLICda6JcYO0HLpI1FFVUXe8wPkKLvtZJQDgnQOwjmpRmbF47pnKJlH aLIAzbyc6cgiILiY+92wQnnV/GZ9L4eAHDTgzNozGASXtZ6qAU1UintZqNQx6SIFjEgN5Ysl9gUj KCPlqwG2HXF5a1wfxi8cz3qNbnjLfKV5HCmVNNuYtMbbqJql2Flfc/mf/BM6T6iXP3c+v5y4NL47 z85wJD55ocY6z9GBRczZSO1eSNacIksVBJ3r26H1FXGdsTbTWQiAVXBAFwzqOwdNh0SUMm22kfcD ruTYdSuYGZyFKctcsvH67fenKItWOylwVix6mKwlJxnGb89ubFk4jjchsHGvrf4+5x9SGJcZv39I xIqANP7xzhMeTlDKhMrLcvNWa/tPjFfr88VAxwFHo/mkCcFfyUAu4csYSW6jZmTODDW3RJbkMkIN hdtnRr2jPg2Ir/0yArc3f//4iJhz28Vk2WyUhz6hJ5imiIdKyYrOgr2OsyJ+SRY+6gJ6dezN6KaZ 8uhybvYq6LC+sWVfZK+rJQVuojNKHCxGmQtGR/d+B+TWFoLZMm7JZdx218ibCorheD3sFemHFkbk 61B/r5bXiS45SL4ktx73XLIhQ7n8fSLDxvtWyy8UEg5CHl63f1xHs+3Kz80BpM3f3Ol12iI4wjw4 CatvjscQ6r+C8MTfl7i8JzAnLEGJ1TPUruBIfz7/JgTNcBHm6Phj9fECykYsMh69hp/sSvKoWVxf iBAijbTH4gSWTb5RfRAyDqGoAqlJLPcNKsZlZtHuj9YQo4/Y1AIOZ5okP8qczcm+EMX1JfaK/6JL vXcE0gRXyG2L2RgenNXPEErJXCThuqF95t2Q0u/+ZCNvUllNoUCnNpICkwo4gSsbrWsbg6F6bWT9 wabvgqbFisMfD8NraMmgGCYhRhNfhaV/GLeMfYgaKbrYNIOSyjtAeEhFsXj7l7lX8Xj1TZ5adHCH nD3vwsZHAENAgfTjdn6MMCRK19cIS6kz+IXmVnbEjjIgnX3NAg0zG2Lk2p4a2mjuaCquObAstQVU 4xmALaNehF2kVJA8EO+bv5LQbL93lxvxOCU/7JJjiSjP5MWSOAmSDIvyiBRxw7p1QPkCtBVmBujv b4bHvRPjr9AU4nfrTAC2br2GLTpYAf6DF4wgxVjrQdr82irZ+R5gHZxn0cGGG9dBkOLBC7mwCyef yNI3SsazUwgMx5uf+gEy85AdB87emSje7CvgzTD4Xz4/YIVSuDM9g3c59IurbU4BUKogQSb+rbPX fKCa89uBgBlB9ZL2BEjmCJi19vCzTK/3YdqGPYAaFMfN2sL+/PPJhlCGfC0bn5uv3X0vI572Ll5l bzeChc9YUz9LqyhnfIHoE510xiW5HunMnV7cT6g9j/Oj2i0rpOyyHI+c1evHvAemZHf3QsYp2Ctf owQqC0o4c7voWB8i3/EwsAvDcy783wJL+5mNJ6nzcKQvylpXYWLXgplmAQjbvtJZfUIKI1WVECcP s5QsvcYZMoUcH4GAs3pM8f8BecS5JRHex9yxcqsCBRrraMjQBTS1aZWOPyfretCoszpxuzkeCThP boI+F8K3kHwimkRZV6ZbTHEW2NePGlQdgcINSYx972qai3enYT7aLVVrTD8NTaf+Bf0yC9Dme2Nu 5qzdAuFQhAxWWG1cVp1cE3D+6c7zCi66R8WVWt5KQtnyi6W68ThTjY3bPYZj71sVuHiElGIF8AWz WGevpn2pLlh6ScoJdkOw4Y3/jor5WjwI1OVpdZWeDvYk6gV9JefsZvI59fXR63de8Hw62/7tCSyd gYdya8rysaQh3YXX6gvzme3bS0bP7ZXl6/GDA1fHcTLlrVaQ6gbDO6vXG3qLVXilfqqwhhUmIsMB SCmx7JHmHF5HcR6L98CtQ176ezCpR56dqQedNt7zDkIkt1gMG24X9wyo5hixf8wO6Y0tyE9IIVu4 FKcTRQaKNlRhm3qEEFX2mnv/ccTai7JhJI71cpmDtqAzjXaL2FbEzgy6AK8g7IuC3YmMwG3HVu2a XEFQMRGW81IB5OrRJdoAKWFzDpSYRy0AZJD6GMJGpzwK/CcOC6hrCk5wrJykmmOLkrWA9RuE1mbB Z0SevxHbrZu98ddeqvdMHqFedorQ7C+25r0W+mjJiBIUFU5lMU5o6/ToCdtS5RdJYqB9FDQbQAm7 q8WAU2jJ+HEa7ZKOc/JRcbm12D6uBe5EWrnsx8XpY2Lnlnwj8emZ9E+U5QxRjxwgtDZkj+LU0ZVt dbnbgFXIZOtZqISaHYK75peKoPpx/UCY8aouqaC8qaVjuQ5cwEakNUKmV3hHvQGKfDKLD7+q3oyx 33rd8amiZXslCTMx1EHGf6Ucmfe2Y072UVMHmgjQe09CeQhMU8IkQeTLZi9CLfajFq2cLl99TUY3 VyV40Kn86yWaOccSn1l4DCwiamXUjkNPvUeM7TFGe1HuJnUGlKUrSa5K0NeikdUYeNgTISYuZKHP VK71pUgc030gHBsa1eHJXH7N+4U4fuEJLSAByUN/ACDE0AvqPH/0z3NrV4UXQrrlrwomP4/tDZmh xYr2SaGjyF80a/id5/6c9eRd4jHVC9GvsgrDnX5f6Gw5Efw5oQLq/qrZHBggU7wnXKZGZ72YTFfm Zicdu6SwCMDZm9BTpPZSozrXjQJ/pjK7djsSdq4yMsrz6Vyd8HP3EnEAzMKx7Erdxm8U8l1GTHQF SZyyBNkoIsarsNCcQ6sumBuAuRvx2aMAQP3q5Ff2kWCl8vrUfOxiRr21qRYzclimPB5zZRotHEKj qtn3cGBDdrnXx9MTvQ6DOL2/yaTfQYZkoWpsHBb8juaB7/AR4gLTgOgb/4cNgiEQsncM2IpO4c8d Q9ZfILzkPHFWeY53Qmq5RlCxHCqwzfaZk9e+7O5VDWOWY1iCgTUOG7RXITpt7YyeMPgROGxhmbVX s1MLDf4UsLCW0SE9y65DsiltSjXIRaw9XzNhbJQ2WwLUx4E4MHyA5DRx7ozIY3883ET1kss1baRQ xVfsw7p076IrhpTLIqCxNGFs3uy77dLeFrpZM74N9p1sGadONxxyyvmNUN9yZ76cHDVcp6UcXTVa 0TbtrFjOGo9lRphN94bVqxlDyzehid0z+Mmo8B8wU2SIIQrJkfBq7TS7akT8EvKaN+74sPUbXZU9 ONwP6oWvdvhP+5oXi4aFiDAa+ObEz1E9eh8IpFw+fBWJhGjVf0xEmjCu/8smXlGa68XKzWucFDH3 6iuBlravGbpylyHxvY9HN5REpQPGp+RjrrKNk/0I2pYj0XMUls+c0K3u0qbAnzop13pkVt84kMWT Qhc4KBYYNtj44RtPbUIIov1TxaUee5pIoOGrySELNZ/mqiOoYvq7TG/dkGooSQPwikiKdfPCDMQm otsBmrp/4ThK6yjjh3QO3zIdcHJi2Q6tDYKGUPskQJo6klsBaOd7PQoJMgMpeZG5PM5RrEc7w0Wh YKv7U/bLCUyyhztzqedmuBl9uOC+bpmmqhOVghiin5Hs7jbCIp7550xPnzNDI1iaKvWkkFMpj5dx hXKtU4Rgj7EZXp0noMbrQjnJh8wqFzcqbLhls5DAXcs2c1jSl6ZgyAinqMG5sCgBZUWcLRgdxMxU 2G6S6hTp32GfRneJato3a61Uh6S2uLy+oPWcAtvXRIG21HEbScPvMpGTLlg7svyck2UCJDjXq7jZ Z3TX01FNv2eb6S4KHUrEk6mgmLhr40OKamTOIsZfaIh78o4JqD4/UGI1AHLUeTFwjVn6M+8zDm7u vFsIOn4rcbQpbciDuXuyINKPVbgeyfNGRy6r9eodaa1PP+LChJTBJyI6hxQF8yUM6/xofcS7Qs+E 8xKjcO+G7T3VSnaQMFk7Nud3KjVm2oa8LialNwsT4y8gtX4XO8pWoG+3BPjTuHA/Dq6suBBzdGX8 reoKu1EUdq8FZY0q5FGDcPTUvejwB2UfgjSBgMfolTRlhkvFSxM5w/Wv73iW6QiNJ5GVVcFzj0IF oxPVsYAjGNjiXhI6YTEVeVRWzr3AoZRi3w8xZDgkz7KndfelCZJApjvUSckzquJBilY5fZtl+DvB /pxdju/WIoeVv+oAoWu84F/5ZXZd+NYgcte4PPVe2snyn0lf4rCvq7BLIlabIXMajhATfpE/zJQE AqXqKks6rpz2YzBopLKGdGEMc8FOuU6wxDDYOODCPqczMYwhLo2ZvLzurpQRPFwp+CNAynGXXkIF wZ2Ym8WBDzFdJaOcWaTjKNtfB0IYBAWL9hlrspyLC5xNGw5y2OxfSqz2ObUq6DyC7iytYapo19UN KkWSkT+1yzlE0L5ctfp2cS65b1dWQFm1WyW7i9j2N/21Ym88ftHILUCi7vtWCMyWxWRnFjjYLTQC NwPkgAfN5CkdBsNWVvg4c3loQRsrCpbUeR5gZiC1egCGGDq5UgPf+TinZH4WmQ8OLfDIy6/2+fEX zPST/pmjFCFA9xCuAQmXVBaBub67n2DhCL3CGgUi5gIy69tP7UtF7idqGfbcAnyDYHkGIrsbTHck ZMNAuBnuvzWuyd/SzbdVEcL3BreZqhPP9L2lmn4Y+RyxV3wikDaOMMlG9nX0QGhOQTCaOLlZCALy Em/pH8VWrPtX6FV3oDAh/uOoONXwNt1TnM+KbjIeu2ufScAl9k8sYN5alogI5CqNVmpYunfQYSl8 RckDTnUpVriYNDoKuNEDIZjKw4oaavMVRH06PuUJd2jWYUnpelabL874bTShXxCwE2m0rnHZVsJ9 SkdVyHHdVhMJtJKO/HoJ/zxlkD/2bYyhH3CYTKDPh8sU7WFHZxepaW3mbMaOWiyfz9bBjUIUtfWI ajW/irnUKVkTA4BqNGic/xHjryH6hBCrC/ReVQF7qLk5WmlMdRiZg3HfOuPP1ZsxxCPS9EMWj1WU C3v5+SS6RGnCtin6eL+avXbxoj2FTMfgbNF4iWXRp38s0gwAE0S5k5gBN5+rWuDE3Y5KR9qZdw3R ry209Ki5GypfAwHv8J93oamDvHNuGy1Y2ImtOR4aWAWIeVNGhBG/e4TaXOOkefB6aVc5nac1B0Ax 3Cn6ytGT37z8nOPJ12W7/lLazpWWjO1v2zXjIoXMNBOoXOw0ZZjlF5u2itt4dIfNeXgT2+tXy6NP Z3jJVz9TB31zO9jlBhIfTDpOzx1jfq6X7ynn+5AoIPyB8hfGmWFcKPFxSaHvFNSOYWG3+woonvSq vOLPy/HNFVLIXRf8KBVk0FjFlUpofDF69ilCaH5avl3QA6pWfDxXHYWmNgsPr4zPpZDvyWFhXoFy 8bQzoXESaoE7oGxBnrvBkDEayQAKalOBfHAOLNvLYm9tK86/P7kzhwALGENqmxG1tV7iiSXbA/5c /7L/+pERFk/67KujuBNUWkNi0WTAdQhMVkbuENjKMj4RyJFqlCc1atrs4zjvUy3Q9IjNUW6v0RbE W5jV9ptG9CjX2XwXr5D44yRnx5MCkQAIN8dwwzRgDsHOZO5t8eJa0ckC8BCo+ZcW15J53iaZU8nE 90yFFunLBIVJ9Ma2Z/UXRqO4//Vc5GRSKac00/LhsfA/CZmii3eA8wm4D274wWiQyiYCndpjDJ/z uhe8yLfQX3LRN5YgAciJLv08NblMx97+VsbwoZKY5yMmoNa2VGTOJ2c1lMexDgZss7kv9C1cs/NX QfWn1sEflfyT9oAzwxMyutzratZMURoITA0ne3QorIAeOptsAB0+/5e6mRdwKSysNPjKunN6++pY InNmtjXqUJBhszFISHvm8h6AgMYQKE2zM3ze2EfTYLLfXeos0DN53ZmRfJ5Ws/bs9ISEE0hrH75K ocMjS0UotcxQ01pAMsd7jmcuSAclfQqX2FKbB9/8N0yugZQ4dcaAHTdy4E6NzcWh9+UgN8CH/zoN L3lHBeDziGqd4TXiIUW4rMSDUNk8fcacAOHjdXpjqTinboSo1C56fZiTO7sXHQHr9L6JxPjEcfmg SSRDmXcK0LruUNf+TrcyaVYRGgcahCU2COrz3IGLBaDRmIw9+V2xT7JItH8087i0EcEqL3PVVnk9 w8viNGjFwTd2ijEZnSemiGV+8DtKfOe3C+wxgluGnhO9bUB7eJhHOI/CgtWEtzOw0fd0K6fyFMQe QK6MjSFxq3CFlU3DORTszBLT+e7ELTt5wUhjR6+ulkKUWLGVyR0JSExQGMtZlGwejeMMRzlJ7/my qN9Fb6RJlYENINDS2CHniVjdPHlxhWJ+IEKsED9Y0LZzzSkTQt1vAxwskGoNK3un9l5TbnBf7AVK 5CU0JYmj0B2KP4k/VooyfAjSmyWQNlmO1om7Cf/o9ZXfHbcBDFYSdsxambBrQHhPt53lKaWuAuFt gFB+noZVeflmJ6N4CKcxy0I8t6ko68P2udDU3GcEJ8AWfRigUnnCHb6O73MozwsgagbebcQZdutI LkQpIAiWqlI0etu+KjJbczyVOx68pkUyC4LmdxOQ4SMjDEyimd/yj1sbW6gxkR9o6g7PnrEoMOz1 iMvAKbdtcyAP2M5wRR0sWZPhEVxZaa46IlFslBsRxZ6wk93oPDp4wwjbaFmdnw3E4ugi+qmgSizD eQEj/o+ooEM4eS1aHvcvwrTWhikRvEhBYFcrTG0QoI3pK6R3B5w+yvQ9P4ciO5y4oqgbGljCUbbV MJL+65/Ud6Hchex3lJYduW1PDKq5a/fxj6WR0W5WgCGxkfnkHE//hx8DefW6t8oqxSvvflvH/3Aa tTF4MxiSCDWE/DVKSMd/Tdo2AeZLQpgJnJCwLaErkJPaLWgITcplM/0YO5hi+ujVcrN94qyEBXbS TzUqvs5N+uajlEshlFnduBUNKuPcsuBtxvqyaaXYXXfZrXAH6n0si+1n6SwTogl18LjOABOzoaW4 RmSGY30hGbGFZl6AsuMFmzTqTRO7dXPF5/HebH4k2tZ7ZSHfBQ2Lp7BLmjCzciHhE9NNRUjQFA7R FpmzyXQrKJE1wCwyEJU+HD52ZxDbx7GDpngC3A/CKwjiUURqfiTSg/wt1EKauafirnYg8IBprx4O dmKPJa03S0b/u8XYRxOa5r6Fi0tFf3d4/c4Ix83fOLJGUkl24XEIvWUkT8QWbSEJZ/+5t61awlz1 DZZWPYszj2ipwZhTF5hnPlO3Sgs93P+ht6HU02UtEmhmFapi6S1PranjatgrfYilUIZawcHqmj3z n4DiPLj+h3rVFNqqCh9YXy6tZeTM0ektsjLAMxOciaNktQlpnnAurelznrfBLgwlaWbBnlev9cHF tevYCw26DpMLoGcIQPM9iGPVg4zHewSXnqaTo3b6fJGyZI0NGbMmHQbUkqhimeI514mXALs1+csH 3WUrRZgpw90I6LcT03uqlZd5pBYevKs1w8hcHEaZmoWY0x5l4TBb7ai1a63bml3iW0sFomZL0SeR kUqLPc1yLf+0zubkySaa1RBwCb9IstqT61vGLCtbxv8j9rjA7dqGjux/Dav4MRt08pvu/uEA1eJb ltRibL45I+SKibIT5ESkdoXbYTT7Sx4iMKlh81h/lREi+yOJslHglvIIBBoVarEKDCHJIwB4GE3q zLap4dv+hO+ijIteWoiTOjJrDBBxtmNBezxcEUowajiAwkIMKq+K6SIvXjV/OhmFJPRNfbqsjc8H 4uHSK18PN5e+AaQIllIw5zv4EpBQJMOXG9jsLqz+sBXMSN5VIvcMzi4XmWaWldl5KG1zZoR9MYgK JfEHZE4XW4j8v3HPFW0cGsYtwgxW2Zb1QJROTiQ82w1jRoMD5WTO3rnpDwo8kxIobADtcq1XhIfC wUNovUrjhaMew+yISylpb90fhUEwQUewVnGBEfet9YhG9q4oWOiv4dq+lCfwe9L5GVmt8F+fwLWK LBAHu4ex6aLDXpxzMOtVEAa81nodQRNedv7S1oWHFXNfRmdNTYsBJiwsFrbaNk0v0urhGnY+g2CJ YQEjm0Hf5oC72nKL8/iLdx2oVPeHF9Ha3Jq84D8+Gzo45YnMgguojElUUamlfXJqD1Mvcl69D2rY YoUCq/b+ea81ITuMasRz10dR9hCNEkJ9jqFuHNB84E60VKKvb/fFT6nqzaQ05YCNRyiUTaXAjk1y xnYRd1EyV/ad3WRLzASVv0/n6FD7MOl33aUwy31HZkLiLGsNkpjR43RB4eNdp3G5LsZarjmo9YwT Lttxaq+83oIyTOXccR/lJPlM6QkCcG14AkQc0WKSuxi/RLXZ8fQcxKfAvaqmN9YOdLxVJYMS1egu Gd4Ta/13kIMukUkGZfqnGyIfeGb+dm+SbHHwDuIk4Updm471KwTz1WjCEOMbp2LZHng3GiD20cjS ZrLCKSizIrwkbrSvk7Hylqf/ZBn5ASeniilKh4dryTUM+fUyGLmwuHDoVkTeFvKYGGKl43ZYmjvV jcxAAVbdmNV+eYhAry2jPwl6lERC8LwCktCXJ3MWZUEQnXYpOvJx/SjoisDJ2AcoD0WEs5xwYCt3 d2HYpJRTDZys+2/JuifJTQNbM/SIVNE5DsFrUP7sbnM97kYsgV5bDef0CD4HzfiV06UhfBw041SB 1gCgQV+5pF8nUxR6tf7puFMXscpJHRfneC7DQ0AZRnhp6x3x08Gk8YFbVGYz194d8mI3sJMpPkJa Uqrj8OKy2rmDfLO4mHxCMwibDHyIpeujxs4Q9gyPTeO/Q/f9a9demiSoHEGadBXJo+A3287814Xo L3Y0PXCzXqUbLKum0iKEL5CtFdJSaMaH3K8tTNhjGNXcJnVT08iaHLK9+ZsMJEVbug5lqBxCZL7q fImQGGs06/0Rt06NYc3tql6ssGAA7FN6NVjOqkt9yhtHSgwQEEMiP3mZdl8wNxp5af6SIvgXCuSM SfFDTgKhRqunP5KENS792EC8aZU8GMliwQyZzN9HFqpXur6Cns3G7rdiN6UBNff31LZTeo1ZRflA rDC+bI2sXS1AepMcSUCr6lEdDu4pX+AfX1bYfNFvI77gh2fQdenPUVZX1DjFBaSl74xTrtT7txJh ol0aqHdsuj7K6HZF+2Qry5ABN+iU405pG7oEfjY1kf++n1Y9gR8VPXPiGwAOI46P94+SiIMLyy4w q69YG8sk5M1373BTw9Hn4MuYWS04W2QpYWsK7T2jgnowd7qKrl/H/7z0p3ewqeYMV66SlIS8bcTN x40RB3xoQ9nfL6oBS5ZbthabwzbS1Ze38nyX+odcT05NgVPfyRxWErqfBuG05x2tqBMB4GY2jIbM XMIrBbA+VHQp0ZTf1WAlo6Rcg/tcScBXFY4sz6ZpTeSNwYckOZ5UiE4GC++gOZJCb6NzG2Db0lZz JIb/p9XdfeR7Y4Ar/ID9SleLWJlSGLLod8h3CS2eeN58AwoeSysWmSu9qutDvMUCS+J/0XY7Osmc ILvV4Fe0xjYcCYlR9/4p2rt/X3kFKmF1ZkeGUTPfArLNWFVy6jZ7dtN7hLE/KKsUrS0ZwtQaornN v+FIE57rRXEOYkxszlXC5enxQZO5PhXrnAqhe1FC38eq9KY1w5X6AKnZI4AqAV+OxalnX/5UByha ygnexsgcoRNjKAoCy9eJJNYjpoMze+MUT9apAcJpUuMJKnCnuH1KannwxXDJP0fambuq7D3yidui beXPS+Bp6ta1XsJ4O9Tdp5mNLNd411BIFkqkrpfMSLzQWNxJAgYgCed4FyYZAOMXEGsyPDynqP0v Cz/46rdad1esQLnD37fzSKAAsRzkiwk08l2938Q/fwoC0kthc3ascaAk6WW0FqtvVPWWqsyoUUm/ h1ewBg+PYL9WYiOpWHVtcKU8GqUKGVmUPvSiz0Eyaiz07KpqEIUim/7X8CThAKenAStXFH+QmOTB +0gjApp0+sn/aWFOybyLup7c/OpFFcDl/aiBEHedc/Ie13ybm2B0A1+FuWzJK/1y6HEVCCOLDTS+ qKmmQxXutNnsqqzWGZ03aIkiRisV0GFQM2yVnroG9IpTws1w0OZHhQ97wpKPH1qqw/88Mp3x4RCr vzchqNrcuSgXhUmHU7cTh/6BdUyug6Vgw0cTpkkXSetKRdDLgtjh/HZmqYG0vyGfrvsUjhEMr5Yc 8ZQ6DA0z7FdlOe71us8aM5w6I00N3sk6N3LykeNtZiC9lIDljflWZ/UsQgUCnh3oqkoK6fLwo1Gy Y/48YMFnpM7FxqkKJ2v0jiO/V3ISRHBv/bgFphTqKtqNizxZgZ5xTg9phJuPjoToPCoDX/sC7eVg fQqIR4LEFzn+idK6xxxlLadR1bvSmb4Me0yAWMEWeuL6k7o9RK7f9KQVJYzQXwNboe9iNgxQ01To k02MjlYSijWFs9+9EXlDTnldYVsuKzzTLPcama4YTUmhTzSdEZFpWk/9Bco9CyOORxd19NKwtmTP YVnDcA0DZ54OZy+s0I03QilnwlCxIn6S/L32GNqJcQHDS7n1MtxFbYe+AD+w33omkUB8ZWKRkvFU HVv8QGfycooS3JK571Im8k2jLsubfpScmtxFo1URIoi55MvqgByYwDTk+VjQfvyUY6PYrCOBmrgB 4QmoKyFu7kh0JQSC6MnIYYqGbmHT/a4Kls1AOamc0Bb/xJ0fKS7uz9j6INsv88j/fwir5kFifh9o B3RrYxUCmdHfkLLW9cnk1865eTXjtLtToZ5QjmQRKsDN02VB6zkjQEJ4gHfFCJHCoRSPt0dw+Hdk M6hXHIhaq3swh2ZnTTCCO+bdhwFDDO4pjwzeDkFrXoO4jtbr4oika0ihPxt6znUb6KNs/2nWEufc q8o06MA/F7W7Uuh9N2WvFYiB/J2MDr7OOawOFKuQtPYxGdtcjJQxDDZ00S9zAvR1ZrWpH2THiFnt 9d88KhF2aurCpxEB+WOit/muSHxgU7ZUlutAI87bjQoLCgmRvsRTlXVpOM9UlCsjYwfzxKO/cbow /ijPn1N294tnaSl1Mivm2or+KW2+2sYiGyuqvsKbMaMxse1YLD2R792nVmT9Y3jQFCmShG/iGvFS Foo4ILJq8i2Mf6NoWdU1quHVlvP+jYvik9HYu25Y36IqGG/nkq+gCEpjl6xQ/21PE+sHRoUlDE4B Nv7bTYwlP4uAGy35BvpMN2oJyHnxjRoPkazixXyXwevN3mPF2T5S498VTCbFTS64A3o06r4HBkwX rUFHZ6tbPGUnlhBh4kvOsoUNZH0hYRO4zepBIBOfPdA1DK+BPseYeb8HLoWGY6weZpnh4qUWcmxC dVv1RVrvYxKEQP59/0Xvevwk3t0UPdMv41xe0ZdA/EmWXnn0bWsRDeBEEcoY2O8dERFfe4iX4qse jDIMgrjmmJ1uUERNljsTSc3vNpANmIz6A6hMRmg+3usxxJMIP6Q1jWe3D2ehLS4F2G8KDVLJysyz PXdUb++FFIhjtZIsOeCQfOiPMowtAiTY/IycnGAhY5p6FWhtbKqLdGDPeBdOQZJonqvhGaPxN3nC ADbyNuK+R1kHb+vSBk0r/qk3q58TtC6PVrwHrhgCLtLp9Jksa8NSbQ7Gi/TkJPuBlTZ+N/X3luYx Rd5r4rys8x5E5LRY7maPjiDguQ13Dtldx+aiOIPYkpyfVPwI1k/nB9Ly2zU2fZ/u9YdOLZ6q5T0m +YxNj8GhG7FHo1eXxxZcIfpB+bc1SFsf6pHYv2bSUA3zBPHEPBMVQbNFYGhgHxma0iyW8dZue0ic xGaTYlvBQDijAbjrl2sVluFiJFpVVmbyDqcgGYWtW5zn31L5EB/tYMP3GB7bAOBHJjPDzqvrrdtJ O7hg/UgXfVed3TOy4SuRIKPI50L64zMWYjyR6PK0Zb0v6PQ5CBD2ILx8BntaykEzuZRMijpIecng aQX3Sw9SjaXhUP22y/XnncGOOH30l+i1NHWvzEjHhAevaqX4PzYCwIe1kTXaNv1e1q7bMt4eSb9j nb17DvdKcmNbDNpV6+3rtKjJY9YcjXK7UNr/aOhHOcAexV2pdUh0p7m102hXlKnroM9fb3JbdJXo 1EQgjWdy+m52y1kORsAnehiYW15Zb4uO7SkLwZSyImEOg8ArCDKUIkmn/kLMRDLRIEGTGGBU2qpr Mu34FPL1XeSF/21AVt+5qcsc5dOCMc0Qg5xp/4P0VJ+Jn4Txn7LObtMxq3aQCuTvjbb16RclDbmm b3QrNGmGVT1Y5WXmqFQcAzOLbtoBGG0YBJrRIPhLZ/ZzwOiQw0Hb2Btia4XxJH9SDSPC2pYuyO5m XtK1l6x00Hi5ZALPuQpgzVljSH0UsNS63RMVVZalt8i414FZqIa5YaPo39+uLSX5fZT9HfiGXhCA JsTHBWH+dASHl9FKodl4dW3UO4D9StTwupIjJ8t26NOJRhQWbJ1Nrqgo+jL9T0Lq7IdPin7PdKhJ gHu7sA3jvt8EeJYF2ohScX1i1+uhv1Rjz/Lucq/bgxicz6wcldRzg2XR3DHcvZY1vBitdY4xF1tS 6//9l/DuC8nwB8E3Df8V91zz1O9rqBKLmnoVUG18SWqkRUe+48TlxU0ElLF1lwyuAOSuFAcmQG3B K1FheJWA1fwvIhYjjUwUKsAqa5dxppF6jqdqaOMM5S6GkGkFUhtsv+K4Y8aMHwmOiwxbXP0dKAeB HUwCskkeDpUBfndXTnPcXfsWYlDwM3megrrBYhJyMUJGBxkRyZxX/FvIYBiyGM/pmzhZ17bD7Asp gTroOByAwEv3V/qOqVTLv0+bc5+mz5dKqK1FpsybTahhNeTVGHOVB1MvM5ddumGNVIzrp9qp98A5 hmvbKf8AEV1lcqgkWCvXXjkgtM0ppC3ptJs1ElHAIZMlQjdDsbVi9aVWqbb/xEtp7KnoZHmRn6AS Bt1/YWMykshR9dB1EBZvnw/U9d8j7bakZBY5TI6AZ4iR5MyDvpxMo1ICqCV8qKZMvKeNVmy5vw66 9QnYJD4+WmjLn0PAG6zb67mgK2PQ2fN9ZgrSknEuh5MYdP6+IlXgLMRMepsJD6YD7Etd86aSgUVF ritEt/CoJADlQiOTK2URmCKT50iDvHdrzTdrUyr3I1wGmNtsTRSf+X7JQtnq0vF0A3zUyMGPgVUG HcWldjgbgW3eFlHkKaIquzG1SBJ8r8o1MT27/oZGKgsei5dUOqdx3AAOyR0a2lMvQrK6loENhhPI FUq35YmylwB8dLj4+6onht4GxXuiEaxG5akN63Emb1wbGBqFVXivWpgzB7CdUE8VC5ZEHDVf1Aw5 ECqypxqRhjEEfQ3c95incLUfQJuSERIrPOeGplXhYTdndKNpvH0OgQiu19fQV21lEzv4EVumQvxo TMbmMxSvBuM33jGAzZk9rENb4aznXML+RyY1EkuiIIHTz9mPfyVZUsaXOGiIZ/SJQxWVt66K6u5c Sdo+J4cbT344mcnEHLOneH/AjdvOta96dRAelk2g7M3a/V0QFo75XfALC4c7SxaNhKln9QpbXHaU 42Ymi+dXs3WmG4og3c1Bn8PaJaLs1mgTiXEuFc+nRzlYwU6r/29xIaS5XNeZ07C3kghzeVrlnkHU J/b3i6/wtgFLHcoZ10JpcIJFO4YSnyMNaGh7oTi3xzc694zZl7KWC7f4mgwjXo8zVkAVJy9MFpdc bnmZQliAt2TS4HoLO2o/0RXOgI7Kof9K1Qc5jVmH3PR4acpSU6LNl644qsT9707rEVc8RBF5U5aJ TH3Emfq5YJCxDm51PuHKsJtlxwob4TKAfskl6IVPaOC+lmmV2kRvx5Tr4ioH6XS77jPPePMYyB/x DScXHwN5kIlEfxj97nkKTBxpW1laJm2TrnqKmVUUoaPjQZG13WyYrSwSeq2na8xLMGxIJUYg7ixX 3ZNvXsQVzZN7pcJK2RR/fA4IrEHM1vk2ujm7vB4yuCRvRaRcBFs4BeK/s7LIrJLcfJlz9INZMR1A jb0QKzTkOYZkQEhtayczRAmUbZl0qekyciWxQfONmITMl0Rg4zbLMt52fetf1sgHnVImOoWcgZAT ll2jeEpZT8HhOnQFrRG0b0PrpoTJEjIqHVkFkW528rthpsR6d6UMbKo6cOqsFRT0/JecHCPrLIHb gbbLNskdZVbFk3dgwsOMOAOH6xD5+Qdn9nmbSqiBEB8e1c9jKRmbgPewkr1yuOhiOZTJP2RPiJut oQP2P0PYOfkGyZGN5idfTqkJOlvq2fimSsS0iO4uXDg8uQ3ovpTgMcWd7XmKkVYEgRxg3xEAuPTP LnvtNfAEcP/4NZgRpvBkrkcstftm08gW/StLdyA84ugKsw0OuaCa8yXwVTJNGsKc3VOypBo9Ofuo xfJyn9GpVfPDy/Sazp8CGW12X6St8Kvd9b4SDBiMYq24Gc5W2S4GnQQkb8TTslGPGRmT5/xRTvrz VoSFLwAq9mvb8LymWclZWCb+U72Fy8taTVAGXwxAFFI8t5XD3lQj0WjtQyja1WLXa4kYj6XbBqLp bG99C/J97ARfyX7HH4OwrF63xld2Gn/aAjHi2yewR1b8x1AtJEpaa4IRp3cVmDclzVseDhmdFyvV XrXFIgE72kACn4YuFcuXnkvjviuasqzhEtGQi9O5hRQtzi9XHgqBBbFYBaYqaAMNk9P1ewU+L6U6 /RrW+1xXSP6EbFNz0yW2c1uhwEjU2RlDD6lpWv9amlbJscch4HzReiHzw7lTIgMuPnX5IfwLxOoi 1PPAKJ14PlGeCQToe2wlps8x7/j8PVTD19oIwwEvolYFwct7pJsfSjRcGmJ5zreWWZE/pcM9VyxX Ht6DIdKUi4H1H6Hh62vD7QN679TIRQfM0+85R2AVafbF6cKMCBnZVY3xkoJrrogHz2yytIIksh7H WYHj7U8/NG2AF2zz+lD+GSSFdWtX/3eF/NHjgfqd+sw3U6GB5Iz05AFpi0yfpfJ72TM0IcuoVGse Tak9hE9nEGKAMJ1PDdB/L+JEwJytg1kszeUxG/6hviAfIQ1xztO9sGUxXpxm0TquvgkwiKLI6/SI 3VVegcysVWpsuGhmW5WtwNjzsAdxO1UaY5r5XuUF1Tk4fsunr2VD6/86A7yvZ/RSubj8quT+TiHQ DCnJrY+Pu2ySGpj2CDIJUYOx0TIjDd2f5b8H4SQwVNv46lmDM8eXsUXoXIRbrtoJKz6cyErlRsH4 Jt+Pzg7Yfifm3P4z4HJo7/3XJqdsobDGczorvKARENYUi4viqUcMkC2vZyLZ/5EI7BxWYUsLD3bK YA6rDR8NthOFkJ1ioTh9hXNhSVN+AWsWuWBH2L3lU7AV2uUOwRUJf5gNzsNRZvmF+k34EoUXUVob c4iId1dB3o85HOUN5lOUEAdJjEocJZh20PqeCFrgYryY+l+VPHm5JFD7ifDlHoutBqHYWdW0drLv sq3t5SXWTmQKvhpFp67WvI52sb4DhTOIcSxMDfAzHwSQH8OMuNt3KU7OOJJCiW+QoYwSHdUq2L/O 6S4Uv9V4dMimYaqYfa+WTlQLzfTdq6eUiwVjvOi1m9eL+ef+Kz5XtcDJ7727OP2lwEsuEAJqDnTl wfAY2MupNtwmT5Wy/J1Gjd2pls7Eu1+V5hFBU2MRp3Lh4L2d4JCXxxC0tupiD0WmiscZmnOMaeXt A81dARl0x4SXK1zwCp3HRm8OM5uolcbDdbwJHLYmfN6ToTgrGvKlpQLNwabEv+8EO0kzxYkdKj3+ 19L8FU2klwyY36o31LazngNHVPkX7bOsqOL0u7RSFUY6HuiXvuSXcn9FLQW//zN1l4Y4Yxlc0IU6 YPYRBu8lCr9xW60NXysCeE5QpTOfGnQpuTY44Y+WT5x/p9MERluq7/WdnvLqZmBhncdzVf6NmBGv PDhOvV7wDMIuEwIdx3LENzPmHu+31urEkzVF905Qe0UPvc0hDQM7l1qEfCoQt3JQVpNHkbL+wiqD 2CDZdTdDMyr23+UTJ382UyzczqE8fsU1mI6Qa4RzL7aJ8gv17rpiTfN+bbIbK7D2UwnTpek4SXKG 7kOrH3q8ZcpAP/ykpxebyCO63u8noSMgf3GGYzO+/Chz6NbWXOXdBq26/RG7Kk1i4WXPfIHzRgV/ u+7sOKzabvE8eK4pBJ/wCGh0YQhkhi3Sgr//Ftv9PVFu7xdgBB67mYlLfontZGRj5UVR3O2FxE/n FxA6OQUnei1Z6hPsphIPZ6wejHV5uVx4n7C5kxxjXxzeorShcXTA1he7sB72EIZyM3CZtLq/E3iP Fx3h5soEK4F155ya2Lyihz3LZGJDvDtlF+d1EHm5wL7DAJClRwtuCg3SLECM2PfwQrq2jYOqVw9f wLDrnVNBze23ZcoRY9SI2FyE/g+4LgWsE2UfjUx6wVbaEPEE7yiJiqk8i2AAmMs9+7wCKbXlaQ/R ymAZg7L787QYX53Urlbu6QnCXdA/90XNZ3m/zqmQaS1pdBFj9CA+dAB0dnM3HmmjvMbYAXO5qDIZ hX8fwTjNJXvKpxZHhohtWKXHyAEUpVj9SuGYz5ndljiWQaCPMEkbUyuzR/wY3878qYnrg0Eka7rt GYMqvVmF97KqHbpbGHNUyyXc5XMhix93PkdUhHkwuolfLO7UnAZ8T+Ovl98OPs2yO4+Q1wFJBHPR c2LFxdYJEpT8OXTQ4U/KpsUQYvJ/RDzSAPjxyGM+7czz+85iAqD07uxLfreRcD9be+3sXMCGZREP kjx1hU9dXvoxwixAe6t49Vhwdyy7qZX4cST7dJ8kpTc8mewKmWw0bMIsKNQOk0micpehv3Flmj+z 51k1a8P+TnRe1TMk38Kwb2jn/m/srst++1RTCaqa6njUwYuRZehafO+UNeJLgeIR+/Vnp9cZtFZw efd1iSuVPoJNKGoj+O4k7RrCSdycXjNCLctjAnu3AwCZpEb1fLlnEMFNgSEdsEbxp9VACKIG0Zid KphKQ4s+7VYfSs+JiGh6K9tFBpYAltZ1yyOgcqyG9rvJFDTexOL5Zu0fp6ZIy/AhbvYJeeq6X7VD G4YhFbGDrRnwaBBmluUE7FPZqyhGZ09EQz9pvgPpSqj7JUEFVgNgYAzl0HUdN/WQXU6rrxJSirGe /eYQ6WGH1sGhfTZsJGKtuHvSUj/W6ZlLjgZU/FkLaTvFUzuRAoOxb635Zucr0RvOI+m8y0JPIzLy sEnEuMKwtdCc+mGyuqJ7/VZ9Du4+FRGx9zd4cQKOzwig3vVN3eeqeKktNTODoYnM7D4LFDT0LVD3 yBKEbRh0114T9cb+dEVPmA5LudNxQ3MF2rgn2pJquGsbkYlofPk98ZyjzaYKEhdCLF2hnJpdY9AU 6KWDFx6Iml4WGXQFJPOwH6e5UThXfS+op6vgNDJLhw+mG6Rww7zJXZFfVZxHhUeUYXvByeoSM5wB LyoXxcscr/ZjvhyJfEnsoi/wJKcrKFvq1soIRLGBCfSGjnErc2rFJDsZkMO38XviMo8+6zIlNdVL CGDLF4Pz/RE0miXSwhLHfaYsh8XglevgH8RTd6WKcKRDI3+CUkcB+tAfcmJq4KFThp3seNfnxrPo p33poEsFN8KGRZkCuZeH5H/c/6y+AWCXAivZDV5KDxHxR+MLrmv2b3nTk5kEX0aZyhCoHferc05O 4kkPEfrsUML6FDxp6uZq3P6w3iiAtSpbG6AMbJwm7iyD1jIlPYI83bJymnIatPI8MieNFIlMiToc v4XRogwD9faX7OoH5X7q1n1sfcfBKr2M5q+WeRLNSWQrX+bntr7tjNo4bmwJ/82BCsrEfNfJQMfG 8Pk+MKiLD2EO1mnvk+TJI40xg8VPD+KJvXMk9w5od+eciTlWDN2Mf66uYX75Cq7Bs5/1oshU/OAI qvYMOoSuGJdsZI1+q7wKdN7EHbn+Q20C5Aw/fCE9MDOfSnyTJfok4eGp2XKA/iquJ/G5zgVzNWrR dmGzTT2IESS06iqw4/tCCQ2HumKGpLmxdxOTVMPzvJGjr1qL1PH4pAWkm3XrfL1wK8tNGKQgKRvC 3ATiV0icrlqJupYzbwXRmflSN5v7KBDAqjZ1UOR5WIUszqhgzxbXiYnSlOQni1qlefnWkXlhdQG8 T46vWH6yGeDzpcn43bbvYTeUdfYK3n8JB1ftrap9xqyQN/ijIA2cdJwN+0IgVvPdcaPnEARBVgly gOgYMqj2qxJcSCxwupaGc3f4BR16SYHq/Do6ytz2qslXXndq+TMu0cIHAopuweRZMPuFF1WyuMe1 3RjELOgQck+MiJdavvDvLLF+Gs6lq2kzZbRA7YCMSDil0tVOs2G2E018GrFwsK0POHvmM8MoFv90 YU1BzDhkFDD2hzEa1MIbeMFdNJW0oDEE7AiWSj3Hp/1nk9zvd9PpiELkwcIm1/e5xChpELRJuxus h4ZolfJYPq23H6d9oKgJAx+8q0BXjyHMMkdXnRDP2geLU0itFeke3K4W5BTKRQpdFtK+SQxShqF9 gR9TxS6sbIS2JP+gB+qwP63dOEtis9qaN1MTQpxf2Y+URCe+uV+yuQxJGBwxM+fnvhODECms55uK x6bUlkEOPk8n/tYn6W35qsUGyt6A9jOIuaOdNGs94UmK2N90zKoEbVfmztFedgLYamtHMwiyteiW yi0c4XidL0hN+LxVADD7dqsdR5SP7fnfUGSfXEY67HSQzWZh95irDVUS8QorUoBRu0oOFenTNbMQ 8QLLw1CAPOyT7Gykl1JGn2h86tYYsWDOQM60CJl/fDRyRlsz0gCjeObaKVVknsyg9UN1Pb0a1wuo Mosb1sxXG+pqr7Wprcqox/E8GI0KGKT9AlzBnun4HIGq5sGj4dmQX0Q+EYzM2F9g1n/7CIpfu7vH PmL0R1wskTRYAupt9gNQp/8zw8Ham1jPbS/BTiHvftHx/sQxxxOQJELELjm8D0f+RAVKh1zk1Hmh HEwYEyk+3BKNkiB448ijxjoQWplchpzz4RltBMrTzN7oI15dSq6T3UaOmtBBLA1W2gGw+B/ye9tX aQZpmgpU38MXrZhz5xuB143jsd4zFFfmeAUIOfzn7NZbJwHVHOTB/wmgi7wbslzcXXu3CVEyWr13 sjMTE1Cc6HeBuaQoJiQtkm6AjAXjK+snEI1PeoolNb45RkcZPf8mDUMPy9UjJNDj98fDBtV4SK1Z SRmZd+X0sxhGHCSqYc/Cecdu+xC/rNgdJFeyKvi7W0jVBjs5pCur7/QCi46Q7oPc3o986Ty4huFh YVDMZSMgpEMc894xsD9fh2jPqAshI5IzK/PvG9jelExCtdqJkl9hhKNc8LX39+vrSZ7vG52o0VPg qGI129ozsQQhGSMb8umWBfnKeEOEQ7A4QR6YXysWpi75CrupAfjZ1lWSJO6CWZGquHVe7fmsNriK G1PntK9u7r+3cLXPvOMShkQuo+GEFHQtHq6OnFNRec5aNdIGR5d8OOnEIAf1j65x32PNyaqXdLvI AeXZyjcMczYV1AFbn3XaFc5BvhLq9xDxvtXnvrSZMNNiwrrB9quVeg36H1WlinzfLrNDPoepVTEB FGfcd92R63Qq+c0KY6YsHp5dPIEQYavZuuy9ZnuQJOI4UDoWJjT1nMOdEIXQkJf7M0ubuf07ohCP g/3SPYbk/U4q0ISSpMEcLMZBomUgLHDT5JZ2IP9tyF0w7wxBBKqPyAXN6TYPHDnuNajOcMUJNqKs MOwq8Hez6oOCDscX4tbIikM3Y6c0WxkDwjqlGc7mPwdfBuf0B0pAOiJDQpnRG/513HHRCHW6hWbu Dd00nU9Qe3K2YoKzRwKD5obXfGvAkAy2lJTkty+e3+/i1X2L5y190na9sN40sYmnzA7eYMWvAzPK I2bZfjAB0ryPCPudXNgVAylYKJluUq14DPlFGUlMVCwuopE+6/A/O16CiFlOGNunNauVe3kbksdz ri4o2q3xRRyKKBREP02pOKH7d5BDOmr/bIsvhAgDBHq2lAOkZr2qAM+Y9rY3uZA3JQLFyv+rYOko HDNU/0G3yjqlMAbhWtzbQC4z42zayU5cyAPTWRT2u9ypihExOdyC4A167VO9ajCIgen82B9fhbXE S3H+dGqRaa/9TDilCclRlAZ3E4bqYL+eEDZldoMi2jowtNeOVlReKjFdqjPhGJweVIW8uf7Nr7Da jP/7TUgmSgyDnzcFQLP3Xgc/Ycxy6gbDa3qxZeNc48kp4aZE9Tz/sWFw14dtZVEe2N5Q2HCxYX1Z j/Vgfzd0uyTBOOhjX9qvAL0zhFclxkLLulhJVxpQdIlfgIUQSrc8HIP8dsdT9Hy6VeBJoNY11For mJfWeibug8/tFlCpN7BBoTXNk4Fg5PdxjbFUtte2sb/VlQZlcy7IYcVbx6kz1HS2ULOfDSeddfUB 5mDixJh0I7DydZPD/rmJtZm2YkN1KhhLtKQTaqD8G6U393WQfmFBKQjscWxWeH8CbIHJVPT7bfJd BlJm7+/encqzN/TNTyKQcUaxa2IldsAFLq/2ZHHu2H3dK5/OoTBQHIOwF6+CameRG25AHC7sQCBP 2e/QndlVBoqpRkHLowGYOMfxA9lLTkDOGSCt4yWFur9jJ42EpkB2q8qfKwcjHFU84FlWUuJMLvLR N71Q159QAJhZ7F3Tr8r1P49PZv7j+RPBI7L2Rt4pgO+A+kupKbSJLVvqRCDOfpkrv+kKRk+z7WuL hjsWI6g8CyN5hBvaeS6gOWdZPVlSLDLC04iFK41FhFN5Lq00tgbA5cYQ0DYsqiQfS2ciabEKCfFo ascob4k3yY7ppbQBTRyrV1RXQGBV7B56OYyoluHNM3WHymmPxurVHtRaK7sL69GYMwQ83PEzs1QF 7vtMcyy0BcQuz6YmM/ZxJkNZ4N2JBWk4kcJhnJKPLd5PnKs/EqwShnRnSfeQ5Hg6osrz7eQ4Uy/x kKUZIzYvEgwiL306RA9/29U5lxd2l/raL8vcW8qGNVmgWKVEfj9Czj1bHIlsn7iBj/aQxT2Z5VHP 10ibgo14Rp2smh/MYHjAS6GkPz7xO1xw65BTHRPeQSuLC5apmjVdJeFKxMzx8ag8oj+ebRCWXr2u 5voJ4ITLtphElXUucxa2ti4Z1wXo32uE2KNnQnzbZF+NajiZQWW0l0ZeqgiYey+cvZaE7iANi84K 3NnBqR9yHUAR9k3HHlNUHa/gglyyjsfh+zjmcowAGkFN039Q+4PCQGKWbICH1pZvgH9YFJhOD6dh qv5+j5CHsgOVTyI2K8fpuL9DglVf4T+5KhrW9fHfTsVzE0MDim0Q23Bu5/C4kfkQx4hvHdijj6qs 8qg1D6n7iopSmeCsO0wn3YwIpMVDLnRPxLJfGPIrGf8dHqh+q9O8ww6YbYzuenJGVWw82xMoVsHB K82cZtBjVMmHVrCwI9Ru4U0f2F03P5G2PW0ZhSgHmAnHksxoypXUliBdgb15fQpvEDc1OcW97Gdw 2I16DexKQwEBUUkzZAn+miMP9zPnzBxHTCXO8dCneqqIkU3kL8DrPsZpIh3jXF8I7Mjeeh4UzbE8 TJgSrks6OFs0rcWoA7L2viy7je0QpGGnz9OHFsd0Qh65QMTB4jNv/yy7ZcInBIeBIC3n9QNNEPFK jvO+srZaPB+VgB9vuWj8Ojrbm1JPiXqcYErRQ26Ltw6P/j07jsexab2UjQnX8iDrsY20i2IKNKnj XSCDTQC3UZ05xTvCPd4+ypOJlfl8o5gl9MpkGHD8eZrPLrG4+87NjE6gVWOAxUqGg3ylTR26u+52 cScfQVIsi3BeaRMZ2PnIVyZ9mOjIsGOIQw2j9X6mTQU6/faoA9cI4lqNI4VSwlkn1fUyUlirLzLA atnJSfyD8oT7x8h2s1kmoTAhVKYWG6l8XT/qnZGabno8AuvF57DimIBfYrzBHTgxIqaBb1d1UJsr tpxIVc7YCv5RJPGTgH9Yo74xRg0513vUghAlurK+W+ZobfDiN6Wepr4gjTZSls41K1KnC4LrLKhM zmn3lRh9vAyyQrGv21M1QGbqz9kljbyyd8oL95nmQd4ur0zjyhkxYIuNh/+D4SBbtcXoea03E0Q4 +GExto1XApXCc253AcTxJKzAKB7YbE458sQu8J+7X4LDqQREvpCSUBUShtx+YmVa3ebe3GB7Ropy thiaUzJMcbuUOWzPlDxmkf6q9sYpJU/wxex8RJoLuKWHx47OEGDZKR3SAAjk0/33c3ZIQJQYM7n4 9KOpnE/dQ0bK/6zPfN0iMlz16Nh0h26AvX7m7LPpRZzpVEXKe+4U+n1P5cNKzr83+tfgXD4JcfIX Jo0VmHHiyW79uVX0irHNRSf5B81J5iNsdIN/fzylyehLeEwYJNZm7i4gH3Nro5y4o333xzB0wDBF v/l2kn/V28/crBeutbIBD70xMc0kjHvZoHVK2A6EE2OmpdkSEuBa+eAGSSDaTb+5QiUTRs2g1DDW VFmglEYFLKgRne/7uC3M8xpnI48irn0gNVgKmugbKHgVudEKh0yHaYKKw3w+iOu/3+xlkvhwGkUQ mmL5jGsSXs79Cu1Eq0x9SQxaEH9wpAPxzIIk0j+cVwSjByc0/dOxUlVq3y1OfpZOTvDUFx0PREJ9 HfXuaueGO8N+mmuFhaTLalbPU/dTcKqRtGJgaImpoxxoDnEMEcUXNtfP4XzkJRDBemKXvSyVMNZv JMdQwIB7bS25dW5xlTna4/w650I0WyIjc2UgNMSkKK1/fyyJcZQZulTD/iOwsT7mJl8fR8uvVGu9 4O+H7cXkJDH4lom4k7cqZa285mPacKLqsXkkbHalT59K3uTYViJQ0nwWeCEWQzlYrlLaJdI1Lbtk b22DViDdFNGJaWljvamy56Cz+iV8y9toHZSJwX+VyRduV8Pao9WQumOLO//f3jPG0cif5MDyDP2u HzNdZk14D/K7MsU0eFG5WAK1jTwdTMwXShNsWNdTCFVDjUX9yKbYWpwK4H1uD2KZQNF3sUMOdjlw qVdVek+LGcrro4V/kUO0c3MtEYSHjKadTKvJA4PxVPa9s73FvFAF1/B/Uoi4M4S5XDElk9fimVY2 aSsOEwqMT6UtLPusr/drBROpNrV67bYtO1upAOqlC/pJqCHKHM9KZX9vrNjHI6IclNuN6qrd5AUn joibP7Mtt/ZfJNkFgYkqfbfAZpzY1mf9Sz6CpqpRhMtNX5SYVIK6AUrAx4J+nj/MmSWudM05Xc7J AaFP9I7qAtCp1AMEXlRmcmi20cY8Ek3fUTbEfY/JfC4UU+RMJ2KN4s5lHCauIfj+O56YmitYX9gl t5wRzMGUVDZEqzCHzImBv0d1zJlMHy6OUiS5iBecPs3ERhl9NDm2O98+r9bKbTry9fad8JjVrXkQ UnB2T/vDm01XTrINRz7+L3jmLEZ5A5eVtRCBBxALZ816ujCs7dp15wVsDKrFDfnfATwlC4f7W1fi sNTaL5cvou6LSXW7VBVzZJUAxBkS6dD1RXiO/qX/tQzrg0k5BV8nsemcEmcaZ9dbxwEuDUo6zOYX TxQ83YvcXtikFNpi7I59ySovEr+VGOF0XubK7Hs1eyZZwwz4wEW7q5ud/dniZL3BPQTee88O5NWV lsehzVitc3A2f3lBGQs7aHteNlWj5FEAeZUD/WRS2Elgxm373xOHTXz/UWBbZ4UGayQVHj1TYdII 016naTICe6Svd/tOn2PvQ2Zjf2wYWoMDv8RUkheIVfAjNj5CHeWYe1T7fDaOGejpckDBsboQcOWX rjvJpXtSETixWwBCUXcdTS/+RfgTWDFHHpA1ut9xpjlKTjg2Gj5T0lYotLd9wkcHi14KoCUCnJ95 odLyfq2qFW14gKmmLHABUQHoal2sUtUUpCNCF6T607RfjuIEaJMXNBlFgbzSB9pA5orUcZcFcinR TSQemWILyKpKpqYOTKPwO3ZK5XIjsuTQQP+dRqjb+6U0BNkI7jsXnoNo7nMFReQhi34pjiog0wjC ZFiYBwMLgKtbRpb1Y+YCZKpliMljH3E3hA4DLbk7xWPTfMEIhZsJ+3aFoMDVHHxLYlpUIG5p1rM8 YTqKev8qaFd/Jdid7PdTW05Xsw9N4e4+fWm1AsqvuGlYgFgUkQ3sI79YH8HPIP7dmFjVza8xfA7o WfxH9BKXZxhsuSSzi+Q4eQdrk8cxr07JTv+R9kNPQI5C9AVNjXbUs9u0CDSR3cF7+nrt5R4qdlj+ 9lH+RIec3Kn7SzKIg9hMhAzcTvNUJEsMVKyxPlSxwgwthHyu+Vu89XEIvfWdaTdKeHeHg4PkdfMv djvHgjAp1azv3WyBa8i13CZ25rhgJ0SdhOB89tsJfTqohSa1KNAXygWjYtG2CquThOvtuSBaSSfT PfMxn2iLjlQDbCiOGAx5HWFk60GzeGT0DQSXTRAj/GewyR/x4K4Y/IcIKeWBNBdeumo7TT7CKi0W 7jXcNrw0q/Vr5DR0XTupSfkX8ENFdj036IhNA1ujto27RjBx6okG4Oi+2yiTIZ3xsAIFSqi90P6f tXmdgZ5L2/6ltpEHTr5dzjikjAOyZNeeO5Wtx78K5OLG3AMT6G4EvD0Feeq6w4Z3IAiN8QW/5dwo tLOyk69XcsblRvaDjux5RJe5ljTSote+GdF68OtN9nHJcssrQaHSYXm9kdDuul8F9atov9jLQHv9 SAXjfPVrZOeiGH5xaUEF+mdacPbclBQRhF/oxBo9NHQRiw2wvUyRc9QBpcBmoOA5JSA73/RaauKO 4C5zi2E0NXqyfMJgWtBjsA8IxnlMj06qZGJtTQPYLz0QCy+7mI0pNgdRIxxFmLBCkQ6jftpqW+cf GmVh6cvcVkS4oxUSkcN0wbOcFEl2ypjNEObIoc4HQxm5Nfnp8ijilAgcCdHK+zHGV3tAQV1dPlw+ rgqJVhCVjzodk1duieXFaM0RRDvuNY9o9VcBKXJsy632syjuHPdU7zbLJsWrGFROQptJt+vxlSCt 7FhICR5w+RLHrPljqaiOS1TaKWBs5swNDtQZ8zVNl0KCdm3kqwoHaXxfAWvyQsbEF3dprkkgJv/j Dh7WMIzeeqIsmyq79DM9rAuQTsQiT/nyT2CZcw4368TnSq17aaXpp77Impbs6iSYyiNfIh227TOs aeFAQETiKqSchmdIRCZQuJLhemVuq+xCVeRg4pu3O+t6rRG66MhHZf3GjuwE4j/OsGSonI0UqcDz jQ221PkLMkr2JloEH8NcwrTh4NFyVuwvsjDzsRU+qkJA6Yck8sLAbjsxajbW01apSnSF366sRLen GGPKbq97eGF5N4IZy/VuBzbZjfrdq6YrYFe4XvLVY+J5WoU2A29U0xb+OPQLE2GouZdjo9Oh0wAa u6oOP+o6NBg4XtZ+fToqHpnFlLkjndAmINGyee4aZcSnc1As2m1aoatONSzs6K/8PIXUT+olRjRa vAKAJLffu7n/oMzbHjwGiHhhro81YzZm/asaFFygRFflgPhr2s/LwoRpyLp4TXicYFfCfcZOIkHf 1+rLmucfH5gzlvXQ56JRXj6YDx+c0m+dN1RuXPYzA7JtSBVO4D25rJ4FXoVX9KXtrw49hM2qZGUC gfZ3HMf5n7ggPCOvh/MTYXIpFDSvkqqWCiNnpljAnY23RGPtF8k3wGOwD72yaklqfo8cUiyzPx3e YMBT8hxWsBRbsB2GG6OBPNh4YGqausZUg98eU0fGPovOTp+X0NLsHDnANUphfQy6beefYEL2RiN+ JVpN4HN1VM3K8uP5bd+5tgvg+CNMsmKSZdD87PARmEqw5zm8tMQpgVMOeYDNf3PkNXb+uFxRlS7Y HXlCtCay5mDAMqZkLcUjryc42ROOkcxxIZuJ41rPsoWT+YnL+kv5RW3GVDBlwjs7Yrv0Vms0ozG4 vw+r5S4ZJcD1D2+yNF7tZzNpV/69z4nBpSY8pDu2ZhtVWX4kNH9VdtPoySd4pgwxNDmCFEhatVLb CGAFqam40SWtHHMFvuFsSsw4HxTve6rKzxAqP+VfGsmNMHmT9MfsQ00CK5SdYE/kOHiq5bmfMLAe 9lDrIAIEjSD6bzgGyjJQPHSZAaauZc2JHqqYbLWohPy/mJbtlvEzhn86PLi0KRtipBRQuTQDDZ8G +Hu8BHCpA9YzOEuHe04mPUvy9XCJXB2qNDzAMO9VGveFJnanoqG1cjVaAjMsmaINGsqfZn9shrIU l9f0GslWZraJCQaqTAlPrnCdzR39xxclMykWcu1kwO1vc3W6civLpEkGQDPEVtloaeXnxWTjOEQM OMbLfdME9rr9IcGeTmU61Y28p2g301cnKn/5fWiweDVWx5exBliYWKlNeAoWuH4de5zNrCigxomX Eg4+IbVsOPuJmNJWk80UL9CILwajPh+FoHLcrNnx+aimKIpv1GyRiPaKxXds6AwWwXw2bIVigVbn PEfjqt6M2guxeTsiKUxSUR41rAvHfz3O4GcYPswD5liWjHoXCMOz4h/H1z5EXCTqh51/rvVUKdLq iITMulEyPgWpQzlbsLdiiPfoOzhvLAhvbh5j67VU60RfiAtKRWvc2aa3ielLqDNaO1rAr8Tuth5g FRmPLG0hVlbLUtCxk4ugWp4sItF62DzQdgoSN36cQLTdfHNDczZj5eGC7K6+x2FlljTQGcJCoj/L 63SP12ykpTDjO9itvK3ZVhUmtlMHOhVkqrX9527A8rAuozfUACDcdowwzCwZbd/dD7PgtgLYTbUJ +jh3+0TVr4EbEfCym5v8rCkWEQkdz5LV91UBeRu12OVnKHcPCMBdClMK5K6OcMBJlLVigwJSPp1c lBIFCRu/rYxZiPFXlZdqXGTkh2PkE2bzKCDy7N0+gqKV+FNiuwJS0EqQFmuPMJT16ZH9CbfJBODR aP85E90cXXhlfp69nrJQnUw+8zg53S3ehuxhqFunJeVjY3GcwtcGRsMCJPmoiF1OwNZuBrvZG53F 5xJhMGX0ScVWM1fhDJpXEkyBhDxETvI6lDh/T3RKFRhXLHRTFXZt4VqaCacTPiPH+AdoXjieZLle /WNXIiiy/ZneRoMA6d0guCporyG7ntBJiAHnOcD6PKjBN++ugHByE+4QJnDfAFGxWQ6GD102A9QO IqTPsOoNXin6Bjhx0rDoiUkptjSzMcgHqgtM4M/sydEv0bJwEpf1e7i1fcpKLac3OQl7DRGgLnop 73peITJ9oVBwCCDEdB6uC+MV392fHHfJLhHVwQYbi/6SBGs085VXAKc/HN0qJE8Mcjq1gz+HJbbH pMZsj1lvctYARB4pR/1gOeyMPxVlrqICkjKL0NxQTmq+6iPjvjTnWIg6/rON6uxkqlkIvhBI8Qeb FwtpU2A1/gKdHPW7XFlA/rVdgCGhrucYdO4p8NvmcG7XrNCvqlLM9ibhGEeF9ReY6332Fa9srH5C MjZF8+6ti8jyFW4cjfstrLADUj0RK2iXj+P9jxOx1o4nv+L59B4OJCZzkeDtWpfGRbcjSpkYh+zr HnUfo6/FR0uame8u4oTulWhsU5gPBZhEEwiFnRzNGEB1l9RM7VIiI6itj+s3H4pU/T2Xvu9sR0cM 39i1Xkfi9IGxPkFFh6kyBIrUThpzSFEqCK6M2NEnVuHt32Ju+MZAlE3AKS0OkSELpTdiIrwpWtfd DEAVX/0sLD2L7dysadwXvvZXm+dB5DBgpMvHcmrxGBRzzEVakfn35qLK4yyQYEka4PtKaJSkPHBu /OOw2CBENU9fqCG9Kugk88mWRp2laHZZET/L09FR5DFSghdtN+an5qWofX8kUcoB0rFwwVs4gosd kQ+tZAXarvEWnAbiM6MhikAffYqLEMF3CCb6zk+mWBw8YEAGbem3wCWQt3Xzi6ZMFZ+JPa0Zff2o I5C0cRmXuFM0GRLwopxRNqxQX/42YQXQcqKfNkRo+jOWNmhWqMzlre2onMtZbTiwWUz7uo9fyKuH 0kC/7wYSm6GmqakQQohShbYDylvFb/seDABhQpeWI1ly/Yi5fYXdiJAszmVJQdifGX24ObKVbiSp GZoxd7KvxECE0Ao476g7diYy+HLYkMH/x2ZkFOOoizxEiyzo9v8o9FE8KxsxdwgLBmfewzu60ewM 4XjwKAOHbjqbJAydHlo9oI0iJ4uEKzMry8tAU5/NXVXrzo5otRPapkn2m+TkY7Qg6u+SHVubnyxH g2OVyk7OvAAGf5tBeqyeuAshTRjd+fcRHxq5ruDVLMWQmpk/qUvZGPqc+gxRchtCF/65zjE+LAma 9MxjaOHF56Jyj9zTlFF7AySJOsN9hghYiRvf2KhciyAFwALBq3qBWHXLViAIlk8akdf4LKzuAXcN aGiFG3CutXvSCE0LNgbRUgrn61+zKdGgzlReioRTMLe5sYZ+4nX8eSgXDoVBCZ9VSJCr1VH2nfg5 4TNOdxG5RmER1UmzH1GbZq+wOdXGD+dk9owoLCQJha5M1dO8nO/sY40a1rSqK/baP1CfFRcwRVMu PoEON8nc3+OzCNLYPLrN95OYuUbxHGYQ+dtArE3B9uJ3r2rVp96m4VTtb/00bHmlJwcaI8Gc75xp WEhZakukVihNHTHhPwZ3+G2570P0c3OV3TUWbWONmnA1uTzPNNWqVsMw6FKzFYPlB3vPjoZgrjSG yguT5phtt1B9gulFju4FJdLLlxCnkRWswUxaPvSO2bGwmeQb3dftk83dc2jKl3QMn1bpYfmatRPT Xuy/RgOE5GuOakIm5a7+NHpDOBSbxVSsqmg8Xx5ucJkN/PFSXx3eNPoEgzh5l39SeFXNBII1xoP/ zFi8X1KN4VfrElDGKb/oPFmKGhy/v+8rcCVWPw6H6P1hoIWgtMpisIUGMnQOoEuWFrcNkQKrgW65 N7tqUA0GvO1pG8MPgVdyXvrD+vp94asvH598Fwx/FCQ1a+j1Je+2fUcta9Sfe/6pZ54TcDnsQ+iW 12OaS/OtM6h1xUY0N5vVAaFlNWee+mxwlp7VdZ+gnxdt5yXrscdJ/Z06awiIFkKASX+Z/Mg8gr/W p3C296VlXw8iG46szt1C235FykgkZQbASPWG88PJlByDm3da2yIqxgxOt1l80zibTV0NnI5KwI1b OZJ4WTHegiiXFC1SiNbj3P+2fc4fYhU+LKl2BMfnUwIi1a2xuvT7A2jfbjdcZt5KlQRcYme0ibk1 OGPMDNu+z1srxniVicvU+jQ/2sAmaIrixuNPCCXcscdIduyLaU8YR3XTH7/16S4rX6+bCq5oB9b5 HUkSiyVzEz9CHbXAL9wPc/k7iQq1ioc441Cw8OVBuZrdOdt3/BCoo/4aA82vVNQYkt3lN2qhi9pt FtywNCAjGzlfvKpmKG3KUBCBb9Hs4f8VWqwRUQoWh7MYfNr4/To1fnRrwnfMOXLQrZzJa7nBzTde 570/oKITHQzHHRvRVBJ6YqQHlN1kL+yFFrxRE8X/rnx27bmWxdNwjDCMRTuAejH8Jok4unXOpxkp rKotF9npLg/Pm3WleQbELOZuL8DJGfAh7165Djc9ehh1lTzDxsWygXVsUbKpW33TC4eO17eRbeOw m/iYdRxyVyX5jmrhvFSiRy4kxKJjN3w+0GVykvtR4Xpjmizune+7sXQO3/fqgmg7TjhhncynLY+X 8KEBQo7mFkXISzLcD9ATZxx4WZ6VlVkE/MptgOnzHI9b3aoVAb3GKD0FbKjjYvVRmuGMI484ZHwP TSaQhRF72urkZ8I4QIjIqxx3qx3EsmPEAd6yXh90pHoQTn3db+YY3BQmocb0pPtaWjR+cQkD19tS wjyYTSb3dKewI2bX4Qwby/wqBbqk2seCSu6zcQxp8KS6C9EQ2kCW9A2Hvz2b7Sw0ygFAcGsD3rUH fW8nfrzSBX6JRKLCiy9IBPNH9gI3szAJOsPU1v0hB22TBdzvbH35oyjtl1/RrJ420473IDs8IeEP JKB1uEp7UAYZgh5PJo3Nf1gXA5LFfa/uuyMxBYAHrXmfbQwimRM5hmxNVBz991KdXMyaKGX8NDLy J4Q5ZbdupYXtobPdiQC9LCDJpgARZolVULURhQ1our2lcR4+VERRzWt19ArIrcoqz+s/GCg8d9C5 5Lqo2m734ZNO0S54bTxOrP5asta714OwiJUgIpPM5HJ68AAKkHtNCHHUBS9dPUwCJ+pdhPpiQ61I XSXp0nF9JcwPz2tj/Wea6Ef+cNfG8J7AybcB1FxCjBPCBwCKc6YjXB9W1fN/Pf6wV6uI0vmiJkCd hra03g9o9hRDd3Zyia8RtncyjTIU7d16N7uDrEE7WMIeWfLtC/w4xlZOcfLed2XO4DVqs+g7rADw 3p+9hBEIvHaaj4pZKYZfJOEGWJMHW9BCeEAL3QI9rVXXCoRbYw3ma9qHMfh5nPPhfpYPHr4EUKJN TINZWbCiJHeqwDJbbSv6kh7LHm25v7Pv8nemQq1aYk/Qev1ANLODydj9xgpVxhGVq7O0+Eg0hKCN XKQx5xJW8CQ6lhhNuw2zCAT8c0Q+hyrjTi7PXRAcDc4ZwxzG8miC9yz0f4KTnR/Aoqg1izOxIhIW 4yRjZ1jMZDQBIcmi2zT71oiYiXtDzB/duJDto9O7yEaF9s7tjJdRSZ4FiJdKZ+SuXwPqAgATij5Q tcOFREtiElA0pZib7o00yqxYY6LJJ/VFJM+1aewh3tN4XM95bnTH6wZCA/Z2D6MpYXbxCJ7J2KcZ RkBXw7V6kK0LF5WTd1H22VchZGDmj6odbZ0W+Ql1ElST9h1ZK0zGEzExm4l3EfmojbH2jBlC4Lzp 9LxtKSf+qb0SVK2OhgNHUDWcS0GDyJFHSbFCHN25Wxlw5ieMeA4EoKO4hDqSreHgv5S8h0gSyBRr +1fpiAjNGfENcvGQHtWh9qu9FPIisIItYhcjETG4kR8L90s+u1tpA7JSX45cPC09p1T9/6n1mcd1 ZuyupvjHICMC9ZaMcmalGGJ0vkkw5yhEmJhQvGDYoNFWV5l2/W8h3+3W6GqRBdGbN/FjwtTrUFuh 1ffls/v19nDwqWLgtxBHjOylfZUp5bnhKm2xQ+YRR62ARNDQiilUkmHFlZJwx8dk3DcX5lxdOyjD ll7ydE6oz/2uiAlerb2tQo6kEkeLY7f0jy0cgfeHSAITcOcipArh0biS54cFj0BanvirNpnamdOz 3utHsD8VFRLQ4pD5I9TbvjICF8Px60F4d4zzmIAyougWOx2hFVveCYm/CqH3r4ZpM+hYtoqUBIYK qYp9N+zHX664B7jmO4YFGqANuv6prVtGBaJehby3/KnFTjxk8VpYfcQrBZMjqYNla8QuBRYzbije yIxaE23QGnR3+WFdA8TQTThgQVSDCnpkwEn2XJXvgf5Dbh9CS22qcCg1YZqg3rDASD/ZhlnZfysg aLd2HcX110fPzVWCjRifAyv4oGfAOagSqpfKul0cF/vNrpVdC2jdi/TaiJtfIRpUGfzd0wBx5yD2 dx4OzZXpSD/7oT8JSaODNGGFvmehVwIsokDPqSnzvcA4UD1yZUWs3vfBZDJPMFoEf7RkXw+SQX9+ Txz7KKT99JGnEqvqD8wVSW3fhwz6qqrG9+G2fBc/5ocp4jFyxt9IimH7ChfBEsp5GFUutu/5DBXa R9K8gUqnKYgYKyH4/vZobGX4u0MqfV2utMvA4IUNzVbsYdEEBOA9aYiJqfCb1y6Ul0MXCYdfxB3r AESJBE2PFkoYIEth3S0Q8wka1vkljVsTPEonoAwg3QdNet0ZmJD+p6cSTpU7NAMmfJB0SuOtdc3/ AFnoztF7cf3+UrYigxW4yotelom4djS5B8NrRadQ/GcxoCgHe/vNlD6S/NlgHiFag/msrQkDnjor hAlKjzSmV/VS/Stt0l/qdCmXkm0l7Us/wFd+Hl0YEvZsi7OCruf8E9vcJPfaPtEWwXE311mdvw2K Uix8ye0v9/fQKFJL6mhkrEPYeWUGYV8m6liCy4O6sVlGHR5uTrfQeuGP3JAyMsTS4ic+DH0YHHwf CPPBoCslgnWV9q6Mf1VYzspFDPfzTRlWZLWWRBl7VkXC1yTbNqmYPrl7voo7FSnOBk1vBm4FNsOZ kn3NFEiCyHhHBieN7wAZQuETzI6NOPL0eSThhAS8fONUwvpYum7fVKtZYsU/HERvfc7jDzo+xYIh msqNq1Iw7QcA+vqQXOmOVZ5oIvXyhFcztbJRSs798LwxYjz5nuJ7kO9YUtGnqRQMquUks7Djl3xe RPd2ryONTGnGb8YaeJn4iv9c3iaLMW5f0jBJxAvXc+B2qTiFi9QDKAsdK5XwJYJThnanKOHaJxdE svWLt5mVomAKvn7OLABKFEx8QufOhcRdtl5WBJLETPXiCbChIFEoFbzbH5QY4/9CkWaEiqTRFyPo P+quwxmMrUEq7FT9DIY1NiLJnw5cRXOye7w7EjmcHEzANzExwUAlMIi0wLloZ8IxqYeQ4e9XZA7F FA4BNm+3RXHXihAcjv7RsolgiQSHKyuFYNB827nFjLT1Uyklmci1+6z0wqucCTWT+F/FLMUS8VYU ougL2akpEl7tRVhCFXIR7i3fQnZCmEFskxR/PI1XMLivY4eTbXi0HSDizAgxs9iu+VbI3Hgmh4jN RzoIaQ9UgDeE6eXvssZQ+mm6xjVvIldrmcVPX9SBese7KK0+TwSlKU6WwQ4LDA4z9wxf6yolJyp7 RkTWjleQehQmvSyea79vPko3bPMnHDRmkBpwZuU/BqjmmS8KAghT8tHEdD+zfgc6izc6FXSFIFTI EdXJRQQxPn4Q75HvNuhYvnNuuJmqwx/NFHY5nS/NW0bTZaP20rfj8Pm/jyqaI9MOfQpFJq0sbQjK cetmkfIlbHtAfflBYZSt7psOsYjxA9CTfoyiIE6lqjdwAzJ4q0SpF2TlzxVR8LxDrWc6NVk9T6wN 4hVMrU2VMKWDzN2NVuEdp1bpkfZY0B7vMuSUrxzuDY8MbS6Q5GMMUynmulT+mDAmJQM9Q329avAt FSFI2UXo8drtup7dm554D2+raD3ik+w5reMo4pNNHaXHH5OFZbLix/0OTsLXY/kW16PuKI1BqzCI 0Uzyw/Me+E3XhgY+Tn2238GhhtRUDE0v+dp0rUSfKdKc6WrAghQIXweujxOrIjZToKUtZ0Qv58XV bv0T7XaD1hqjY4astssdYE0DonmI01KNeGKZ1AtmH23ibMOE1xJNnu8F5MS8NsddWgRQxi5FXuaD 5Hc1rOOKOU1C7bwNWh6Dw41EOvoJ3MiWfXSXwP80JL6FSCPJfhjldAZhEvdRUqt103sTr+KJXmfG ZediSDK2uK4jnvcDhdgjDkY350bq+lFpNr2srPBFS7BlbFMVcCXnYQEn0uEt6N3eN60c20uhebhT rhqLDUC3dRXAhcHxtorcM7kdheFVjVUxaWUceHCKPWr+9ly+sDgLH9CK94J7Owx/oSkyPe1TtTMI v5m1fMMUdnSLdVxMGJT9eRcoelnt62496PkRu5dHEMx8U19iypC1dLuKRDyDqLxDg2Jt3ux0zhAY TsEGLJIj0IgKZYiArik20A2JCVXMkMR186UFkYoAc5FY07DIrrVOKZ1u+pROHI3/YOACM1arsbHS wFLatLJitcZld7X2t5ocbVn6iAEKlmMiy+PhXvZWH3V6485Uokn2r8qxy3VGIxm8zWAR/8KqE2f7 Kr+xWHoM1R29TjsHMixu10l1E3wSv/ejPcbjpt+nt/E4e3/2LvDjS/NiNhX1w6go13x06lE9RLhe hEsCcad3yFJ2i4R2ijeaN/6mP4ZSLL0xdA7BPCJPJQEoY2cC5jfWDn1CTEKtmL4ABxfUiDFFevYh p78AhW45W+CFmf0OnbbZZeg2KByXQR1HeJkgJhzIwji65hd83FSYnQS7cEXtIxE6PVAKhofikSbD dOj+w61ios+s+TTDjqRGWR2JkcPK7an+dx2km9ClwuL2xurxYVan+mrMb/xIFbkqISS4An8lnfz9 nO7etXlhOeaDhUidNVwaetMGTxo/BQ6gcpQX+D9+quqlw3flAWIut/r4DSdMe56h9N/j9hPYBFz3 9yNNiv7y6+Cxny5eCNjY+sal783VFW+Y53nm9osBTgJJctchbwVVIuP42SsZvZocVJ286gMwc3cg Eee5hYqRKUjY+xFfjcMogIHkVmCIchVT1wjpHhuoBaREGP9YTxrkKYCoIJVHKGqGug1q/nH/moEd aJywhbQ9mQgzpXlgiQ++iCOALilX1jjkVgU5fl0li5gvUd3C9UDr40f+YA7AIU6znHbaSNDEdbDJ F6kZofkTgEurV1R8MpFmqoKXSHCDEgKrwfiJgzZEFZHmiCpC7tlaBmX8E4sf1nQ+IaqdufKe5tBn x8i+oavJfVOmU9Ho0fshvrxz7cz5j0IcjdJu8nuq82CwQGT+GeUhMlVGfxDZ96kILTzEpnU/JYew /b9ni8NATfo0iTJaamo168VpRKjLN5jxvdZeavhnjBBv6hZjMz2odbo87o4Q8kpsCqQ6T7J0uJ2f U2/dHtrxYeYjbg6WewZ+c90NxdeBusuJAgJGJbecweOrpjYCcGxxC9zP6cV7oqXn99/vpCoFvEXL 0xs4rBaEYhvfjmrIym83iHkVlBl2be0oTv09Jq0Hk6ejgFnu0D+VGt9C1hia0Z4dF+Ld5a/WCIHK 5tvWGPmbiRlELJtTpgBTmzQBIYsVqqy91YjEKaT7GmRQEazcDv9/8Rh0uSLgAO7lJqPF3jaz0dZV fYY5zB7HHADNIFBqSEAUKwcDTaI4J02BvudiObQi1mhXwuZk3l9m1krbPYSNe6b7fG/G/IJxn2Qd UUGR+w0Aq9l8o4qEbE+hL9QlcWmjq/q59gaH0toBrILY1+8lQbmIx7rqbHygbZtKqvf2nMOXokIR XFkxjiGxBZTiSYhKxa8ngnAPIe/BFMHBoQ6zALIWU31j/8dJ8opizIcKnzSnCAWETWxEsrAAhw/S HgLWYmvT5d+jR9r2z/7ReIHpd+XAYQoBdSNt3Rev4ZXwRUIXayXb+NPowR+W1798uZBvSAYMTuFc Lg1kRXu4hIqKOX1tgseoxc7UBbLsbqnTeFGiXCP8nGqXbBbmptTYpOy7nAbWUD//+AriLTQ1KpHG 6vZN+wsGMeA4J/beliE9C7lG3ookzZXPh/ZdEdqPDbAC3Y0rYYZQMXp90NfQYnKmL7qh5AXFz9ue 6LkpcTkrbOAZwI/sf48mm/PAFol1AWg/YgFSnTCMDQzdRz61GnWavmjRP+55VTMuE/e4lIfPyvwn huNbInRxJcAIc5uaaqGTJ6vzTOvO4LPYbefykJbcLv3mbw721Q7cCvJTRofwz0tp41OVXiFqAdBF ooeYDyEGuq/o1mbN59NW5VqyzCyzxoxFlz8ewt+/Uov9LFkCM1Z+mPyKbGxECTadZ4mCtnTW86ft 3n52rMCY9ebre3WrzFug8F79oGNNZGXSrWBXg1AYwg7xuLQ0I0LFWYo6rFKFRPdwsBDjc9ixLLq9 k5wzi+z53JHoD7OMXOZR5TVdV8ra9y63a5ZEXQPQVz9g7IRMJblbqSABt72ehmTMKbKHy09I2lIG mdsrg8T5M4rr+VvNxXKLTe7qWMwb3JUZvMgPTmUUeYQf2lUXXiEZ5ohUOX8n5RC9KXABay1z2c/v vohVCp06yD20gIP2UMm5i+W37dfkf80UB1r+47eldgxxiA0zlrWDO9EB/Y71D/nk3FRBXX4kYut2 pSthvDv9/c1De1hcRNPG0hxOFw728IvKMg0Nk0WCBwQBCXPOyg2h6yKwkwbR0cvOVa8UHIK7VZUr uBhIQOwWwgKM583JM3KySRRBHHl57J4p8bj0zExduP8m7LFjPA3d0K/OsWHVryYESq8Yd1tcpYAO 4oUVnFPX916hkzgzetvNyKr3rYqMJvTVZlrvBKpDlccsTi+VL268zej8doVvCFltx1jaX7FGIS0Q P3OY2zMKAYMUk7BWLFGM7a8+16ssgU+IXhn/fYGfOMPSB8TDS+R/B01nepvdQhfeNkxDDqKsFDZM nIxGeyI2ZI5UQ6Fv3QsGOt+TiyI6gUT6Ae0j1kdUzwuCDUTw51cQjpyr1q5kZfl0mKlw1iP/MjAJ RQXPEv+QIv0zs3znfZ7Ur4LehQ7/31q/Dv36IjuaAruSbo2vof0CG+Ab6wpnUHBClKtk61t7bQUS b6L7dbntnq59vPadd0E1fmxgpefxedTcSA941Nhci4t9HH+aZ7nYRDPBsDMgOjNdjtU8PJh08J6e rs5Z4QDomMgcD9b/jIkoT+hyjnKVZyhC21zOtDwg124oy+jerR3PnLo4nb837K2qd5CD/EhxhXql LoLKVIUDG5MR6t/qvF5BkZ3DuokMyBMYdUHwRCzF3OnwiH39//Myk4IxL2nOrdojUGZ0imXtN4i+ /TtOqxREPDuhyiuh8XKNkbN6UwNJA249s6zwHFGBdO0JxgdGqYRJNvcXgC+b1K4DeK0dbNlJxlvz vlg2Qop87x/+w0Pi8RmcSwEEQ4fjN/DGIaU93LI/gK+psOvFrwuRRNcbnXp7Nnxa8cnT2k/NtUPJ /9TYhLCZlelLnlM+1A3yvZl530v41MnfFKyOqW5if4ySVHAFwraiBZnI0ZO8LHJqj1tXA+Kq0L2+ 9jFbbe34lANBeITO9BUaq94UMn1pEFgz/inRt1frYk7rHYfpbtIo+VPl5dFUJnoTRW4EQ6LbG19P bJqW5EvMQ+Q/k/quGDuPWkNkzHQnjKzcACoyqAKeM/iZaNUTpfvK2Rrgm7VgMwEd80NnXhQZma+U drSDRZwsvs8t8sngvouLvvbNIQflXsedfEHwY4vybppN33R9kXW0GrWAFD47Rkgncmj3Ibq9MG4n 8GMQRF9YX3EtpZ8Zy0CGuyhKng13BvZ+wRWWNFz9qH+QH/z8q9qixPDO7knu6TLPCe9HsFFJG/cZ K5z4+zFrTi0LzPGVi8qYToyV2au0YY7mUk27J7BTrTJl9/M/DW7suTcJ1RGMPhlqH/GCJYJMJQVO JaRGaVp/E14D9ivVKNdtjn4xtGdiPj4ubxnxSVCKjP6R+B7zn3pV5bUsdT2qRzgDxcIDvuC47Br6 EY4FJ5RSqzxtrJBredPwYA36TqrHIiewPK+11r9UQ5eZTLq/1jx+6bSb/eBluTl8yb0v8KYAkKjP AufDjt1tTI2X0nTWrl/ngrsQRQd+Y72egCH621EYvbxGKbNpR/cahaiy1psaYxlQw/6S8arVorEx ARuCa6wcQZKJIfXIaMQOQ1KeDhWz8xGdLotwEoYUdaL4u3QPxmkCMEqUKWb7e4qgCbNntKjxB13o uZoEuGPPnIKyitIO0pr9OJSCSG+j4vplaw3F/zjBWjG+X3O3BSKzrXRUa7YYSp2q5CACiEsncFBb Gi812Lqhp/aMMwfDbGzTWcaCHoo+sTB0tNE4K8RGBb/UL4ROf2ilFqtMuEI9SacNjDeeUTMNd0PA Ygifp3baX0Se04D7tX6Uept9Kn5HHYvaiMtEGdXGfGxQ+Yr1HkrudZdVNFpENBU4Dl3kuOT9eJve d1RAMzlUnyzIwrQwseCnsX4j1TqKxgGuSVEGBNqzTE3D0BCKczowN7gx4xABdeFb72KflEyCxzt6 OUSoqZOQDSzWxDg2oVcfPxCsLpKTLOnNuiHDlHYWoKFepueGIMSMVNsmn2LIbqQWpmdnVTH2NkH0 GqZnMPOU8gSrZMHy32/6ACFx61idtqUi3Vy5lioJpiJB1gXR8in9sjBtg47nED0RQbbS4b4CPiFG kdqdyxd7Els2EteTzV0wDQeA2pnkqv5g83dBLwa7R2LXwRvZ5mv8iDh2MT62fO87o2ViZ7EQ89oH jjc3IOpEb5/b8/dcY+8GzrLR7m0CZAeVjtFyFqLQGRbX7pnbqeew8HNLbwJLnQxZRcc3eqfar5cM nlcrVH3weYotJiSu5ZsYp3yoDwL+LXZ0cPmgR34JTqKM2hqTGzczC87MGIWmhtdIyVaT2hx2OgsX 4u5DxsY7UTR1l5JAoF0sLmShps0gqaohm0pPQYjpsq8qOPvjlG7yfMOAPVEJ0LMieXO7MWrzWUt2 iVnhoeCjX2POaslk0lZgCKAl5nSR8KoRlTUwsnh0a/v9X5FOvhh7LVrdcFj9jL1aRgbQTFtQvGgl Gsa6Ba16Tny1EZAMwkDcqRP7HR7jZfjJq09SMC7xzZZFgFmEyY66MklZMDn5mILXuteKzZGbpE0/ 3CnqvOAuD6LV/ymAHz4MQV265NWncN/aPp/tIntjtKXOJ/GEmXwEwOefSW1tZzDGQ5218Soi0OnU AQlmgzM71cWkbdKp4CEvPRGaRIn/WVsNomzRCkB/lORdWvo7iMqFgKBTf+dr+RjbJkoKbWZ7NdRm lz4niErp12rTHiLnKmJeRi0vBDVuLILfmFAZCSCwfPAQ6p0GL5rTRj5LGbJHM0+258A50Ey+q/th 8sD1d9uGvMhEKqaF2xclQA5G8jplZrPoLCKxZfD1Y+y7KWku5ZWJZyyqKLp/+oCutD51pGCvdNvb nXCiLQlJ96J1EsD7ma+DI+mneeX54gUp92OKupeU9nBMIHnEXfgeWfmpJhly2JcY+iWkuAX+2/FV Rvmnac/Qed75Cwe35HDsfntq+upLvMdGR7Kuewvz1uhXpooW0xrXUh7kEimSgu5kN0bokFmyzkde WEHxxi0Y8qB2nfejFtCAoc5pfJ6Iq2bOQj97aB4DsBGyjCfnoxCkTwTEKtQlTvcPYntWfmXbo8+w uR23MqDEsI7BMDbBbS5su9ASGhk+oGNnJQ0R9ho8kQwpimNV2ehTVB+xihRxDla6vL61gQckqqjC NPWGKJX2BXUYMEHGUPg5botDe8KtPMfrfvb+0FEt6wt5WUC/KEvnkyXbPy8uh/eCiUWV4j5wcq9h JjzwSsAcIUwrd/csOGTxjTrD8v224fzjZunrcz37RZ//2RoNWWoGZf5X6LBBTaW8/s5przaF1YW1 CX5JgNPqiZ/A71TwRKr0auw73pMO0Hrbl4NMMflAeuOmfp6DrRwTUYXVulnBK4LqLDRjPGzt3+R8 7wsaoCc9cKmTfTVmVO/QYuV0Z5e8DMXTdrTqhp/ZZ94cy5NL/b8sHocXj25GEza2vlRFAqWb18CD HHK70fCSEHUveXQr21an2B63SIR/RZMyCt+/azgccyPnPVmGtQRwCc6sdIQBZPx93ZqrN71DaiMO ytIeDrFh8TT7Yo7vLVISwV64c8Cbhg2G2ccLiGhBTl3cmAmXra7xqaY2CXppnod9oR1g6onILNsC TG/Ofv45/mZI00EVuHZ6pBd3kpYnRXlDn7xD2tyibt8EEcwk2L6cLk6kVNXTu1LklCbj2EQhzHJB JaXFZBS9nL6PHqNHdOh/LhVKJ3lh2d5sLKiPsM9sVy7GpeFXEyuWwiVd8uHqLPxVT121IKYYcnMz m6zZ1yxeWeSlLf/gCIfXMBNPUxNgw6LGV1l5StyACRIZxjqG9dHvcYoDMQae5TBrcH/3ajw99pXe EaIcs+GFO2EWXil4ZN37+bKHHjhcdIZnPs/oQp8I3OVGQEDHd26ZnHxzBvO/82ARci5kwCqXLY4L DgULhFhQmdDyugknAJuayrKjldr0DG+yel7ptmsvPfuV1n3RfpCIb0OiKZ+CWPRHw5JtK4f+iR+j gozH8J0OEziGfD5F4AzEnJZMm+Qj9AqZBKz25hbD43uX+y10YmngGj1r6U7ctu2iwjEHGB7Gc9cG 2h73sLws1zV4vqVZ9BM/JLqEL8yA2a3F7TGFVzUXXAnK7MourySl06bnmJxro3B+27fm8dGRrw8A QsiHFOk6dGyFDFOVt8nXdLlJskB201wuSVR8GGd9iMWEk9VxQ/9Y5LKCyWJEE3J1xQRWIBcJQn09 0BJvVvHApA4OjRs3NYFuE7CgQ81pvcrr64G71jCT7fzEGh1Mm7d+TQphb6YX+KVEkMFl9Bo42ENK Ui5LSnmtRJBf1TLNX2y6kAdR5aEyp/RcO0Pvk4s03xSKDB0L1D2fWSiUPGQQNErGuun0IG8F1Iz5 wiY5/ABpZRhLqqAmqNQnBNh3av3vH/RRY2IKdi7P2I+k6zV4FU5qthB4A8lJ7vD9TUjDH05TN+R6 tNod5G5Pyut3LVWt1lnkTu8xn4MLyT/+CA0q26bFlM2hCTPK0kaEb0ZpA/yP7k6bZCp3Zid2gclV nyObNs0kQ+UPhTxhuXrXfBRXtetWJhck5BZrnt3+axxMZgEc8vws0guYI8kyuc7T7z/MsN0E2LPe wm1zylWdt6405TrHQmDxGh0L4JFCeWR+9W125EfOFhM5qamBN/i6WAcVjyFSGnxVt2XttsRVxwVA QQqRRZ0wFq47xZp5ohPYEUQpayZu3u64MQqMDXYr3Ef4qYSvLKw4KuHvrSfC08cqROC00H6iz5tA F6ttsEZylIMStOGHvzEa3qzvzyWWGtVzoP1c/htCQFY3tKez6r3Yy0Qd9T+3bDcfX8qV8iLMWRaD TFGy4X0V9bRlCEXNaHgHz8gbPQ4vByMUzb1D5p0egNlJSNvuXZfdaSTpPUg0Q7jFWrMHX8C6ngxn M0m3YsCHL9lVXL0tLBpuGQ+vyAdO+1XN2TW7qQKxBItTPTu6I7NBIrMTs3lYDfZUqjpmdbkIOAlB jsF/XkJYCPKCBwppJYdwyHpJc/0BfZIX13G0TLnms5AdZoIfK+7Y5o0/bMZsVXI7YD3aPN1RAk5A 0xg2kOdlmc6WVOYT1HRBOCGYxhd0sY8bXHuALXLhJvCTVSCQVVVqeK/C31fHSqMEFSN5oFs79Aes +Hok06GUzUdDoevVkiUfbx6YXKt792BQKADcWrIXZUK0wzPY5Awrw7TQhuzQuMyvbRAQrSqC7yv3 Z9mOKd1RNTdfCAdYHEC9g50qaIXZmllJe6Cs47MgUHB7qJvtKZ8GDWQBNVe7Tu34vy0HrEb8YsG+ aKhfT7KkFbcJ6A0C4e20ToAR167bgxnWBrkywhV4ppM9w3tdclOWJ4+yYk4I/ln73WsOgiV4YXms VGuDMZbT74Af2fybL8kX7lPMb6di5HUirKgHP6i6fiSq9JxyXW0doREbixDke2b2Bsek08Dnatuy IG04gdFzCZyjXfin9r6+gHDWPoqNCRcCmfu3YnGt5uWM0KSXQf+r76txYjzxwF7t6lX9ltfvW8pi kfEtcge4oP02G6uC2qChrqDKRAKnTdSwwfruraCgSebRBVXV3MjZmss246sYWZGWxYVNwEp90WDh 8RcfTwxa+ckS1saT5xYttYM8am/BarwUEqHRn4KLvtIgRAyskxfuoFZYCHKw68XB9KPs8fGaPDjl WbGP1HzUSjA+zgypaNAr3XX2QH2x0A1LgsfgV9OgjBtAty+0hJqhTdDvP9+oK44tu1a5YVyJSKZ6 5nBqYOVYrmPHgO2cl4IeVbNk6q5hyPUEbPsL9emkeNDqYTdUck57u7vLlJ2TUrhS0cSh0Oxp4m+C 0MEpYeLRvxGbKJ/kGnFW7jaONJGR2iJoPTjD5DIYOqHO7fVqmXqSsSh4Zu8Cis8f2z7bVtgGp353 WKVnMo+91eaj2vfaOuw4ctdviMIg9fQSOpoe6I8wA6o5CcgP3GCX4dUBNZYa+AJBrAmDLz26v1i0 uAIEoEZml4SMb2mccd1Cu1demkvB1XFKRbIRdI9/Ht/ZVqnw7F9fRLcM2Y9snaoTvTqNFQnuDhb5 7G3Ln1YCTOLT5gvBhldBPsNhD5HulEpvHV4kGWxCcZyrkWfpaSqElVodXdaQYGKWGe8dnti/dBmt fXUnghgwBP2f8nLl/mln9mQAeyOJ9FE5cFb0SS9iw7MrGn064A+SuhsoJw273uNwZhBEv+Ryqtk2 q+R9X+SmL2hqcd63PpybjaBA7GpbrPlW8Eda+FPfBkl1aAV8lkiH6p/30HdR9s6EWN1OC3vOC8lD VMmgXX69zB9kF3vNYH2J7TllFUfpmc3TGpGkyhI4shRNqjSt/kO3evYG5VzLZdNj8va1kMsK2fJx fORs5xSoUYVsvTjUH0xLnRguDPjJEu8wFukx+NgmdMxR/+ANCfoWMEY+YBWJiDjRPTZr7A6EsWsU v1dpN4xD+0HqxVNAy5gD5gd89JAQQANW5U4XIWp45SVHptvLohrbojrWiStvdjC09RS6+D4NbAhT sVV0PFRULEWmu0Dg4FLsolZ5eKSUHIsfgGKQiO9pO9fmPBBphfZjkhx6R673gEayIbkMbuAvNDHz /oSL7+FrSJNAdfm5iE5C4T6IMagHrCEA0GUYn8j5KtV9u2R0IgctoSgyKuVbhPEe/AqnuIsAOp0M zpIFN9wv6f/iFed7KFpm5n2NgSHZ2EfQkk2fPFhZJzlQVfO41jkGBCvsyY6HZVmk5ADU5Dr5IxHF 6Jej1roj8Ira9wHfYztzEvKYfFfx37CKUjw7Vo1EhrIvZoJ94pyLV2VBKHbWyZEgZQ1ydppbobuF wua/AJbHrGKgk7l7z5nXb6S4WIF+iINSSVWCcE/SdB8Zv6HB015I+WB/XWEFaKsOzzswrlBOfrMo ZnIctHRyHp2Hnh5MIgYo+bGA8ir8aqpSvvJSDSktuQLJ0Mf8wagk0KsroHrvWVYlOBHpotFi8+Ex m0LflpwQUNbir1jsYE0IzVegMxanIhRvgGpU1gSYRa/ODCieA8wtIS+JN2MSgOutXEvhD/1SMEi6 cvKEDfJgrUyA49/Uwu8WEkVYXcO0lurmOb8q5R6sOxUIoTvQprDipXbyc29gsXcPGGu3RAbIBOr+ rJfmHEpcYSVmOYC06eZ0gRd/y4Z+wG++nNp370RCe7ng/9Qh4CNBvzPubTjNtgwtOwqXG3eLW7nT LdbMSKEW36uTmNl/ASCjuMRSlqSME5sa2w5DHGzlaKjr2dIBCdkwPO4U0SJUs2sa2SxoPu7ThbBu GIMJObiU5nK4o1xaazIci5YIIBrvrplXg8wbeKL2HwVI3uzVYBqMCSf8tJ4EpNHpTYbCs5ueke9/ ZLExp1XdZQPSsKg+EwsB6NvbNEwNxrXR8SRNg2RpRZCB6Aa80cOFUC6w+5h7/R/8e9Z0wzFnmbFM s8gjSiWCWXLADJrYb2fU/UJjKbWqXzqqIeZ/cnio3s4KoV9AsY1X0rHazzpMid3xt6kIOi7WKgsl ooC+Nfyw8bWokx8NcP7rIW//BY8Al+QgI4ixmA6dFcCNejTLd48Cc5uzDKlEJ5I0jSlcEtADxJOT ZvHQPnN9/FYS62KxMBCvqrHBu2HIklu//Wzq6lx+JVjvoFAAMd0qTFUMF4VM7lVHEt0jk2damLku ahuR7lh82T34M2rjM5TGebctAXFaCdRIfCZPVITgv16qdxRadX0GS7MzciftoV7eEmCmjdd8acHk T4jnQN6Ihhnu5lxyTSF1vls9jsgWUQkaO+tD9H3f/S/W4+YSFAO58qDl0ycPEzjAmPs2uT0ETgAD MOork2780LCnlpfpJMz9kbaPNljlZJ/LkFckd1KYXdCDzG+uqZ9KWBhRXhmo3Gkr7tIO2AHA2TwG AbzQY5ilv+NOblZtJJkAN3dsXE5hRwELpi5wEZjyiCIYUXeX+rxWKZ2/UtjBiO62GrK0r/5yjNmF +T0K/xa07CladMsEa45LdYNUesMqvBewoAthBLHyd5hMNBKVUNLGcvcO5ddGEFWq3dl6KUwbiRVa +vFhBl0hTHFMUgajQ0qSHDi8mNQd26xe2fZuQXSIVHX3j10XM9Gcmz3QUj1wzepEoV+T8IJh/mKc JAi8s48Za/kOaHc3vzCqPPDTERD/HIqVJuItooSRq4mBS1hFk2n25J7cDgodvQwDRirb6iDMOWHu HuQQam21kiWlBH9o4SGUtuN5iNSCI3PV7ms60slUAUiWSuVuCHhsPbw7w2YGuWFK/W2zv8QrAo+q K9BNUip5rcsIGvftVlLKP6xNmxfScEiQ/q+7/tF69c75/OdwbTN5Kaw6M+vdARMuM/TAMjkwVo5O 1KQhpIiPuJuY8RFtX1DQK3CHaDSz3Jqsh86YvvFbIjMpaDfXh0H/HAz7f8NvAYspPfLjfneaO2kF JfownPdMJPFPf7rB666XvgfphBamzj8c8PkkBj9rsStgMzGryde8Bbtz5kgJhZqQQAqF5k9da3cN iU3yaZthBjXtrzg5EmLGugtelwabuC7/ohJ+Igvu+I7PFoLq4QnqQg3fOdsQC9IjiktAT7XUxN0E /XY95yK5LlwGGelV/PBkiIV82mREO6wRZiCpsDiaN3T9hbryu1Nj8FzDGs+XA7BvSa3bq6CMHJKs RTmfHx+FRZ9AKoTsVM3Eb+n7a4tU4YqWgneOJUdRZJ+JWxYCeTtC7zXmbwpPC6BM3BcUYfBivt7G Gynq3ufQWeDQkigsdppT9QoP2hkmLdrF3A/AAiD7z/jiOnZRArQ93Zf+xfr38w0Enqrxzm0Ar9UM QxcglMoovi/rZ5QOSBOLmLIXPtlFWvNnjdqBljfW+0QGJ2IMGti9ATWrqT28OrINyO8ZyDE4iI9u HnChmb3JZELmoG/2CYUVdc3ste1KegBKTSrDgYW3f8dAaRQnObyKOyXMV6ITC+K1+s9VAE1d12Ms 9NC5PZXeMNHX2rJNmEH6alpADPUArl6bZ8KUyMG7L24BgP7GZHqahnhpOh3wpvKfZADbipZ3Z5CI 3WXrWIajvl82wx2XhQXcf7Phd7bwLCvur6MREnmDsknKANmlWpjo5xTRisBzxj/2Nuzx9B83xN0U dXS2MiWw0BcAW91Cmes+1cz9X5jJJfKTVIpGoQ6fH28WbDK1LqtfxP/iqVuv+MPP5rBJdYxCwgf5 iHU3EcNJMrNsUrFFhW+7ZIM6ao3ZcfRXS7SdHEd78TGhthMsfcHB+JmvTTQ+iRvwMMwf4J/gHpkX kDzQM1qTYtewnW4o4xz9D3rjG/frr6kw6CZZ322diOoZOLHVrEGuL/R0BCnYxxNVzR5m0/5q1OdJ nr1m5RN6Z8Ekl5Kqe3i6qys6EqQG0J4DUSXoSuzueuvpIeYOw9GjPA/NXjlc0/jJzuk1Rhp4NhUU UYjTQj78p/0HR9XpMv0Rnra4q5iz60fszOgFBPEewrrkO6ATmIC3C6pvGZBZGLqdoax1HtMGjZvu KwZOomtui9GixHtpD6p6RdY/hcLZhsPhRLO+b9q640LRI4Zqy3tP2+CnhB6nhPmMsduAtEm+xdvl 0MUoULt61Ba9Vw4RU292L2630yTI7CsQIiycXuo//bn61rpbWxSBl4dTze5e5XnXuBmoX5Xwemra fpmV92IR9QDNgxGMe2HQI431/gbS1NZPYPotPgaqHC4/QDQYc4AXqKN2Xag5vYTUwSPEf71p6G/p wI0JGuGNjmVZOPvbLt7+F8aAagpJt2mvHe08HA+NDhSnxzbCmKqytLNtIa5zeMPUW+B8REWRNuPd A27PT2zjLZMCPFYeEYzWs/UpbaTX+VDM2IdERXxjJ124EDV1ahbRYyySIdl+bu7fMJdrB4WidyZ/ V2YxCaC34j1CaafGs5jxX6IOYrPVvHkdLaUisaBNgXSxnM97BhZLgTR5qz2Q8qKPd8jeMCPten95 Gt5O/4QvAFjwar6z371lYOWAyJ2m3xGfYHdCUlEgHVb+nKB5FWRAb7p5bame7ni1cTkWg3Vi8kyd PRAtX+UJtziYDxc0flDEROOF2q4qSYgJKrMM1EWbPzg5ERZcHR1wNKkIzAUHUHC9d7Q5neOFzXwQ TLS5rhdILMsGO9tjjfjUMhHiHeg9MLMZOP+j41nNxSjtTlFuXyL7Zzpcfl3UAx5dnM2Mu8KOwfDY 4trYoROZC4eJIJh5PA1slOQL39dfQQF7t7pU++XnOk0xjBdTcDFGtgPbn5VbOA8CmjWPzAwRatIW hu6N0pHXDQWUdIf3HzUFoYxs24Bb3BY4JUOwqed1yycT8X3G3sit6P4M0GeVjEn5cQyZ+zOvCilQ lc6iqvSeDfwS3AJpgZEjInXhnlPW7sVDzbSKGfzSRCTXbsblQvJ5XOWfzcr8W1KZjUJjt0JflHSR 4cWkrY037kdpLjcmKGLTDX7Q6IdrzCa5zBrymuXrRpaUNTrcWdLblQIImUkKG5OIg/KDP/g6oXik E85oXcaPYSgJ+8vmHnubwq0xtQk694iaY3MZK3pYdJ0b3V0rT93Pznkr9e+i7Mlc9H28Y4WeWCA5 /jLHeXeE4NweNJx3WxcaWisatl/XWcQztXcB/OHsNlLyIffDxGTCeOg87Ep9xr7PiqPPu1Y0BkGE onxhVF6UE5bwmxi5Zjn7+f1Ww4i+RMaL0eQqnlTmak/FleRdsbJP18hjgYmQ/NaSWiJVkw/rKL8r yPbr1yOR4Nw5UmyxdXGM/SXeq/OHn7Po+7XvkUsILduaiz16IBUZoiI9vLq7/qQqa8u1WKNVZ6vG /Lqe7RPJh1pRMLsfCWlX981fbgujpr4VLdB6SzE5R5W1Je5YTuA8gU9VUnXVa6Zi5SES5y2YITbj GgUdyWRwDVqp41MAouX6IDoSZrCDmllHDrQ4z3w3sHUoY55u7zYXgQyhFyqHb5sdjH7lf4lkQPYj VwpmneIuzlJhp68dyavs/h1K3gZcvQiWZblZv7sf4QATpvaTk2GruzA67e1wxLEFDXnX5PQqfZ4u 1dqaZFa9u++1Ygy9L5+Zwua81XRBPks2cyuwgeV7BS0wxZS04fdFt64wYjJIpVXP3AX0POEuDaV1 sgGip8ZfJEbXV0cMDPwTMS2DStzr/wD+SFcchJ0rCnG8atsXOBKJH24ctOPz1SHzIn6cScNW0P/2 saCImVHHcX807elFf7Qj7jI6HfP1v2TGkQFASbw7VQ9vNInOemqDYE3B574ahQsQweAoS9wSVK+6 zLbNvq7U0eKaACqTkgulRqoukeRNDbvHtu6bB55VTFloqbn+vAvmEYrsNr3heKNr6sh8H+dAHwbk PZuuOIQMBnjvTMTEKH9lZfnfVpnRC5Vz0B89eGPDBkEZctzh+qOoWeMQJu08WWKpPxW+yuWE+PU0 wGC7k/cqxUOMEtXC/yjH3pIfSknYOlrKTumQWefg9shY16NPdcfBE8l+rjw0Q5zqrk7y0LNCNe63 H6z2pLCnf3axXQ6WEuXvZJUfjOc1zMxxzVAHIeALLenH3LnnZmdQIGz4lbnAFLqLxdHO5wIgYzBT cYemRIIDlu9U6mH5kc9dgd9X6ss6YnPjAw1Xx+8RD5g7HV9RYscR57JcUCAXBCGBnGf3HPmbIkBF 9loLf2kmc9DIJzhhuf9UOPF4BYitb2vF7mrJZjGYeSPDR4B7BHlNi4rOWqPyQcZPIMLKkoTxTDWx z36DLQexuAehHRivFdtxnbGfmYJ9e0t3+15PFzIoRrIIWUwpH5VLN7wEzUIqegyauwnXpH+9CV8O Pe5gQsR5DuPUwEfGVer2GyyJnSPfiPs+hGr0XhHfjOJDomRP9fbmrCFF5hdMg0o6DUrugeRsLWpU Rmhfm7UI2BlZSIZdgZ4UQYTIQBLUC6zR0vXiKHRTIAfyCxA4YNWPVKQQBcOCU/msFmmjnjkIJ4z5 uob9D50sSnTXf+gHFnB08bRTHYhDH6rLri/2liOWvM1cMZca7qo/zLPkbllUfg/3/tHfdH2w//3W Q/MYlRJE42GUtg8JK8nrbm7Ais9sS+kolZC84ZUrHE7PpawInn1AIOufbrf4A77+sSO3y/Lb8f+6 GJWTkx+6Vt3/wODWZo7tfIlrT9izkQC3w1PCQQHXagWKjC2m5kIcdCnHKsnzkHIChp58Nwe9yh0q OV35XDJb5g3dP8bnBukcpheJTNmHvs582mMh5K7XIc9mQdtPwY+f+Of2RWgYAx0jq7ly1B9wG00l RVUm0FWP6+sZVJjDsYTZSKe8BWE4aEJ07Seg9ZWgpE7aBrCM1TA8TctV09YzDu+4lfzMxzD3mQ9n Z8pnbwyUrKJaC8TmlTN+XMZjIr7hvtbrJ9YXyB1HuHZaNwV5s4zdq7Gf1hchs3TQF0k9pPM0PmFc WW8MMnGXe4Lzr69NRKFN8+Bak78uhFcx71exgpvoJLSRQ5kibgxYXYZj1nf1dqcWKq92l1vdEWk1 LLD6s2D5zOs2q9jnhJHdyqF3g+2VA3uhLTD5AHwQPEJMJluzJ5TnzedjKDQv4hb66BkXBg4NlSRt 062kXWDTgnkDDE20tE9zDFLyG3xjO7eaWE+l0FpX2FV9CdhokBXia6uN3+T8uKG+eZvesWeIKRKm YEHU3Knl022GcmGmaoknqvGPNMB+5oFXV/WQrGLGMjI2SKk9GJVRmih1frnFiNKLBw4t7K4N0lX5 8PljKzegkEet1IFm78TktSK/QDGD/cH9RF8x2YeMBmL3nTVWDQZwxu05yVOyFA30vtj5GUPTP91D suhitTTozfjmzFR3jwvw5SNYVt41DE7Xt8gkKiL2jcALZoDIVa0M8K3LbCRt/qjG89iR7I3U84ND lelLm2jizmqvVCwXooOVnzkZcr06c4VsOMvWxiJi8A2mObRrbotv9NVWwEjMq+QhL2LTEE6PKcCE jTNni632KGqHd3Ytm3cvywAw0W/A/sOhtYTqy2BhMUa4+Fxf/CV6i9ezLq1N4oLqknCpwph7JZ30 SmiqcpuIceDOHonbbfhyjiSSxsIED/7z/hWg1RZ4UUmgJDEASU9AkebS5g1bDNXygPJg3cvcKlbx TuWV6U4KHCgv5vmSLxyN0FwKpUmDQR3nc3s9fGUP/brqtL02zqzEEV6XIVK7C6K3HhFWVr8bdZc+ I8CaCnrEPzYB3gQr6IT1rA+Lafdy2DrhQVXLTuk2Fd5hmi/TUq6I8kANeTkJpIa/CcitqRxm/f3C DcOcXXRqTgycNG9x/4seTQWZa7AHpWtcfhgjBiWHhfihBKpxiMl4Ah+0umnhaSBITWgW2lJB3ir9 RyYAFaBIJYk6n7CcnUwsHDpLN3TLMbcT4kkEZyPr7DcwqQyktAE76SKYwdQZbfmwRaL9RH8SmAvN h9Gk1HAGUPxknKJEAK7r0c4iCMtPmXMeDoCBF/IUQSEGv/5xO1xHI8ZUV3vr3ivZ61iQNROsVCc8 rjjK4SW6W2FYE5/Ts7sn1LBr2RiiYn8L54UHDbiqhbFo5LkLzWY56Y/nA/meJE//n090ii6MiEVS 6uXKIWbADhWAvlHhNwhszrCZg07Z0TUmzxFy0DoIYETU+5L6ceN+/ODGFIt83LL5mVS+3a/kOptW dDrs6a+daJ2IJMEmdN+ZsQbn3jS+S4QluGyhMiB9D8hDh//YjYTBzb7m8eKI3rInIm9ldBdM5Dg3 ClABM0XMSLMlKa83d7Y2Jr6BHuo//+AxtpLLcDz0DxcIDW8+0NXYtC+gjAcC+7MSEkgcCoxBK6NP kAh2KSZ+eM16VY/z9BkIxgJz3ByBvz6pI+Q1gW3vXm+EsRupF77dadAk5PruGRvJKmyAR1jpQh2Z sgtnEMbocmZD/2nJn9Qk1HDJUlPEDrocdvMZAeSNReuNaKC8ovhpYHyKrF4syfUCqAoGgId+To5g FWXftYYJLR88HDpC7s5gg9VN8KS4VCSu6VoVZ/mt8Z4vjLP+658+vhdFO9yX129bEawljsEv/YBg ZVTBWj6lcRtD/GTt5dUHNyFfe408ImfCBIU408U5A9ADB/AYyc7pebN7eBUC5Za7qZDBuRTSk5Ty r+kffjK2TSZcufgAGuJ600SjhtH9MC0A75sX/Kz5kQ8H2wEmm3j2s/5/ezgizmNUqE5WYkxyqP/P spmLnO/38NcOauUTtfp76CmkHZ63qVnLz2n2/5pAZkd+RgeLrYMGT17ADctY4kykgQX6SJzgQDmS 4LKt0GjWqecYYpM/NIchpgAH4+i0KdN3s/bpLiu4KUI7o1Tw9360Lh1771ajr22NgRo2Raz2ECp9 UYTkk29nyxko0m3I4UywXcw+iLZRK5D0cXrKyIgmkMnoWB/oxZwzMx8/+j+zCIE07uolO/vZzkdO jPEOG4PvaKCR3siU9te+GsWXnxMpYjMaaHCwwVqtes558r1Tt+IqudgcoBTXgH8lT0oJhAFF/LJl ioIhSuicj/+BySZ8F0WJ6naMbFwR/FfjXH5YRNmy9/0WqfIcWqMZONuBl9ZG5QJYX5Xq8gdWcDyp g6O05SLdxTGrSfAerDczlx/VESGiHwi2Qmmn7oKvTO0ytsXaSeKN1OmPLB6x/Dj2hH0pfXfsY0XN mxTC7xxsHdOOMQtCNnwfjJqRdEChZX9BwFYoWPjMUD3IyNK4kkgoluiT8F0wAJnIQjwcFm2Hi5ml QgkJNjR/Z18FvZXRyaJ3XGWPpGVHP2m//QYsFJmUQ3CV+AH7H7A4m4X5AB6/7O72RYj+xO8qBNcS 3Fwx+lxSEE0yf2CJFaFuLjuthraXDuoOKyoN4ws+v4TmE4daxNuonx3qq9DGkWj+VKcUMmmLg1rH Sivm3mlPwmyim5cDQKyPPV9nBRv86FAilFG/0N5iofTHNBzE/8IJNjGaiTL6uVsmo449HFz839fI Y51JlzApRcZmTF/aehTsPdIxnmQfthhpsFHe00vJAh8j1gXi6xC0nxhaCrbDpWo61Y8jvpn8pSyz xb2j+FLemFQxAhspkMj1NNFXePKYmBxDhtyDyDCqh0F6Yhw05eHUpEvk7u0cS4Gb5XkNbYgK6spA t54ylsi4vahfEpsxT1ZYyd9oaZLqZEztRzBRZ3ar6JQcGW9t1h1E0LSFYWYL4woiiBbmpMPo7S5F 1M/PeXXhc7m/8g27l+a+byScFouN2mzXPGtOImrF+j/lQPTYJOB7qiPPHzqKD75S9tv6z/h+1Stc mbkf7xerxF5AvEh6Uf0U9urVj4zC3XFGhIL30puCWaGzrpwmkfPBiuwiKoTHqbX2J5kw5HV+fKgc WtJSFDXVAqmebKfq66EV7141H+v1em6ugINCHoxce8yEiTlFRloktkw2ZzwuewhM7g2HRVusWAMf 4A/yjIKp52tcdpe5YnbcRktjtFEfmQzA0/l6p7sCAIPeAptPp6U3NiA75LWFnBzybB92VpTND0vX urW+VPTz/4oBvrUrXd4sYd/YJIq5EAqbvowKutSlGByvqVJ/7TaJTi3xy+hfTtRWaWuHJb8DchMQ HfhH/bx88ElpQm7sik08/+DdusmumnkUnhIY1yRt2wj3sU3/EaTDjbEVewolV0Ku2WTF4eNpaR6A goUPyGcOIqnCY6pYgF2ZnqbijbQfRvDjwzsbPL3Rmgj6sXkziCGUUo/6kRXuIDdcxDZnx+n0C9MH q+kdGFEDC0YRD5HlWHJvA9VnNLP2fh0xOckcXkBm1FtOHn1eJVhfcePPcy1nB5ma9/YioTKqMUBJ vqTlC9rUC9oudB89/jy40BF4X/fTEP0f1oADQPu4S+KdGUw2EYuBaDP0xKy6+v2RzXkYLReSL9x7 7a8qMd6D1iLVqGxOQ9Pu2KpL+KRYfFZpoOETyO0p5vaoBd3CgDTtIQMfTKRerxrQ1kXLqZnwScXC dCHAW4t2wLVbJqbuQZRJKpqV0x6Jm633/S6CzZjj2p1Rnc/0ra8mlK75XyEbMCB51gfrp8epOMmT Zhuw4gGnFIXKJ7GzazVihmzEdM4IWnHjx2WmxxUXgmVg7/D8voFsHtw3O77t0Vk7n7nLFICVEZgz jItXoBydiQzRT8vDztq3x5obH/78b+/kvXzuS9WDBXuReH3GXFuiXIHJFZlSMPHcR9LxXMpJ0+yQ X+VvhUc98dRRtYscvSfRyfKaPYyqOggoc2RGyyRFz/zSvx6uM2xIW1b7YU5LH8X8KOTCBou4C9ey L+YEcfCUpXQwRFgeBwBLm49iK6Hepa+XE0hMEtqcHb+V9HCVHEDN4y226BdLEbmNYMkUF9UVsMwq cD+/nYbQE5FgFev7401iyr7OhQFKnxpeW1nn1/UbRCD244fhPTfaGSNFfPAewnKa4jegRVNP5vC5 vnUNrL4X/lmrIz4jo4IUoLBpigS3DBc51fieFEjzsPR3rxasOpKDt/z0pCFqY0IljPPQIheCTDnU cam+EnQ0lasHBRXN6RzTy0uOlzjzd3BShKAoDa/y7dRfGJa9OdpRGURcDCq5QLgEU+iszu8eD6Vp fFcE7QfJ9cAIN197QKd5JBRYgXZVUtQBnb6f02nbI+xk1v1VakCIcNkX2hTaY76PpaCRugeHopP+ O7Q4JPNA37T3sOr3oZaUxbz13mebS2EEXerT2OdD3xTombzHqmh5nersbDDS5tIg4hZww5x4nwHK 48AhQ5MI+4Ltg4ZvU+3iQc6Xrk1/EoBEvob/OyEYpw8dkttl1spEZ/wvCWkbrzJsnVNlePVQ3Qdy jcBaetdk7pl8rVAGJE2Sz7RFPIGPEaE4PrYh2JH5Sv4qVVqdJrM78OwdrjDnQ1tfFeZRuBt4HHj0 4a/WGzK6ghywGeg/amyabQT0LtAzw3kcGYzeqKqlvmSiKdSqMIrqgtokyALOfvxJzR8I48fjwhLW vXfcAweLoaxfPFEmDNyFxlWdICkFzwjRudYzOxkgqnq0XUyuEDcwD536h6e//5dV9pGqtNGHiv6Q FhbVSPl8sOxZ1nSl/iyJmjHnnoK/I1svS/8fSrs1v8bLBjkpNJK+Pm8CzpGAN4g5OGH2DGBUBtJP tSAKPP1Ohs875fj1E2gl1n2Xa1UrqyUu9WLt7vkY12ti9ojog0DzP3vQM7E4edd5dZyjaduwqM94 eUyaeLOeli+YITq+KgasOjAaSQSYp7PZ/LWcT5X4QWP7an4gmFfSqHc+GrAFYbKWLFs44bg9hp1Q ZQCORj376w1cJYn/Jr6snmmWKu+LgmSwDkyO96x4qXrRhz80316I83lUV0yEqGVquidc8ge2jdye 1zVw8UHRW2Oo6pYquIhz3/fgZMlIyDzRLQprwvKt/Fp6sIw9svhTRgeaUENDYUxTH2vysHrA/sBH LQGIre/O4BkFeIL5qJ6IkLhXRwcHL+ZNcMnhLwsJyWBhy5bf39fcxA3TyHJAFulpeo1zUm5Ku/Ey JMQtPpwSw/gUoi4eTVfqfE9vcm1/HFB0ZpsdXIkgvU8lGVlC+7LVBy7uzHlTLASTq07RtSTGFkpp aNAwKbeQ6TUncSdNYlOrV6mpNK2NpGX8XjtGYCR7CcF1aqPvQO9QzxFa9jYAyrVqbDqnKbBPbMj4 1pkW2co/+dPGt+QCBfH5vwQZ05VEAJjglBsliM2lbRkgAA3ObIuFoJ7Yux9asQc+J8WHbpTKi8/w FGhmY8MW2qFOEt2+F8NLWaxgH9XS6ExBpeoPqcB/F2qYmKWGiQ/yeYzjE5g9XwbwIENboXScvEen Z00UAV2O/f0Si9qOlQWem3IDNsJkvzRmxfImWEOKp9ghKo/z9vFqid88b5X0yjuNDtOwJI1RkERm ghjgINO1sFiE/LQnXNACragGEWi6PROr1ETOPVFfKiFgVrZmT1gDbAyg5DfCxXAWl5DR1PKUKjwX t2G7UdGJBtgINB1ujyupn2fZnt2G2KpxoqfCT5zGNO4hsSJlMSaAuhZMM5g1bE5NLYhf6ii3Dmhw M/CtNLT4o9dmbWTiW6P4XHaDOkQGyFqMEmKvmh0BfLedblVl27yCaZZPm0mMo8ySvwtPisSIis4x /sTuI0VUfOz4dEByHKsTU3/fxIJBm6fSI2RqKf0uTNRIvH1FyzoENx8TQMRRyBeXlwL9AjifKnX4 cTZxT4mioV0bCfepzGrHnb77ekDk/hjo/CWEEJ/tecdFYMgMrxKheQMeElOGKJOzsgsMPawBPfD7 9809vlbgsA8c0+OeG/18xgbaLe2P3WmnZ3HhSCsn5Dch2coNhFifoygBqGlC6c50or+i/EdKHMx7 R5OxTpzX1mJXOhYdX2PmoUy8sdkFI/Ffay10nat31AKXq0sKzHgXQ93x1kqi3XvVmMgRIm4r0gsv MXNhiPdeBKgY0NeExZ5hvYZjLdDB317DkyeqbwSQPZDYCOwH2X9fhwlPSpmOe95Lw8gNjPN2s/ov KmuUY2ehO6B/98NWxmq1GVOI1UbKFPv5Nb+LmU0dAVG0OLh4WTD6HGE5tsXNlnbyC78ZrmlfgjGN VWdrHeMMjNVIfB5IkB2B1KQruHDv/Sei7LOuUQ0YYwwGn4lhNkGbrHkuHKeyUMlRhA6aARLTPQJN vz7+1s1kOILkWj+eDJymb+BnxvW13c6a6GxzSh2HdvYLbceotqoQo3cGG/N+rZctd4MdUBr9pb6I 8Yer+Na8XZXOfl1bmHC7HZ9dbbpNvxB8KGAHw8EQ/9G3CDCjUC+EMT4t5secEe13dM7wM/zhbmjW nEY52TPqHAP3CEIZ2JCaH5+cgrPEy+kWEFmcrLxDeSuo8gb6jyXOaXnR38sClPLCbJlgVfSbNWB0 +8vNPT9c6tk+IDB1vOaDHCC+9KreV/iyO4qwbU9huKVS/wLA9idIg7A9CCMwJNjF5iVzHhAKQ5PN ErCTvbcfZMK1qR4pg69btNDltECQQkacdAa1OpdaG1msXn8W+rI0YCWTsi3SAmBeI9fORpXJHKyv i8noobR4YuE5PAFMMtRS+fnznYm27rBeyJWZVex1qFkoeCYg2i2B7++hZIakBlOr121MPpVbgo8R UQflDbVIv+u2Mg/EPxfgiml4QMjFLuMcIY2SJrkCcDanc+Zbbu73bpsEit+rtcO/awfcH5FDic50 9FdNE/KBvy2u63qL1GdbOJLuID+ZRgxnf+I/3q2/75p2OUiJWF7tutgQCttKNeZG/aTcaLwUCOaN g+AX3DtRIKhb97grRR3d1h91lEQdnBHiz1aZ0qNIG5jBNIXkaX1ShqR3pM7IKlP9wTrAdKh56W9H MhGuUUNaPf3KIH0p0xGVu9GKXN/dVriqvTRUlPqqNWJhQjvDRSL0KgpDGVZZKj5VNzC9SgIQZNj4 /KR1Q3vH4xbmjH2kBf4xGBMDNaHjlOI9aS9sTyYEGRpsbDNxsKIP1b0JJ1UB4u0OaIdOEKqXP8uP FiZvFhMr+OmhhC/tOAcMAA3t4wn8o0I5TEm9cthOPPV3cXRLsOPDDYwXkyAJ64KSQB7kAC2VLd9Z MXQvs3pGeR+JzDOk6Eg/eucnp+lJaGmCXCednnLXO0cYLZPXajKT2F0mYMc3DOsXg+8ai9l3RtGy 8m9cPs2C2UJYjQgf4avOxKz6FxEa18GFW5YT3IR7kDQ2jzl70SiYDiZsqBuivffh8xm5J+jef9cT Ijze04W7HEkZClIuTmq5YWsrlfIM7ltqkdNJAlBy0kSLjfBppaKGPXlI79CU61AxdDvINpkz/oWZ pfEAlqIC+EZAYHKD5v2GF/CFF2Gpj95hgLldarsq7oPi6SNP1e4Gem1zltdU5V4t2+K+m1mYW6ES /LKRYMVv02EhCI0wj3Gl3q0h68HoSJfpb3HSDRTxOzj2NHvcP7fGOx3FPvntTeJEHMDh1QeUaSA2 KdHK2GovEjbqZ2k95cZGKCnsN62MYojl0RXgRzAyTSujj4lHMjx5SamUb0OYPV4pcavmnAz8E8Bm pmODkBei69xcbpnh8836Ko4uB9xFjw6skjhCRYafkFLU1jK0HmzJvV3CpewTEXnqQtld6boSEkZ8 /DM/Gd/Sea1uAiWiFC2pln4Su1cOkXexCG9PMDTP8rvOtqhH+OoFlaaktLek6+oQ5a3HkURNXdCV X8w+xdltU2WHfUjiv/nNB8PwVWEL1+xcVHDPj41RdqOBU+Dzu6/vlojb4EgbaWxgy5wcg0+QJIg/ LDlJJNjGou3k6iwxuyOuKN0j7cp2AdjJh9QvsXzAKqTU/KL9YeR2LoipCrG4vT2In2x4o7Zb2xJI uEKcWXhX9IX70V0TZI4Z2qlGN576b8LA1bf9tHLr/Ch6fbhr/LNt+OuhErbe5LPl9E5etN9Rf2ch txAFtlIU+kgglBvq553K6JXmsWgVBxe31coI6Oh7EDn5MYJJGv1FPHIDrYtlNy2bVKYTCAw0BDp4 Yh0s5U4KML6tQg8AGL/pRZPPsBHCVzFuuSkMNa3h8zLzv7bHTAEtKupivDAUcZnmv10Edeag3a9o wiYPurb9Zg749acLaSigMxF170E7BTQqlVrd7R0YaJwY+tODStT9BFSGovigYLnYJjv1j9QawNvJ GVvGwQ6BX0unPfjLSTi9t1dqaikXsEOITy0/cW1NO9YCNxFwb5SfI8MBkvGlFfZPovTkok6ILgfc ahL2skMQnj+6gDnZmZqEF6cgiqDp19D55YG3cBzsiGkMx9zYgiPrb4ZUdus+63iKEOxzE2W4M3/u uBCverf4ornPq6rE0a/7hMptySgTt/kR/StHTqzHMLs/WbZyEKw5DAsqXjTAtsz6FGLcoG9bfKng 0CsEhIe8dRI2gcFqWv4zrY1rtq0N3YyhDV+mjQgC7v/HSkrqQOBfy9Y+QLbAEwnj/uF/jNzZIRm7 EAktAH01WeyNkXb5lrETjRqIp1mCs5yQmbEomFLQVxd/MH6vfxrIKQKvwLTm+DLJV1QiITrBMejm Y7AKDJZPlTyz11lJpyKxcQUBiLByibrYNAEyR196Yy4iDRjlBzlWxheym4i9h5Ye1/qd54K5oEnw jRb1o7O7lgfTTAo69IUIXW0KHZWfTG3xMatuaLyOsWryDhRnF1Zz9AVsL16YO6m1po9tzM/JVvQB e1Xi1D25jPzuZe2yBAzBgI19amFuW1dMrDp7PN1NaUck971Kdbwox/UM2T6HJctNmgq/uXCqUAFH tdLzfE7KGHtBioHNbo8bUCNBtvEyWd0KRTPI4lSFwzAMm7MqiGZ79NKUMQ/qtC/W1DuOdrdP+8kp CZEbjO0qSkDQVdnA8vwd6PAyYs2SnWSqPqcypKdGSdDsv/eySo861mlCHneK+q0J8w9h4EZiU8KN +XAqjuSIUv84ChDirwWGLBQWmlUGru9foHweLIY5v4jSdR7+7kRvDZ6FhhTxUuN4WIxukLhgA2f4 YeYXUaTKLQPpJPBnaIVo/WI0IPm5lv88V3Pb9TfJz4+1CNSG4+stPWuBpR/kECSKC15gwRMta6A7 UbWvr9BNCt304MDfuSBWeWOFlrAqcV8qVuQcnLme2h1QIu2ElHBNqeVayjS2jycDZ+OG0vb4X4JD kv1w//L7GpLKkcFBbGLniwQPP7T3eLtUFF9zNQRqLaDcJbl2ILt4rHGjNsioSVf+b5KDV1sgfHnr n0h/GevQCAUMZc9le3RATS1PBgid1YUI9Zx8YIrClYHTV+QjKXqXLx45okHsXWAjRwPLBrqtNhdM L/UZsUXrgy7n6ealekFHvXUsLZsBn2Q98SUw2LMwTUxQju3tgJuSwJjFM7FH9jPb6r8v1iIEUezJ 9uilaerGdVpTEPBl97hpe/klwJNd5WZ/6fJcO1ojJfV8RdY8tVbgW+O1y2T5NGqm38CRIuobWqmH 9JNNcDulTdR6bXAQefBTe0abIOO/FcThoN/tOeQE/xuHhPdgQ0KKahG3cwO20NsIiuQ8Ld4V9Es6 zrp1S7Vvug3Cm3HqDyklCssazHQ29+x0Rl7wyJphM8QRlFmZ0BBOyam2DuYefjvcJFeIfA1a4Cgb 7jaAgfQnwud3EdXz9zTYu49Wimuh3Ss2huktZihU3yj8gvXrAEw6dntxA7ksB5w8Vbpco34Ci7WB 9hm0K6ecyojXiCl6nCqMp2VSzd8JA576ksmcPA6/1A1Fo90EYBE7Sa5sCGRj2LvDDj9rd0uFLGYi wJNEP9wb130ttxJCsqMGzUjgCeNEhDWnqfKhda9g4wpTQ3kKooMmwa04inmV6envZmf8ccpYoqm3 j+qU/jA1bVvUxwkmZhM2zuiLto9TxX9NwKe4J83jWruHlUWWcPJwN7Ok+aJRFuL9vWqnjI8b6w7N KsaZJFH0v/2P1Pz4B6Nw1FNVbHf8OT2Qj+JVTUupzyzxWfH1eRUcbBWGNXIxmcAEzWUAnJtAwGCd D/hSIIZAAlqDnOjH/XInXKjAnVFAcGLfrNoo2bSy9J/dP9FbiGXRVqr7XJDl9q+ZJBBZ0I915JL1 rSe5gaCsJCk6kVstp8dxUOvaRzNTdxD4IC27T83SFc/sq5EJx7q5LJfIEFfRUy3SnCNr4QD+i49d CfFexmWMeFG8fu5yKcvd9Xodj60UKhPhixOVRDiNADGpTLxfEpD4/1VXm6PQCSZuwJkbIFw9xk6j pgDIS29sZfgf1xk/JnpIGZZKVKNT7D+7nho11w+P4uwMnviVBVT+p+jBYc1CLXIGxvYrG9v33N9m FGvwojBRmh2irAWDjRSSJcQvmHGJdtoOnEyh0iUAgCqrzkfCNrQtt54AqDviWJ6uowiifUg2laUw ON40F/6ZcDwVRPCc3GKcCx765yYQdsDyY5bF0OhPV9nR8TFdYKVHDUsciWKIkpu/L5/dRzstDBN6 JOD0nM45AklpTWz0uD49tSMpzSzHXzxs+SPGqpiPHdf7ie08gBLVq0ofJHFxUjnXoFHEIdC5h/xW C65uhtOx1byYHg0QHe/XtUyEpA/rRnYQS9cMd27tN92HJywsG4IdYSVSxwaHzuHBKhWuN0SuYn4m LkNSehhSID/HvdF3QuhIntVdWe45BCEaGsFz3GC3wU3q77G4WDMgM1ur6WmFoZ8MVaYPDRxx8r/H zllRvdgXfOiipExGU1/L3TU1pAMv0x4ugkxyuLAa2/a9G5S5ICfc35I7l8P6e72AzoNUIWGqlhyH XKJI/5N/N3D1lwkqusn6rSZ/QH/ACCXeUoc6ZQsSy835g6jDLGneZJgL9pw6OrVxSI7KtAnO3SMp H49Z/J2pp8ktZu2JMM7fp9+jBjEIcLu3958XSKA6PqwAR7ZWI2zc+ZAJI+BQwfSsGh4Jk4gLAZPb NXZ5yhJYNIgniiE0Xpz+NLqXNBwLuyo+6KYsum3S4Qg4/qrjkjm6dvcX10rBFR0qsfEQ3Py0KHFa aBEkesc5C697rkKk1cZGypkEdAb6LPLrmgGsGt8bVBfbKWOrk4MmtP1s+pNkNCN88gXaZrCOrrkF 1RkBhaLNvUXA5TfYTt4uX+WsXire+EMRLCXI2/UHnFlrIu4HkD/Hf10BIWh59UW6EgoJ4mgTQ+95 VA5+LzI8fpFpkRLLQpIoW3H7DXSx4O2w9/ABtb0hsdLyF81p1YSv8LZnRZheygdME0uY8aAneXXI jZf0GRUJWQJMy59aTY/aH48r2by/AHF3E15JpUS0wXdDiQIfxE0KR3uUUroF1TFBHcdOg38iAJrr da84wkcoBZwhjyygztZAtTHbG5HZqSxv4Uwalm419xmswXN/G539JfDZruCY4tHNjEUvCbayyiuF TZ8vE4XZJc6pGge5cS2xvLvBN7vgyZp/RDypSkp7D+Ps4wrLPS/96qRj0yp5NQWEom9sZDc67h6c qCcaIMf2d1aMtVNPRa77XWgsUL3x8ocDqQrpbMwNkG9EkIQ43lXIKEPikUYeuqBWarUTRp/FXBrG tP1Jh2UFKlRyMXReEeAGjryHvz9rTWtJZLPFHeVsQ3MxKaplGzC1CfVcghnFIo7d3JPpVDg1bcjN 8E3DEaMY5pTnZZIRiEtM9wi9FAWsg2xNOuNYFMD6qio2ikQQuU+wMLEwK6TeE3ijjPaqHCSyWvdi 5xvooogcjFXXhvaSvorjw7lm55aUoHFO75f1kexp/cUTZQWIjrw3noa6TKVyUvWNqOkaij6aY5h+ E6emcOGV/MhvZ58L3RBWbVXBq2Zmh4Y5LL4x5QUj2vtA1zguwCgqZM0NDMv3lYgvmeOtaB9wnvYN Md1w5cjm52lRV7w1JOgBSXR3iBhLRSEaBzAtpiZwiEucP9GknINkBQrWX6cehifubukZ+gwF6EoB HQqxEP9JOv7UTdYgdk0EDlFVHsVBOCcoqofBsRB1tw+yd+yb41MEygrH2wKbEESwcXQmguS6gWLX edmgS2xdzZO6bB9sEDTBbKQiqHDuuJq6lZRERBkK1UmFx7J6xXhIiZefbLsEbo6GVnUEdHqetDF0 p02DUib7So342xYmhtTXufsVgs8xZ3u4JD6Pig0MZsbX9M/F1QE+ZJiaB4RC2OkeLx+t1Lv0dJlg qqWhEDBk9Q2yvxVyY5INwTsWT2tBBDKdRsRnLBLUBq57z5hMKuxOcJCI4PzKqPIk/Hq57pSTBVgJ hvldDVwaQV5WRtIb0z7NmdQYsFnZQvmgDZp2JCgI1JTlXAjZKAUK14TMNjSD0i32NNP9NW6BGXPG PLhl7purcAkpjPghzuNB/6D8wwh8G8QxAiSmSeqYupoB2sNkidExWgztdLy0FXgBUpGArF2MbXp2 CZwascLZj3Hr7g5YCrFCWtn+79Dwl5bzF8dL0iIL9foXOZmVXqILb6WzwPFq3nQLfAcTWUoeDFNF T4oGAy8F3bHsrHhXBeXJ3T1EXl79YU/8MmcyDv7zqTmJS1R9bnmVk/oGZMaDnh1Tj8mpWyyMaAv1 FTUztz4tTeQorjMESWwQW8oKNDNdO2gwNH34r5Y39ns24d8VI3MJ+j3wl5WMtULbiA82nPwrPWFG ZyHTMtq2acQDat17NBbHghtknBjhOANhk2R/Fx/i4r8QWxlGmu8j2MYOTawauu8vv/Pml4i0nohR EnXrooxIBXeasiSrJwxeG2mvEGFnZRE3C0dSjmOJq29fiyTnF88wHRlSYW8RZCY9DiPSixqg647T hy+YSN4G0RqEGQwqTtKMvbgt7kTwmWqDNv22TQjYNFreL6aw+06HRqAburUW/oO2J8F//u0SEi1q AqnMvmvconhRFnvUoIZ+XTkyD+ZPKEE/aee2wUZ3cXwwBmkNXQYMIX+0kuPV7bjOIiB9Uuf+B6aR LmYtG8NDwISC2ZAcbP42sSROQxAdx3furT1Tun6X4NpcU0QFxP8keL6RoLy7osQuwyq+a2bOw+O+ 3m5JlDRjjwXb+3TdaUI0GrcwpolNLh6zOuu7BY9DNRdjIKpBZ/u4wYEffyIc88hEbLgtdtkZbBbz kqfJEozvIp2nZzUeU5PrVVXoPH4j+Mjyt/bES52iY/isTrCslWxp+jsQZrRMua3GErWpYTJnDGr2 Cl7NtlAK1BCvUVaVQNJy5ZIDNVwXjMjZ3iRF0GcnI0jEtJVzVPfbhaQQ2xFDXbuNMq4LDhEUbJ4x T3UVC7MuOIIvfYs6r0o4NMqKjbi0EnWFpdc2sbfDDm9laXfm1PYXuKg/QUVGbaN0KeEqmZw50jAz DSrkykUrYjy0T3OQagTzW80E6rZq4sT1OelhypVuo2hzsQbmemimQ9I8wX89NJ1DSA6Lqbgs4iQP KJjhpkKMmZedY0y7Id13PEShSnLF5AypJcAGpOuPBxd6LVbz1GCfRJYxHCej7Rhq8DfNFnJBIk/Q /fHM6wNmq0n6C7VMy9w3irfPGKxb03SJfLE5u1Qw4Dj+7Be6SUdtykofcZ9U1OX4jjdZuGteSh59 I8+EaIuSLD0GfKdtnRkm/ugU93tEr0eqSG/cDOFlt2b3qEQGXH7K7yJzXJTy/kf6XCDP1tBAjjZS fAMc7Q2vEaORhXR2JY4ghtTpYlX+uq9xl23Rq8wDAC+/R5tOSGY7oVcjzfKVoym6RDYmR0WeF6Yt 2H9zcz5p/rYAUFloPbQyaTwhljfx91OCfPB3tpDeXP4TCDrc1TjA57/2n11uZ+Zh8doUauOICfPO SddGVMZy5pmYYfdqaW1lxM0OLvevOz+hHt2zyZkhmr7t3smCKLLEeerUMG3MXYDmQF9Uh7tg70qW qNpHtMcBtQRKtjxoW3WMiC8wJusxnUtoLgui1a0IriVCWfQ6a9icNN8q5k+SnN09vtK3nYVXtT03 ffoHSZSMzo4Z6UtdS7Nyjzk6TMfW2wK8/Ts7o2UP9P037t8VWiULRmxo5xwzQDJN+3xTyBTWl36U luWNyvXvKR7ek8kqX4kXUzLP6tveYVIqD/EFLlI7OlqCfYHnBqkZarNvSZ0KF0MGmYcXNDfrgeex lI/iZdKxPLGhvfT+7xVst9yHl/GGraXJvHLrCKxKbl0s18mpJqC/yEwyl/6Val9B4ZuwPGt+RE4l PzcWTQ5OMqqa51+fxQx9ywF/GN0qCKM18D1l0OCLU24B/xDNDMRcz2qDT9ELwQsTvBD/+hZ1t3qD LGHOPm5K9UjUXQiqD9VceA7vGMIiZuABNBIdz79+h/yBKLF5j5JtZHNt0pN+HjBVIR6wbfLls1DX NNiwm7ayv8Uqr0qf3Ha2pRcKpqAYSncXXLwmp15zvrtODj+yAleKP7Tle65SYGthAYwHmqfXm7su IXv9x4U9iybfBelkw/AvotGsC59Lnf/n9wjblweRVT1CCNnZ2jI1pR1nO3cnjuufLqadvXARgeJ3 XT6rP0+SDUqA7eS2ccCGm7TnWcqDkY/7IHV8vwYyNvZIuLMmynLtd1Fk71/wtxW+WwuiYeASLUWy xOGmMSDySgvrqSYiKKO8Nnu14YBuFasxRPy9Td/Zkw0apRdeVIjv7tWxiLS+nN/3q6s0xZUxQ6a2 w/D3JS8JeMRRWyTmyQGVCqUDhvhVmhzmAgluh1sGFZGKDoOkQFwIlyYAraeAdFq+TVt5uCgA9eEL xaPJCfCCSsREYh/uXkqBp/5SQe7E8KMvnkc9WyydteWsGSnxhYniC0Oq3rvgMTsUqMDOKmuxufBE 0u6McCqCU09bsPHJ5ZDSmMqeE2zQQgwiRmKsqBXn0X2IhOv3TIo2tCgKpS5OCd2GiIO9geRx1tly oxTe4pQN4J6s1559EUIUeNjyQfCpnhvPLgylD8Zlq8Uua0zf7Eljke//js7fQ+EknSWmbJJESSRC WEcNxFerkxmmbYgXUxIGIvn2l95+au6/GDVUB/q3cKf3NUG4lKTbK/+GuZTNX2ZpnNOSXH58bYPL 2E/w4/OZwB2mstOfKKT+twwMAQOXNOlVKwfyXp+dNyhZ5gkFwXXvRpogDaBwvVb0VT3CaFYoardT tX8iLAms9IL8X6+NRNv28EtK1Iz2oDfDNIQDnBBZutcU1wpbYXM7QE87ej7W6HODcDDxykVOnKwx bqCSY/OUHZELUrEceqrFI1zImdRGTeHHo4VSxT6xcC9ArP3aH1KKpf80HAzDlBYZuvlOCoa+Gv/I yAww4cWN6ggEHmu/w9nHT9Ri3jZq8ZViO2jhoppvueFLuEBu8ybywiTACJEY3/xToWTBDmeOrQmj aB5xG3B/mIAz+U7yUNBc6Jp1PbJ5eJZWezjm5EFTa8n0PJV+nkcpQkBMoy7Sz4DSXdaU2YffRjhp pnPQnnGZZJXqGTfErJXnkvtWytztvei2y0cNi5c6RNfU1B+TvPAs5KcGg9IVk4y62ZxbqZzjlWCz e0r9svwf520LLPDjmxCrPRDIEP44xUJFmbol1QwxeNXtY8anJx+pfitHoHvzlBFQOph5N6xqQ2I0 HZwX8gS8FA54viFubuBZW7fDLygWJqFKh+Vx/K0ILt/UcK9foi7NyMF1NRugjoikP9JiFpha2uVG pbGUWa4YGe0j/IzTn3HLT638o1JmaFW09Fk3rmkpEveViNx++A7k7hWEOtV0h16LoIF+5UHdlH5I KAtJMDH5c4NEtqwstXUksn0stBPNhZsOItIsGtMQbEavCBnKOn33axSHURpEvJJ9gOeTuzvZOhkF Xu5vCIr3dWhTjOZ/W4ksiCv+9RrB8P2XhKme5+WihCgjNa4NY0CYy5rWOJNGpSCxNngdz0nxiwDN hVTDw4r+/XHkVDEpBY21n9if2k1b7vv2alPMpkqaX5Ke4sRQ/WGiw5jFuGllhTdn4Q5LfgYa66+n MD3iJbug5nKisgy6bMSeGJz4VQOZz408GGg5ruIFIILbwMcRKH8lgKf3/jUCqy5UzLzC4cz74EFx pOHzk/0lPLdT2Cwmud8Zc6pyGpvdQD892F+yL9ifEM/grDOoHSdy37p10KnIC910q7l5F+JOAubH MHmxmZ7cFLvB8m91YHzNCnMI9MjLc6ZdWEkoso/+qJ6lLMzAMoTpPyGC99Ygoy/vPbHJ5HJLQj/G 6EwR0Wyrt4GOdgOR615drZyXpDAOOI7nn6MdtCq9o9l00kwOmFrWNBGPvkXxtbbWh4upvN4iGPMa JASWS03wJErEczi2FSVZ6PzkYmgJTvkzNIKPgARvQ0gDLHFzf1mQG2miGChbBmAUoB44Moc4auZw K7/IjFdRc/Q5FvxaZH14FEyAMQ7D6QgLXXV+mAHvFcp2ZVqRfLlgaGYx3B5LcaRPqfAqUgo3NSn8 0xBdxaWm9H/ZNJfjBOsWJE7fRv31b3ScA8nHRU1Inf3uTcxm9w9+FdkibHboERPNLdcLbE0eakW4 p2jw3OseJCKMdz+/ua1lSdqNZDwjJevlc4uhshkeeMp1mosaChY4jDCgd98uzuC7OP8fG2kiS9QH djceqH3Qusi7MsmCFT6F9IzF9QAChvbSG4RIayKsoWExorplmMs0q38r3KafyQVB5cNnWv/aDSXn k/ZvWoi6jZR9QmGCPLZWcPIXmj7e6ZaJPzfzEN8BV2RBRS24q9wYLt3ESNDegMUDnJA8EpwEoh5Z YxBC5i49Tavq9F2lsgmg/LbxfYFrWKN2GjjRu5DMCb5Afeo2zTCJ6ZGvaOAxEicGOn3JbAfUsnEZ pozh+V74IiBUnklK+/GY2W7NoqjruJJ7I2FTRYiHwOxA2f4EYBYuyZ3YSf5xen+562KALN9IwzXj gXU/IFxSTk3NbOJssfmazxf8p5AXvDpUHz+g715nOlMT+dt0NC8FYQ3psPoQvFznyv9VDW/MObKc joMokoPHeU5AprGKTvcdbf8e1a5uRhmQkMAAkSnSilyJn4Td7WKLtxtMcbWBX6zb3v9Lq6MMWz4r t8SLwE1yYXdnWcg4l6WGSKZlR94AjmRWYBnh7GffPIibhCTGYglBYGOVrUdncz8u6wBYc3NslYa8 KZUNoAAVSBDm3oYAMA+uVUy3A+Z00QSHi/J/cUYJ9owqd1wu90aLU6qM2rYgbbnCnOu169IzDPDw zUKkz4wEE7PHr74g9SkFfTn6zrNALo2VXeUTwztH51UK+fkY9pZWUoTOoLn5lUhzYJj5fN16s9oH g4j6m+BrCR+T7pnP57LZU9ANNOLUT2O5oeZl4gxNLZvADntz8cpn1S0X0XQ8yElOwotEblMHfPcD Lw5s1ElOqPPMyIByPb9vp57s8HZgdJsNyi4aVZNnDIKuqh65lHyg+YFefDzoV77EFrt7n+PlLl8p jyv0yKOBMUmRPmZmJsVT/A5p9xSql5uamGmTnf3NATgbteIbYwsNfLjoWRf9PoCsEjRt9qm0AFcu 2YfSN6bd+vUjVyghXeFf18ff2PW9NbO8v8wKxV4nRQgXy1LqW/qWADolvLmMsikR/GCU2m68vARy YEVuXXIdNF+fLGj44He4ZlWr++4SUykrzFMmhanLcNj4bCa8sgVowJo6wXQuSinY5Zdxw17EbngH Bd/n8t8o6bDNKfatqpLjoDrOr7AqHJ1E7ZbX8V4njl54d2I7IEohFvcTMfejIK644EQgFxQKTXOW kBpbKC4Xp/RQaowhhAPFBRwHx/oYXSFehs+uLeo+KVyrV7zpbgvthijw19iYuUHgYzhOd8pk+M8q QRkB5cjRHqMEQyDaCCf+JsPlGV5fjDDEjCD7ddg3mH6wDWWnfoffaN1zAkpFLNJO6xLHbrjpJWLA XggpW8SvDV2HoPPMR8FIg+lKvznG7aKBXlBYCyrAFiC+EWyhHSQdVDwmVpjdjz3cHGhpAqcyFasS h7rHOCqh6dLZ6TMN2bh+xPotR7YcGaGl/P6SbB5BFXe6gCpqjVEBtWLSj5jzbjVuZT2Jbsbifh8o NCfajIl5DG+IK5IfSnM5uL4bVnH37gd7Zy2lHxHclArvnpI/ifw+JB5EYcEyeSSeUPvbex2FDdyj y0CLqLkHfdgUelDY10MEBJvv/w8kCbqJ+Mc8elYauZhs8oVyhsTt9qO7pzf7Nzmfr4VORONQbnR1 YSIUR4MNoccNaGLclvECUPDf4mNME7bJVyDLL5BVEnH3DUYaKKodwvAwsCHtdinCBzWDFASUFHof z2lKOaMBoohrGiUd/u/WujpeDqpNimt82F2F1xfSN6P5zDwmPNMy2ox5GKp3Mnr5zYBsxX2Nnd12 cav7WxYzHaWMAqs/Dlg/dhzM4Cv8VYC2/ngg9oF5skpzCEjoxqhbEoTyRTjMAe0GNd9FxH88fsZe jiWiaGg7pdnXKSan5NlCH0ImHdVO+7fEXPk+74OSJROmdU3geKpk6CTPSH+RsoaNSyEW+vnRU0Ha pCDBaszqsODT8AXkNJ1iqAnuF/J5hsn0wrjwbNAyFGQBRcMvGJA7e/evmDKg+/6EBBGdX2qMDhlZ L9y2/hsU1O7MhEgeWclRk7SyL1Vzk8ep7E0Ha8BV+I8NGNyKPNUrqQbxZIjYW/8Lh78K9RIqiqys 6EXxl7Cp9u/XBjlT3jvtSh9bY++C/WPQfCM3f8pckPHOl0/RXhqBQCkdBumngoSwYreQPnLxy1GC j2MpXi8tRKxasKZp0qCvg0Rui6MC5mTSLwP4J6MgiiuIsMLsbKdl2XBRDFw/r7V/oX37Vw9Pmh1s n31Vc5LvpQcj/7QDgolV0JoU00q3J2JqWdiw3OfW+Dxyz2VDFA6GoIgx9m4ljMfu0bdfwr8Hc9S/ jyut7ZAnSu08wQLKFzvBJMLhw4VoA8Mz+kV7GYW9yr0fNcM2M9AZ1PZ3BMFMuK5w5a806W5y9ZJc WhJGiFaGdSoy9u9iTEWEVWlm+OYUlmI/jZc9e0R3bvkCfsrRRo17btdv66ourxLnQ82rKXi5pV50 UleTnczIE5Hc5H4kVH1MYpPE++9Jvg1TGuuepRdW0DuKDMhbaqluRpIfrVrH520yFbTGQkx6dNCa Y7TvwDm3L3OASmZF//WE6NzOWvXxTJB9U7UcmCtF8NNhlhvSbCVIyktIkwZcywJPfGe44sKKlD/8 Ff29u3rrggyK5yOfOBMwm+ungmNiDUQ17C0kJ0w3+MhKmzSyyQ2x7aIIvDQZJk4OqoLbagWEXumd VpNhHvREli46V11f6bWTgtJIIBuxd9cUVsSjGX3wWVc3FP3u+HRmcmbJ7AuVcSZUHyPQD6RY0yGj PWtOAsDIrSs5dbYpuiOTPH3Mb2wya9VdUGrqQk6ZzfjqzeYlxEZJf5NC1xdBHoRPdbUpLiY5NRcw uwz9Z0Ul/otz+mY2OzTQUEQJUhKuvKSrUPvKv08uZe9IOXmbHPj27L8OBkVyAwgOcEfurlDJINz7 2F40N/2+tqlEbvjYpevWHJ+7M+ee0TBdLGSjHj3gP6xudhNHSuZqJc2jXZVmKbyzb0sheazcDvXf DxGxQ1N1C2+b4HhI65AtmqH2+T2q7nR5lQGRuxVNEts2pM5MZSNTdNLoHoedQ/VxmUoenpf1wxb0 0QyH9hfbyoMKqdKiv0jWTE1vb9kn6SX8DVgrnPGJR/0g+v2IguNxJokxqKCv9MZUlLGJCaaC2USA 9PyxrCcSGVPzUHcL+tM1NiuZMb3ZKOWMQ/Daj3vUwxjoeEACv19td1k81ar4E50QaWwo4Fe4XEIO ri7awwsZSRjP19Dw86jq+Vtztlaxg6nJqmrbx3mq2J1syznyvF5CU01Ry75iHsObZbohjUKy1h2N hJa4vRoL3b0ZgTkTdVYRXGi6eUJF+ChwHFyrCovYtCzM4TEoPsQgrO3O+x4Ev/EgS1+QSk9UbjmQ 7DOOfwCUsqWOb+2KbXjctUivGWZCdYyLHzoTqQzMFxQn9esbBGxVDX37+Mv9QNgN4g0fn7RHzFGD igC+3KTIfXM+aeQhnfoF1Jv+YdQK1/AfSyLoOdNH2l8fz62+vFwbggzDchKEDuV6TAKETrRnrLGB GbtKDRexOgO/tV6t/R69c2szCE3CMew3kgMaEN0k4SQjsdDuiZCZuViAOROn4UdlPjE7HKpZ0RD5 tgYmph1BQ9gczJXoOYwOUTTCqGit8zMOwzDnibGiTqeWFqIkpEkLt5DBEpdZsx614bBJ159/R+jg 1BX17PWzGxPRI4H1Mb+mKKq2kz307E1+X1ebIa3Rf8pW0HM/IMDMlARNa/wnV8zzMsNHdFNE9sDN IDEzHkXS+TcTI7Nf9myYLyCsrX3Y2DcEZjsxaolSwsNoXMiE7jjt7vllR4Lj4GnSwwIOyLXOoWsA Ofs0O/X8IJSuJtoDnMnAn/xIE5iW/axjzpgMjZMdd7+Yh6FN8Tg3wfk1Y2ZdBWhSxZHpIkhAhVKo Id1fP6mZK0jqB7SevFwymik2APnLbtEbFwG4NVA1qeJbrnvw8PRB+0Vd6/9js4zwDgDL7MDsGCU1 s9POUBfXOx/ELF3uByxfbUgM8KCMNWmwwnZ75lleyUOmJlk7S0fqXlTVfiuUwMczUERiHz0kS0Ut K8PaXtMx0LgOnT5+YLfhPgNbLm0X/MsFcpgkykOooKm34xB+nGyyiWJUUZa0xNi45jP8+P0R9C6O 21Ixk3CJdtFG8xUJddM7xB4ch+dGyDF/5V+k/IpDZHCV0TwdzoVW31BFuJ4GQIaXlCDqstZT4OIW lts2O+E0mUby7lZj9MMw493iXoUKeXjEDZDelzVv6O4+URcTBG5AiJKldiKlAVVWo05HH1ZsvdHH ezlnsxt28G+ZHGWdwbMVIPfGwQoPnEqUw83cRMwkn3ikPmksnax5WcL2uND1k0R/n3+c3qr3KQlE D2oksfw2BSalv5I+PJ/H1dX4iE9hnB/ZhSjDYfoLs76oH8kLOgT4+Ly12C08EZ9L/0lyS36eDS8L EpXHuInzlljMM50KrS4ngjFHoAmRUNcvMvn6BYuvlwVC6eKQf/V09lwIvnyJu3ahSQ1M9aVRVOxf 3U5na/vednKstdWNsf6aIIS3MPaLcNDzsEDvUWkQpJA+Cl2WA8xQat3GkQPqtQTg3atcaGneQMMc N1oKNTFioJo9ocJ+5V+9IJsnS5O+uPKSfeS3WGQgLUr5nTQxeGr/0E44kjC2Hs61IsuVg/cLK1vs xb15Ro0/y2va4izkyIsZLAmaLvGSWa77t+b2iXrCVfDoO8Vr6piWS9HIo3HTC6lV4aij6nFJpKMb Zwty5KD6IaxKMvlCImiBebZdqPcJzoFrypk/Lup0nM17zaCmcYfkNUh5zDW/i4KSK/SD7xsA6W9A lQxUmV85G6TalCAM0dvm3buDOhyp0W94uqTwbrqSjr84UlD0HKqhmTLcXAAo8fM4NlkDfG+A94Pz E39Cc0adZj2he+Z2pGcl7Eo/hSQ+zXb5cnA7Cez6dLnZyIlNr4oUs3HXWywNSg1T8C9gB1IsVNkp nARoFNU4MUJerA7poS2Ich7Jz8fL0dJkZxPgo2bcpBEFRVoyocXMir90J6qk5cuQR82PEx9uMZ/3 UPyzBv4lQo4RUmk5OGPJkuTtjQSsEuKJW8xbQ0s7i7At2II6Jl3lNixVVFsT0+esFoQQli8dKz+C /0q5MmAlqa+vUYlcLAjRcIDxvymX+vFeQnhX9dKBH8x3GhoPhmGcM0h4RIXwrx/SxwG1FGQrWLnB T7N8KdhQq4EvSgp4VRJtJOKxnazUhw0Bs48B7AN+bwl8h15jHWsMtI7thIAApMaOzR3kYc2FOt6A fQDKh5vK3QgH5Co60+0MtOYPIXjV6HwUVlPukP/IM58LwtWCx3jvvs7bmC0M0kMdci2+EXdAPGxS mfJeN93RNUk/ZisSpZx+9GOqBjnyqbu8TdPNnCGzS7QE/9K1gixuNw13q1oJVyNyxjzBudiaob19 Khuq/OCKtWNdeLOqPTIiwE33cdhi0L5HSnw6/ZIsw+LdJWiNzWIFZC8n2P5nlUFqqlagh6LEQC9T zLTdYLsMcC0bqoRDZ3BPaYLx1rSu9qHILGkQSnSPfAekKOv3sMuhgsf72ef21b6yz0t//AmTTd7R u3P4CmEKCmtJYwSAaHLKJnVXLMC9BMn3FQtUhaUVn3bOyLnoN9m39AO7McItfDG4waW40Rx1stm3 cE3c/DakwgWrzuwrZn0MpnMaRnfYFzoRBPMHSmGYfxeVAacpMQVQdRaZG5d1APwdslTkmi016VRr XBsyHG7CUrcoBDQjuVs5sR3VaTs4OizNOa+hi5MBqbGWZmaXNGE9x3QwM259J2JwwWWDfQMFSP3D +GMNVq1lV3DcFB9LmtEbGejRhHFjNk/6wmQ9eN/cGhJ8MnCkt8+oZaD70+SxCYsr1/pmwHD8VyTg 0NnQMeA1NRcYuUOdUmmtVvlwZTseARjdZpaWfohSQvmgRDrtGfmzd58UkO5oV1QkyU7Xle/4M8Fx CnOeiygfwlgfSoCvp6eGWTH+94n7s6wMGvJQjG0nlv0WWH5bPuuqNQudaMqaWS7IpKme0WgvgPly DyhYqmC5aOJVg0Z7RAgvwML8ZBIX5v0CYS/GYdm1CFlExacM10r8EQW51Tc0oAi1cxWGrEn4FTOp c3z59jy5MfV77dV5ypPglqJtWhek12PfGqLyLeYm4rjar9y4WACioZ2901DF5er3+pVGsvCpUoA3 i1iYQJzdHH+TYJlHt9GEd7i6o1ptlXMPo2qN7RZj2kBS2JXCwGrrHR65H1XI7Z2IPWlXUxdzcIuS QbhSFHm+Thw687RW/cZBJAtghW2IDVD4UCwrX0EQQa1BcK98tFNRRfXEWlK7CvvZM6B2oPUB6+UA YrpUPXhs0M9W6riHlBaueZ09DCRtXU3vdryU3w4gbSPBFYGqnh3J2HXfaDuQGE2uWULt0CWyFJcc aEmvLZ/hpGRNESyMA5+FzjaPKBu3DM9/dHQCjPz8IALCDJgkw8QrtFKn60O3YOHFzuXvptzO14Oo xR6PG9kY/LDvqGGT2uAgqXKOJxUvCYB8nk5R3eXQxuxNfa5WGXBmxsE9nvwfC7YHemd+WIrWzeX/ MlfEULRhpR7ZrofDpGEad9sMvrc/HWd4ep1Z05mN9xvMzWkIg/RbzhOvkWwOOnLASzSQPf5KGsM3 AtrPkurq/pmXaEEHXg/S41Uar6rgjMdUgAiPuXLyrF8EqCBAc4616Mq/hR5emEs1ivJrQeoQKzU/ eV+wYeSAbd/SppW1Ot3+CaMKD+Aqygud2eQOZgTDzOXz3LRpdHi4zQdduwbG4EegpX3Vv2u61sJG QSTcjAcbzloFOjJ00cTvu2xUhvde2WnfIZGMU0/Y7DR0Moh2fvX4gL7sgNvMxqvGtOjDetTrBAgc oYw7HAvMLNmTChS9tF8mb22km2lHBEpzYKSxA+xtXPcBcJLX0qkKHlTuc9SrBR3kJFrQiDnXX3ie 6TBPhAT6dx8R1y9vhOXvCTSMPAQSSDDVwHVzyWCUdnDw0EUkiqq6zFTfF1Tu7/oSN5K2j//hADUN rIUgIMzGdz3n4Gn83QCshWVla3IVdaJ1kHtEhOsvz1yjWnp6IAxO2R6mrEejmAHkNHlE3qvuV3bN H2X9uDmyeBCkaTzKBHpbH0X4yAB1/BddjqdcOvkNo+fPxbz6fdZoxzNHSTetH+g/ILyPjZ4uUToY V8BuxfPsBy9gTks3yqTWL/4os5IMUGDTU8B7Qfd99Cr8NL1nSf487MK05Znq+IAAoBRyXkSHdERr js33XDP51b5FwmnPdQ1LX5Y8V4GmBKvfDzKzWx/uRhlIJaeck3hHyTPebce0cNznh8kzORrQDM2E Oxr+ECcnMGQToie5KSAnsB60I1RZS3Yxf6TBFvotHpa6FfT3erbqTobmqASamu5w65ETzslgXBGH WjJuq3SpxSB64NhjMNeJa+9at1qBIPHimZctoxOz2TuZxFV0HMH6F/9bLiebhIHv65UKLSjuRNsR zrk/VATkpZONyqb4+5wSP3Ln2x+agNZ8Ow6Rs1hyPCN+LpPVb0Dh2YHQm8XM9YtSp8XAhjRHAGmp gVRZprk9WuF2w130wiwQ/P4YXwDy4fVDGVM8wtmrm9sxE9X0O4Hcrr6OdsnUVp+O9ldvpkiPmJMU 37gVwYPR6BFLz7ZnJ7ObNyzHjRJwKj7PVeSfEaT00JV7FfZWhywfYy92Z6ixmbgzQHtfwJYta2tF zvq+4TZ/oEtRaCS68PdRqTPR+cvpjU3JnbAhnxY23+BYD4YNUnV1XC2IRg3qAxe/wk1p3f0VroKU X/+C3nj4m5ddZNl/dyRZpgMCC16Xoqlu+4hFuBU0Z6/kJHpBneqMFtAirN2PzN3a/gH1IaAsL7ku gcnelxFdjEZgH1e5XoiY6qQz9hSrcY+vCW6uvy7gRj0QF8Zz8JWeOsTH3cPAMPlBqDCpW3TpFcvQ UKhN77Xl1flzonB+tHxCpcxu93rzGR0qPEyVrFfJGeqNX5mSKmb+nWtRhWMs4aKIie7u14j86gvp x71vjcBSKDxIfgc8A6BEcRih2FvvSVHXbd4Ypo/4XkGKA1fLK1tlAPY1Vcd9RFmO564WbVozUqtj tKoslwAo0rlrI7fl2G8+OqL14MUUTqRg3BuMgOPL9nDMXmEtAp5Fo8Mo0/brBKZX/6DBmkLhXOB+ +SDbPx/3kINhbFoIzYllt4COItKC3mnoG+ovvgPzQ/quvKYfG+/EH+7rxvYAINkmo5NQP26zDFDg z1vdVw3zLh5dyM0jvBGpw0Ne3cb2QTiwA7DNDGNwMLILhP59skfpiu0n/vdtvg755CjgzMdGLg+d yRaRyDnndE31D3739YkQPH/Tkt6o6n0edR1yZC+RTdIX7KTtKGFpu62iKjklSTM1PbvC1B5SCJpr o5wIOkr0HK9IwU/kPuULUGmJjTtaT4Ch/eGeHA0T6xwH6f/a12+wiKt83u0M8x0Fud+5PAz1ZXn3 Pd1+GYuXuk5tk3fns4c5+BY35lmvizqaXrqugPXUU+y/QfIvjrBuvLbFmTopk8QqCbPNLicwKhIF xmWrmZr/92VnxyQl4SOgcOTT2LaHRLquBtbp0MIgnmDzkAMY4kLzK/4Ih1RBkIzFPOpIP8Y6tQhd wRhFqzrBSSvlilnW8GkVECv5DK28Y+mXxcwlPkpZXKw9lgvxBJlfgRHnSWsPwFbIKLUQRqYqcqJM BqB3KpaMMgBWfTHj/rGjyHrrrOqiXg0LLgeQ348ANYT29uQC+TmDTvKHxkwLRCywsGcPXw7bKWQ8 qglDL4i9aaFC8mb7UyGUoBVXInv4gNeKn4Bc5ZvQRQYdw1cVzoNEpnCiJi6lpBbSuK0GAvzprqaI himcEO6mHRMqcdm18l5zi/mOFFOJhaIEU6Mqj6E/X5iBwbp4fWvl+qOEYr3+wIw4seBjsovveU3b xhxkfTnYMC3izFwkbYQdLFzAxGOwMPPpYMAfg2IKwsdgxWwmnRvNrr41HkYbK1LNLGxUdHb03lh3 qNAL93XlIMpeQ4zZSmI9+uu5VDogg8eDZ/csxgSYJCDSGeNrHxuW4PXxD3zlid9v13nbTf0RnwiD K62TdhCoPQ7AtBhPywz4kKFFl7F/fTdXLvyf/UJwxg0Wmps7lUJaZFC9Oy0GhJzO36Nfn42Xv7Hc h15HM9nhzK/9EO/7pAVuhSSQ9Srtb5wAIYY8+tx9vhiICDZbk+ufPq2F5M/SDZLGWyeZDpqOE6RK w0y03bj7txA0LFO/cCs6CU+sAL3OC+QhJRgE6yii7152h6zXh7T/xwgKDEbcsRhJKsQPFbSegL8M Z0cFtPYShvWrQMKQGBPi05RPtoLdnYw0jfhUk7fToN3FIdgNGj76AZjXpEblQHohxewr8RzYYLoE W5le1X0xEDETBG7utdzJ93ynyyLj+soZIyvf4CEyhNcR5U0Z5vqto7vS4ff82DoZZF6XVvNXmIkP cM/PG/mtfrNWG7+xRTvn3elw2wYdaOm/4Xtx0uWThONtIyJBmUFko3goz6jTbGSYtrMUIF547pr3 nmWn528k3EbCqRfnc5RaHaK/mZ/3R4NLcwIEYyah8O7xsy93O94AXF2xNkb6PC0TxGxFmtsKsbKr yuct7AJ8+V5ZvWIYAf0iMCaxFHXvYEr1gy3DgMvK7moYSf8daWp19pKEOwX0lLDi2FGX0Yxid2+c UBOZsgaaqx70mdfqHjUfWpHFLROEZdrimlQ2EYFx6WZjuqHqwN3rcxVWsnYg5qdsqExpCIsjWreU g3Y68iqiv+hxDKMOPAGFyBPA7QhGTTnqh/vABYRA4KMYe2PY3txK4O9oPbyf3cAuQz+SJKJ9dntq +TGVXtKqJZcMXiY2QzgA804UYF58VPTvhXZtRG/oJWqX9jQ89yvGUpdHijt8eRRqux3EwrFFxGXh f4crYVLDt8jurzUNnigBt+BKe+yfzN9bX6bHS5cNh2li5o94UDJRd+c88dnpq2pJ0VumGcrsPqDz MZvziO2dCF8uk3lhOnZ999opo0pZtQK6Jf3Bgi709gDdWZqmpDHiULlmaQRYMhBEI04tchI9UM2a M3T60rhbunh5VRqyQIX/hPteXtFgPNE/l1bcXyM7WaZ//KdRTc8Vylv3VUqwzHMxHv4KHqVCh/+f P65ERUMKHt5sl3tVpngORpg7JhY5/wcvLrF2G/A5cp7ho6JLGm7GIk/RlpLdgrwAww5WgbiOLJBR KeY9qwwCquNYs0Qp9Wwp5csFWSJnOxJEgovJSyPYrSR6suOCzq6FpowX1fYrf/LJrDxHEUApGNOm agtgFT3BLFvbhctQlcrFWfj8R7ZLu3ijjk6K/WsBJFF/LjDcaC36lnOs0Uwtn+rQV4d58FOao+bG RJ/LPPLpr8s6BeQkWTlZCIHKWwJSfVlDjeBlyNPNLUPWM7RZ3L7gB39JovedMrV07SSs0XqQ5Q+R Flhr1THUNsUgp5miQkYknj2Bx/cv0whue818G0r7FwBqfNvDvwirzus39kUIjB1dJCL05BTDm/zG XKj8+KyGOE1kVb+wteqcOKeGd27fE8NVjHkF075TAHjvLIQuO/DODiovsy3HZPASq6XOyC/YVTpO 4ju9rXDiqmNha3ARatiRN0eO02fFJ30rBXmlkLPXbsIG+UxgKo1Tmhex00LnBk3YBO34oygs0w+n JUcxZ4NmwODv1oEXQnZSYjgPzDix8y7NnFuwvxlfZei3gdk4/paTDYapScdKgt7CgcALgtOb6aqp 577adNpjfasfZgK6wLXnT44uasJR09TZN+2yZKm5PvkvW94Q3RxDFm6czSRqBhz553e+U31RC3RW O607DvoNZjeuib8Jom/pW0hyGtjeTPSf8Z1maH3ylJ2bAp01SG0BFVhfh6IIm6y/DaU8VvPVnmav lQXAyrRYvId8yRnamyxQVqlGOi0z+Q763OS+tL9hUO7ZhdhPn1+kb9hca/TpGp1JVcZ59NU40ZaI lkxRpr6PkcidTxxKPldb94fcG4hufAX5HmUW4aSoMDix6o1WruzfH2H83jawTX6ZOUDaWTVXEaI3 iDoXt17qqLFXMIJr5xvJ792EZYlVre3jIdOW9Qv7VxNVxcDSVa51poaOvLQGTeGOKmivhhubTST0 CEpoPooLbGzgwALLu/DSyEYLmz752JI+aObmF9GiI0Rz07074N87POefik9rd55pHNjqso49u0w3 8BhdYTpbVxHXUNHXbV8Ami+7jL9RvZlOYBFejeCBwnMugSp49+CSGGJa2UDIXc+yDvQYv7TVtlCd MnjrCfQpkoHSkpxgofe3bQSOGNsLR/fu6yfMYot5WXkfftrKEHjjnRIHaIlr957eATj4Wf42wd7/ ZCBd0krVqv63EU1wTfzrTwV2JSYyJnar4bdiv23h2sjfKRQNfSLFeYdJYiSBqMVNko+je5jE7LAA t3g/x/dl3BGFbb7OPlgbSKNwvfkdlyL2qEivZKRbfU6VVfuPhBQcPat8ERligdFBwXp7cTIyY+me lil2ASOXTSvWOmGU4B81W2vtzdmTLujXbRlO/FvRf1LV32l4wXoKn8Hcrj5IEZcAhx8EzO3JCWPF 5vcllFqeAxgFhqdqxMz3hlqEmPqCMEz+nHLvyjgRFWEOEqWj5pwSq6Rh8/2NRFIoFZSfPC5sMUv9 6U2TwVYxzSdv9rPoBWvGihdd2uMvgQiNccfyTCmxhk5bDLwJA8tXKYn5SdWGjbG+zjixxTOpS8UO S4VIvEcsku/x/6D+KRxFimPqOsyZrkShKpn+4xVGuH1p5bL41lMOerY18y/H8cZOoBb8oE3tLfN1 CTHJNVYg3Q6KL/y7oeE8wFm4CH0d1QjC2XBi5qZJeJsxq6gZcCbYZWzv9zZ1GeKyYiRTUDC1+r9q 6I2drfxkKq/T3w7riuJWTerpZVnrf7yPTYulO4iNa7TjqllML/UUbNodcdx8L9SDXL3iW6QdQShT XoxLT0qa10GEH1yUhSVF82T6jKsBPcYHJZIHx7lrmRlXLIAZjgRCtNmK7OO5c+nU0A//N01l3RQy Wy3q2dCVHSQmmx9IyjGTb3jRAxljs3CsBfvi/ITd3B67nMzJirP5+f3CprqlzuhaAFucxJLbVsv8 ZxA0/WDx9+QivLeE8FHuAHn14HvSqj3H9xVMbHkb1PJL+KXeUElXyUn5vpZSSlBbH7fGDBQ1LMg5 GVSqwzi16Lmeh53wdvJvcCKUBX5UvD5DXXISuoDVoepjKV4l5BKE6fDGRYi8qblL7KOL5JuzNa10 Duh7eUakBNdot8kwIvDgdemvFZ3soMy4irqQ2SIlVpKnfq/g8YbvHZiSN4s8zMOcoo0jgTHJUAn8 v7e3GeaIvhV9791nhOyywOAvynZtvI4kj+ykQvpmMF2SOXnTNj2YgPBrzYxDoHMktyBUpV/M3Zwb wgXrSGrOr2uIfhQMf/+fY91SIdEZCa0Ziu5f9nsPlZGn0irqUYZ+ICg5qK6jmVVTTGzpg3XWaHc0 GWWZypJreYxIT7Dga2bEq1UiYh1vaf9FPCJSpMY/fEtHQH7LHAWVDPBdz3je9xCOzbgpgeKcJDiH 5qAXUilzjSzHQ/Lm/ofc+7R/WX9WWx4yJg6GSAQVX8YTNjN6DgLQWgmdW5IRW1RMwFgNdwIwBkYh JUVFLI7h5yKyWjRFvPFGomAJSk8mjHcC51X3iuhdmMQLskaGdZn6t8I/IHQjYm2tK7iGfIUQtBZd GXQZgX4s+T1RP+ueuA+8VKto5WU5kx5RMsbgFHSqhFMH/K0szfSSxrOY9ycjvUx9SfeGAhBHy1f7 EIxOC/1+H26CGXXp1Bm7SCK00CMr9HvA/D+GysoQFDQR6DeSdn8tt5Z/i02mEsi6hEzQyG0w9NqH 1UOQFSGi2H7udzqQtNEMUmRisq0P1xtijaLcmudL3tZONXL/2a4FNpLL+rJcda3A0EUaN9Z73qqW LaNDCwdQD+NhY6xTGc/jmIecCUQjnGEDjcw9H8SxX6MzNHp2L3C61hpQ5UYJtUs5iVC6S/nMZ9jA JQgsekJRdzvrCq81fnhASIEXvnA+bNSYf+hckpEsPf+qpecxn5QRyERJH1ZG2J6O0nzvS/bisR5K he21stc8H34BOQpn93dOX5p99b/SB+TQ4n/0vB7bayiQzGj/LCbnXVigS4CGg5pXAhsUuvPys37v 8sRuypCX51vMiwEYWFhCm2qRJkI5gfIW0brm44WutAi3ZYSmzMHTZ/R4TudsVyjw2JMDZch3xMLc Nx2F34DluUmpZRE33AnVLoKUb2fMTmrMYQkqqlkpXg4EVIt3pXIjQm5Gnt8B9WROP6aH6ammzkff yg4lyvbMr+TwQ9K449ShK06lLJAWvr160y3aPGYDDtC9v0+CLRupduobhbDu9ZsZsTX8rl7jQsf6 +1LxyuQ/heXaGfKv6+5JCi2wgWOf3IxwWouItrpfjXghzTUzkBA7vYKv6j+zPXxxbeaprcQof9sp 76CUXrdHfmeVkDP4Q2uuT/62g87uDcVMYT16Epy0y+VVXWvoQ/ybBK37/IUQjk9wjWXHK3sZnlOZ 8nDHptd0ZrRpKBam6iUQKV5zwKviKlNufXoZguy3ke+cfD1CxXWlRiVb1JcTFylRljmQP88n5FGa C6eBFfZv+K73NJlMwo1noulj4dKJmHaMWNTt/SfTuL1/bMPioYBsC38J2EvKMSaj0fIij4mx8NgJ X9o4HUHteaSUdpVbFVWqcaebx6/2wlXbbtU5sekNWqdNBX5/x3/QkyvBii6JXwEaFUk/TKJA714+ zyvSZwN+OCmCAUsV1U6s0KNBiwhtERAkYdvVsla/n8rMbfNINONGQMp0X1C+uw6oTp1/qF5QhRtV R3XjgGUKf45lAxo9y16qQaF4luFxopdSXDJMP1F5RFECgauW7PmBBJM2TQ8Y7YR5sApiCX2BJ6U5 0Tjnr9/Ia+HmZs0ekcp+m1Xd5kKtdDEaSYFtMLEATE393wiFH3c/XfHQPV2Ri+BhmFwJGlCo/wEI G3TcT463w9L5wkMxp9Pqy+5e/Nbo6k3bTEdVDvftoUp00dQNPD/fek2ju1zUs5jhMcqbXJW4idcP PHLKmf+PNXSBTNPlrkieHnh2e5jVUn37QfpIwJ8yrAofw13A5cZjpvDxWkrfWyiDnXg4kiH+Nev1 WKHlavmfNQ2bC75L2JbUvyw0nURJQtTMMlSxPKzLMrc3msKYN7L0oNcPlTT0JbINSeHpJRCTeI/O Ap37HN0zK+RKpT+t5ItgDwqiCkCo3Yctyf5VMKb8iFI3rsMsjGycPYWMVKKXAhvZAp2EpEKf7izG p3ZLZs3TM1K/rRG3Uio1W2FuwZnVPqDbeWyJuNdCWPu/jWD4LvlCpt/ob1ohXd6slTCUkog9/0pl IIhZWu/UN41PvKzXU0ZxHhR3KNMrkXJJZBFDezYpcW7yJgUhce0Gv2PXEaUR3MM1xMqehe++8ztY fQYMDa/58NCdVBrpNR0CFaPq0wc0FsEdgai6DqnLJzG5GVlUtLMuTuOPbYnhkJPzuozce5WuyzMh 3tekfHYtxUodWLo9qGjGNl8+Ud6K1xVuGWw+YG70dc9VBEPKjeYhfmzqPWter2nchl+CM13S8xiv LTyiChCcUKg4T6M9SouBZBfDcUjOcKQuudGlxgyPKN4pI6UOgtXlxo1IiqaEAg6Wiwd0B0FlMCRg JGJUfKPArkTuE2JrHW4Ma3rg19yAt2bdCmH9gZYq1dfPGZpZkCLxdfVLKuCY4QqIbnLm+/MvceOB m98C4CNzJxqWpVTBdePxJX6PuveLD+Cze+7ZBIZByko9P0y/qzlPkwBJ4UjfY4kJ2R1wpYIzQY2o Us2FuKxhD63y9xcv5gQnHqx7kYZqGxp1e7pK9ch2SV615GdeqTxEAmgNV3nPO3h/InrBOpk5yvip P8u1i4lcmx5aYC16qqBzodpiCxEgvsVM9aLAJ6/nXPs4nwi8Js3Ioff0K468FLkNUIwlLhG0qEFK tVEc1tm7P8KyVSIzuOzoTK1906VllGKS1g/MEry8C3EsqbQ98ac16fZvFhakM0MmlQOQFwgffdOo 3kNf8Ed3JWbc+LgGnzkX5nSEQO/qPIrvMQMF+iTlvF+UCdOQiUMZ6/u98EV28xlHfXszUGnSFZgU 90yQLRkHeQIDwb2sUckOjI05FHG5QrRo6v5dJrIdxlN1hP7hL/4ktUvrbaEo0542pE58ibpDnt3B germBSQF3DaA5JskMarr902Y2WTStz5z7Am15TbcOVg/ppeSv0XoTyFRXLuC06P6EF3UEbgsuXen pj7LqFEH3cclr4aIXTcbPrBuuhhbN1LkCll2S7BQ9Sc5LWEEp12y0xDiBenStw/PDLSJcsnE9sjE bKN8ajqaP2MXEHwDyypdgQJ/cN+e1M3Az6w3ZzWOrK7aJLnSR3gz/NB0zS0RKFcvOaWDEhWS7a+R W+hK2VvfzQ7YkZdRNOBJ6Yg1mabLROV6A+Awxp1vKsbTIruVo5pAq53+LnormoujVddlSUzSJ9lt xhlbvB7ch4lFaUiGw9mlf+B/RIqknTy6OfisbHXPZkFdWCRHA1RGLIvyfdbRwq7tIFJABA4Xjr0K U2CzrDmnPwFtY+YAlQDQ0YFNbgHVp5IG1gIQbYkgKC4O4VLo4pUUdH2R9Bjya2BAeh11pWAxosFz 7NxEps5gJYVdOFui3cGmSRD2+JdLplMGF7IcN/soQY/HaILiWOKMLOGMAXkQFH3vfQLzp4a+kk0r nr1AcLTIw20G0/mibKbgZ66iscyYd35DPwDzhqy4MG3z1kr3cnycYwVZwsPuBjqE0wI33LdFRuPf 7kXgy82kP3e2x2uEmEdf5vttLn8x51cN5jcODUER9t7OLl4o0dYnV1DRomYmH4eCqJ5QdNkwaA6o K8t3r2al1EkYWppGOGCd579n1R9VBaaUJjrmYJgx+kA1vo4/qhf8HB0yuEpOm1QlpCQGpJ43EDAM jJalAXn2YN6Y7wGPmX1gPc/V/rveD+y4esFC7pL/gD/9myNTGbGzAQunePzSWyGicSShpMIIKLGf MaPsffmO6mYXH7kxsRF1dFBBva0f1lEdT6g38r9OmPVoZCNDUwrY+M2zliD+8iGlA1cybkM6k5o/ XWHpitN8JFrtsZE8563J1+1y1HrcDg98Rn6OJFP4GmRl/UV4I53ebJGTIOQjCzIyxWC4www73BxX b4Bltn8+9ls50mxdAv9VCgX90E3tVrsOJ0+QbhWgUlLJCWFDua5cU9uVuZj7ZZzQIeDwFA/t6xTe QyV8HR1MzcfTv1ibaIj3Z9dNp5xyXi4EP5TFbVemc48NEHiJ+btYkE3/E3W0WdTePNABjdvaKc4r +JmXbKRrJojNKcWsmNIAIe+pM/tQ3Z9QtmqkpW7qZFs6X6LMljRoyO3Tmu9E0qYj4A0R5Rj91srS mEPrM8YBT8jl/xytvlP13OfmaTWdw5XqG4cOPKRGmVDGngSfX7HctBZEDNO9iN8ytBC7dhCTCh+M rqyWBWHJAdKVNR4Bwfxilr0vlqx74IZrpaCa06+xgiuwqQ8MFZAtfwpbQrKq6axTSlJ4SIi1zRjI pc+Yp4UAg/YmiZvj3+mi3a7kWOoRy7TSCWYbiK+hv4zjv5dSv2+yMWjzqkoumY60Y+ejr+YcyVRc moD1flDdD5sQ8N5pTv+KxetMMiQZKF6vDcKNbx/k+fwlQiglXwSgPGHs6W52KMaUw7/u+C/kdsN3 VC62vWKHRrfoJhw9Qg23M7BD8SOlkWLFvnATIvf7+Oa15ZiaF45oVxgojr7g0ZED0aG2x5cwqOoM 3+7qjKiSpZiPBt1WJSu08cERj5/d5Wgtq/y9dA1GuxNV2IWUYI3FWN8xTjNTNpCa7qTG8Csl5Loo 6AIUX5UGEi5JGiZ2ZqkSQOEgGeghNHyG3/XCae//nntEtN25YJyeezeA2Yi7pOwfOMg/WbOp0Swd 79KNQRXYVf2qYBwUQc/HosAzE5tuAsMUbxvbeufz7xFq3/9ontB+D3UnybqljlR/kdDuQBn5+RTD B40EjaEWhItzMTp+T2Xzc994MCn9lflpkD1qrK2w9aXjO6UCwhE4p+nFVEtGm6K8jc6jRttyH7p3 HJfTpC6ozM2jM5bHLeOxoBplKPKx8bM/yLTe9vYhurSbZBNmWVMEd7EAv5PfhndadinnBfbqAcdO nJzxJZgBNynrx+pkOuc+xVbYdDa3VbRDNctL5YeDx+cRNqK46ViBbNelZ6MiqDDSgZa1ZrW9IeJe CuK2PBlRFAXknjYQO/OlWtbV0OULoaxSrbQvMvKZMDHaFeo00Kbt480vps4J63WkNsPI2RM2CC26 9l3KxhuNp3MMwhPT+Imd2dsZ22Tw/Xyr6m2LuoHb7CI/UcnyEaJMIbGj5dzCYaKyR/B/raf9ViFF GPgX3FBLdQMjQo6PudiK+sfDlFhQS/aEDP37bm7kEFLiAik28w73lhCStkWpoiN1JEyD3eeNktdz kinrZJXSjtTclnBmPrLW4ucjCPq6WA4/GwzaT+HVwRbB8H6IAq7mrh8A9tGhfo+UzSDB37Xfu15l 1+DK2Hn2vW96RntOeDMTFHkv6Yopu/DGmx9S5ueMl+IF3miXVQVLBHHuDRQ4aeyfe5sXFnfMt716 DYvO0/3+mU+w4TNETPau1ziUrhY10/BTDyB7FGCmmbUKaTtBXbqOEEshm3awmYGd4aF95O+vp2sz /jCaNm70eyY19qo9yUore4pF0ktMjBAPU9iWC0WBqhOd3trpskmM8y190wEzSu2XapZW4PdGra97 z15ddsahGCkpc0KWbBhtsAJdoo7nTOMSoH1B5pHIi7SVHCvDvTtLGLM+8+4qXdRGpGggSRwpOdi7 i54piuukPzSkdP35SSMaroMw/2d7nKZ+p/7U8awI8zI9vy8x1x6jd/L1bZe3Ur24vHxHa9xajQQ6 YG0nlJCkxPrFZvZLzYh/Rc3JkrbBQF93qpRNP36avgl2rvnV2uNRWU90e8fFyXg54Kd40gyzHPih nrjXFW8FaSXlbrrKGkNEhg0dhXL4seTBebbkrrTEfUNBKGDftiFbhm4e2+xTEG9cjFwYuiwAZUH9 zsC9fPKu8UNBebxHlwGEHO15fGtJ33RuydjtKWGNLZdMK+NgolTRWGKWOY0DvJoi5Z69Ml9R2LU0 bzNJBM2QJjJTZZBqP0zqdrg3ezOI/kMqzfHtO9A/kj/Q9ByH8wLQwWIsZ9RSgL2i3asF9O8yrM/o 4LHPJZQgFbbfflInn649RumGlu8p+mHK1uY0132PKQkeFAiw7sh5kp+KOOmsKVQz9EnFWwLp+Bzj N/j4e5xkbp9Xem4JYsLgPRbAuiAvFmwYmdhMF+bWver7QFlrsS08pZYxq1B7qnCNBgMSyu+AzcZd rt75giAKSVgdK3580Q093Gpf7wDDanuCpcErVEgWphfNgGd9ubBXr2BfjB6NOm3C5yFogsxTb1lq 74BdF1oDbxOrjMR0SZANtZRLOufF3BiFuxAElaq7d3AMrND6MBOjaN7BHUUeUfyNisvncuS/Rmhx 8WsEwlQLMj9JBrDP6fnGqE0Pjpup5IeMwgQM4a8YGZyM5knzrbWdYIsBsBouYKW9W+gT6b/kER0v ZTIDoRG3g5LBwq0fvJCcUAUxMGv0xf3g+d67CxRyrlxXuE3pwJtze2msL/eoklbNdYmfOXipxZkX DmyhCr1u/EiILHX49bHJZgJOBKxku0CcTSTUUJRqv4Cj8EPrQsgYBKx0Lk7SEx63abz5g0tkQcvy As8Ezx7oxEg53RUSI2jyPIyYezQyX6zAmsvraphA1JORW6/vMfPZGCLiiHh5GGUsIheMEe/sV3ND C7bIG5gcFCTTKz/hvLHl9DuvLSWJEF3xJ6xvOyGcB92Gl8OvvU4QQ9cuNPHAwjmOtReo2UxKk+T1 eNdmXh6XMBhsEIKk7X5ipwMrdVX5S/ZY/nQGNuECQSsb4xWuEjXV8nZCfnUpm8bDeXyvMoNHqjAD lacw3d25q+qUJoloCBJobyqAprvN20KaJtoLGPyFjkREIcfiPB0hRajp+y4IBNTWUoGr5ygZsBFA BB3HbGZ40KPqz0wQOeAjCNq48YEHd0Gf36TmavA07sPBccFC6fqZ56Y1bJQo6+pTp2GmS9Zmitmx HoEe8oli06z0NNp7Ptt/WVmKGB7eKzfQB5nSee6y0UJiUHHL0WpDRxA3t55HX2/ggBmPCDCI3OJq eJ5R9XafsIKta2O3tJR2XTecZGZtTUf1UKi199aAK00LhYWKnXmfG+U9uaW5PHUSHXDnBXd/yx/M 5ICuWjWgwD632DQm+UV3t8co2QF4QG+etVTQATcnZo0k1ktUV3C6QkCsZayrTyQdkLFATm9gEisM SnsPLo9WqISDk5csQlgM/ZX3MobAcLGSTRNWRbI7ftu/vjFZUJ38oWC+10pFRLrbyBwHNc9EQxU0 VSMENf3Yv/oOjUu8hCvpk5nx/sfWyC8ajSrUxl/629hYSNphb5IQN/M3odBY6T8fh1Kt6Z44xEwa DRW8tSdz0b+4RBL1eZjWYQibhkqHFWCPTAMQz8xt6D1GMYQs2g6eHmMWcbyvlYlclLsqu6OybXo5 9zj2FTaSbEgkj4RexJMuqVu1+Itf7oBG9uRnAGct8vLkKgaFjkqelETTVnRG4XX3n1eb7gTmerFd s+CsJiq2+MqO5TFDjHp8p+S9sCGOu2h1JTZJEQPbuoCsl7LpWDOb0oj8ul5IN6xRTqvFCPCyJkEz nTGI0h9IYD9Y5+nB3YK3Pwn1+o1ujmrPt5EDBYBs01UEWY50qeJuRKWNdBIKeifvBbdj9cMhRSaS mZ0k6Zpzo4mUt6gnCzbF7MtN2qRaARwM8Joi+0p3FD6/T9VBRbtesEja2dQW35rNwFsiztV/Tn12 wog9ePYY6FGzmpgRWQlt1Jie2reuJ0LcB9ukCVACwo5l+NLkq3VYbXhC8K+PX/C5ZRI2g9NbmzaO fFhBufKzoMDLZQuE22bDTsnH2Y3gpacc3uUxA20Lt/ermrMl1+ilqmkpSqHm2WBWtxTtySHly4Jh 4RH7NRdKrCYvOK4XhAk7VKow9/a/kZMq20JclqHVmSNq0eDqD7uK08YsDDknjNZBy7Aii8SdRzhP aPBC7tx4JPOrjlpWKE8v8ebHahvm6fXKWTdENd4Vile5YUl4uw5GvmN/BMkRP0ixADTg1QBi86qN NLPv6wjmXTyRFHQPsohirfPc9jhLJnfiXo83lg5a450YGTianQM/ENDPW+4qFoiVfTdhveVwgAyg gRFNpyXCooHkq7EIabMqd+mUvjMVmIvOgNqfHVpX8yOfxrgjLE2NQ1/vpKfNa97/yipX28CsNFQv Uija4HMFZXszTIN3sTvLC76vXtmDemyYbIsnmap0VJbwqtOi4xJ4pRU/bY4Lt482qtILHfxbVaS+ 1MxW4BCViJiJy4FCoveyx0eEr8J8TimUYSNULVsIVQ05yxf5T5Ccsu8ocRXub84eUqumyDUdamIU SJjgj4wgs59mtHx/QSxTnw3TclIvkEwNCME+iVP/pcAADaBnI7jz1xgNwrEVYwAV6YUfZtqY7lYy MAFxnVtH+dEoZ6GTp+nkAXYxHqTV2vFrrUTDVPak16KOEsRSX2miRQDW/R8eYvrDn8Sq9cVjBtf/ e/w9Gjh4u7Jv4vFr6awWXULU17XMX75imNejyheCx2AHa2F6E3veYSBYJYNNMTVAVHF6jvifSox8 c2XLr63sQ/i4EwTEdkLkxb3UIUP493OnEzdapBVfezmAVsZBapF53tL57Ymz2pDDbKvMN5wY138K JtvfZok31zu48Ki/uMmGZSyoMuu+Di85w70wdr9pruCUchjgprDA0Nwq7bSjnKTq87u0gvQ/a0Q6 8t1z6CYSiVqKPx9w1tzRn4T55zaiUAsFlnUn4G7L3AIvCk44NHdnQaJNgjjFaf6eKPjgOuiEDpDN oTkHTFhEo5VNyCDANI0x9/n1SwJbNFTz8zTPnrKjHVuNt/NdMRlzZ7yCIUpsnRiHqLt6uRn0A9nC Kw5zV01iugayi6K4AS81yMwVwvjGJB28VeM6EJitLRM+OmOo+qG3wqPXq0yDoGdF03I6ztibgRhO suAVFH6G8GrIFLxfMBUPiGj72UcEDcHr2M/MF/onY0PvhZKJmktBshBTtCpHXmYTcYoEQcvLnSw+ dAwqhyHyzFdIhjGvgHo1U3Ik1C1htTvQvx+z18ZXfY/McfX3Fte2jNo/FmfFfxP7523ARIcpnPs6 QIh86DvyY2CA/Tg6NM6K3C+kTPvARnNj9lHUj3Lqp3rzZpS8FRNW5qT08RaHUK31gheahc9AIxT7 t3sAf9r9a5TdP2g3o5NPIC2r0UhjUmYnjS4xSMI2juegxBgk2fIWHW1EQtQycd2bRB2eabSR+gQm tr6EA4Q8avbQdsys0g0Hta7aCMhi9r9VfhquOHeE+srJnenET5dkOo0TMCMh/waHSP0iq9a/AeK+ K4H5rzGZAvdkOoyPUNCvNmxB0Bwj/pUF1E6kUyOQTuiBjDsoSWRrqi2JL2LMMyTpJHWJ7cNKBn3/ WLN2gDVh4BeoTeIl8CrR7Rdp5dJLy239ESDjoibj+k70CHwc/aEPoKvA6ZXwmY3QZwofBCsx2hZT IFv6flVbQk+8xI4cbzHLA44U8SKazvNAbiQJ5pEnI+4Nu610fQ/Wy5WTzF83HUAI96FSYZF1NTKp Iz9oTQV9OKC0ut1/rV3sNtyphS1PQCZ2ddHGJw2hJMSg1Fm6Yfa6TqzNhnDxFfKH3DDOHfPKlrg4 8p7IfFI4voVSDRUedfu7q2wzDRe35nmXKVphMWWaVdvP9KdM3mBPJZHhwYKlDNG2a3Qcs0XskI4S qdaQaac74B9qh3wz3MD8neYNYrLtfnrFSYHw+2Q2iAcHQjVNo7GP9dP1yaQOc7Q5YzzM3/i6Pl7G v3ImNcdX2b9qhfGrh7D70ahYZg+EsFMp6s4ZpXvzgeGOnQaTKODnC14oZMFSd7JipFf+bWOONnfR eN6LtRFnBoC1rJp3LeymIpmL8mwqDF9ie7DnRzHO2jmOR9unvYTaLK82YjA2UeTjXFMtHG6t4MCa U8ofEPTvFFR7KNzUEVGf6Hzj1mWIQp9Hspi/POt7gTCXvEyZD13PbfEP+OSCBK+YSlaOAvXmjLlE /RHeaZsOHwtz8Iv8YGdHiclmZlLJopNWt+TvsAXt09N7UiJw6DZlKIY5MRO32IqJ38vxgBAq5HGe uFmwkQ6iGo6gPPvRNDzjj/DUYEoBAG6AjRQs9eIJQjzeJFz4ji4CilkhKhZaijiUg+5Yd8+Muh1t Kn18K/wUoAcz67tHh26Xnzd7POcC2yj9YuA1t4vN1ru0rT+oSLE/Vp1RdiXt00uyRqby9YeQmAkT Tlft4yx4z/Pg7JE15OVidptoyL/kg/D+ROXWYe8rdgbuC/khlZQHXCoDdgvRZJeqIH6j+YYHEB0s jCKzp3Nm+huGCGOwO7zimA8g9DrgkVzy9ftsfVUTTcRtU9+/tg6/9g5NbDZ5plcycEvTuxyB3t+l RH9uaDn92dmFHhCVFCkYM4lbK+u8ejYNit+gUx77YTmxq5l5Ice+DghBYwgIl5aI2G0+5dVMPdHA aKOAv0AkwXBjkpDz+tIU9wtKMzG3JEgidXDSBOTvjW2Jhns5hR5vKJtsorlzeC99mIFTvWouRXNV 7KRJp4tYIvzjoH+ja2GvC2YFAxYdbG4AIYNZ8tqn7FDzN7exYjYwBiHSuX1t56OvPoHoeEZWSNu/ DawQooLq0RIxL5CK3iAt72qx9xZadYpidfsOo0vHJ94MsadSRVM6mjcOW0EPGzJ0dTDQciayXIHE odP7cgqmWkLZR7070WHdZN+vHhssOboWGeNtlpHgDfx2W7+WEOAT7eP5X5BUbYXAYB1XQODSnA1X zcrmEkKOoqnNcp216kPFe13fx37kddLfffQ7nI0koriw9EIUodFMDheHFPr+uCLO2pKbbmNypkHc KhvcAyGAzekapabHuoi+StZpoHHKhDkMQC7hNxTp/MA9Dj75lRBM+vj7cOj7pbNGxLW9iGXii5bn b4XwlfTVS4SeWO/DzJbP6qIEs0FtYpEeat6UHoJ4D+T35R3p7ZhOBQQwj9nPWk5/zDIrOwnnFW4i mWejS+yPGLezjCQFcEO/Fh23uvxA6LQDgkmrIYLHlW9hnI7mAWHE05RtGWrrtPFnBsM7Guq/ZB4g gleMTYk17JSSW5S7AdGOe0+RkurbUgAP4asSVu8BRn27hkYC78bvNGd5BuvCmPaThtN9J65MTDTm 6VzyHFOXXq+p9ovHaSF3PJxrKJN5mtN36iMH3dO8RNev8Y/UTm39SenjoRN28xCI4TpolFeaHf96 T+lGP32fcPwar0j+d8kXzCcJEJI7xOrP5nWmcRpNpBrc6NwbmLjatgEJ28lfB3c2gr64LqpamBcH 65D7vNnR67N7Oekw0Em3FydhG8N/EtMPVKKo2T3MRzqjCH6SAFnrLPksaWMRkwbIcJ/BjNbSNOdo 1RrZ2tFApcKY8MvWwHP77A86x+KBxoejS3OjrVKdNlz++WpiH3u/MXsAda8ScZx/XQiohKfWADVr miNlK9G7kQ+6pxsQZCzz5thgRmCR0a7jKi44S4JxLRPEANb3jRD39g5k3q1OmWnGxEsQvwS061y0 VIahf4bh6LNi7K/LrShVOpOMbh962HLEAs+RR1LZ/4ytNNqMB9PjAKE3iSOpacQoa/jcAo5l4T7W qUdT38wTGd4knTCQ67sdnuOPlll4rUwR8wWXjzfoWDl3EP9D4B3sAVrgdQ5Nu/gjtx/CZw7Qochn kFnpIP3dUH/O9Ojn4FK0UoxRA4Geb/ORT+wn7EIOQumyLNTM0YiRIlwOyj48GbyzZmC07U9XHygF XJEL0IKna6Ga6LrHTGn+374KKAGefyGgpFHtL7saooVnxUhzsx5amMkdLQktrtA/g9BJQLv2Mv9n +PIrTlP7lXuIWkvg4OaGwEw9+YiEG2Yil8rklDcJKp9kXfInMP7j8fuKo6sA8KxQtM8KGbjSlM65 QVq30t1rQ95/roPGDU2Mkzsr5KerSIAOVd0fwrw7uDX+t0Z0Ftv0BgJeoKbN0NKhqDPnP9O2RtQk M2dKErxJLDPa76DJ2sQPspKCuf+R7Nj5bsYeqvDNL7Q3sb+wRTZZGE5HLv95Yz/uDoQkb13dJ0rJ cgbBoydmtUDXpq8KSVy0tCNpI/7HYaxBTPlHpUgzc47GwH7B+SdFSosQOkWGYDfIKQ+PZae+dAEK BvK4/xCBXJ8Md8uEpl9QhjbMdz8wjwpKhjObI+YUym7AyaOaYugqZhR4PEDLY8n/sE+cprsgZmWx 2i/QclekUZC3Q202ANTfdxUBPVNeqrOyZiNFsCMys7L55JKTqyoqPQTKsWdwUapHCO47d1czPjNn +HpKqw6oeL+SnKzeMfFdPQOLGxq0uj/SrpxzSmX7zhs5SwhSsaDRn7pVoDJYZHPfdYk/jUqMfI+F Po5YMasneePzqr21ubFp1D5dtPY0DQeKNDxAMM/Gf8VGpQXeOxjF/pBzV60CYkUXsdfHt9isRTCZ OpBiAk0jEu4jpF5hjdecTnNpgPzwl7ky6fg3G+pHJyGZNT+2y7l8zw1j6Drx+qqWD4f3/hlsDSv5 6BDBZHJs35r5b4o5abAeUE0H3exCmauICi6EP1odhhSjazGwHR5c8wSXImEFyNgQ+Ar0AMsvbprw YOLmwE2LrG4Impm3FTLlRcNjNiKed0g//u3Kih2BstMQPQ/2b+2ZmYvdsbhXYqaYDHcYymRgDPUT 1VaErbf3JuQ2wI5gieeijksPVvu0vT42Q5qhKT0hiqOORerwb/h8EnNk36VhXN+JabrA5mf6Xfdu Fhx4NnvTnwoXu/rptLZnkCa5WzFxlBq18chQkx73pI94VB+KKlov8tucJDE3vzupgtI2iB7L9q7K D4up8fsNxHRGbQqWuiYoEIVQf0UvDO9CLmfFRblqXCCaFH1/f5aKKmn1Rx3Zo4geXK0pXsUWY9lu sxgPGCPhnBcpU2duzSbfUgey+hhEYtKl1cMmv4iNOThV0jKj9fjdjKv+RI2zoQGv0ZUmThkr844Q 8pPStsI7Y62wr56jakXcRJWdEuF6JJfQFpXnyJzyEeDwuxe1c20qwjo0kWMhBJjhZrbpz/k2BNll yvoQh62NvY+HCd03RnleupYchLuvZejQN4gF0w4NPHmuOa8Aand9BY31dNXSG24k3pmjdwQ20qUT XDcXX4581JiNph2HU+aQOXs7tpq+JNchgAnHZIA5uGN05vHdOhN2MU5IFPUlGoMxQtZhw2m1JMSr rcpAEm3ufCDBbvtg3dUW4ZMjXI2WDsvhvaQpnTHkEh6R1sX2mMIzQ7oXMR/7rAJ441ad3n0nKkR3 FNRZ7SL6hfuP9mo9sYN8M9bNxVSirfVH4yZd2mNAnodLJvv88rYgkviYt/kLSx6mFfMgjRLhF3Bx ifrZG03ln390Iwpt0mUZZsQb79Kge4JvM4F7USXrm4Hj6xa6TdO4k7K5yze0iEi6lZLZSAnzf0XZ OOYk0rSaNcJRPxHSHOFOCqjOiZ4iTLj5FvrmtMaMmP04ePEjp1X6C9PBzxAlm/qEtkL+w9s5KgOD Y7y462AWarq2At1yahorDAUP/kKdZ3hXtE2UlJzXhFGl16gvOAm7ry0nWf3SjVlB23KqhcJLjgrX +sOoRdcsFInGYWXEpoyB/WzGhz+T2pUTJOybRUAsphLpyR44x6Fc7XIq+oGvaq3H9k2lpntaVzAH T6HgrD9EhCgzvd/jZvlCUb+pLqfVMP80ssl7NMt56IbGsgB0UpomLdm+TjpD4keS0lEPOdh0KMA3 cvIrWc17OCPdAQeK6czrK0kA8o6TxKDev5EYtSzzq0F/8r0t4tdA6jbEunxq/h4NXwda90G5Fez0 C+BqX+Di5d7kja8Iutwm912a4pMEJBaoObkFIsZVdlIE2mgwXdc+RktRppxwHf4xvkSfcG3LlLG6 9CbiooSyoP4TChm2x1yMcduY+khfFSMdPi0n/uQdMOnEtXPM11aFgcxJZfjzRk/6WS68IimVsZix ZQWixqgYF15qFERbC90BvQsdF9/fXTZ2XKJRDEwW4fV8/MzeSn5eSnzEhwy2fM3LHibcjQ87vhEm YxeLKWgLfCpUA2QVYPYsu/5HU5DEsyKPQH1+HW3ZpdeWBtHFggpP501uIAYbolsEEDUniftTytV+ i2yPwU4RlIQdsOlMXGjMuf3fGzB+JupMTOGf4tOSGOSXJ2+NrPKkTaCJyfeO67YJnOejgvNt9GEs Tr+TfuJdIa03qlv2FD+PwTGDjW4zxldCv718yCWKO2iLRkyR4/GcWSG6FBE8KBxM/caDiwLhAeRj GZbpBsUHYDiyps/Z8Hz1QfpzOljhChoGg+vLj+MXz2mL0+U9SfSaFtNRt8cR0CT7ya+0Ckrokd1+ t4604021E144egz8t3Mvbh/6ucWltK7hoQMZE8dkkSyP0BXAyll8Gh0Loy0RT/f0UQ2hjeAwdvtV xJZ+mrCfVQ9w0r9IfqEBMm/9QrNPBeDXeeDKxb1kxFTvtUB5qKGNQuSkc6UlOl6PBXe4VB4aHQUy Usc+BpSweR8cikBOQLk90ZBp1yzxAV/qiZYC0EfjACBNij2C4Ax3akJgYwnI1/UiBLQPTShuw8NK 5ljQpPPz/CW58o7VDIR7ylbp3HmMvNQm8y7aGfJschE3h78YlpxXdj90xD0ZoH823mapP4KYpgpU RL/dot16ryiNie8qYBut5QxMnD5wWD7KplI3T20m1AU1sxfHV/Yq3KuGCL/ORRXzAFJGTBi4+BM1 mnQcSuNO+34aNgbOJPscuPNSQ6o5hi0naTTk/oijGLLOcLIoDnnseGwJEzMzqLI5IMOoQiDWW61z nJ2kwdXWaLa3Z2WNAhz9QK8F0eF7f4wuBvdnLzQUz7HQ9re0gQ5v/bmTsu5zwBUZIdEj14ZrqPJv oMhjdj2cXTYhWwVqcIF8K9zAnPDmpaHth6SYNpDXmEIuQt8Y9HKX5OofV9dilOqLG4Hx8oUx+wy3 L8SZb0fzXTn8ddHsxIVklvT+gS/t4J0e9a9daOGoGD0KWKM3AEEymbJ65cx/kjI0i4YMoZ6LORco 4RvFDycQIQk5xP6+NPyTEN16uHb/LSXRKg+WYIIl09nctqZrhPbfqxxWOTWdEiWiJOkmHnuqX5Xj J1uzrHeHdujIxvr30iimq87LOMeDygbxbIzY4erWR7cLhrXyLDGRzoBsx2MBpF6GLzzEsv0C9BZJ YbTrZvXHuTOHIZh6wn3aA7h1uNTIRJQqrRUtU7c16kZehK9W9NfvqWtthi7KEIMdwROCX6GmaUle LnL8XLsnenhv79g4FSy9MJaXs9nvV1GIkv0AdshVB5kWZLJcZIqTXcHeqpt1tIiXxJ8y+SEhfzJB 3tOM/DlKP0JBWi3tCovFKuPXvb7xxoYB7FLr5L5yO72+jHXpKDuEiD1QN2ndKNVPWM+7sKJztsxf uz80T5QmAp5ry11Wg2GTLgZrCEOtUF1cVCedgW+jUYiFh4Jw1Eu6bZn8Lw3uBrMwgci6bfPvMWpn Dxkyc14T/kd1Df+7DugG/iPcjV4pua1VKvfzswsZj6ll+zHs8Sigk8ADtDIN/XHywE6lJN98U4KH rZ2lvxzoyNDFYQIS23CFzKyyAzUBueW8dVbPuowusi4zQ/42W+1qLSTr4OWys+VqehOHo/u3qCvq mdS2SFEojQdb5bGE3Yt5DsoLYDO7938AXrL7i8Ln4MNvWk92tcr8+qT0staJhpuKaQRpKP56KoJ9 XOIF8BPqm9mH0Eii5XiHuZY9FotKzhoQsA373QWojUMGGkNYMNCbnDb/RPP5aT/gzIXTg1S8TfLU FipH3Qzrm+NkpMgPjgcX+cdhUzPLGu61983O7w12kyrnOCUG+qlxnY8M+ePtux8krPj5d5qyCjZS NIkptBT+YQA0rCZxWLFng2tNYFEpuImNETrGT1IYMO6QdLF0yNqjUrziKoeps4kpbMTfUHutuVGQ 225n5IfhxYvSZnorDhHfWPOWrSMA2KkFwHtUv7hU3thQoR1dGIBH/5tHQeM8YYo5Q/EtAvOEZa5i Umtw6RvxP3plyPzIs9c+qCs4OsZRE8TlEahVsHZpmFe8iwKF635Qj0ORyHJJ5qHDC9F/OGIEXyE4 kSR954WxJD+laJOjaPl8kF6+kQFo1S9wtJ0F8EO/0jWWnHHceFj4CvLelOoIx+LowaXP1KzBHJ8p 58rZgWSLYO4kgxFyvPRljWbJa3yD9v4zzz8qlOzxTR7HidkmpHb9LuZ859BNX6oSWbchodZ83vVJ A1/j+y9xouHpD1+R3+4ndhg92Jilx54n+2baXej826xYyhxWyfmVd/5aGHQI8GJTlugqgSWailpb 5dM9BA87ifoDYfbfplGUMr4UGuvfgkOuE0M5e3eFb6xNxO4pwe1IwOGMe/c5JxzWrYaK5cpEB8nT KLNCqSlcSk/1d0zSsc10tryTOyviF3c3ZPU98Bq0fDFOxS2AvDMqLiDR1ti27XKKhPUx0fvTtepO PePmL3WZfGwKQpDSPZ3uOkW/Q1A+cXpX1qiU69xunvYdYIssea+58XepEyKy847R0cV1u9xl3G9S f8mWEVMA5eysBEBAYBV/SaGjso0/qXgJzXF6dutOZ57vqxV+ui+RUveIuVkgqz4I+uWNEQ2DpyUE oiz84e9IDcuv0I93Mod93+mvmkZEt/Xlsu0kAWF0lkCuRf7YospiWdFu5WGERXDZ9xv3vxTFwObb LQplmzknw04Xj0hLuB2ugwfandDNpjsqSl7raXevm/WkFfPaLTW+KGgg08R0P5kzJ6i47bqe3eFE IZQ/3DF3VmU8Im0weLLV7vIKApZBAxBIconR0UiJVW0bV8retp+dEX8bjaxwn+4OIXwbF6jHDMCG fMsusLENsnUZD/trOtWnjMCBpRxkFVQquwgFCs2+vaPhrbYXCf0Udq3rjI2AZPiwp3ytkocznFrE kGDQ9vDWfQpvatLgOLEKO4MvtHHfNr6rkP6QMpIEq7Iue2NLHJxFv089VblHY940Li4GJTx+btwl 4uEKX3bsF0kMWWnsX8FTotDeOJK7Cn5P+mfC+9XwMsBW5z6hj8D0Kx/wt2cIBbEAOV48PlzQNTvI SjVwv5E8HmopFAIGDoGojFxJ/Oluyad3M3FWFCmShnMAnIDGAxWOVddALlbfmP6EClDFLGlnegGq IfKPxxwmopbkdwP72QnJylSJQS52Q6wNdtEAGmwEhfEy4Og+c921kpR7qqiCecAufvMKNH3Ytqp0 PcChVOsssPx5Z+aXjoH+MyVawGWQjP9A+nV+IyZK6KOpy8j3x82MzwIuY5wmI/atOSPBKc7WI7qC ZvzwfThrJXt3PbQRqJeCA0/HctME/Fw3A7HQgf/DNgOf9hO67P8b8UwJSAJcuM6A7AN3nbQub0xw isjCTdH/XwWxjk3poP2Y4eopZNUmsfjWVRd+rYWULL71PJXtqoT91WlChFfujaagVevVJbS4gOGY 6km6zK0vaVahM66WI9CfYPi2TjNciCqKwuiVDHS+Uo2u9oYR5wzjbmzuCowSj68qHYCCQH7GIEI2 YPHJjZoi9KOXwZl2PUX8FuC31ylyMNSoyxH0DJ0GB2l0WhOhBzyVPfxarVpvu0DyNyGq83qPRkw5 fa38SyX66rrtut3SFOHQjiy06i/hyWLBHD3KYHNj8BZbTmgKmWt2kNz+pJOZcItvBVIPJkrqc8A6 eZnc6/7UeN4NHo8DGmGt1riW/efJFNj4dcHC4uqBZXZnHhN9wcM/9HGKCxqFvJ8xW8NM/M2b/1EQ EcFtMjBdJswFyXZPBjSG4FAqO01sNbxm0C+VDrknras7M8h9GGDMefHYK4Y0UIxuf6kHziyMAxp8 NfDAXJhqMpXTamrFqylHK6znU6fR2/jN+tPwbp8Y+UI33oTQ33vE9naUW57hEB1sl6lfOCd2juVS p8lwZwjd1GwDakXDo4Ts9tWzyC3PEWjEnlcZPQcG5sCG/SkMjg6sM/VKW0qHau9JEYwFKSCXRxN6 +wd/JQniTnnzmp34I8wgupNlkM4tQYz5hZz+DEW7GpPX6l8gMS1TvqMNqB+HAqfhnuPqix3pf2fu Ly7HTrKhUX5d2p0dqYYuwCLqMzLh6nAssEVkDurQd81vPgetpwXXxzBO/uQJ89R6/dNVnE7G4Rb7 eix5Ee6Sn+mheMp6Ivo4b8X16zd5u9fXpU8qTy1XL+ycus0fRVDp8JNoAGSBfqolo7kF/GEdwBp4 /LLi6QnOW0ieFt6F4PRTdNEEgzoCQuGaxx7K7s2qXy1/OkZmBSERvQPHdYkGHbeY6/FY84/yWBCm ImuA4dQEAOuSSAAPJ+IXDegnnJGoGo6xBrg7ywgG3ugFmBF2+tXMHyo31XxabpTCGOYulOfNVoNC esVXe2FJ8X01+ocUTQzoGV3e/ppkXLhVeuXBJG66QkFUghbMlFVXE11tcFdV4/G+1DdxLTchg0q8 td/0GM0cxWENBqeRBcqpu9/nSLZjVZn29XXT1BUB/6dqQXYgD2Aka5G1dw+l1JG77yImQohJ54fa XM7rRBkt0OCLRypvqngtvaUACzh0SWi+fY10wX82ObpWQPDDjtB7GncRXahhBpS3Yb8Ms1pMLcSb dZbSSHyF5NDAWIAljquOcKAfXXmsN8EH7Nni/Yq5Y99BTLhnRFOV1I3mVAeE+HAKOT9zBZ/m/HvC 0N5hsk4WzMLU+pcFAB4Wnd7dLS6zeVal5i1vtS6/5v9z2oSWY6UsaWDqyOfoH/skXFpZsO/QHlWI 63q3bwqD0wCcx3TsBLsapZPSnCyS22SqZXidB6/kgJeXxdjoXDCHgs7yY7AD6oxyXaoEMfXFkQYY GqGJPV4X2BJFWJXA9AXbTie/8LaOUh+UxtWdu38a/B/mPq21qkx2No9jcdncxtJw9TgP7p9UrPKq khBHTu4rjFpPtFN6/K7NuSVfA25J2S711bRRni/ZSegccafwEVQ6jB1o/Dx1ZM5fzd1pWWF0P5hZ h2QtKK0wpVJtm6tY23yT8OmR8fChpoQpv1xQPk2H5xkQ19n6LbuyRpogOaswkCkx0Q+U6X2iQq9S 1ixraW3yd/iCtDWVizSr38qcEd4yhKY7yblvWWuGe6BbKiYwRJl7wgNn1kzT3UMtzunHyQA5kMMH zA7pzC9TFQXY4UCAWuf18pgt9EbGLZFoa1ECD2nsBhskigZxOPvBO/OgV2YmDhb5pvJ0svlwMcw9 rueE2qZWDRpjFop0YdKLUlnw87HblrzDIadJyrCfh/1XIkymE1WV97ST1rWwt2QuwyvcbIyKiPUE KBwjzAiLJnM+2Pxg5C7DJPOY6IW1E/1adENI9Ol6075XmCgdaEpUIl5AtG5haU5EH+G2GbTsMx+h d1yKlSPPUcyUXItFiXzQAnNXJwaxQUIOYxpOQwwwOI4tUrmyS6+jt7sxR4X/dUCSF9VzM8jOXDSF EJ//X2A8UYO+I4pfLcsOvdCN3wQmOoXTHHKSEYSV2/9XbEjz97LnkV+PDvEhfsB54oO+WgbyJyKu VSNQSLEAd/zkr83aJHnOjDneaiTyzNzXjK/SNtu+8M19Bc6TsQMXxFIsXAxAp4dHoYB3ZMSAYu1C ghAqvMLgH79LbcIZzRv4ObyDDEFIUOaVVV7TsqopNICGjmV0KOiwNs+5h3SarnG2vZV7GyaZjazA QgVLkTup+kwzUq+U/AB2rqh7fUjjseswNCoH6Rs47Xo6FUyx2PRykFF7P9T0i8YoRH6Xtc4SqR8D Q4fNG1sBlNkPs2qNd0LYEjHq1E89iuXiA0EQB80rBTDQyMTc5XUUPFbt06XeGs2VIHa3j4TK5c+5 +8axTTAWETl204/DTJIA//V6ywGxXiPZyAiUCOwc1b6SsvMQCben5jHC/Er+1yLM1aDCOw49g5sb lbf+CUlb+3l0h+lh+01IuTqa7Iv9GU5SMEkACA37VyTHCmU+V24ZRLsnxugwZ9dhtSrwNxhTJS3u juXUyVl0dTNq0TTUcjp1Xt2PhGdOvdkW1wfpouTXuUi9lnQxPbn03Es2E9m2RgecAJzSs8K0QQdM C+MqukgtQ0rmVF5Z42u7VtGCyKzRnZG7W+pPRv1kxEDKYlfDMbdDST9t/B4TIRXXY4Qe3lHkI7vu qVbzxlENeBfou1p4++61B3YtktJQQTMGPZs5yrB/kSr/mkM0Ui0EcLpQuaWIvbr74P6f04JqdkpP y3ZbAylohvvpZr4KUzEGSLJJq7uPjGmiFIabEBkWGBsENPcXWEKUmirrnSu0eXhqY/ifuZpivlkl yPefpvXnMf5nWodwkrdAUNp45Uf7LX3WYo2tA7JJktPDfkiaQ+lnC+uPnNqX4DSj2infI9lfQEz7 aYpCS3jHgalie18uqkBjXwguvsWo8AYQUGkOWSZaO/XgOZ8oc4rp0F1yoHfjFdRZDqbPXtZ5HpBE URzqHWi4LLIpgi9CZvoIeANa1nYeADpUg9STNVMfKMw30xzWkLeDgEanUVQBTRZxK7YWCzABuUqr KwL547Gof1TggvkDYvO3Ljr2FRygP4oohanxSiggnb4dHl71S6cfdl1vxkLJoAtJ1ejil7mh1Unr xnk1/VVodS/LJXFBn9m3X7BNJj0nCT2EQ9oYd4D7EfZYhQB+G3Chm7K4bSIzS+4X+pq0b/qS2Z37 goDZA/eUa1YciAGGuiUCotfHBwpLODa5P2pyr6NuUXjz1axLFwlJuNxpW2AIR5WWstXUb/pnyr/G Xh01rxDVCz/1HWvfuy9r9SQc2ooGeUsJiahef44T72Tkw5CiLPSDosic2+AjYZrozw1zzo34KsRF SrgC/5v+xY+EQ0ddOmzcFdV+V6bxwjRl4p6wrhz27LHJWBpsA3TJA+ol+JV4Z28CXC6MRKDz14vs bVrvQ6unzYhrwCdIsGCXbFdpr3oM594G/IfKnGSjSPkMzX3wyTDpGee7VcfeSVfah+uATSZiCDmU wXOc0/4KLZDsjkO3AjxDl+t68M3Qin3P2ZyQeX+x0+MfeRMPjX5wAVT0EC4pPopW7c9BsHTbaGW9 y1zqxVwlJso0MhTgtzL35sxdWUIQzwd5AYtQkH+cEEHdgmLKS4TRgStjkwMS9hXijG0MnMaj7whT QFqPtEqfnMVE3PSN9WDXI3quMLxw5unJUq537bP/PY0vnul3xeVLLYZG49C8CY4ORi+hMNImKn0t 8PaM/89ELFeBWDj7ZQAuVu631W9IB+kvSaircCjY4JOcA8lrFSm6jbUYWj0PGrk6A/N7/+Rw0JW0 9YLfQM4h8/Qm0aROBeF36nvEzSU+rxvnFOGt0fo7d6NSwkHfbg8NFfMoCmNIxpK3hfIK1ZYJahPI xy44oQwDz3stfogIWFpoMv4meu9mYopcqyLz7x7M8OidbiDDbodSmPHeC49ADyfoADFYADT/qTAh 1yfU74XpI0fjoa3mvXfxYUFTDYG9q06oKNNP3LZatufOGYs2pXPvNNXs0zUllBMKVUDEF1XZc88E OZOq1W2le3mL1iAZZZumzy1pkHoM1mY9InMxjsqtWOJVEXQq2wn0W3vcYLxgG3HghU9dR33XiZWn m/RVLaqtYRUDhE5+wbKin5F+FKOlgjchx7kWGi9GapsOb6g3kQQGt+phwTxOxbehpR7zIvOC5+h2 /r0YDHz6TuJBdhioXKurdaPCvsUkElM4raFjWFmfdlN21MUNy/3xaDMeTqR9zLE02RGz8L/JmxRP Lc8VBpJUB1DoZWdpbYCt9RKbZ7ljyq66bjMP84VWRx741T/5gfuOKBvdkRTGTywFF7c6Hu65DUaV oz/s8nriNz8hNBaclE6Q7F1cOXy/BtryZrUEgySK9bqiHayn3IJmBwI8qdl7NoQvU3Yr2pzxgp2n WqgW4xomCy3+RS/+ANJ2WvPTjIh2/Mpsa+cwLzyjk/MafrmO4OkSSqwmdgfPZXO42H3pgqOGM6ck O3VxLjm9WOkU9Wgj36pcVriOIAWUXu1OyZfZZO3lOIF3MS7GDl5ZP9cvz3+PSG1KvqgNUfOWthMg QA2Knmvn6CFAJ0/SE+j4RFzGaR6+S1C+KBb6l2SlYa0x8Czk9VNwsNcjwThx+u1s2UKyV8+TvsFu WfzX/nZkbDBIw3qyhl68L59sePteNMlBpWx7A5lNmXo+W5vCTM3US2CFjr5aKlT+67RiHzAjnjQP 3Jr3EOB1lPg8hPDF9/fVuK/1lPEPy1xoJ7OTePXG/r0OVcdXvFKADzMzbqYrbvPbrUR8vIaeGZwK rWYcXf5h5M5HSkmOwhkVOOn9zllyJVKqyt+HBXjoEiXP6sy7/pUSamaURStp4mnY4h21dhhr2taZ DEK3xRSjsLtkVnSdenu4BJ37bQsps5ISs7Xt3+lvmc0xXkEIJ7dfer2ETllglAbRtk+EpBIIMRou RajrzKtrJgHrJZ1CNjYgjnrMyxgJxyM+pWqqWXJrc2IWh53AvzR+8qNtwEgeMU2sBdPK8anZr/cg n7lXqncnFwMQPjUlaGrwQKha4rZGKrvbdLh0mtbY++KoabKJHYfo64+H7XVjZa+3ep+z0UllZfJP JXwskJdTltNFm8Qh3XV0YLb8F5dlEb1jKI3BcRqzVMuhKEsN2pjFufzibPDW8OVUcyrimt5ba5pp M3zegr2qghh8ZQHLxuWpP6EL4EiUZwD98fPRY/rTlOdqZjMVlib4sCh0Ywm6K+Xny8ljJwfhvtPy vGA794pNV6y0BXBTDDZvhX63ag3m4/1S7T5NJ56gWuAdgrT+RDXkV1yG6IDWpDyHbRlG+IQquhNq z6K1Njon1lAPTNMh3vHwQYgS4ZibFVwVsA9C5pMjgA1SJdY8AuBwKlnm58tYxfknMrjFdkEj36Pk vAIUwzt3cJh3BFUE9rvi3w04xljLNgf4kmXUI0K1UaWBblef9J6SgLTpgzxsdtX+tk8dBPz3k/1l eHDQHmztnLuri1vq2L6Kdkj+CIDtXLxGlDMNOyd9qyLF6ae7+zl+zuY3Tn5XT1E5NB4BFMDDrqBd KItnh3Cfs1tyhZT1EUXvLs1MJVmA2vLa8GbsvM0qWeen1d2bvsf3XX2wp5LAAomA61YNnf7+U015 UICtQxQtY9Fy6qaXCtVmP+LzMMU7DBaG3vNH2N8RFPR2ubBFzNUewyRoGAroWC3YAiqMkvSpT9lp Vj89yMa8nH8KaTeHRuBeT7E1co0Fpqqv/rI5t+uYZEMctEBYOPDDZb7o4RotrBuAkolB2jUowloB ohMSsQhfLV78a7Y0Cd4bdb34lcdrKMDrMgWcPXWtVnCbXBJ9wzj87l+eZx/ln8KanSCJDQJaZCOh JEinhzn077bvLG+U1AoS/nHifK42MC05Gyb6QGr7p6TpuBc7FKMVVy++ekoRRXHKXfQVcFh6jYHt AZFXCK/qK6KgXq4DILznc6mjQ3Av/kYgmm36lEWnYtZWDH8LgPHXRmNYqwtjIwL8wWJgSw40FK3P kgIYCfdI+ZfrbCUImvtbmzbywhUkNPtITywNqaWsTtOsiK9MJMuyxJw9o2WzQ7Tl9vA8+bz15yMC 8mdM0QjX8K+VGCuA38Ce7Zn9KHL8RM104JultlsW0M3HEZ0Bneo7ox4p3PrOoiOYbXzx9uQFGZUz yE0ZNOeTWqypxQW9jQc3FTmnoY89WGkR10SIvp3zFQN4R4h8D1aDVJLd2eJjcXRQ9csA02twpNrN KkrkXJuis1/Rg2wC6f5f4i07GzI5QoNUzhaPGpco5+pZSrnQi72XGXSCQYsFpSftJKeLBbUss2zr R0C9DHuwhLcbkB2twBL98p+O/bP9f4OQjFN7excFyVo5iKlaNCoidJ7V0a4+wkArFWyaVyvurUdg KcTtJvrrSFmoLCAjGYg4EGwJJ+zO+4L8hjSLe2nFtGd4TGYuKntlBCVtdtwzDypfGTGfn2zXgGWm uvi5kjIq3FIzBpBcOLuO0DlqbIRsSfhhuGC414VTJgsRsum22eJrEJicsKeRVPeeJhKef/bqaQv/ 31fQSxQMjO/066lN98OmGQPAIk5rbzbBnGvwJEfySLuCkwV73Psd+/T2Lv509aL53g/ML4jk0uuv X8iPC8RQ0RY//+uvWGwnu3xtZPQOB5hP4qLRg5gcs7tA6sk1XK2eV2RMdN/4YuiryFq0pWLdYwHA iXOXX8VPGeTzZgdd3nYGz28Vl2ZaBjDp/nvk6w+amVec9mQ/SO33q5CHTqLbr37pSpjfvVPnGmPZ ebI4IuBXlo25JX3Ejbnd8f7LOev1rJZswDNV5im4gecejVpTPCvj/YsbtY3CN0bBg5Ie1Fu9FGsT X6jepjkNqLdH0IuKw70c3Tyl0B1xtLdxfwb9T2mNC9sHwYnx3hgdt3dLdapPvUZNd1sG2XtvdtJL RZjyMbDCQYLp2gzH9xRXolRNllhYNAKa1NIV8tmE4McvBZRK8684RwOu83Ljig0tO9M4vkZDmnZy ZLkJzQgoWSQLnre9eZoFF8GD7RxvwqpZtlEtx+7WpFTpDJ3leYYCN6+fgzy9mwYEaOMnAGKXbVvp i5IsYYBwyMbC1L7e4FWtjXDqr5PpV+fWHBw2hUsrMyi2ywtYehF8+qa9z8SNJ8BxYeTouC3fB9kM LRn0kFy8b1buu9H9ZWUEWuUxL7txLcFWxGSEuZ5qhv1o/n5LEtv/A29YNAb/KIv1qiCiBWg35W27 5lKfAenvZKpKQbnRtUI3mNrjoiXAAiO/GrBXjCr/mYoZjiAZ03NLth0tUbJiJq9d2zvqIbeYtmFF myPKYOzonxmwVJfKkbnxRZBAcM5MKsHEIFhBZIMxprjekL1CvSj9OETEWAP9+nNLa5Ze/Qxx0e04 fCEfCDeE9VSUTPsr7g0WKe9z/2sRZ0Aw8qyViC/lHLLzwmiv/8/D92QiuOzANL4zdaJF3TluJ65L tGQCiKdgvwfndsa7dPemCzu+XWy5waTA9DmuIRVy272PJ9IUDIRcaRp8n91bgdcu95bkRUV71Gdl 8P2LM0Tvc34+l7ZxOjKChAVW8HF/q0VfjJYgp9/fhktl8xzQPO9JCDRfN+Z/GQf4wnMr7SHR6ADE MXCQnlJ3cvYDLa3fg9d7qZm/t30YnT/gZm+AyTKqWLgPC8DtmZrFGxgJaW9sOHX/qsikPzyxPp1e A8CNYhzDb4FlOnyQgtFVe9mqB4Cb4c20T1rT3uqhe0c2nrEr6mvAEv/zhZu6QfwJWIcv5cMyluNv /MIzjxKvqO7QuSjFAZYOLMfr5yLFetWAD+/LjnYUfJTDkZueTmVElUg9+BtI1Y8MIIL7zEsrcc53 XZ6qzgMDrsbMq6eoK9Ef+h9wLctDJ3ToOMgWgGs0jx7GBxqAAE3nHD+Yn07lq1Z0yOiAi7UZ9u/w aUjsyXnFGS8t1hj/rwLCvPVWYS70sObMtP6dxyuxUPblYqv4iDpwGW7/mCU0GsyxkFFs4q1Luz4+ +ZoKRkWTZ5+qWmttmF5cpymBd7T//0Wicfcsu9OsmvwERDOVEhH2ecsK9Hk+kjTOOVoXIiM+hISI BfubEIXW3VCb/L+oUVVOT5ImXWYeJ9QSBN37ut1tUhfOGi7OFxIp+h8uL9QaI5K+8Mawzcs/tO8n +Dyc8A3KhcpnVHClSaw6x3VU0Q/8Hjz06T6iiHZ1ljB3cLZG0iSFrqcwBfFsh2JUKBP8F1EkEqdi 6HAf+sXrU0zxSJzH6dAypXX2ZB2WWuHhLvzRw3u3iNMua0kjtjpfYKVWsqosaPxdgAuDqNVp9V0k OWsK8a0VBJpTsUa0J07U6MdAJY7DQoOFsP+A7Vbp/h0eCpdgbZyJxjuyAXPDBCunSqerkEkq3uFz dT2wYdHbEYFli+QmY3FoU9pIal4Re+pYtpTGZnpwnRAtV8jyy0KRoxUIqckyr6wuNM6OgqIfwfHz NbX2kLBB2Yc+6sIXgnutClEHulPBltzUkorQ8YXXWe+ORdSrWoYM8WBszWaE16Orrkf8LcHmegQX xjHPzRuck6KTBVbvYorcElXMoGYFVRqIpnzO/yTi4V5KPdCQ5FvSOFO+cZAFroIJ1RR/Gy3cUI+u ExMIInTVCcONINefYsQYLoziFELcq8c3nD52o61WS2GqMq8Z4FYX8b5XNVDraazLFktoOmtYBdeu OlkY+7KhfFlUwVtGNpseg4TMazpi90EJ1yxYIxOSai+eLDNdV/LNmxNV3KHOQDF01BZudzucksov tHeoRGnuGD9h4nTsM49pQOWfTvu0ymJKKbuKdZbCuK4HaW0iOlOrPBpA3PUjbzGCH3Xn/18Ru1SH uU+NO5pFQzsOPwuDvLdaseMG3PVVBPaUPTnlmjCb8+gdwp704TpuxV2h1OJmM4FYNoStyQgP+lpW d0z9cf/SCzUPCFtRfXrdsMxsoA5QfxzILLj9PWZEOJDcSpSSgMFDgpjRhrOxOMfamEbf6MaFKnCr OvVU67Hohc6BGidSl029hI0Keu5SF5ArG4o37JvZ4lH/VClJCiIREHcAl8TeKUzYxQLB5t6hZ76x idc9wjkSyV+YRAR/cPfz+VY1rggjpUn8af6JmVzpcv4dJo1gUwjxnv5vivZ2aZv8mS23tqAc/Tf/ PONy2oJ15Xq9120RxMP/21u+HN3fNwTWp+XZ0898QsLRPHuQNo/9OTf2q4KiANpBHGc7d4e8wW9l qp+BOSlUJxjoIUlAVuZ2MXnm/pNyb2pqSQ065brhVweJQHTRFT++XmglzaZldw8ycCWGROJjxING tOe9CpsbK74h+Y1hkvo2+d6p6Ik58mutH/sb/4yv+rwVzSjx5sLF0u0nYgQSAQEOPWCbM6pzCotZ NfVWd3wv9K063Nc95c0WBPQTQIrSvuaMSAbxS6Ytcyo6GwLoYIxmZdpzbKiH1uVSByYPvld9kLLo fjo6T4AyZzPP0lVvKq+D0OEJf8xeGVAmbEvZT7thlZ9RYvTus2C08YhMpsLABdIPjJ+j97iYAwuq p8boUowgn2DfFlA8hatDosLfQjmkIxWrE8gSWuXRTeibxE7FTfy29xWcxqqUcF1OP3ZDQ+azwVVZ 9IUdFZPBRUcmdbl8cEEj2/Aj8iDhhGsGcTjGRGP/7xSxrrLVSNeL6Lkv7ccPB5V1FBrvcDXBYVug EsXjp2lXUWA4feG7bI8ds+Yan7u2uSrYINjf2BhazuHFnJuYsF/CpVINU4Z69HqjGurz8HTq9lW3 Yy+j+zqzGtO9kq2OOVymJbMtOYHwNd2qVZlh7NG6Nj0j9jq9J1WGRi8gnIZ3pj9j5yQysWdwk9RD xj9ycVoO6xhf7ROAXinh5I6hW7Rc4hP43OtgJDobvr0111TYXEiZliAWGh+0cBpecLhOqZEJeDAk kN9Uwv2pdI0G1jL42hJOB6gQESxAQ4sdRJBIUqg7JeRyUpic+dS9qn8TItxqf+q5lGtXrDV1ii3z suCPiJwaS9t991RU+v2TUP567HROB8lLKDgNx4qL1ssoeFWCCWJXeNR2vj5deEOx7GGLOTWPZL+5 d+iAJF9wa8Tif9i6PEy2TNOajoeM6TGMAXsYCFn/Q6tJ6JqIFLwdRPQDMttLbDXix+02VBHnZpXP ZnFQtBqivyiqOptOGTQHM8Z1lXyfaOBf0AzCooh5u6Aj3iIhyI4A6A3Al42eNPhPVwoPQSxR2GeH hRpmWWpGVAUBYA4g+8bnGDl47X1t9CHht7h7YoKC4eqetwu4A9VGtazqjvTU/odMe5MOXxS48cZN YxLVtfQyNjdpq2G2wydOF4c/0ItCplVucbuF8I3Qwhw11s8FDuFEn+0WVkoLh/hR8xPGvwqXNhDD +7tiPDSc5FABXidZLnrA2oapcm9w1cda9witE53QQcZ76CSBE9+7httrqXqIFUKMdW9wcqcf2O7h 3nEl4A4vsfWzDLMDUplZtMZy1HeQk5Ef9bPolBvqAVubVQ9x3SGY4OvNO1IZrXKl9feUQe9q9z+r DMJJxMRGttfdlkaiYrbA8M5/UAKGy24Tjf+mIRhaKyus33fEsdvQcWinqGCAPY4Z1CBtFC8ouwRT lPkctDga3/LfmaypHZ48owIpiOjynAtG1Oba3UbKdPNh5SfPxj7FyKf8qsLDgtEfW1+n98HOo+qR 4cAP3B6QyXvp2M5Tpkd79OM4EZEmhwMeuPKQ7PV4n2PCmaRfzuFPfDjeuaeWQx6ipFUXxAprnT+n PB31ETQlWHqF8otATvIlnc2XzqqUsRG9aGzv+5MuEWR4WKZV3FG1nZmvBrcihzesNxaDadNtkfwK MvyxMW2ep+tbzkdHtofiJagN7HIgmg+IuVSbk15AWK3LVf5DIwcj3io69xQTZRUW3Q96Ig41SlPF DpAtK95VTYZTpmaP0IWC7zI49ss46ocSFE7sCYy7mmp9uiEgzBdx2lZLeQYXxJISbJ20C/CoAwSs 1BwAdWFJuOdhn0S8Z2EAZrKVOQTFtarRRISTbpGJ0rPyqKqd6WogvbFPtB4S6BRMbayEdQeyKqEm zPsqT6yal7+g3PNu64Bg6esLmOG8OAuDkpv70mHJR43UQ7SMVoJw8qlTW+I2RcrH1dxPPdMGKbQN ahJYc9N19AkowLz/xWelS7tbWR3lNeZkKYV1QwHGI2L2iXqdk7UqKNscxwTOANZm1zKPiGa42r1M Ro0qJfj3SshPh7uFGDDeX+CaGFNsROaCQPanF5bl0C4kw6eIs7bvopy6dZSeF7/DRt6VEx/jOVnX JOrOTKWCCTBs9Ovutd1r9BHtr0MqCbgI4Q3SzIMVydsbLGsgCkxf6WPec7gOVW2UvpkyoedHEgyz DveBrFX5c0cczJclSCN7OabDh8mDUC3H9f4w7T20xcaBzxYZMNqIUrf+4uj3uzbE6Y7r4uQGW4oW cYSRwXOKoPglbIU74YymVsXgBXjjW0VySs22+k9iL1QSRdiluZepq1/ZuHlGL7Nfbk535aHWVTfQ bRC3FZhW91mTN01z8HdUF1XumzKgY4ZP7C3YIDwvShpV1zWtJ4hHNf/SPw7VzD7frN1tbW/dfK7x QPDjwAh0f0NytBuMQCe1ikkylsyuEom1GAN8BxJeGecRVGV+WKwwGVNKBqBUx++2rdlKUlB9eHEy 2s5WUdRvJ07Y9wVJpiPI2ryIS43B7hniHB02Oq5uz8CLELdnt2mGUPvG+7rk5kKqIadq+Mh0UASB +3d3digcB659H1Z8pPAscrX5otk8/KElDFeaCplsCW5nIhU87YFesbHNndEvsUWDwgucShvUvcjI n6IeWpUzVmtC78+S4WkM90bta3dvpBRloBMKOJ7Mv4ypj80yGJsasgndmCJJjgR60FouEH5fWp/A mkxR7MTx65Q7P7dVWySirY2UqVxdQwyilQmlqEmRvpaTxZ+x6MELo0/sVqVT0lLP1OZc82kZA4+d g9jnCOsz6LCNXMmGGNNWizF6nS1iXFbwvuKKWisUFszaCtvMEPEWyDViaXBqWjGKMofHMkLvJu83 3gRdyhiB7rlMzyjwyaL0A7+f2FJDf1lZs3Zqv2N4hWwFhICEqiz6SKCbce7mJOZiwJvek+Z2P/A1 iCTklxihfRf0DOTMA5/wHFN9Rg5OY3MXWUkYJPfkR/Xyb+IlPRt6N4+rhE4yFnNnAvHw4aCOefvI CELpstm6omYhigvUc34qqVxByBW1dm3m/OdsH5jtFVhV98rWPbutASvbyqQ4APgTHqoaM/vwvp06 3fBoIoAEzOd0snCz9b2OdulRsuqanG92Ix5T0MNo30jdoP8vVauujtSiyHa2M1aFY5Oc0Wq007zR OruEBvs4XUgzOWtZqRlR6iatvd3141VXEIiUoi52H3yxoTXB96U6vUgckINcjt8AIKl5QYncQ90J IAW62MrZF4iGg4X2ys8uPDwfaYEOoCPLDbR+YfMXbh4rsOlcohyut1AmEQHolDB61OMoDyOQr3f/ X0CxuGiJTrRxlSQBFPKblMrJpGDzdoQsh75o9CBi4FeRtlh6BK+o2VnAxXntdDfmQuEU1o0DweT6 uN/tBtpYAbFeJyy8hhMHBQwj2IeGE1gVMm69J0B6u3AW6EOXVSNZXAj/xo+YETmgG35wtCoVTuks kD3dJxnuzqMQiStFpnCqwrwmMG7uDh9PRKtta3BrgIScVoa8I00U7CyO4VBhTBWqJdzwPbHgNcSD ZJo6pZwitPCAr8kG698xO2O3wWoqEafz0XRziBvEYr+g6kL7hQ4GaQbpSrMwLj/6li8wFx/d3RXK 6m0QET6CVrIKtzjQ79gW+9e2z6UnpxoAwCwt0sheQNbmOrWWcgtNEscfGkqiaiFtqfKp7F3g025L nhW0ZLjfVRE23ywit383ainilp8MAcfDj5nLBPldv3BCgxoovqwF+IefqjeVBW3oHWm4Jn5EQHw2 pOZE7wkaHJ5UgWHkx+IJocv4SO59x2cbAy4zWI1Jx0jsBGyrl++Rx0NCM2tyllCuysaybW58FiwN KE7ot1V9JBv2W32oS5vryGFZ9NgLjKjs53cBaJJBGN22IFZdI7Si0Tj1TDSdLFWyj7+v+PB23FXi yke+SxovsSMXKUK1Sa8hg2KMdst9Lu2qiH7eTUaKor+tmXqLEwlCG7cJ+ZxxIMBjcb3yMXj1deSB lqbx94h/c4lhAy9nZqMvs1NfFs5Iab9AM9MECaTiA1bsEShQV+9ytLrQW9TkpLgXlRlq7IYf2J/7 ikH9Zk1Is1YbTFhF7rrjQkAwoenYUwCGh4dnoWdB4Yya49jPZ84DFn2/6oM8kjE5zMtEzpI2UxMG 43l//vDC4+d6MvRvEv8BwuxWwPzFo/VFSbkJXhIIuPADU0CaH+pnrFC4UwxeprvHgzORz1JQcl68 a9uY758udGitBoR/i2YPZOvrpzS/lGDLqi3fvONxUe4Tj0u7nuXagFdV5YEI/kqJCm0rdc73Cwf8 0iCFBFitQ1D6YQcH5uJDqa6Cn7wbc8u1Gh5tPlm86VJkVAFeaXVAY4WOo2zbgE4s34WRqR2m9o1q VZZdjSF1oJJWRl/22MV5OUVMVD7+rJKWiaFFYn2MDAjUiNR/0e0HyVaSQaRk/A4XRmu40m35Npmv Q6z/Nk9K88SP69y6vamcwQvPyy8bhg9+PlavBnNXdE+DNl3e67/Ye438cSI//H2gPMO02fnDwtsw T59KUvmBW0+bnWnWPUqo0oI9iRDCrEBkX/+/E6AXtICopn02inwXuAxmOctdOnY+/lhoDfhvKPMg Fdy7He1HZq5nn++mxnqh0WRn8fV0EsCXJ7v7MLctcYu8LWmA7MSx1x8CXhj0ecVpzDfPOpcOXG4N UC4hSfwsQjaaZYO0GDsTSLwR5Md0iHBlQSbb24dyM/MJzYKs6fEFVsw00cjNaFXji4ZkXUlypKLp 1gs+0VA/FrNedaUhXdeKkb8DMB6UXqxAo/ek1H69Gch5eU8Y8Tf/WHRXHUT99mzt2ZmWW2REhXhD bzODJwEmxQx8O3I2vnCUvmaIY2Yi3Av4dI8WJFNJgGnt7pGqTkLx4Qfz4F9n2Jxt0Hug6p6TSOrx 0KcxLD3VDjM7wKCeuyaoRuyFTlwZfDwjZ/sFrhJFtdqdjSfnolzHkh3HDce9v5BAKv0+60aTn+Yy 8NGjcp6x8PZ9oIk9bsPN+0vnijWkrNY1O0L26fu+0OdML0BAeLvhMA+RlWmAtNdt5uV/A1jHmb75 BeoiS6XUIZbeU1cTFpb65Ccn+0MYz3P2EllJLXAsKtzzOZxXeLaJO8XzkyCmWrpAQvu4YppsiG3U F5KndHnVVVUvm7y6TKhdIqyaaUxHSyZUY6291rgEhUjvPaQ9tdXmOdDx1DDnYupCSUkg34ARKbmD nhJx36cZm9u2WXB5BQGBuW61bOsLdrI8jjT5pe5SQ5aM+KBDv/v7znqcodzzCueV/DqSTjOUh6N6 Dj2KRyjiZvauwK7allO570An7EBOT/JGalRHsHRxOHMaIlSeV53kxyBiCptyH4y/Cb4abfPfA+gC UPqTm5kAUc7SKl/Py7nb4GMxFI49J8VvSC+3gzmmXS12eDCSWNx5cmpFoZTFKGPCxUIzAhL70OqB Hfn1a6KmMbbOJRhtz46RUODty3qhfc8sXC4QUWrXwhYK9ioOnVocGqJZDbtlCMcf9h+xN4bHKZNN 6MHJZLmXmxrOdlfRNo4ulGTzFeBv2mbDvjZ1yWzV7AWuJvHtta4NPbs2/YuOEDMd5CgYmoYfl70M 3ZDwGIUbYLerl1nxb/HiVU/xCpnshdA7+j7tajTMNPvwCpNsSNicsWdZM0sca761R6QS78mVo5HI pqckW5rwLxFH7kiLdFmfgkzAYrPIheE42hxGJKqJ9OkjGl4p6DXxeLmbJn/j1TKcFWZaM15Lebyv aFdDvN7ZMw9P4SH+E2ZsEhv2dJe0JOlTH6QGfhZ2S6FcwG7BWPv1pX3bZKWzDAE6Fm2GAqq/5Pez W6NSSl+0RQWgeMB7wNEzTf26ELw8GXxaJJ+vOQLRHuVgUk77QRt93XG39CnPyaxANBZi7mpJ+Nlr 955BvPoCtcgkgnEPxCjHHyHy3Ak5l1znSlhgzK/fcElZGBcMslLuAM1kClZefPufz3maFLL0/Kg/ Id+wlRd4dX3Gn+MbInNdIKR/NBhpSnxc/OLAkwzcnptvJKbLQOiQRHNdR5IM41tEDRYtcBWB5yVG 458GbDVGpVEr477uLivHsKAnEqwavOypR5etpBEsmhj75rGaw8dwiDKGidpZ9oyJOv9YCuqkb3o2 fIDGvyuIzjxaooFCbVmId8cfvDRFE+ontemTZLqUckhEjcXNRNPDJ/7zvHZydoN0AL8izCPBQHQt MC95egd/UnkqFr67I76KvvOLlT7mpdEQxkMr9Q7Y/wRZEK2uZx0eZNRDNYP8Mego+CTkeS6fsmvD 302HsNDqhpxVNHYwk2r0U6l5KhjnblNvNJrlR9+PeBjCVkElvCF+A6/0gGgudPdSh5Iyk220a+xk 5KfcC9K1ag4iKjo12EfQFei7qE1ouARZYpNKU+4SpuDyJ2KZWrF16+3HG5HPQ0as2xj9kkIgTXOA yOGbuGsxCkLn11WaCFuPfVmP44xlH2kHPWgi/J92PSwwya23HfvgnDTDgOFMN/NdhlUECiC+zuSE 9uaP/APUEBBqYxKWK000aLMlTG7mCl2oWdkDZwJHrxq6400GYzjZnuOZOhMft32R7BAA3s+HtFU4 8IPaAJTvWeWPzcZU9XZiQRCBLEntabW1t40B2x70VrrVnsZxCRuhKqPXjyWYRHm2pOcwhIqrzzC6 NEpQNU76aFjSqGAz6qlzTxU+ZlRy+zcYHnYgix9M1uqrEwrEM31J0Fsw5d71+m7g3PnAG6tmUapC AObMTpvHH4gaK9JmAibmdn42qRkeDJFw3YiYMP66ew6zpSuRAVdZNcw7u3dMTY93UXfBI/K1b+JH g6my2qokWZ4TIzHsXYYmUm+t6LfyXJVQL42JLm0XbrhjweTCLm3fmzOYktPFiQenKgzn84LCkKxQ OWBX/EZOfnIDIhDHPzxEdg2jfR0W+kU+0yY9BHxxcMZgRbV83leEtHXAwrE1Q/pDdz64RsJB0IRC 1/5b511yCVKH3u9TLZ8QPKyIi4N6eUuLwJ4/RCVG8BJEYH4K22Uq0UJ/C1TW0Nou1g1wzkDe5ZSG 127lMF2L+MxWzaMc+k/OC92sdoY5vjq/fPmpExC5NvZvr04ZcsgPzCCxPc+HqwbNNHzY2BkpmM/D RfGDU8T7Hl+LS3P1EdEpSxXtatKvJr2B3Sd5Tf0BujDTlcmvYR8CS7WZ+oWPJn3080u66nNHxKla vSuvhIuxFiz26VNazSc1GsaOmzL+rfgW4XvV6wUqmpjMwwqMzpjJ7VDrLp8LqX9tZeziELKRAdbs XsDUirDehAbtnSKoKuXVjxKEsg2LhzJxt2syk9Bw/JcbkKeLyXyTFOhXwyx4D7+nckixzH1SJjrh 6E1OWOzjWmyFsmufVYu9MqOBIZmous0S24IgV0OK+HKppBx71n1nZjsdZ/dSOt/WDd/0KKZvmIPC NPppeWv0dOVxBVZ/+CMhwhAQKG+9dmk1cYHuztIQL/00iKUgd+nS2J9yLlqhJIjpYpkEWouJAtzk T9t1PW0goEbyaTEn9J0gBro3CwBQxhX+wRTjZFdthWr0BD3tX98Pgqx6A/wRchaG8qJpcVcEnq67 fLJMd+H6epMumVww1ejqHaQsdBgdXAabc3548K6rzmDEKFHji4+F6/AqfEdNt4TY8nwU9wl3+otg +qa5wmg8Y274exgcSM+wJ6T4N6X2f+kpVFMGVFu8qHBA/0lVH+jSils7M6itOtpBDyOsoiiIlFKl /ddHUDhPyB7rO0G8KzoRMCMthoTiLdjbV9flIQvU42pUu+rSsWEpHVnSN20AmhNv2J7PUguvLRSi fsbY51u9zTnUD+F9rmyyEq5GYA8kZ6YoAtSrB0L+QkTRnEmb2ZAH3acRpYXWbbG8Ec/zqXBSotSQ QBbCHZLXqK3O3ySS/NEkpc4fEVisXViEiH8vLJMIKfqj0XIDFnAShPDawASuUFWpKoamqsTurnQX PLrBWqrtwIyL3ZLar0O6ZoAlC42lxuFZeb5w6I12F9U9rpOUek2WbNgnLKXUzJGpauit+FLmfjhH OKvdhNErcDVf+TUhTkqyxz5kKkd4quOLveBiamLQD2NkQ2GyDodnSiVjh+Y0tMHTfvmKq7vbeQwy zFVZhdOwg3pws72hj7DDFjFfs86ugxEQvgBHDzO+HgP33lnOdIXhC6EXk3h32XFMkTVIJZ5M4+ce l/o8kav9+b65GhEE35Z1cMUMFdIpvV5qGqFbhU75V3yXVoIDDEhYHYQihOcekBnXXEmvBJvIpkWR HA8fMRozasFujmz4nOEvn8u2BvcJkwjMNuIuAIi17LGCS29qZ8vfWOUdW6k+3vLdrWfXz8ryYe2+ BhCJu2TG4M7cFfEqKY0rBIymyo16/RE6lk6b+YdaEv+jSmSSd4Si+8JDHBwreKKVw+8fMUr+/nrd zUO0caXjFHV395fFcGt7shF9NuJabBG2Ur5TBtStKm5oJdd0qr3YU0ostHmbWywR9ELt7knq8wGD dRkSgFcRyI0MO9NySxArKAtyDrP/7asu6vwfM5Do5Py8gql3ev6EtxdAUyIFeq9qJdzNFoBryXp8 qH/OJkH0hM251YXqgHAoJU5akfvacUI3zznZjTAhBcfaeSXQ1sxkKfgg00uEEM/WzFfCrUXsxNOw hyLGfRQf25ZZBkex01bwCd4o8f6SiaVvj3gcbN4uFTt87jVZWiAGshxy251X1Lxs8hB8HjgLL8tC kKMfrBAkd5thcEmBQ3Az0EJ9aeWcKWqoG7ZRrOmqi9S0n6RMHUfIIFlw8ZWMVePQ0/+zMA8hClay Tfq4B6x58GmNuiIDjuej3zB0hqWzXYRsH85+jqkFjvoj+gpjeh3VDYLMp18ajSKpvH0YRX4x/uIf ugJ0SfcuQjs93gJGBKTKdWJ1lzdVhS7kfFz7GzqibDpYRnO07jV6KSMGWHA2vvAiVVEByGVmhKCA hAIvflDOiZ+rDlptrO16vjRpusGFZvIXeh/4chL38ZGLAjEg4xxaAZHFt1wI1v0EZbg6DRS7oMvk dqbeKOgiAQ178lH/SfBG1T4qcGpSQFqNIKODgKQ0qERkGqyOpaTZa1CAGTKgWTIycO+CHUKMN3Ol ylVQuC077StADSdaDKWNlz1zbLO/1LLcE4ieESZF461RWbVpzgMdd8T4S2T9k3qq0hrWTO+iMTFZ 3eGE75+v9BHGkc4ySW2CWR/pwDyAbTQP/7JICV1j3LIBmGGpbMslVUhRlZy7+qwGcREC34eFOAQ/ ptG1FoGRFvc6EmqrnJq8mNkKrLthI0D32N/xG7vlRt4ryGGbH5us0nqLVDpg+gQjViGavXhHJWrH B4YglqIJhFh6ZJh6Us5tkG3YTt1Act4gMstPDgs/NPjjFYv2wtxxQ/RX2Tcv/BiKYoVlzObE9Omf W9ynZomoWe5oIPKbqnMKIiOf8prmJ26r7IPQPse88DfC9fgZMxYi+kzqpPV5ciMVBmocjOvvp6hn a4ruEffqdaM25tjy3xT75RcM5+SJqFscwl01NrhPAZCaYs6ASedngjxegZaxQwrCf3ofcMbriruX j+qPFASCLYX6xxgsQsv+xmfuNwtQW+R3L0FaOpynw5HPginrBwiAV9vKs50CCYT+knllm22lPmLV qQtW1P66NMCt7nQ4O9+/Ps4GcIQ3cKWmDWFJKYTHTiVksL/vCybPiY4NaAgUX/ZrdQPof020m27p UTLzh+U9wVqnJ+1SZtQwAJw5HNTJ+9MYNhOnv38rmxLLv62JEHpYGd3nl2awcOpGfpoQzXAW89NG pgznYxHQ+QNDT7psOW1/5pDOXdI6anL77+ffWVMet/RnmJekrJvakmEA12otf7xIeQ4bG1/+VqpB 5f1wlAGHF5ALExqKzy+R5UI/g9+ISNyaBINrCtxszvMp663Rv6zsTxHeHDELmjlLUmlz0v8CTi8K bTIlZwk916V/D5/sdRhcs/pR9Jp/F4SUi6LIFwnpVrcu5P/DetarcqS1WEvYyZf03KSf3qRJos0d 0Ykr0lsaFNCxxQqb5ELzhdjhgWcRQbs6IJoXlRN6hrUKRVcuQrQ5Amm4aYXYyxViQollx/dT9juW uQuJVZQE065v1Yje9/dtiqV2XgGzqCqk7+FK3AOJs6zhbIiD32bkHN5+3RzUV+JM7/vg6WOqSAkS ObHHxKvAhDfvRsqAw+mH13zIJns09Yftn+QBQE18f2KyAv1Rj/2Z6pgCtx8oza+M4wDIcWvJwFEN uZu/Y+XdZAXqUbK2jucWavz1PRLGT3gErALznn17XHY+dky2H//xHO3EsboXfrYmZIxYcUgB/JL1 nnXpN+bo4eIFdkdOTTV3yavLajLDM/6HTuy9CtdF6vV1qTev3Bq5CvGYHmLWJuOuq716PxQ/xvc3 YP0SUyFzOfY4cok+o1d2nYxxlamYzPyf7WnvSrPB0Dp4AIMt0QVviy1ddvd68e8/AIjAkMtb/TbL xNEbOVmFyBMDcSt1NaQ2MLC+2qQ4aWgNlgBJ32DOb1+6pgKqOCseohoNP1I5QJLFdCv7B2DwScw+ dNx9pJ+vLVCVe4S68546KnFFxF6GmTTD2vMSINs5rHfEHzC4CTQiTvrwxnzKJ0YdJ9aJdi5EpgiJ XDiEZgfsJklgos3f25OwshBqLa5R6TXYdCZmE8OdW2oQs9Le1pERVu8O+K2E8OkJN4FjsE401g7a j6sXge/nqoBCNQ1xOeBAN8HNemTe+Ru1MzlaV4SUvaLeesogFVIDi+L4wEuN3d8g3/oDq8DnR0X+ BNd/eQoFt9dqWH8LX9BFfsPnCZgct0o8FoIQyMwU1F8YkaZ8UH2qph6lYzOaM6xh8G9Jkqs9pFd0 6t52lMUlbPEixTNI1i2sUxm0BW7Stgm0gWTG+OUJH96EfYitsVdFzC4o9jLPyNQo1gJC7Z9bpCHC Fzr/DcKQhCwO1TTeDSsI7l1eaKfTHgsOtRYYao+ODOJojb7fGQCgBxSq9ay0yohRFN504Mt5CnES iU7eRAxYDTGekmRg5XMpnYYnK7kH1yQQ6WrudoN40NOy7sTeJhe17Qftkmmw0JG9N+7D3fpraUMA R/aWylUS02eNVrgVoBOvJCqzOLI8jiryA57w2OOFHU9ynf4ScmxXJ+k38C464fHTDg2tR/MdtGMG GLQVXtElVf7aTqkDFyma2oIWpkTv1XHaRj46o3SoIu3I4NT/Xua1nfSSI4KI9DGvU6UFAWBsZeUO pqqzgdklZk2uuOPkUUOdoXLzA9wa2ns1AFEIE6I6MDArE64JVgGB+cougCyjAbrreJar10N+VC7L eCuvDLFLis+w003AuuoC5RByPw0H7MX7d7bvWRfSemKC3IQjP47xCQc49RZbyW6Zg+LW+2dhKdxg Wu32Qov53v435/zqeQlOpkYIxiV6HP0Ek3/oKi2Ose9gIGqhhv3lU3Yfsfgs1F8gkZobzHjgktEx sFgIUVBZq+9IhT8EB+BLZGLr6CnPXjBx4JaZ0OvnzQ7/nTKk2mCdtU2N4oaDPZat23/BvjLkLHTs BldDa0WYQOmIBawNkQQXEBcF1yPQtlzyz3mt8lzTBd4Lm/nnTJ6ZI1CNgDk0yiUbOshY4cZBGDRu 8nv6WaJ843k/+/Rv8fxUq702baImreYj/x+K3HfckT5yhLM/sCkbeOYP+wtPeL4Nuul9usBn3lwq +P7+JSbJbqB1dKhRhWPlPSdHbF3h9boK29I/pg0x7Mfc/kWVnJgRqwPIvvHCuCW5/twEkAVxSUkr F7bS1rFPNlF4Gu7EPC6wMmFvk+hqR1AHwyPnw+PGasvYVUCXmeGja94UBPngSnQ+fOuk3iMe4HHG 5I+A8ulVxM0hNGDV5+JVj+EVQIgP98cwRXaAqOSTDkPmBmGjIkhW5AQbGJOOyMXK8G0eVAYDmGM1 4L+z+Yu64K2Dxhh9SeJANaTh53sEhHZ765DG//QIzz3k9DMbRVw2Z7sehM9or72brISUOnCh6O3t DjZSLqRTs/yOgrhbcxNULuy41bBcD+8NJjasocCDJD0NCn3T/kynPWsJGeNq8u7KHVTmQJCoArUv lrqaFQ7tMWVJozRw12F33Jt4xeTPgs2qf11tYjlX1M0IQodLs+P0yrwfcKhyqBKPAcYPyVjxm++/ XApaMkRkUh5oil7jI8PxmmtIa/nBUDuvJlgMt70oY4BAXJODszVWnLuvwZOHhKGwD21bld6qJkpt cjQh1MIWlWNWT8QiCXngyCzv7sX/gswawt3Ti0fZkCb7eLv/RrV+m+1Dgdd2hQzmYlSAyl9AK7xg 43XiwSHzWTESR6BZ3CHzNALuaHeHOqBGZvsTLin+zzec4j58TLkGpAQNwsP1tWa7egS3xMgRRjxA hi+gjVhwt03+v5NjKX0JCVY1YL/qzz2W/WA2b31zQ3CzRRNg6Wfcw5DJtOMpOxTw3MnNT2Biehdw DI+yy0H1PRnnePN1WDyVSElX+Ub9uXJ52Pz9hpQ5s2eMSF5z6tI+Kb90kslNra/jm5WYHQYIuP1B 71nN8qJ8L1Ysj03xGRp8L8BYZ339a6Pbfh1EENj8B/Bkhgb0KvCHMDPhU+zbSBp8R7Gs8100MxxE z/datRs75SkewtqG7wvkaTYckeW0qwRZ1Fawuoy/6/5RyQEn+QI+DOs+ylwGIy6b57d+E0tK0KB4 uX7+ksL+edyaT4gZ5Wab93fng20AMB+LZGci1fiiR7qomw8X0wKPuS/mRAO3knOvyP555T0JZpwQ t4y9mdXweW8hjwa890FM9iQkcleLdZpE36eW7aVB765nEW4fKx7sWKkgaKtosj9BknOX60tRJcEm xUzf3wIDYjO69c9MPXbvYBrNvTN/Jqq4BcVuVtYRLIMRSwzcWzEGbunVRjFhrtf9Sdf/dZChVVbw z6dWg1EUWdPpsJ4NswbBuEncNztTE9YAuW1lngA2mu1aatL8BNm+mghZQIiHqVyoFePupHTK1NRI SBJ5eJuFp9/4gFLYWd1TP56xc/kaIjbE0YE8dIkkaQP0kn3H6GRNmtUlp6bW7XuNcwzi5RaLpaOR IBEl0q6c73IOXj9LtkFKQM3UU1U6DKwE6QGjCwmMzxCAAGKgFXI/sBCdweCH1n0XehFABUF/JKr+ z3FjXIpls31nU4twKXSjPucf9m4Q/kh1uDjaTpH2yHzFPfsHC5LwPRSK35OsIEFD3rj83Pc143d+ Jr7mUKU4j2vbTF3ff4DBI8fb46WB3ekGHLieQK9D8zf7rLk9zA5of9syUVXjY6BVfEuCEiEwn62P xABZnhWccIluIs6bsj9RZNdqcdsM41MwXebd/hwJoxc2sUyPB6h98ba5yqHaruuzVZ20hKpXOgQn IH58rP8EiygPtO9Sbp5IEVXagJn4OUWg4XqkcOHCFnsrlmxY4i+hDmEuIRJMtPll0flnm5SCIxhE d/AEcFD4tM1qub346PEeokiAwgwRlIaelkA+PW3VdzGD+0Uy42EWeDxE9IAhZoIWKgTKy3A5W8AP UlFjLHwfBWIkbN6/bcfnTAkM69P+QD0IBNQQI8iaLAFhAnKunX5bfFmb4bxBM1fWXoHuyFXqlQn8 wLJw2FTcofQJP+uGnjNG7RKk6zhN2Vq8iJmm9v9qmjO3evH+W0z80XsodoT2VQV/YhdLYZ9yqGxt uJbc2fICXv2YPxNcldAUvtIKa7SDtbj+n2CN/vGxr2VXu8NShyycksr0ZTByfZFAr6584E4BIiyh P/UlIWYcwjcTnuw/Mck3HhsrFDiwETTQq9Ds3iUHL8Ta//tEiJnaQppcesizlrqQZJ93E2mkpT6S IringySgsdrBnOjAe5d8daLFUWlCD9sIAdccFR9iiZCsmCIKoYtAlRG7wQv8LiMdUlS8a0gohzGz x959EdRu9oqrZ/hEkAVlqtIPq0p4DRhEz4gcVsW3sKz2BiwwkChuQq2sRdmPlPc6CvwjRy5F25Li KlymkGpwsoRCvTToWgX1kaYxLdyzb8emhRH0DgS3znSjL9i8DJ2qsHF8a2EC08ft/r1c+CvgP31w Ad1vPOlRKIdVzJ38P+se/RWr2x7RtZQCzmlQ6NvOgOZZDpNJJHMR+o7IS8Yc8UoxxLQgdqPDhbzG 8XA5qcASJFXlGchvmnH5VEsUPzMCuGsPhb+N06ypCLUbncxWnqgXHbI47RHVlvCEuiCWJIpW0C6Y rWWMMt8dxRSm3wPAIWEce4C+5pCW/5/7eAZrakqfcSo8Yn+KjM6GL0K1EyJfFUP49GslnZTcEx/4 Vm0/1dxzqyfMgxTiIjdSjb5GQvFNyMZtgtrjRtqOSTPJTkuZdGNC5iTfGgzEe2K1W4w5/Rs/WHNu FdbDrlrJJqptG99mm06rDiSsU0Y0Ss3sVf+sSMuEIdDgH3RxiHpAd+nQwV5/Y05X/18dS57DqNx4 OORnuw9bI+PnJ5cULVL60GlqAfMsZNA7CRdbOSJJ5KyVjT253fiiDKackF2Gupu4LyUcG2vNavt5 dtQVbyrhvSSHbOH14bFy9BS9ODIGEqXH7qtjZiH3f8iehCyZqBopZxsNUvdSed+SKpMtCfC22j3K 7AkuRtzWtIQZ6NgZYuSkea2HuTNQczjgsdPKKJCpcootBplmfojiEnfM7ri7cmoS1fZS3qMix0XI yf81AJxySq2q2NycBGYFzOOCX9c69edHlwnmXbDs1HQvOlru9fc7U+KTcNi3cvlBVHYm8oCXxEvb /Lqoc/lp9E+1zj5OY2jwH/TKpMSn7ok3RGO4lhsoXWCCjmzGLzZOZ2/b9bfJZQMTPksn27D9Nv8d whGPZ6I68cViotg8GP+rwXNwv30zyBOuvK9OOrT3NijR1AObMuiAjAplDDZa6xvYXRctrYilGHjG duPN3pJFbyNaAF2J+55AaP4pOn2E+tl2aUldFA38Ok/acoxccxn3eZRD0TzIJvhqlF1CLg93pXYn kFTuuis7AdW6utkgtpYwSvd2WVtFJNMJHzHm2q8ZYNruYQO+6KuBD8umecP7fmS/FdtHjzt/ckzN rmZ0ZyJB4MDb1O2oQA5HF/fSQQZ0+7crXJUtmQbrsNknY5MYsYYlx3RpXX+xJe2BnsaUd+1ZJk9m q/PBBc8am6BOeKGvjIEYSaUGo1z1TrTaH5tqcEZDJkoyL1KXTah2yOpPC1hAg4XFIi3rIRKPb6ZV rEiGy4gwCjV6oOArB5jl1dDCsHldLACfeUTh2tMNdFEx/MWeyecAKpD7QLHoUME9N+Lg6tlCBCXa EjKUnMz2p/81Y4mkk7JjQrsQrCmp3ZV0IeadiAJJnm/TSJx7Yrlqr+K6X1xqQy/AHTX7o9G0AM2E Vy72gr2I7yLwNp2rmF3joPMTC+9udL9KD1GF112RZQ6PwGRmMSEtl8DPtRW/VvyPLgErhBUqgSPV iulBXBzuxlRoSYCWzEwdb0KTcMTPj5onbkth+EBfzXj3CyqLEFV2eyzb002v4kRjzgC3dqEh3YCf /j7Xcyr7zC5tmQrVOC49SevXtkSwOkPUy0bz6uj4EIW4sw4p87n+YXOg4F0gfnpCU6eEUgczvfjc 6FciRhZPGAt84ic2FQHov01JE8DPp0zMZd3ZklLF4ViVM+8tIQ5Z6IQnawLn+hr+bGILFLqJdUqL fJjtmuBwkLLRhNarqDAlwJ/FFNjcCXZp9tBfW72tQjcMJQWjTTH23o84QcfTqhPLGyKIetO9u3J5 7jG81tT5S/UV9gxRXHc5SznhV5HEbtsaEb+E+qKxCkBBSr6dFunOQnjLUeAnzZQbzgCmxHS96Tro FCdf3eFiff9k0nWK9N2bWmrqtJQcGBdOrEVJrBeEFNUuI/zie/YPb148diZfLDKIoZV2da8iagrB xQ4R4KxhTRC7AgFWtpQhOYe17bSDi6SCQ+YDenuHsghpcKs7Bxbo7OLlQdKOAyUnYtzCrw+7L9mV HqNIlK6uznA/d83rjs8D77EtsBdVk9E9MdeEqIEi2/my5xItPnuGLUuv9jhdkQgBjFyG1lLT4GwS 5zX2IhdXAbQ/HffLWZ093ihJCfQhtiyV/D5Lj8DBLxf6ljGiPLY5CY/nu58FvMUadLQowHhCAo61 jVgx05B5Lx6CXqJkvOCRLAwvqbDsOOLklmd2bKDNmBYXqlb7xljRXu2LOuO0oY3tWtzqM0RQuPFd nPpcCas5zL/ctL/94HEWA6wWj65WgNHI4zS7UQEKqeJtIO4DZE9wspHWUvAMCFMEuuALuRBhcRgo h1jM1EnvdLlvTpXD4RKbc948mwYZ4o0v8hRUpPpZ5w40c03yB3XSDWxhv0Fc6i12/cQpmn0yiqNx XTSQy0ZjymbD+/IzCyNh8rAG1HhKbVyaXesFNmd1wm7Ep1VbW1SZoAosmgY/6hDpe38gBd1j52Nb EO9Ah0fLUHH9Nw0gcKBFq92/JRUBpLIN+EoXtFrhCujxTZNcnVUjlAbXszYg38FwoIZY2Dl1V2yy fmznIDhBW1uKRkDmEQoZV973iAdC00qAJd8ogWbVh1YSGS18eCD6k/lk9KrxW7NvZNYp2aIlVpsR G0lfCXrzwfkju/W9HPOk7laew0tE3WLqYFojJ8Ju22kFm5xs6bCsTuO9D4gcGCUQLkS5k7uzul+f xUMqe5pI32gklDF/iqxbMwwlpzvUjW1HsQQ81lIc5UUibldDOjLIsfTK1kBFoJ8R/IFAoBkUVmOk Pe92valDqhPiBNfooT4zkClpxjAQ9xans5VmXkYbC3Gxwx0QJhAJR0iuRyqTc91Orh9DaJYcBghk jaKCdLhvolub8BH/YnH9H6kLbLtJKYWxgh/Yecm7tcpAvFUMmk+9dd2JNsXS99+6ORgyfO2uiLtw xzSDoUEePPD0kuQ0V03bboyppItW096tXYPWMMYdGYKRZKZ0FKXcXoB7x50h+v21eK+AOKlvt8cy KrVPnGFsRsQpHwZadRl9naASfEMyMJh29SEtjJF6TuV/EgNMPIurGAX5XsCaQGNINKo6Q9TMsR/j VAOqa7a7UjMnwLfyT944FeByXcsETyQ/o9ZZbl03r+d2KHYkWT0I1FkDQ/VQog7NU8psZvd95AeP 23dVjNyfrXztIIUZkb+3wYQivNrVzZCz/nTNt0NkH1bzfFOWCftcPtimEGqmCyq7hePq6RYkB/5P JfShu0pc6VQaCcxD7YoB4Ub1nCi9o/uTEWCDjCwsr/6P6fE5siZwhU/xyKcWs/W05v76f7HxXGnW j+TVaFr8TkKpepknJILUtg+4pv9BpXb8tt56BHRimSQutsWQWih/6nwuQl/eKtwqaVOrtZDwyS6o r4TFYZw8TmthvjbDukV8LlcofUQdGjtudUAo2K3IbKSgu9tzq5X2m8Kp4VaniJLsk2hWKtWl5WZG Hs0glfZWH1LIqBmTWr2mlovEu5ATg5YgTDf3K+3A96aeDdAdCVLNTrGC9puAzL2aWhirLVqJxVCN WxOY5TpdDQhCi26WJ/FSd5zdrJjq/gNsd152sa04HiE5JuuvpSyYYc3h45GasTHAKF4IRd6zwcwB q/zlGPcK6n0SVCHxNvxl6l4TC1lbXJJ7yJ2+FeOiIQWpYGdnFl7UNJ5N5aH2/z/8+SL0kSDP3mjz N5nPSLDxWGEX8xilGjD5MttK82pdmL3MK59rjFLJxk3PCAh4wzDYXCvLbQt5fWy6w03iZabXPznS NpLiBU87+/Qc/U0d1/mgmjqDeTycD6Wpssg13dvQo43UKUrhmWysNKY6Vh9OiwFndSC5FGaGLtKU IGnQTQmMGMXxIhuU3xnj0xRHqRRvJwlzQGhwHUsa2MeUv84KH27zRrEenaTTNbtHQsRpXZAZZk6h MIjPqO9UopdoXiZxl0Zkm1xICaB2elbssa26t/Wk/KnnlfyR7UWXOFMeQNVD+tWkELBaF0wAHcOm UcwqCz6pNn1Vruanop7gsXpGpZ2M1SKAnH86rNq3KNyeEvskyehNoepQzgAHfYCcAB/I4ZlS94KO MQPtJSBY1uD91vOw0UZOMxYDoIEKYaZEklX5LN7xAkSuJL9Q18Wl/4Dddm4ssnPzTE6V+EW6mvoi pMAwapz1xdB/WkcxH7PxpEccXCaedq+GoCB0oax9Hx5fn4ZoSLwdDI831v3maCjDwd9fSknJqb7n w0+XxbherYlzwEdKKMo86hsPmiKj16uXFsJReZjwtgumQr8mEQHTsnGyDXnZ58OAFK5kogp4o+Kh iQzF9cUohDJQe3/PrzdZdMLz7dOvzSH4MJHcL3jjncmhnt3BVRLnMc7h4AISD8V39qSOw5NdkMB7 FTjEes9hJ8kRKcFnrr72VhwFxNFQVtUAaKGgKkYZ/78BQQMh649zpRttS78c3wFpfFSzo9V9D2ov SCNWotEDSRaA+Qym6mpLJCTBRuH09mKBqNsJr1c1Wv6aLNyItSMxgZzA9IBIzpAsOJrFbFNIeyNV ECsrFlaYpq2tsR7uN9kFH/VnycSIs/EtLph7eoBfelvI566hkRycUqJ4JhOdnn85bMxocmdV07UQ cgxGvZEG852XWdbTa3Dq0vktaaNNfynfFlUUy5w6UvBf+TQpXxb1J62c+50hcPhDSZvhXPlg9hqr s6ooD0Fcgt3OxMKVhUhuCrzI+CfW2+YXrNdlj8vplb5GqJMOcVdj1JRqe9dTFCz5/svYa2dpWied bOYSggZrj7MRecElk8f+iOh7Se9+OkiHWmlXPqckq40m6TzVI0tNArCztp9fmwAs3Ud13wcsqUwM 9Gz5d9rKfJO7lcxidnKCWcw2JimeV09KExyl+DpObBSuQaaWv9GjFGPjKSEFgpTASCc2/jIqPmCF 7TMpmQt+YPVa2NSHnEsPAfw1R9/UdBwxAU/lSvs8UMjSLaAXoQbFbXgpDkX8BqeoNuTf5i5Bwlsf jMFtrRNAy/Xhh8U6rdgvYC2UXaxsi5uSZDiZfbezWYxJ0xpFnNR4M8e4rugPJXeex/rdPRizysy1 DR8Znaq4EdPiSxA7Yw3N+rUTSzugnc6Ata1q/+FK/vXzmbr/l9lcpknhoI5OSmgmo5yxjFL6Yyb4 umyMFMR540ZAae9tMkXNhGtknIRy13RhKoFmKzjYvBWZLjzoPBGHEEzOmioc8baS5Ob7B9Erdohg 9LNd6L7XIo8MdrhY3kk8K2h61IAd3wyllYHMbEETzpydXt1uA+n9EJqoeqYS6MqJ2a4yJJ1N0HOv Nptsfjxo16R5inwkaOOeU6xdPKNNpjSecQRdd2zOUbHErN2qtDQjfS+3fAM1b6oVyWMqNs5VgN1x DS2FTKLXPDkr0vrnXwUCYcQgNIqQOfuMIn+MGyDk8oWBcwhVz44ktKtaqGyR4fs37ljJFPZCg+N8 QHN0PuA6kJlzd2J/mR8hrO1HX28WOKYjwgLCzi1FQiaRUP4mS7vmwzQYCrxV3Ic6LqsBulFdyptz Gb1z2ePeVUXBhHEXDTlN851FU06jeTGsf6QVCO/olDC0uk22hgCVVtLYYbVhfHir+xkEthqHP5lW FLxXAA+mZnAXhbSBi3lgr7ohzaAuDSQlb46LgyeBOww44aG0yo/64kzNeLYdbHb4qrY9s1iMZzdj u2lWrC/omrxUnpeZz9T9md844NigmdSmmXYxevASRNXrOFQSKn5tERJ0VfPaVRuJSUezgaGgP0JA GVLtf5VwbBv4a2U52xKE9nv3C+xlcmU+RGtiGgODgUGkj7dSB1IqdNEtFf+G4Rv7brXMI9YZZI2M x0gIzbfMkkB/+qLhr78TWWQOedngmf9njnjypNCUGhdmDxFxOg8rgd4JFV3+buzyOi7pdXjiP51H F3rB0V55/om6NLsCWiAdgO5HQW9LYawyYptODnbZsnX+SkDShCNJOf3sRDWS15nTfpMTCBJDeGjg IjZyy3ivevWXlv6iij5271IEGn6weNeWu3qC3MIT1f8Bm26nylhd7mBzY+PTes0YxAmfe6p5EyAc CKpsmonD4vkckT+UpPbXnMNuRio9Ghuz+hweN1ICjexqtTYPTVkl3ixsp2iaBDDOvk+aFN+IG29P Ji13nrTx7Amnsf08OJYLf3X0P+Y8uSjgm4PLbgvhtB4O9bjFlzB2kvGfMu8Tz5+xyQUe4neMvPCS bUvl/1Qy5spXSuZk9b6ZYbNJhw+BPVg6xqkFVhp3iF8BRvWVVKnhwQkNMMzhMFqan0vi5tWy8jv8 QF772Wrb6LOq49Tsf+KryWCLrZSAPxVNoANUFKeW2HweGb2walCAeaOwp8pXToyyX1bUAu9QiaOF T0sl2T0MVRzSyPpZpgy5FZipN22H2vUIbqlISfRrXB+m7D5qJXti+Zyn2yG6hj9Pc+JP2a2Y9IhS gaxNCnyN4BqCHNgQIxmwlvo/vnJtq1eCuDS5kJjsrkMc27rml7m3te/hzITBouarm3/PNfqOPfB/ bEawhkS56kow0Wp861bu+D4uzc1HmHwnSunZsYdFK+n66gGSFyC5yKnx07tApxTcZlopajCxJkF3 6LGFn9Ab3MVguFYze0BXzcTG2GnbG4dfpLLCQS4QvCsyO6aQCVwzDAuA6xJCckrxWVf0yn4l1HWt Mg1EM27Mvo4CVfN6TplVHbD9zyFLZyGEVcaQsjWLJZkc/8j0dlYUAkVY6vZAKJdUdUC94M2XKIU1 3jOcm5kp9BTxOOZJYcQil4Z+6r0FykgyhC4hO7NeG52/kkoZtNr5gKbJ0ehU+gTWZtJ0rooaqltW ELkhS91u2bpi5z6iI6jqCcuT/5BxYiVPtEwNpOqt17jLgj3pExL1X06sYt0XARYvWvjyLUvTlvG5 g4RQjJnq5ah9fIHhdfN4OyaHiVShfBsYJGS9Typ3B0DV/C0vBRqS4yMWPso1TiNLlxfhfwNycJ23 QlvmzLtYy33kCuJisuxi51VoAEU4rSQrQD78C2xAG8hcLyT5A8cd3chn/v9xqML/CX5UabW8oXbP 5nwTkHjryKK6YZl9pVxvq3oEOpk5+O5S3DXTbgXe5Rx8cbmEdSEkaJ0tKMEDU711/tPLHN8D9NlU Jg/Hqr47KAc3ut1rhalozNVSN1xSH3pHMauDKtU1zQ0gWKtWtJUFzdshLdxQkfsidMMaDVsT3eqp 1bW53m57T9GG5Q2haVt+VkANhXUh7zWV34eqde8+fgSZ2xi20qVyHe52kpUb5jj6mYS9fPto4eCw AQb8ZrJIvjIrOtpttLgCiIaYmTRiOQh/TCFXuXjWTuK5rg+aFcdXouBlA3ILBotzT2PCP9KXPhxi 5hT8Alvq6Gr+sESba42qtI8f7fl+CcaORxN1z2IXSehzh+gWe8K33+ppPqAllady2FOohBC36yfF Wpnj4wpKbogKEcxiqWzF8Y/stPj+LkSMzZyUNWHoK/szg0CnLfj5mLD46hVcck5jxd8SDuzoQ1LV MN5sNxiZGTRizJu5q7HtTpRHveWaI/AjHuOpBIblpWanbmBiMmH+vO7H5pfmVxgwGbnlGOciaxVr aSlC7rUOgjstWWJHIJcA44+vrQdgYdX6lJwL7R5SEV2WNHtRoLyh0jDJVQu9PSB/N+CJhHG72/44 BMPz9Ub4V13Xn096PR8XPOPxxNHBCGp+SCAIttwtRy/jLW81UcReqh7gxo1XzTrkrdtBIBLbrvWd JKmdeHJ+L03Enib6utDh7k6mDBJwS0vH1sZ1T1rkUzSLbVDb3Nialv9IkvmOeK9HH8GI2dUallsB 4iol1Uwzw9/6Ak6+4MNeX/g0COs8YoqSK4NCwimrkwCwt9gM8pxVhxixqVnOLoRb8cc5NIORbr95 D2YCmeVNNR9ZC2qBIptyseGE2xizriA306OGxT0eXTfAvSwmjrPOBglBK0+SVzt1tVFI9mfq1m9+ uiorZACkqBE1ZMIxrgLSLFHCZ4eQ7nMkkJHNWnnEUKbanLQE0c8aUf/Ir6Xxb7CMIPxBC6qcVwDC 79YsteSqPrbu5fniI5NDFINzgsLWmatzPGUxuweKR71+0oQdWISht+sbr32eLC4LECc5DL03xp++ Ha2JTrN+0sfXsdql+9y3/mWuS/9y3zy+ZzRMDMuI4qqMG5ZBxaNuzOfsOkUSd4FFo9wExUYRZCWO ne1y/o7XfkiCPFKJdDeaypGQLOEf66cfGHH8EDvOdwwxTfaOKpof+epr8pAS6fU/lhl8Bq6FfHBD NiSDqDm+O36iwFhptV7lMz3EEmYWOrS/iMTcEx/8ydHrf2ZnV2ZpHwr5kot14EqttWE3u6Dn4Xko q0TaQmUw2FzGhXi0Sir2f104Bl1oAboxmjxTAQsoBoHFsi+B7yUNnu4n7zB66G5mDj87zKtOB3ZO 5YCH82hwMkPm4pkO8AQ8if1EYx1M8/e0SSoDDe0snBjncdE109XfxHAweT/D6fuC3Rs+qv4RLQ6q MLV2k6WjTUyThkur0qtRKrzfuyjuryv8VxKg9e/aKbte0bLq53lX8U4Gwtl/pqRN6cyjFmrl1HGa 7pUgXfovlAJFc5SIvHrrsjRtRo2hKDAa546HRtjCUizCmR3TTIHztP+n+kKUKFKwl8dXZRLFGgq3 1LbQGCbY5ChUsKQEtmX5tlr0LgrU7YQ2IR0yXrDcIBJagB3krsaB7n057kjZX7K2MTEzhCAtpcbn nqNyGbWVVn5dVMRpNU9S4hr/mxsxragA2vUf92c4ch0Q1MLB+dsJGtft3j2MWiuF92dUAgDoMdG4 2xPa1D7abRHC9MprxteNJ2xvR8AXsDdu+PeJ5RIxbj4qbpo2UuUYU4lPv6z7LlL/8llX9+INIYK6 hpBXQOgEjtSv4hRAgenDkas+epNQQvaOYxhpM/uh7ruuGyLB0oUcizLx0jfEWam9DYTUu6W1WpoR EStUW7qaK48qDg8xgANfZsF8/ybjEJuc7E5OsL3NUD+IbvSuVqhIsL2QclOmV/RwE0nznGq7VNS0 8SAULY6eD16InzB0sh8BtxSzeoj4cqUycQ/CTDZD/m9FXiibzid2413vRzbHrti4AiXpgJBlEcSy CrLHQbR5xmfRYZn4/jWcIWYYLWKptT/I5zrK29KljsR7i10fraRJMnL6ssOQ1017OCC+c8ytviKj AlFtTsP075uWeIPjtMZPSVK3GEQ63GblBq4GZFCJIc7cvGjR6gesspGbTwfze0M+IBazjui+vEvP XotOyAQtV0Q1ZYSXruroU4w4qGPDDesmVOeSR5Q2maFn0tYWFjprF7YPaiMKn7/PS+H8Yh89MfKz sdtAGIOWeJvVaFzpRP2QEoewn+QSe/98BcZ7jlFiTi2Q9o1nSlOBKICrU+LmdJG9r4PtcricqmSf 1ldh+E5XQkX75bQJacR9lk5dixV549RV+eexLZzPYlIe2cKSO7v9ACarAw3jRelbvTVcw6cSEnFy HJHXf9aINNCac6J+1WHVXZ0wmniqu6dHzwQgrIjih5NjcR+LpzgnNXrjyHVbRVOjyy9jigBGzgd8 8y4Iio16sIkx2oWNPRfIQqPYnEpU21cKRCLRRHGPpcsFINQOtO0sHH9dqw7q+sIgMcFbO0oUWn77 aClLvZpfs1CkgkDGbMrtOUJKlsREfaEBAljCbhXsMUE+07VUypYhnA7jTxs+vLyLJO7YvPl+a46W HK65ZHF5HQLJly6jr8IBsY1A4sYuqa4YvLB8Em+dHzxmVUdhuL/tpxix4JVV7M1esoIMpFL9fmsB Vbjjf5subzCaIBmUAAbabvu5OwP7vBLxZ9MnDI9S80S1CT4Or/I9euBO5GAtDaFjhXrMZmCiTfwk BgS0T4GEREo527ePjTh1kt3anHWHO3NU88ywSXC6M+7EpxLcDmqxjlvVK2TgORT+FWT+iJfMus/I 1HfWxqdb7O+dO/dkJk89c9JKrId4xudggKEntYCNMoo56BG5h+/VNgyQGuU2nIQxcQ4t31z+gUEE HIsM7irQloacezBn3cU16ug7A0Sqr8yRRNuXBHhg0Tv6c4zHIpGA6lX42na/DUt30JVNCiHAdYh4 9/j2IGzzoZGxFag11P51MPQCnz7zVcxrcTgr8DhJdMlIL1pMCz5Ltn6V8tXm/KTGF9yNLifjlPeP Bh/WLEDTyvnIPNxNdDOVcgnAQewkrUBGfLtoKYQLtAd/fuKqPQjNLWnG4lxQYDa7ZRD8Qg14tQTQ YJ/gLToBnlI66ubSepGLJKDQFpdoNHKqYbGUU2onYb401ux55w7w/TzrPgKd3cs2Q5cZysPctZor nLCOmYKe/avG4eKEmys2hwEVZcQ+i+NIfetgvFqKCpZWtqCyoHL+0hiWXHmC7b+vjc0LnOdBNH31 wkGTCK46u/3IBwMdaM8nDctpN/MURi/E3v5p+CDMmYjmSH1Pnvn/maWj/oEb40TY3Zm/+0T2YKl6 8e2FiFsPJfpHjW/t94+TScxCt73TK4yDQn4z4+HLv/kNVr0I965eZj8b7hVb5I3hXELDTpvm8eIJ nHGo+UC5fJwcDtascuESxDoqCEqGJDTuMakwT/rDDDwr7Y60bMCM6bVVgepyo6LNe1LILB5nb9eL nFKgJ8acI3Xf4pJE/n6IsB0HhyM4B4eiQ8+vYA3JbLnkgRz2NW2eFHi7JL6bqZ/ODVEcvaXFXpU3 n4ZgtxTe3UQPArpRFao3mgxik3gnQ+LTelb3QldEftRlSPH9J0I8704M4qUJX0YRUEuN834VxcsV j+uargY9wPBcl5EA4qMu+rwhIWIe4DLYUHxfpLLFswqtVTZywuVW0ucXT52GlPO/hNEgXvPbhxSw 9a590mckheg2Nfp/1DC0Rs2yyUtaU90lu3vQkdCCX4RjEC/503v5AH7Q9tCzbJOIm4sHKI5MwUNn zbbnvkyRFxsI519kSn9q+CLXQqAXBFd4s73nPn43mSD76a0uKR9WaSn4xqE9gSuwDTXS8fPJFyxO MGjFDppsKZ2yo1393N/DC/U3nhDNwAXs1g2N0XUdGfvYjyBc9/5t6aHdF539s2yO8IAQSnZVTeF3 TITmI5GXckfdsZf69TXJJnO53ZheW/0iHwAnwXQt3XcEufzeKyNtgEvml8TTkYBSrrINuqlyVwZl pv18MMak4mEMJXJXZ1HnU8zw9cmZ89gGJIPYpdSgz2j5TobtOFazweOls2yOPVnbh52szlPe61z1 eGQfFjBfFUTkQy18kr1RiW33ikjcScRUEPgFmJEg90vtONUqq1WtMqCU208Y+wGNZQ8CPJ3XPKla 1dMhEMBSzwN2u2fn3LmwxNTQ06ISo6XMsb/QV6C/tnD3EKk4Q7bw5w2Lr+JKww4irc4/2w6qgH/3 CXGLZpcVWrDFywAevX0zsny+rOH5pWTlQEzUmqSmsubuePv5TbSROKPAPK4FCyzTufMHw+x5vaLN eOAiRdl0iwTdnAilhRGzMiPI1RmWaHo5CaVtnA4HRDtfRU2ZpXm+3XI/ENrcYCpzRV9NY1EGOgpN H+mKT+ksQ55j3spXCwoI9Iq43B5BvYO+FpH8I3L52jVSLb1FCK6EYOSXKKlWUegoREJNCIiY5Rjv R1N9FyitQC09NzpS4fcYJOEldgqs9PcaJFNW0xnHTEoj6Gyz2UnF/JNfMoAhLbS0ly9hmIvMuJ1w pm0GmOXR2BBF42YICLAWHdfsn1pg2rcFFB3G+hdwOKbySaDMUPvoFf4c6y5apoQOZvhy0Jv5OVQ6 PGyZ6YYzvnFfD/sMCeHqUzqXHo81F9+z/JR9B/KQzCAshLnbQUy92tWkNmhySfFYImbU1v8GJ83S U2VS899pCn3Pay5K/fHqQAKI76IcLdb5vCS4LlcycqCa+q6TOK+TJMrhVnhWm+ZSiBIIF1Oia9Zp RIB3gLzLTOvVhdiWqKZPxfLkJbYLh5l1YcASjuOz6qItNwA2fXKJM+fhmVL4vpQaWZYr+jkXJ1Us Htw2hWQ8Ilq/V0rJKzTgRrIU1kzoaJiVxWwQ5VUsUrIre51U5QPjV5ZpkK3BIibcI/okYoHMHoXn h/evXFHM3VXPR0Wr9SrZD8ze6hrswEdZ/9QSMjgVCzxqq/D3zB63Jkn7SSm7ZDrTzBT6osl+uqU5 Ie05PR6+Y3Rusx+RZzm8GnL4c1iSxBJUXAD3FWTc2RGhR5EH9cnC0+6Ulkvi818EWzvXsnCZmtPb U1n9G0iqvNOtQW1H5upRKBvcclha+vuLuBVCYwcVt5fZvR4hdDfFcjZl7UAOApj52JL7TBLErqUw yC1b6yfl8QJERa7/Q9BAgLUOWqWRf1xFBUHsSgUPkFBV/60baoFGzgcc7yM4KtVSr7HxSlqts5m4 4Dk/SKGUc9N5B6+l4ewAk7Lm2mJQeQ2aXRE6iJFb9U7PFkRyXhv3VRinOHDGQWDYeacCJqqj56E7 iS+4D1kkuJ7h40qkrqzlUx/sVczRZz7Av8D1k+SwORHJu0ZZGJBsReogju+VkBYQfV7qv4iPiDQP LH1qXJTvqC8BWiO4ZGpAQSlvt9O70rAZwLOlon/CFnXZHs9SSbgeo9Pk3qQ4FiAJL+VdttBgReRZ iAAKOxwt7+qA7DrFPjQ18npz9hgG95nkw7K2nx2PY35SaGze/8OT95VHzNRRT5Q8nj5m3II7rsPb fICqqKMPp7lastmZsYycT2SGeBiYpwY13S7PPOSY0CyXH3X7BrK9OkPIiZthyftRCJRrRWzU64xF D/xeCPRrKjHlcUOztnp79c68kPc4f/q9tTTjVluv/lCjozPDxBHVnq5fOL4/CXO0LkdCBvk/el98 r298/BFuguj0WWhf6RgeS7acgvIoX9VcH3VxkYsaM/FjPNXiHuLzJYqaTt9LtJ3lrZakLdlXaxAi gfuf4lqkm6EBbXpcgjPMPHVKssAQSTfbpS1vuX8X7vHWyC32mlY9mZSVrAkqwiarkR0TOr17yzCD CZL4AatZsM1NiEAfQ0FSMQpsxdlzd2ytwwCQY4I2pjhLnlQNKAU5R1n87B+dz7DP7tmem1j0yPPu PiYD9h5QnlaFKF5wwl2sn9BYTg0lz4Ni3DtZC2sT7wkvR34h4Tjzqvai7jeoeo6kjVIQ4mO7BsPg HAz5pD3VAV6NqBbUCxDm8ZbJiuoo5W5LbLNZ0EpAvBvBSAUTzEemB6zxGx3/qE00ZqCNocih5yfw 66cgiQjuk01E6FUEhi+OKY1jGZZBaEsG48W4KfiLSIYz7k6P9yXy+MZ1qfySH3nlbMBjR3JaK0UV Z/Chq8jFF4qxROiNeRWHa/U5AqBCBrJGNAVIHJf20TAJaZPt4TF+vd/l37GfAMZmmRH3lnl78Qzf fZ3cAaCVcez8omECz+zfGSsU43bjrVfq15UQLNu8tB+JXUE1+vcANkQh4Yi6sZvWFvq79XMb/Wlo Igi5AQQzPn7sU6QEG1/OBvJQvtQQVqqJgTogS4tGZ8uGsEI9LcIH9YVtQme+lhwLqWM/Wp1BjxdN k4Vs6vJFi5qNqnKJTvrEPbf7Xg3kfwmofUoQhpdif+Wix+SL9s2G58vcJ1sdh+mc4227V8R4B9Ux Wn7DJtGRASNt7JZ9HB+IMfO5w6QWGt0/xBbdKV9bIdhIEp0wIVj2y8jHCNp/totBV7e+RpYCM4fT i3ya4cTbv1wk3vM4cOzOrNNGCb25/abwX6Twzb01Luoem705QD57WFyJqaREiWOK10i4ycSyyvZn YKv0e34RydHpcT+cQxTPN5PB3e4wxNTi4Wlpskd339Koc3A1pKoMUg+SYiVwap5cYh4a2h4GQydV HlCveJ7cRRFoP5uTbkSt9Da2AX/4/UkJbHrehyGAqivAVzKBUtm5r+Gs+NBfq0oLoUbxHn7637Om MSQ8MMMtg3ft+AtkjjoFx/ObM1AbEPWcLSPS7b/BPCiatX9DXJAhgEgXdcZSzYVl8P2S7ha456Fk LnW0ihRWZe8OBxYCGEQ22zx9lw0gLULPFFTmvmE3CxXfggKJ/Fx+C6NA9UK0CgoHaYkEf2lzoQ12 3DkhCSHtbMdGbAdexmNRMKd1EmExqNZwz+54YaUD6fuTlm32igNcaZ+H7lRzZ4bxAB2xDdnnA0jC I40vUBx4rp4THpEbnhCvOnq85O8dHFWT4NtJI8nhxC31pAdj5WFNGOzdayB+YydsqXmYLRmNHAt/ gei6euVTeWMdc5tVtqpb4QeBsq6T0a0/noXNLW1DjKxUQxdHnewGYV5hjRTDLvsTX5whgL+vPw9W b3PKIeDyq/qfjfg0QWHdB9jYUw4A+IaVfkpUesfMEaRyYtE75zYtjMiPaYSZXWfQ4Sutd8JEaYsJ RDC/8Ac+6FtlGsrheKV+iYRBLNd5gWgglLcC+mlGHj1xzKOuzI2Zfsq8JqvgF65TTy89R3CJ7lAE HkrJIRkNhOeMfUEkzpJjwlKN28XXe9BZ9iSkBgQUk3tA9bs1Iw+ocgRPGnT+IAvWR4pDvXIk3elz Llk6tTbpv70i8uMgz+D35arn5Tj7EPZDvZ3vZdyMALp7Q+RM/rJK+WZkMHzNkv9gUCAG+KIoMO35 N7nJHMmzYw7kF+8Fo/tY3NfQlg0A1j4Um3phZhkdNExl9Q0+J7ADJ2aDjtousbPEZ2lFDYeqpohF OLjTPOQRPMo0HPg9C8LqcJuaOZWAe2Ngy9aI1jZqS0/0qgdZrtdwNAQxRY9khr1I3MXNZ5caiPxY orxjWfmy2pvEgNVoHxOpM3vqpGbeMHRp5ICQBPXpyMbPQbX50G0g6VGLSzKa4NgekLBddCk7IMgF hfanf3FAKloycqJkAox6OBaUsX3V6w7RFsoSaEN9m6cAappluMFwpSB2A1vogW1Pe7OhUw87TTyV YMHQ9G5qiQYGeedETQjUt2f9xQtj3vmCRRf9nYW32Y62uNBYPS/2RyQ7gU0CxWPFdnI1ZwccL6SD KcTrxgcPCftOkv/4jyBvvnpC0Iz8Bfsppr0HwyxNru95q2cz/4lywLMSR7l0ugnRH6kMMuklEZwX 9oeZm5O1cxhBB5orl2Fpk1r5STinYfRIfXKulrwmLIJFCreWch2Pce0FCXS0O/gvvK1QEo06rS5H dAkrHyFGreCriE3Fq3zDM1Dk8+Q52pbK9qVIjT1BgF9eCaZ8XzPTCtThwH1pSrlODj9nbSSM1vxC vxV+unze4NUkOHKLzECsWkFgqJ7W+oNj9MgeRVGXVf30M2M2BVCe8zHQ3bT0HjdoJ5OIJeNe1jFb uovupnvCa4OFMDAGjmxaOyyAr9gubJNt8keqZ4AzSh1Y1oeVGgxTY1ZtI8dga4wyigYYRom6896P SMHh60PATiLpLDPl7/CD9x/bjXNM+YJUB5JLgyBfdD2JV9ww77oxjq/Joqee2I1OXIW50P/kTe4R +hHLsGYV/H5eGdqDjyaSIfQI62jFj7qlfg0aMDZQlPMMRydQzPN19rWICwIU9CAJvLFpjOq/PglX rk7QqU4i1CfIdgrmdeUKfo96OM6QN2y1N5kYUewYVRYUkkjc9TVCm85aAFfz+QJ5UMfq+3Ye1W9+ ef6Ku+rnbVLbpVrBMSGSVaYrcFBlxgzz1R1XuOjp7P89r4+eCy+FZRNYu1JZR6RgUla/ZV3KBd0e F3kDp5/n78kcDyCIidcWny6FY/F9ZCuou8WhHt3QYFt4TYBHKIpN6/p1ZUL4/0TEBBULx3j+0FWi 5RQx4XmeHwcbswlk5MpRK7NkbGiYpGbB/6qIOjNoJct9A9nD/MCwz710t9ffZC7Gun5lzmjvJpR8 03h4Iin4K/t8DQ2WMA9vXMfiWSdPMDVbFyOI6r0xLa5Y41iaWzTe4GOHsPhHBOGr0Mgw6cayouJb 5vXJx2ViJqy+ZpLBm6AUNeDfkCztxIBIuPez6R5b/UgYXBtGsXCN9dzQSEWB6agZCtsLzqzAr0M3 2YsSs2JDLTKbhinSe6flzQE8u6TMfVAOuoSXJsPAjUidDaTmWVKyCtK1QEq2ysyKsaGO2pH6JWu/ m5GA2YsEdk30vxi5tMDzfQQCrJPPpaAwBX0L2aadsugb2L/ntxLXT7GdbfkbmufAqQbSY826W18X A6WXdeCBrOvIRMOyO20o9e61lsanmFn/E43vUby0AtXVd0RIRvVVjMEaI3dOZuMNNvEMFhiMxu9O J37Uz2YjgazkdB/9IUJ/d/9RaMIzJoXwiWHS+Ilh/g+topiYSaiid4JFWx2xCbuZIjQ4qQj8O+rt kd8LsHPEj8Fr5HsKE2VRovBbQ6Nv2jqXl3DJzNgQm7alv5rB8V774empofPddr4TkyBdkXsesJ/D NBB4S/I1E+IBvN96UPvkX/aIo7afcTH9fb044b7QT09wMgYvWWSkK+CZHf0noiM/YXw7U+FkHmAZ m2T0usPYuXmOQ6ua5Kl6b5y4vNNY5C4cgcRW3AFGs3rq8ZAud+wz+Ng8oIm1qm2qzFQjAEeqZB+N ZitDDi1MWQKypvLVMpYdVPZdWv4hctN0aEIhglx4rKRewlmbksvWy9inAL4zEIm5ayRoV4rPzQAd Tz/wrnR4m0AYq/B8FX9lssVAaiNP3XdgMiBdvQskG62thO22OwFrDoEIU0HOEs1jYQkIVd6YqdSo bq1xiXFoYnPysdPR3pZ0JeoHLbroW/vIkIaqB378jVfROHk/qiGqxC8A6kUSNOxav5evTCitC5GM 6ONOyqaGGGm7GppHPwewF00uiy9un5kDufRBukMf5q7nTn/j0/cuCFTg5dKNzohaPcucllFXbV3J 53cZt89pGldXVCdv11qdZJqkGV4h+h6co23BmnqLgAVhLDvJ47vN+xcN9qF1ZgkJpeBhYPS5aDLk gyI3ssJse32PxG2wDoyAMtb+ZYp/ZhptNq8x8fWMa3XssqC6QUeGJ/YUG618dU6sr5bNgX8ktw+s Ys+8H77z0qR0iypgUc4+CBKEISRym+CQxhX3SjO/h6FCzcLJK4zOsUHTmTQ+8VntY3Nl2o+jpd6m 6V4vPeDqY0U6gyc+Cnf8r3Z4NDZ5lPjq5vbxW6LfMLVd6Qn7iEuy0YHNWc6ZWSBvrdi6U/US2Fzn Csz16W3p22yuwlqp3YS1MjCNxRQojo6GZSa7STAZWhF9/1EcSMDHPVALLNVS726sMH73xpkQPa+x pgOFeS8/JT19CmmP5uUZkx1jo7yMxKTHJ/e2X7lNDV7kPykUbVAEKck2WIqDM5D6zcunQ1G2JJ0i i9S7hgwWg/YFrWc9DtcAqgCSHoT7Cl5l4FwIPzQxtq+tvwdzb/v94++S4xHGLDUkN54FPbEIR0Uv MMBLKhJzLpNWPksX+4OBp/XGH+qERrCkfAREPCm0ZugNeI9MIeayDngbpVaDuxnIeum5Fj/5EsCp YvIv/nx5n2pgU6fx0auEiPcCRiSqnPtDjxChUgB3aQBZgNLmzUc6Tuv36eRI6L+N2zKHtM0boe6F cM2G89MEIKOqB78ZDdtb/tzLPEN67vcYzguqMGUC+y5oKorV8mT1EYgJMRzb8o1nX2OkFU5cgD/y QXrln6kFmV8QAjiO3Uj2s7x71u7TW8WyIP0hcp3lGfDVlNSxMMG2m46MRdlPo473ptUl/wn7U0ZM 6ud7KF6E88Fs9XIp6EHptWV9kgkcKyEQJ4ZksP5ZnpwRoMjyNduqr2w+k2420hcs1ftyirvEcocS naa/y5pISsPCC2Bdshas7FzgUWdu3CiuRnBx2/oALdPdWEAht1m4CFl5xNheams+DmCtRKEzs9Lr h9qwaDe9Aw6yfi6rWyJEml4fu3JrhsJk+uAyV8PzVG+l6eqvQ65Hn4F2+jU5n0HaQZv9EDLWqJo9 fyGhuzA8TAu3lCy71XhsznLsArPH4uq25D1oKn0UWFe/z3977qkEyl+Qvg+ebmgtNOCyHZ2wmcrc rd4sA0D9D79ED1S2sVBqkG8cYqNpzGNaIxJY7YVTxQcaC80APOCaE4VCkMo2hM2buA/FhjYuK7Qm YLIx2x/t1U8YuFb6f6PUXjov5jYLuGsjBcRsOs30QrZzGoVu8L74L2Isf5itxy2Lq7UcToMGC98K zsCaEbSJlYb9dCymAjlX/RZu9hGVgt4m1dtDvFcXRlh4h8NKaxOpmWFyXXKA+jHxIwHmCmZuM3bh Fp69METFArM+zp3RMm+UhKtJZPtOiAMNqKEvB/PgC+YZs+iEGI+c8okJPA+qbFV3yD2IcvKc66Kd pZosgtTW4c+t0h54/6NCtKVtxNecwDazshfYEoKfIwZL396CIX73YcDb+CbBshHGfdVbCUpLHAqI cWeDM7eN/0sXLaLEm01h+r+U4Lx4Zmdy89spTfiUbgCFyw+P58RlZJ5PAqIzEtUdp/SFrld/tyqU 5AoDzHqaGvKvuy64RsFTghaRQ0nHMldjqk/o3YLxeqWvp7EwaiZy39u2jkUWWFc6VnlIhBwfHbG1 PoOJqOZblCH6Zg1zJGE0V/Q9+qhONzWCYnWUSpat7iN/ZrtSG32dl3SEYJWZ3G75BX9Vh5ahZI2H rYEI0PmRcY7FXOkikRSsr0zqSDeZnu6E5ny6C2PIW7PV4e26wjzQHs+6tpbqKH4+3YUMgadvrLiU XWKVeOkvQ+xOfSCtibJTJp6XfaYZR7XyIwI3hS1PTIAQ8xkGb7EwnsmoEidBfhWywYctKpW3FZsJ q0DeCxDwnGuGCHScGMb6lBHGnw/gGZaGKjoWYAP1X8Mdxibpni9jBhJjltQxkclvF1AbkvmSKlOr LlKBUZgqq//9kVxEaQVGKmfVS5iKsTaoRnlyIeTWACtaFjZwUC8zUCsdIZaYLxcUIGmrzdCLnPqa mLsPGZXvZ47asF80TllVW8PYRK0vUOukp1dNPQ0kba8yiw2/8MqJl5qxIu6qwmynIvLxszAveQ1E XWqpV52kOk2PAHZNnKOT7b6bQj1AJcw/UFXvLq2opClb+xH0adU2q8vfZVPrEbxI9JHj67s50j0R ZFDL4C+RW3CAE5QnUGxLMCUT5gdtuDiwGq6sUb/5sT1WjxJy+jM0etKU6QUPVYkbmDa8h57AZ+/c LuBA1OZtO8vjc5bWp32Z/NGM8ML/KZo84cyrB2bmEdzUWBHN9cEQbvZvU8sVvWI1HAgqW1/Tf0iu 4CqklY3CXqRHuG1SuiRGuVcLWFsxJ2CGQ0M4LtP+wFcqKv+ArvNFOmo5UQZYC+D5GuFkcJdPE8O/ izBbKaS5B3hlEIHz88FebWtcBI4ZoZhqXQYJyWBiFNtTI3YPyhBObciy+doWwJnM+OwtKgTbiEDp yiYU/3s3/i+9llJ3ReP4lOnWK48XxCLhpkVKItHJXS1byrzJMyw1WGCudIGFgNP1WXsit/0eMnQN EgaE6uTb64Sonea6nV7IvEwQqAHhwHOt4QRk6vpnFIOxiw2khMWysyme0ZsI2OIRkRlUudNoYe3F vExmj4/1UVFdcvILyWJFPh1mx3x5bXbwYf+qtwtE9qn7tRIVc2W4hUTQGiHOq3K+fb5VV0wM0Hrv ofbI4EbC/IgJu4SJHgiEeGLv1NaQcUxNBF/cPtQTjmn57mRMpMjlZ4wttwEsf0YwAh5TvtxXNkdp b9yP56bXqxYnN0rLDGBzV7aWbYefP03wJAvHMSWfWLcerdYHmADuEWU99Mm+gV9D+mzc/GWdknxC scGSxE29Cleo/JECdlUi2ghX/Sr0h4n4tbVMcKRiH9OS+ix/wlRqANvp4wVt7lQlqJS58qiTZkXp 6JHSTXXE856aQSMAGEEqawznhSvgUiW2mrHKxatIjWOvrfJK88+lwLgH5+1sHtM4J9nkf5G+Ardg gdu+SfmsxOjtiqTFoiJeHEnMjgUD0SwPvo4qF4g58iQZKbshO1Xw1MstdV5iF3hi42GqSMMaF6Mn RT6g0GCMAANPqKMG22IKa/q+oePA4j9MnJPQDxMXf7TuVcUH7h5qvHO11twwKkIpyC+i7xowYORM 9t2/couaIu2zGNQa+35j99r4cPRxOnirRzsSkx4Et+py9hkmwnSoapaC4Ynjannpknd1jlSsoGfj yuf6v6iAhen7ouipuOQc590MvrUFctWZ2UGMy0YdxSBxgAgfBz8cDMQoOLiQ45SmG0F5sOp9lLrl 48bUtWr2llWF8QK/9hzkWKLryKXdZ1joXcf3fIDz4XCvl1zChREjVzSusQ8bTxw0FLwE/UppsVTq x/vpl4sBC9UVtJTLm1D3YtnLnc4mvZE1n5ssePurhIYPwl3NOrNqgEL8cv4EkW5nKwaXl+PmlaZQ +CjTtM1b3/GeSKGgHcHIkZxlHwZwmj36LQygScTLL8EaU37xjrol9MJlOMCzjps3pL4A1LXd+ek7 OEq7tw/DxagUb42h+wndd+z+zHmQYJ9dkFCadKLEjCL4Qoz4KihTDijYTQAhmeI2e51fQ0BHz+mQ 7JJV8Rf9vEAdvSEKaU4LTDhEYdCQVL0HTp1kXPo5CfF9/QoMVeAtY0B4SatCg0SfVtPm2zBHtslU 4B8NALcRVTMx6nWENPSvJ7FIEmQ1PqpNYTCeIV4ItdINinOK2hhSJbUPMIYv0sqgg/pNF8syfTrj r84eZAV311t+ve41MPGhGtkSY7IYtygIaFq+CLMjBr9Mul62cfNVA4a1qV4P2rlXvfxLz/aAascr oMG7Icj0yNdndlcPIcawjy8PLgq9PoKzltVjbcEPteASDDpnVja/28qyVhMCjxiOhiGM5egA8OG/ sWjyRz9Lau24nVsvB/HEju8ki2tQRodbXEG0G/Ky0pIRWx+WLtu2XHyK6tBmj0/q0oLu1u1uPXfc sZq/y+nO5tZuyamnvLIJjD22k9sbML6DHeb/763taAszA4Yc7AjqT2sEkrYq0JirvGztc5jRJK2O k7ag4bcjzXECnQr2D7KLUvQhweP3qJRgJUgoQfgGjodiijpNtrD4ogiGSec+HsN2zWs6l2mJwq5Z 701CaFB+k8fkIrGQ3yIVftmmBjLBQ2zo7wvG2UiJfdsW0fuMVgaZnQLzmjkQaqS9b0SetB3IIPZZ jh0BoWwLfsaTEmsXbre06kmA/qUyt02ruXsIflKvaobn4oJ5KXpSTB9xgH4KCFS0p+Ae5Ew8iKj4 skrNHJ8pTtQT2IR2T06gT1p0bh8PnbRyPVNWB0DxmwBRHoP6savxqpC5TVLBm5hNvWALR7MSLVbF +GcfCH1iBrNufvA23DRJ8Qki07taL7MBK7S+mjJbX/UjIBFnDtS2vie+u60tXPs70Znlx0olTT7B +hOdD48Ll9+euinfInF7ZMpeBd4L7XHFfe774wOx1YG553citeOg952dQh+FXmB9rCeX0BckLxM5 VQ2U34FCbMrHUbaT/5L9+e1G0ttxMwoZnF9zuNswNttGXgup21MnPMN6anmPQ4YGvpgPDKpxj5NT OAblazWJZSg/YIN8y0eStagNBSgR1JzffL/Tiv6j8f7SmiTJswnrsGqqqOwa39s/NjrDe06PJxVQ FbcCA9rtd3Bu5//Jgn0Wixz+hnfRQojd+x7dau/oYZQ8oCqi3IlWZ6tVvTlQVuyoF5ouqcYaOsSs +SdJJeNDAjZ1cP0oTtlEKxpdImXfzrHmCevUBNeI2lBT8BD7l6LEQ9imORnIczrVU41ZoS87V4O7 H6YH8utI1xk23sI6pAPSADJqcvIbgGCE/VNNf4M//PjPy6CESPEo+srbsD6VKpDadwMTTne497Kt JX6bJEnE03jRABZcmjdTd8fU/B42dpkAAnIWQHfTg4qXQF89KbPdUMaGPmrtCP8mdZ4X4VjYfLGs g2vWd/ruPnN5A4F48pjldVoPIAlY3ZjneEPA8PTLImUpi6sRcETXJsskxl2UqIa7YTJ8eee/wJMJ QvpAoHAmzWLyNG3lYxi9FzJGuKLm61UM10a8Xrrp5CVWYsAumJw9SjpUN6wSaU+eWJnYsycAzs6A AuOlglGhjiSiKxfGIGGde4VEVF0uSaQBoGNtGplzDXz3AXt7aaS/bAvGxW6mgcmf10Ki5XJiVk1d kCf5O75yFEvvrLs82mISLvISb/KAPMmv1DlfSmRM3L/O7FKji4HcmbR09CvVw8OMfN58uhkRDML6 anxzVu9xKKTE76SeZ4wWyc3Bss+Mp2FS+tvlMqsRXISonyX68Y0w3IhqTuttc7OYPdCGFsoHj7Pr NODQWDR8QQuf/Wj5yCk8DTmEoukc0HwjZnSwqbUjd3/5iOja0naTcdMaNzKCk7GZdtIDbcGwOiwy imEU7Pf4QKLOqkt0JjTdh47nudynw5sg48FGxeRLx8RQ9O1xskWr+p0WaTJqJTNIt/yGf3KUDAZG hf2LoZwuYI+PoAWq5dsxpiHJKJhg43mKX/Ckm1vSsiVVtwtUyRMsUWxJ0jJThRHXsDitKjTX2Wr3 7W4a4nsRA01JyUVAe9ozs9m6mUwPrSkTvKYkXqI11mxT9DeC/3hQ2/mRdEGtksQWviJqYwPwwGPz TltpThaTBlUSV066CdDk7Tbqx3PbudVMNdVMVhiK5IcYonU7HxaHnt9tmLssqOvBSRydLv2nF6ew n4Kp/Isr7UTgYEZliAhu9IrxDQ9LYZXZ5cNXbbknJUUaIwm7QQHmIcj/VmOEsbGNV0aFKlfQjN06 Qpxd717QtuMNtKbUWRbBJhikrNO2sjRqUjk2kYKIPtqRVSSAauPvu+ceXUQK+jpL+CWGpUnnT3bN DIXoTzlopL7NMJ8fJyj3KQu0KfaCo1MbvjCL6v5yEjGYcfKJ8v9/QrO+uxqRDO5KWDC9gqxFGFf9 RdPVA11GkAsLEUBBOrIaAVQUp9u5HTZMd/+7kQYDRXEZ6AGIumAT06n+LBTQCvOY7DPgv4vWiDyj c8VdSoHdhVQJ/IAzKe8LdsYX4jqPiGXB45+RhqxWaCKA3ErLXigvAZ3pN++DH/1pCzf2AWC4lhNm H595EBIuUiYMtDgaehvGJ+rrjmXot8OK1RqsaWetzQKUs+bP+BYiGIQpEj3hI47CsrSRPEdRe4zk z8aNpZY8omHlFo9tQ8gP1FXXiHYrMNHmW9Hi/s+H4y4DnaesiRP/QlFQYbE9chcWDJAzD/cu8fg8 pF4f+HLPpJs34UC8Mpxo2H7WW4sxHgwHnkPU8xeHv27ZXA56+bpwv0S73wszIseeN18P5xtkKtml Fv3i0FW/21M8squh66TVItd1gguoWUYHMVyZ0HMw0UKYY/061i0njtk4Nm5I5AWWghYGc/PkiwPp RZXrMCV9Ullbm9I+jDnITtgVFGf0qxT9NhjrmUrj0SQOnz2zV51vLsrNayZ7v4exS7N6hZn2qTSb XNLkO8QzG1v+Kie9F1UZe8wQIGCedYnzWr7qRvdvCPCJEcsXFfBiwZJ4/blvy4TemuGXbkgMhvvF gb7kxyjpXKsddCDhCUPTDaq/jytF6LIntQPZbh90hCZ2g2jib4JNrFMcbjkDhvYkLXmuthwg4Ild QK9JcG95nWKholnsDbvJ6e2qYBw3+GB43tz8bxXQE9AHuPSd84Y+gSzvDSTCAENjeLUU14kod5td nntFNLjPxJRxaHA7iEnN+jyZebJaEXmrl4mh4Xq2u2GYmwP2DXPKBVb9xXpNO/i+ZkPPdc1kHaop r8A5KKGy8Ful9bocaq+c8uJKDr5+EGqe3SjgXRdNmUqjShx/NhE6BBbvZtR6Py+1i2ik/3W3+4li 4Tr2dPD4fn8yUvTjNXhpFLC6omQ72+6F3FMQvRGdbBJyMRHf5+x2IQkvGBcXmFfVGjdp2A9qTN2E e7N9G3sPOKuSZ/+6oPYkFdxft6eLbM3t/JtJVq9w7+On4Y+tk/Qk4onqTvNygPIn9BKzadKawuRa s3WWbJ2g6/uI9caiCJI7/C8GzZRO750avIykQFNE/CJMN36R86SLOqLzBZ5e8F1eM3YU8mv7/UQQ WZWLwkwqvKcfiVpij0sVWKUzeqODsHw+HPQhp5bFLg5ZwLYDZvm0H9jJo2C7LLAixV+KVmnLucoy ebDOqwEqgbToqvpmRxlwdFoFUM/wcLRXMVHombPfvJe3G+lGIMG3T+Ne2dVC4naKP64OO8jUzr97 L2AwsOgsibyKH+NqYFwXJfKJvcxtsk3KWIPV6uLBWhEsBzkJB8BFNQ6XADTMdwwgeljJSbkW8rZ2 5q5etQzqwEkfJkVk2h+Vhzs2qH75yqvJkxnUPaDP6+nJhznlyHpWzlDqqaS2CcFGco/0OSTeZEZF hVZKFt1eywZLjljxAnjyPuIlE6RTtXNoeraY0+uvRXYE9zs2jIePALzX/f9p/AiPr/0VKpnQFIWO QrxMLJsr27Q+o7vx/RHpbBqM0/UrmNMzB/Dxll28i8Cbnd7cDV/zPP9XMDgHJz0UQ1nnFsWY5SNe P0NQsFQNQRlaNfGvwVpfrjB+0NbtpwDo9pmdFBnb+x6n+X2UWV1TjYEToXdmXVzkYWi8J1OMNF7H 1ZI2dUrFL8sksWLzreK0terSM8gDUoKsqOBLbom/YUuHOCUnJCXSZVa3EiAHhZQvonIml0USW9r/ Z2RJMtl+tlzi3XePdtQQmBdlH9pOlCWEgzNwEbOxZ+Rlu1XDgJHYfIKt4MimHFJ1xiRbZwEA6ypS I77Al4k4pTrHoMPbDIhPSOmN680zqZU262TN9oGKT55wbWiMl4H2AfEpO8POGHXWCfCZsUOnYND0 i8/ftuz7Uuopcm0S4TGpm2dIK9y2oBBSS+PeJsh3mfSjgGmzyx5CFHgxgTFG0RMEcLwyqATIAJHN l32dQN9Vc5U8ycp2cmJ+BHTRNqvqKc2cnGkJlKmEaJJfhs703rBmWLQaS+XbaF5YopKqVMZ42UOA ZpDc+OjHGLkh/U2uU8//RlFQpnoWi3nq0PBi1NBOWhtQ8Ml35MH9UgvRnDEWg/LPFtp97XnkoeFo 2pqRruLQRQoevY4rLF497/5Q32bHnTeeSr9Ty1EDIIli34/3IW0qGxwEa6ryjfavNraO1ovepn9d jqb8kMAVKeritX1NncCX7C133Holstiw8nikulRsdyZ3EiDoywkgGYqq4j4P/qu+D7zbWALjrXl9 oMY+n+khTZNXPw9EVCilobRRQc7tzcyqNdIjFymd40DikXlaNqbQPub6OsQmPw8OIDx50MDhDAFd a0xNoSEwgKv747pjxg5wgRZISs3rUXjxEsCPdsVksKrju/GbOVNvSzOlxG2EMgBY5/HtEZtxOTeE hsX6bK8dfeVYQJQyKcCvsGtz70DuwnkYfL/jQOAxchY5zJxoZ46jN1Hu3fYtdHyBacRzL3yfvd01 z/aeMBUHaG08QL8Fx+3eq+WzHpqrscMBY2g9yqqDatzLnidFUKmdl4TVQVQfN6O8bR0mEi+3eGvq EIri9idgRMX9jHMg97Kjtbvor0hEgDOt4DGAFKqaxXGI0ZJPIopR9IIf8gOmCEaZpqBC+KLXNAo8 p6Z5nE2uzJ3txJW4mTIS23CCLPlTGg+6ba1eCHqfy9yRkPeymag0fGzFgGFMXj9AunfpvLbc+1vn Pms3A5jFqituNuyhSqJk1mJ6P0164fAzRinp3aJA/F7JlpJ1cRAc6nbUAcS8bxYXd/YBUTtIwsnc YdtZlW6U/S6itqerjpiVxptDwaXpnCAmXEyuuWJTg/8MtnRLalgN95E9yK0UNStnKUGCJEYh0G4+ ngsonXdoyk4Y+zr76ixdNQqm0D3qhaLlSx64ADJ5/dipsD+gDhtWmumXC3JTWQIHjvPw9mTdNM0m G7dlsR0G0N1cybsqSw8jTLmKBS2KBqZ+SaFp18HUEIQfvaoHzxE0pxO4Y5U/AGe6WI6fjLFgm5lB R7DxvOhlmJC7sEdIbSAA/bgipr2LKyCEvxwKopB1q43ooMSLDZlQ9wi5OafeaOxa8bNSVtBkHsBT U2lk4pmTGcjYdHFHkYL7Vcr3hP8hSFMct6MMK2KCgXjSDanddG6iXvbxH1VCl6aXPk6zi4tVh+sj Q9vFi8vRYJGCBDFZuX40tk20Yf0bmTlyPr4+apMW+OvEX9JNEAwgVGyFqPR92AAXxsaxLPIjRgug yyZPlIoiFCwb2sDGIwD+nFGGRnF2bHEJk61tyWh1r7UOYV9G5nWW76EhwaVmyOc2t8o36WZM3kwI lNYqcSO73q58BuRuQ5o/wyWch9GaalCDwd7XxfCLB+ZeS2BSSr6oabfjA2f36k+x/wHCTNkRpRyq 85SGcHElqTEhaeuoH1g5lun3bQFMFbNRW9glpB8dKQHj1zfZfTPkViOAy2Kt4dofItmnvGOhyu+n Bw3MqURncA9+5teePGCueCUy+xFkdHS99UCYhxC9q/lKYyeA3QpecdD+7tnpQ1QN/ZRxMuT+N7c2 HE7+zoVt9myPAohtwMctqZJYpBfKrxqwf0bpNGfXgyIWH7nviF/pDtgjN4Tf5R5QdEQ7jtWg360+ I+gnkDGtYBsefP7jgdSmZORvYf12G1GEibDaP8cqPsL/tU/Yu+UMFjXaGIpuAm1noVCrJukHQVGk n37LPnoeFOdpUxh47aNoAZXrEoXw7oFoi+wCaXm+UF8ts7XYIlq8mbdR4EFZ/4VScwS25NintqCe cyLp1+RQTqFpjanuNvYyOW1CR7e5hGUoJGvTkgCb+TowxJjpUf+J/sigLv75xNmVlr8bhQx2CoiS WsUmPCY3HvT96ipzWClRaUc1uKPqTBhIrtedJQ28gsqDrxLv3VUlm67+DAiMpabGY324u4vyPh9+ cgkWw6qutmGjaRjt6LGbO0b0Zf0RndgmyMc4xMc0ib8Yf2np/CmtTiA6zigF4JQyWDaAu38ZsDRN /Me2ZBx4K/uc5Sx9ienHiheqvL6HJYMyL+KydgI8GzTm1m/SAIwBaWStFvCLDSQmRAn5eleAl/vD ru+VQUsM1VWFQoaHrSOLuCME5n9HtiTvN0QhDJwxWHUpThOKXERV7a+RkIk6bYCHb3KcezKaPCKr KH4ni9apfvFPETTDxP03dkP5tlCDNyOKxE7T0wmNFmAPp5bqrFh/bPu1fZAsmGIv+fTV0R3iorR/ r4d2yTQw0ZFt7wL+YA+qKbOvLyXeE7nWZGdOTqyU/iTpE717JjLAH3jKat0KRkJmEHBBalYjdMyY Xm1x8ocJrvG5vFlF4iKhVHvY1uPw+6tC2XUCldTWgDohUNAJMP0/7c0+wMLIedTN+MCoChGIxqsa 8VJRdF+vL7AaAvje63wZAg3dRnHytrByV2i9yYnQhnmD2nfZXoISELQnTILeVtEx8nfJ4lfiZ+Gr ARy0s1DNf65lKWBX5Gh8WGasWzpj8AVzTAcfOCX18Nz3pC82rAyJMxQrrzBNGhvEKY3GSWSAIJlY wDc6CgTdHpeJJq349gAhl/9rf8YnU6mZiWCcNa62sKxlt+8Mm+6cpJcxIBLzVChWjGJqn7PFpFzz 4UD/B5qwn3TXl9gWCPo+Q5uVKinIEmdNeywfG1I3jKHfTB7/T2XfYBaoK91JU9iND40b2blTIlXI EGaM2EL3qtPgL3/VCy1dA7qq/wb0kBMqzW/kcAZibEzWk9gfQud/1Tr5PWf7EBtOBBraAsnqvkSQ 9p2AL12Tf1DMMjIAnhVTdvdpraTq7oMWCyFU15t5lA9piR8Wh0w2MNrGDyZMsdGeDNsTbuHUgr1M Yvw9mMsVZzaRcN6j1jNe3A8bnUvlNtXFXsbvfZpNa9BU95t0e/B8nO/e/BqgIJREicpL+w/YBNJI UP76ZqcYYY9g3dI2MrP94NICWRIltmaFPcfkO+wkFha+6MOfeD19WL3XPjOhl7hvI2lTDzrnUl9M cgKy7O2ZcUnyKc2Ez+fFJB0TsWWtGsAlnS3JVcLwHTBnn5rGjOFxDvimyegEJcZZInPVWPOOwjYz YHOwC1nUU9SbSK9QZ20yceh16W02Lm1x2GSU6dFF2oAGqPDIcOn99BoN9dV9YuyiCS6Eq4Xpy2gW tICLTOmAqh9IMNFwglMaazE52DHyFwWibdTfJr2H/Ca2Ct0NdU17NVQnACucW4lF3ItQTj8VPSQs 5LVRohWZAnn2DR880tC+o8kyfgNQKoQH+tN9FLIkTsES6jifw++ixUpPSF9usyIIFQEalEduVfI1 hAY9IBmYFmivgFQ/MqRU8q1umUe1ARHp5kQhTzzOcsELUhFLDyhttSAyUsBZp0+NXniq3ut3CesV O3frDTayH2AgC/pD18NaKul2Vkv8LcUh+8rp6AuBtFt8C0hLwUZZ+hd9c0s8tHVZDXoBL+SkuqbE PfguNITF3WpCAz8sb8b+R+CkWtYoXBZ1m4rLSHDwD3DQa4hhoylwBzcAmEWNcMxsSpY9NYYKVc4y m/Fguv9JSyAj2VshSThiEWFmJ1QeBEaaBP/m3fd6kijpXFQubZVF2skqot+V+vjlFlpP0dA2iZPF ds3EoSjgFV0Fa29Lmq4tkpuV0cDr6Twa5ZjQDgdDdTdCK+zVdu56jeUVQl5CEr78U60Hl4n9Fhlo G68wupjAClX2NqBKgXPAFhVSdy/I3qcGEboKU8duIuRfVdEnmx/oLLuGD4O+rJ1HpI/zr44qLXIy b+Ps7GCJ5i2EEF6W8zTj+4kg7xH7ESnmLwsORD71n1s7IosLAnvdAevPAp/dj/Y1Vv1G6jPyvriZ tQGgkaqvxL2k9KQF/3KH6X8iZVszzYSoJGq/HF3iPqdJwEgB8dDyQ+QkH/R+6x4LFemQtCh3PxKv /BaUnoHoznx2k4tZqz36DjNSaU4aHNZDPqCU7oh7dOAuzu7HrK864iQI9LfKMUPW2X1zO65165hr hjRR1B3kCo4or/UKawdB//d+S1FEw4k4Zspk7/hFZp/GZ9o3DEfcmpixqfr9JIP5j8qecq23hlAW mKZveWp/oIoWlNDgHB6wMn3PKPmBiXnrdSK09nfGz/HLNd7CEaFcn49h/LItQp0qRk4n1ZVStQJe e8pIjNlxwfc0bZyUEJMagLxifzSf0IfaZcS9PjfdTSDY74JwHBg+7K2D0CtJc8Hnbn0KieNKDJnD e6er2HXjpNmRYQNQL7xESEqK45tBn6YCy8RzY1+/9gXyLOM47SXpliFI9iE4F+ha1prrqGMtvVFi ddG2onzjBBspG5p7/UNh5Ce1DIh6MyJD1Br3JXy6IipHCFV/xA5FjBq/pGHDFuX2Hcy/AEJ0COkt UqmmyYTvl+zIrnRfTjE0G2uPybmOgK+AkvkiC3n0ZRlPLnLZZHDkjaMrrCnJK3goOHcfDRUe7I3D 4lWvWXEtTrcF97xdD+9Ly3GCO7nvoz84ejCJE2T4sPjF+wIBnTIwGmzCQ63tasvbj4beXzKGGReG dKN3+Lr67+U+fUb3p8dnnEdigAdKdI11DHqAOdKF0qqLAZ+h7CHYk5sF2Gb40ydSnx2sjiyHNPk7 SvULwATeitnaVbBGTDMLPKiWyEiCFklp5OJqX66a9gxqOHx6AVb6juzGLMWeeBVT4EvoGqYbawSQ tO2c0J/lmEE7cT1vbDiPt8Jy5Xypv/Zm3NcQIGGwHm3NPWTafLu7edVLls6ZI4mDJNmGTvW7f3zl ICrjf1gTCOx3K52tNB2BUi+/OpEa3kDbe4IbLX4O2Gfj7z3v/1YiI+xh0uCUeQ7Y9NmW4D6bUH72 Zbcw5Md5rTsl8PV5qr1poDin2pjwgcvc9jvJvIrKBRtI9/HlqrOJ473pB7rc/dsHqN/Jokq98ttO zlpTEXlR2wy3dtEHSiHXcbRz6vmWP7r94doHtp3+aThI89BfAEbNyA3Ggv57G2dOnuCh1KXfZg6K gIxV/1m8aXS4328bE4GME4MtQ4wWU66ywlbSmpipTmYAxRBFhTdchctG3Lar6cz4MxrIMQnx5PRG fn9lLPIYxCSQzBAa49YHhd84TTP2POxmvTgN8Fds7MfQOTsz9Enp1FHI5H3ByCUo8XfLlckFaVeW wzVctHCncH6lV34WkI7pLW4AiXpIDaQA/VzHVbqw2u4/5zm0URN2vtBS32w/dqOTJ1gvCfvWZqMa xZ2jdN9jR8gqfyXWUtoqGMfCLFzEFLrXLX3n1Wgkvrlc7lM2n7tV/qmrDGqZutw8UTv8yZ91D1W1 yAcQy/SoT0xzRJAqIQ1f0BSLizeMtP3jVZ9h0nZY5+rIZI5ZtTOAgUvAuMZvyWUzV0muI2DCkovZ NHyKi3nKnL2IGPGgjbFdXIUahrRh9y/csmqUkcxqdmZWnLXt2m8RkEflpLGHSHaZIQMD+poHNPwb CMTwmlr0sq+Ije8nB3kxdcQolyGEdylPi4F+0h5evvfYfvzE9iY3dJHLHk5c6cLyG1ZsmBvNAzY8 G4NZdmKpAXdVhjKfYmOIU+ouwa+5qvd2MOg/2BPQflGRZDzXpgUxN+xTCDdTwl6o+MpeWYqcyeJ1 Ij/gN0KK/rV2KKKmkPuMgaj18TkaNSrgo5sTYcxx8rd9I7AQGpngi61AaEAnE6D4OG6Vh51waXPE Af0FStJFhSR8I4tgQW0NNWkUCvu49KQ9yv+gydvYu42y1dK84wXUN9TTglC0ajNe/j7dATysRyHx E1uvrnQHGvGB9dOrxTRn/sG7cyXz8bMJ9aIyiGqp0wKHTRSDWGuxa92LMSKVZar2IK40n5aD/fkM 6EqJfsLEaE0ibVrTXEHB/H2ITA0WKZ9VSzNb2mmC84XMbhCg15IOd1TJb0gc5S2ih+gpewplTYj9 ihk3D4yjq2urfY40e2GttOg6NWKpRVIa6x1ouB10eux+mdZCdLLiH464dq4RvISfIovLI5GUfTw/ x7p3uXojBiweXJUCQ+jdAsuqpjmdRbrNdE6mlbq7aeHxe3SdiBo5Z7cZ9t24u8Toi5BScTt4oIeh dw3dDAgF/vDbCXb3ESq1zrRZQisrUqfKSnrfHLioXs3Ad1GC5OieWSVoX1UvDEddBtux/7M54Y2Z hP1D6sS4Kgx3YJ845hGYAN8OJ0jYxcDDyJUvytPYngVFt2V+ZWOWytCZpPlY3aDGJ8uW8CpbTEcp EUvDEinNbTPjcan8z7MdEWWdMlZY3NhCb5IJZRLTFTw6b9wzyaV20LmEBiYhtg7imD0VMWR799iU k5wb4ePCb/jSYcoazWdwZxjN8+d+1fLZ/ysyERAqLkBRakbbhDbP/qzyK/H6QVRdJHbRkUFmdgCN k+W2h67COIGeK5Fp0gRFLLdz99obrUgmLUoqQvqq3capkr4EyMjfdcBx4yeJAUOnhbjpv10Fuwes iiRiE/O+vbmuYF422XA3ESGRYMFroPM8byxOXxJs2mfg2C3q25S3qtWD/Yn5weW1rmzzu9m4kl7C MZkLbUemimUSnw1gOVlZUiAzzszbIFNFE+/V4gEpboyGp8GVEFuGuuRdvf4oCk1UKslZlKVoRY4i kXBBJii5F1DaawJRzV8Bk3DKI5eZoBKBvJ8SpaQmC+1j+Od5TxewoM+RIQiLEhHNGGToyk8g7fxH 8Zsaav/hvdf7umnOQM0aDe6E5v8xNZmWpdTcuOzTM6qTUrQ9k8B1xB3l8Fxdr4xnsAw0v6byufX9 UbiQ0qVOevD6ayxSSOfm0wUEz6WEF/tBFAqIHY4H7SOT5tJ0Ngrf6eIruiVPCS6JjAPAFrUsBXZ3 EKDBHnBYh5oivWqLaeQwGHajNMotKjn4CaUpcuCC11bJUGAUg+k76q6WHXPW7t9yOnGpVawVNtme 4V6fPCG/Y6mIP0Q6QT5T6LFLMBy1V11ppqwcBMCWYv8Fi9xg6M9B1aWYWawHIm9Yxdi3PaFKcRa6 9liQQ+EdHY0Qk8lHdFVnO7W8ptrxE7MfXm8fEvrrCW02udjszjQt20I/KtsZ0vCMzegZKwbJHVVI UrYqvdwLCn59oXaw2fzEi1i5ZM/FiBkKPCxVlnWljDlAAVzsJAYPCYrU2L5BIoCqFDFCYRC29I2f y2sesP9rXCwffrsah/ncff0A9ojCTjp4HT3k4nvwDbmbD/Uk3/xCmYx3qE23Xj43Nu4AfUl/9WnE 40CTSlk+o4qmwNfaHA/70lwOTpTeETIJt6On3sa+rGDi/kZEs+5v0O9KAxWq9ORZE2eeEvVyjGDe fZ94ehM0PpN9vSd0RKNYh47641cYeEnWKV99ad/kU/sCcJ7Yviudt+Gsxqp9AA5JSRe4GPeDXS/E h56xPAH4vUPoSo7YvFl88NwDuvsbbgYa3Bp9RCZ+ZWicEYgc6k4JlZ730flcDnxiJ3RB+19P9r4B zNRKmFpMvMXEIxAfPvwLZJ567hehy2PU09E/dkrsJk0X30IsGv91tFwpnl4lltwT/Fgo09RqrLI+ rfyRcArpH/b+aPys7tMYtw3CDzrfZin3BeRp0AFueeV/AulypxDjtjD6s9KqzoZwHmqZyB34qLfr CJQbRjaIDSo0O+/d3FTk+89R62+UFnYpqafmcEVD6a6kJmbeXuvjfdR/jCJeCUTtVUm6Mlgs3Z1K ZX7dbOGSV/koPjBgk6uhOB908LoJcXNG+mQphvaM/15frBPQp+jAKAQUxOSTTEDw+jENn/6/LK8D TnJiqu3lTM3xsGR7s74PGvHKxlXOhgs9sROLEZtEHv8MOgKUrgqbkvJxC+1nLrHYQn1s9+kGHGQ/ O/qUFOk5hJ3nDgsNHIrZHKws1JsunwOUw3y6PpS3qgWyp65d9dKQekosNqs4G6F1S791Lom2HX/J kOd7gi8atGiNNikc1RZ0tmNI3M61TFWYaP/MEWh2Blb3GCo8XalaymxZwvd0VxjDhHx7T0DFhzfi Y3rE3IyvP8xmhzXDzy7jhoXdzMX6xPLuwF93xoeJAw/mqOZPlUGB4gvcQHLXWR/LfsrKFbVHxuj1 llFEXTOS/ERxPZ4Xe0IhxB8DzN4kn6qrO8/okCLs8xU6di6ngFPMc2TC6ijFIB6Me9LK2v/b4xll XWNTM0GSodYRj+tcLXPBBbcLImb9e1EjbtWeKLaegRILgQWUsgVzWAabp9RjXne5L9IL1nMMRvHW U1zfzkXhjeJPdkot9gEjm4ruzUEhlR9g1p33MjtzhfByvrzPRBViH5hHx7dZ4yx0LHob1gXim0Rs ylyn08BVuLmNXLWYIJLce8CWstPL0+4+EzFlk/VGVRTm+tnCPWQc/FVvFAsWoaAB5gvo9Dr89bVo MbE78BHOG5OVtnJaSkr5PLnNv2dVgU5TPbZx1R2K0GYk6DjoRKiKmODBUS8dhTO0lOhyTcijlK5t BaMHnf5Sz42jkaAHUhF/zWyAHRuznRA7tKvYKkscnyya2JLx/wLChvRSm/xdDtaErIclyPB8qdv4 F63Nuzo8wOBf1E2Pap3u+50hGiQqmtOqvlQLvTwEKx4M6SnAsBPrDhYRo2Vm4DDl5EEddrWjvSBI REhshpNYfvb70kEwEvXF4fPd3CUyeVjd7NKq2381tzwJ+JcAjiquqCSn2+YRMNR//H3yTWZvi4Po sxX2geg7Y/Qi2cPGIupM9Gq+BZpLNxfAyRDiLpmHosjGbVtFSW65Oauc7SC0uT9ms59x5mRoTXgn jvh4NuE1psNMu1/GFYFWby/aIfEcnAw4XoODK7Pvu0QNnLVZZR7DCDWzOW7B1/luJu9+qRQjSoVM E9TTvnD6A5cxL/wPCxu1xU0JI9nA3SoFXvv93b9+n/js5WUoon4NIUZScPCHO8Cv0H8PP0nfaNt2 prcc9K1aYEHTy0LGmgP/Y0mWw/rng3qTEBBpB+3tJ2RY4nv+lXbj97iwKV3cDHP5nleA0kdpu72W yzygehPeitd8CZEYuLR7V2Na6eTj+gJgjm1XE2Zbrq4g+ZWvzbCPNB5D2GOmyqnzuTf5lUp0CH3n 9PPayA1pxkwW1LrL6esvHj5aU11ucY4qRAZX7mx3Y9Scgvb64DP1C/f4lQLvjfkhl5Tkfr/tronA ZMhZYSnOTzqcQdINE1tNZ2L/X24DfhqEwieW5sLWMTVsHwzLYcEoUn70ny4fk9RK9HFfA4lY4qt5 mOWJSZLyI7M4E9Iy/hNFnP9bR0YczAz+EPX4ZwhTEHrQ+e2VASCAyDI0I96+UH+Q1s2OU6kzX1mC Bj8S0RwALwDsKSYVTuiwu71UGEM+vIlgn8sjjSQLJxUrkpOvH6N9iCGinoGTfVRdAI4dGiNi8UeF Lq9p1P41lP6L28j06bOaYYRkHOXtqPrFpfVPI3DEt+/olrWejMaSbx46ntbZIIYPj53AaWnZKFps dl9HmqgikFJw6602waiZMYdPJbrvka3jr2sTwz6cXTpPM6epkRvZFrz5Idk5XmhjViJUkJdVXzTd FYuOdlFAlpHW4vr53aetL22gc2E+ycq1wHWkmf+zXUVvGdsi4oHFBpnD0s38TqXn6YbI56zKqW79 Chuz2ioCczRkBXSWAc7SLTf8gUl3/ACuvZ+1pRoEtvSGGDWak1KdZPF0xj0KLC023Srt1epXWNVZ Kr1KcG1WmsB4hVcHbybji7UNqx7ttJmRPh4Clg/rbTiO0yHZw9x5Yi+ptjMxhyXpajOo3rMe8ZE/ uGj5Ndk/bfcAb/gISBjWlen72O+5pj7LLCNdeN9G30hc5grJ+nOZCnkZ2bxwfONuLYJJURNh3486 oenOvUWrk1dWsrJqU1mX3s8D4upjEGM28GiSfYekldqoteIiM/FU62QXxAuu5UClEQrGJgd6iQD9 3xyk6fPc/NYUUl2vSLrkpHWXP7SEz02aq2aZrJtysgqfcOPLTakbq6kQbMN/Mf7/7gAVToBkcG0+ yfMWPbSTn7vcMWYSjpQcMEeTOXCXO1jQWSS/JsGXadFW/VPSu/UKfLucVUFm8jPl8oeKYUxbvW6E VM/JDQoQLhutm7xnM0ZJwhs24DC7OVF2Mbm6sJwla2l6gL/HFTd+5gwSdrAS8oUEefpRZ/r4blTF K3PpcILYs7vDN8Sq5bYtXVM2ceTkHXTB+i5Ill/W4GJQ6ft7xiVz9/eULZ6TqzP2dFLtLwRA1Vpo h97oi6qbG7Zho2Y4YK/dov5QDJa5yufyI1wu2r7Hxp9j7oxBDyjopAQzkahCkrOOQUsAwY/5cgQ9 jftf6c1U7ioc2IEisyMXfRPmxRH+NH3WLp5gf3FIe9MMOfzRxexRy67nq1cCpayNsHmzdo0hdMFv 51sE243+mQjKdQS3yBDRJpaKDZpek3eyqugzBb9UOj+Y7jSX+xTFGfB4qUgidhgdWRQv5iTrhbEz 9jEsRvYtsHKgjqpTbFKwCDTzo1iKt2U4nLA0VyqOpVYFKVQSpGD6o6D860WlOK9mAi8cNAzxU9c+ r8PPRwsrH1Mjh/fUHEAlcJ1a+MQbVq2g49y2m04Hgkr62Rj4/5m27FFvsYJAvMCqWSwDnYXxEWQy gCuyjdBt7cbpezLIVxczzcn6BNQbe5LZy9CkQc70fkdFjVU/8pDXciHSsKddRnRpVcbRJT8fDKww JHZi2VSsqZd6WFPMJpOz5kGv3Y1bDpaXhXPwPAbIdrVrLwiKdSm6K6hteSGSSts8+rmxz/SfFak1 Aiu6vDI4tQ6+xW5ALIh1pXRqZ4u0SSj01s0UykCxk+VOHmnWBfUMJotz+HyjjhIx2ZSvED3OTrmC kbJbmrt0Mn5twg05wZEQ1fS2DJyd+1EGeE5bVqN6MEF2UCSDJYkc0NO5Ai8JaA9tqkFI8JNWFt6r ZmL/eXT4T8T0o4MHewz2cpsHxo6HuFtRihKNPS0yy/zeoXvUgDCWQ8NOojPz2M72HMAC41Z20tb0 0U7BAgpaSrk+8og69xi1BACKoj/MW9WWxP/SLtSaS9dEHdwcvtMmmCYp5LEpsfX456yNaf5/48KS 3pdm48XVU6BcDe8vSa5iK+dV3Wop9x2mDgpwz4Aaa9Tm4/m8WbbpRYQJb2ensB0FlCwSscVWW8j5 lU+qB0cBFvfCvLbTRI5w1CsyFLrYZvsgOD7nEh+kpQiEOquUUsBW/XNHh/3NSIXYaIQGUrJseSz7 4NZuy/G6vTI2kSho+pn6l0lu/3KaZbmxSfHv1Fmf6UgB6CQfJmpFhkUWPixq+iv4F3djOpjoCh5c 9V1Z1lSEObVcu6p9G6MsGj3adkVUjDlVqRwgR2kfTI3SRqhXA/I7fqLrgMjtxWRlYxfGcFq+0pLN eUIegHyDuR0g/1bh00XGvjrCMABQsZtsTLBkHKJ9XJR38pR/Wy4sGBI0tjco/wYodOTcSz93pLCo NlGjwS6bEDz0coQ0Zk3ouiCCbhP5S8xHDkXvLK72nKOTsoWmu39Uv0WermqxqnVBi1WI3gjPTc8S Z41q63syndf369IqR8HnjO/jaJ1pJt/B0WDPXhrq+Zrjb352QG/8gUA6L6sBE+WlY9R7n1BjLUtr JSAyU+dfojbNWyXcSJvARtEkbQfxlUBgmcWPXrLimhTNl3UJuQAfUgW5C7ZqiagmwUc/LgewjHhT yYHJVJA7wtRPN/GSi0kt9U3lnVtCfokOsKeivNW8VueqDKYGC+4MQ9y7JIuGhgJ3O75RRYr5YDuf 0oXdhixL9HTU784mF2AIolMkGJTUW5YJfe4+T9KG+QihjLJKNK2A/0E+bt+rgI5cZMxMOODy8Pv2 ORath4syXYFA9wuHekmKlsD4Ji6hNvxq2SNNYNXn1a43dcpAjHEPfYEmM3bj4Hwj0GBYRJ0c9FRE 7GoimI1M3s0PY3EuFjRERIYbMqkNnmZ62HTBFpP1JCL4ix4+VcMo4EFr/RAUbja7vacX/TiRO/UU e0hXPCRHlfkwS40YwVNb8BrGj++ZGiijBhPdPMMRxLSQusUcwUm5VEkODRn3hqHLBVMEzTnlYgMz dYZKfWUjh/KdQ0uKeXZSiWJYZGvibHV4OUd0S+j2tbWJhfyRDXte4yfu++eZsdzMMzZ7t56aUmYq j3LntBvzVTQCndm2J73wLY0WzgZWmUhxnAj2rG+rCag1CMNpZay1F53SiIyvOaVOZlPQzwb5qV/5 rPkqu4cf+1o8UrL2Q330iXdNBPBu1DxDgzZjXwDmeRUVjylHzQdNkcVx7DZ0gFr0quGYQUhaHspk 5vtFmaEjBzNR4Gbpooj4Qj0P11AsdCkYbGVWJUMdP/6bGb8BCNrYJof9BKlRdwT99RFTy0c8HJxR qKil/nCXrVxvKIMtM7x+YGHVHEuO3YwaG+WnIa3ba5u1eB7roHQ1rJ0dise3Su+ssM3PhT/YwauD sfFcO8GxcYkvz1c7vYCkIG3jzT8JcqevdosPnJrp17IYRzNzi7Ao/sOC4RGfzrkXILBOxGUYu/8E O2CMIktkfWvUHznjkEDE/kW+2ayM5v1nXnbZW8C7AJLt7msp0H6dmVwb4NPH/dXZFBXwhF9NlX4T 9k7feIMGLIO9OgMV3aTrflH/L96aObIU9HlCjGUXPdx9Izr0G0PgRsoUzTKDkE57wHyiZR17D4DI vySQTh5Ggydvnn57W3MYrMnf2+4tpU9/wcKGH6EvwQL36pMj2YR9aNdg5MVZGq1f2yVEN1qNtzKn vewDk2qcguC/MCP9goDFd30uT/iunxLaNfarCOKdKC2Vu79MrtY/yHvupHwq+fTXwvFzCE2nUicc N5Rs1+V5UYtu2A8po9pTHFN1MVKmqp8LkYlznsJO8edizDH0cFaKaSX1kqaLa3r8ehmw+mQLlXNh 1fUVHQoi2BfaYDUnqp4KbvQGzxzzWCVzulnR8cGYKvr1xANt2KM7e81fut5BuoSTwIeiITPkJldf NLWGZncdQJmlGYc+gc7whlYncfuJOte80rfPTew6BFTurT/cHVQ00+vsnhX+2fS6zC4KEYh2pNzF ZDNktvYhQQujz+zJmnaYRzmJReZL1sVhDu+OZdtlNHI/2IJnTs05uQXtXEYLc//QLoZMdK9Xzi31 U31UTMlq71A/S9kWJHi1doIHtbumpMCon7aLvhHGTNOrABxlVMAcxMFQjGWfZu3jJ0sJuxh8bYbw roA6Ts4OVAjfVfvFcaYAxIRVmGLCW3RaZhsjbV8oZxydUBWTFcE+jPyS3/3wIqnkViFW4UPfeRGw q/pAHBeJJNIDVZG4owOjUWcIEaS/qVmpbdPT1U6duIJizDStsBg6RXbrBQKS1Tw0q6PLKKgeYSei xOqkPZMFMWRxQad95zU4onfWN3x3kFQ0dHRL5ztited140De67nPEBejKvYlVtJrG49LziYAkTyf WSJEqK+OqferhIPLyL8/YUbvxiEEXgZ7EQbUey82+7UdnAf/cAgAb8TUUR2GXrJnqi0Sy+k4IMgx tz3XVQ19RH4f7szCCkd/fjbu9EF0IzTSQ+hZ2Lay0EmNenH4nNd/bJJFUduRnXRLRmVmg8JU99iL yS2JMgVQ0JLNDnSZSEHn69gd5e0EwKLvX9FPlnrH/TNfB46h/a7941552wko3ycIVoHBvUfR3vKO 12x5wyF5cDn15jAlZB6HNj9T3VXbqDZ3FTMQ4+waZYvMOUpaHG5v5+KZu3Xdz3kyU/a+zhbdeu6B 8AZkj/udEPZS89sp8NkN0p7AbxblEvkMbQVT3ltyrZ4eY74dti6qgWzRGlW42PnprCZoLUyGCIw1 ektwybIWZpZjTeVrYV/IT503GeSSMKCaFNjKVCvQtP66ku6wlRk0TH2LRqBR0tclvUEFwCU5SCeC cKGYcip7llvTKdSxh47ANgPq3pOU1oIFDi594xdagxILQ+ngZzzhdmJBrez2KKKFAVHn6frjVM50 FBuEWIc6BlWpc+Fc6x8XGYvHbgmxd/XK+FbgjNuTKEvBYqUu7HARIJznU0yjyOxcn3ahQBE9vHWi Te69pqYiOf5bVQZXvbHAbHuNB7qk5JK2GX+Qt4M/LrXfgO7etxuwnVdrc72qOHTRwaDj98aeBEGu EkYmHSLu/fQlD0z0zRJAIRBSSaRPNlUKuVT2T8z7djpvCtdXabA5suvS94MIfaXvCjEv3GX/kFxF 1QJHql2YNvaa7LJRXc8/0ABRatoJOWjAAIH5pXctdZUu/r6fuS02PargKJaiwcnbqUQ++aveFGMe dG4MlfqG+lWsEVrvqW49jpozOLCpKHoAkto46gkSKhVE2fnii/j50zUOVKI8WpEf0O1nHPDZpAp2 jG2SGTQJVk8nQNzQQ9eDEEo1FQAwC7cUgoSxo3aNk1DRPs20VElvobJuS5PdDBQdvEaeL1SnChjp 8T+Ouodc4G447KtKPwT/Ysa4aKExpEjVhCD9J+cYmFEod0ehtZkBRoljm4KdLd+ABXdvHVZTW4vh LXL+XqBDTXCSumWbdW8+FXwqKWSQesQbO5dp39JWqL2kNOIjPUHe/PCdudrBUTcdvpr3puNbP2c6 WG9a7enQuwjZrl6Kfz/8mSBidXpI5xCJsnKQ99kRKJrV1TvKK4FrVsre5sU5149PhwuySTeodFsR jSOwhYyMfXKbMt0eWjRbGmdg5RPEJbUffOgfQ0hWqj862dI/PPku35yX4PTVsgfJj4tc6/jUdp0N sB3LXRFEvu8+1ZLXf6GRQNQoEAZKDyHi8maWf7GzHYgCRhNsT9u6LTSEkeF1O476V8iL/kfbFWS3 kho+dIVHsHeXYWznSqhbRHoijfKQkn7nH01f1yfwskAjLlnoDxc15SB8Vo44RjVICn5P+uOkik3m e/XI/RIFJm8U6WYe8gxIxG8MyNWX/07zRiFXx3xYqbCv1olScKk7XDlN6W2Ux0klh1blUA+s9so8 2/LbFUubapnLYsC7lTkJoICJOr7ZXUP/F2PEw9/xtdvZ2zRZRotByq2/P2scX/7IFOIr6NWBA2JW B9VuOk5THkU+O3cBtI4gFS1Y6M8tLpzVZHpvjqa9uEncyf9Ec+kueBmed1Oq7YprlAd7xiNW82pP ZyndR5YyF6QAoeKep14G8tndNm/YDAUZU4/GlwG/vco4FenPzUB200c/siEwVWEdVTwaauiTKP7Y E6mrdyjQqRcItvqnIvRRXKFSFWCvaOah52N3PzjhBOuGqkXWyK/yPFJ/UQg3cBU66K1zAJi14oMZ lwhAb60HZ6tKcLj4XhpfS46Nk5XX38R9GcpLAhqugTJ4o8zO4/07GhhZAwJ0+IlplLWH7stgdOPX QlVxEbSQi3ZCL9VuGqN3CRf9j59VUBgkT6EzgnwNEXnz0L3TNqE2F924qSZWYt2Tbk5GPxf3nCJj S55Eb/6CwhYmE21FWW24r3RXCcdNEFwnixf9qBCQNMfpdm2sKaq9i0dxUsfli3wYNR+7plS278CS Jfru3CaNPJMvDoq/NeJX2DCnRubRk1TT3pXVq77BArf09si9G69A+enKIzuDT7jt1VIhYpMtGU5O lGzTqe2+T3nnuHaD9IWpK4yhHCoJ8w/JcZVVdblhI4eNLjIcCq8bckGYYxR+J4Vpj6A2Bkrc84US 14aUQKhM9O0G3SUffwm/OLFSdNMWKMMiFSdT8ngGtdeRjP4SWlYkg81RD2BD0yiTDFHGlAE5l8fu D5m5rE3SRc2vSshp1WIrsyOWK42xCQKhz2+qRwi/gnVXr80/7tSfLhWOUze8xtN8nik2EJA15Wyz 034P6cOujFj1fEFF5cPqwhnHX7kIXW/iOTJX5lrrz0haQepfx50eT3qEYgvpDOEbba0WcS6YkXEB r2opXaptu+sHovlUxEvwM9hwuEUXTv1nHWXLDD4SqCDd/Dvl5Ii7DLRNjWyrr/5QBAVsTmFO6ByF UI77hGcBqm0pcC7aycd4RKLmd+1gSRbqLguBhyypAts+WqrGxiXLDNydHDVy+n0/LBLp3rwYbv1H UG1JA5Qhwvj9ev9GWORXD3+iQUKqu3xXxKotSDHFbteZMNaBovVWSxrpY7+dVya1OS+LSxlA9r2M 5dnEwZhmA1+UcDu4G8uVqgeNarjmE/YUUwKJDWDLk3cywkBzvHRIjDJRgo5OdmCNOT8W7WAyKKi1 BSmGo9bwx2hcbHmsEdjLyrChi/gVs+ubiuDO0gm0g55vT6Wg3XD3LjovrpMVBI/uAjBo9weCzmJp dMDeJQ/yjG8vtPfHVnt6ZZWl0aq6sDuIwsuP6ZHJLQk8wNFeXZWlromheCU7g0lrYLMsO2RGWiWr b39+qJ3y8wVvmnKUxamV14qC+hvTIR8Km09WonbaiPEinxJJSfN4HNdOgzTF9Yremcz6tDLq/tYT Vr16yZhke1DmM93dQlzItf4S1GxGFiklYQ3Ja6p6V1ne8xihzJP+EVEwnr4BRIkIrTbjoRskR8Go D5Ijrr1NiPMhkpelqyBzyZgGP9FxNQBqQNgSqU1js1Xmcq7In5tQa4z4zHudUNs1ZkaR8MWjSpfh 5JcRaQ0XczhDm8M7CFb2mWpDHy+HoNWDRfgu3jZLZWJ0xQ93+35C/Md77C1RyZAceoG3RDQQHyWW /5MXmqAALP0V7L+wtgqKmJ4B20owsNJjtNeluxFg9lIQPg5iWe8Cf+iYjTlQXDOnD4CRlXqZj8zy 5Du3ttIqVzN3vGIO6GoQ2tB2UZ8gN60eE0NVLrJewIGxlHOuf7HIiuK8EPwBhFWaVAAOH5oarL9v i+cFJulNzRiiwkFO6QV4nMeCZZaoXJi8q4Zf5sbaF3sA4Nxn0b4YI0LTQ/cU7srf6vssWD9y4+KN 1ALd9ys5iZWEscaktsP+wYLrXST2g6awk041ttApcxrR8UxVVEp+mCUNYtjsMsRhsrAKCcvLnvdE c1+dIp07KFaz1f4g7s5ktoDnj+1H5kE9LbG84UM5T2+CqtM2/hSr4utpFJsR4s1/PNSsI7Y1Ijmg MTzASKyMOvcPt3PiWnb4S+QycxSqkdeVNIzoDczIHAGLeVchxaWzdTyQxluEyeyJJVk918mNtBuZ r0RBRoP5g4rhiAMbbjp4JN4hszzxAk1ONMscpwmHCitoq4Ertl0JcNxu9UE43wJ/GnYHZGQTOqYK A+U/F+ieTYAahXR3nS+xsQHoYnZD9yUaJWB4q4F7fiUFcwe21ZPL8i3bW/10fEZIv0hu3qACpaNE YK8+6pK4Fc9I6xKZ6MRxyH4zWX9aJ97t5sGT7qZa95PRcZ3VrFbltDKac6BluHYhTApfcHeqqIjg hq5YVoTkuO2Te11AhI16BEliaQ/zkrWiQtpRUEO/Z8sqtPAN8kd090DDouuiadKlfy2RLhJ9d/nX YwTT5cXII+q6tNnJSUieGuoLFsN7rCVj7PWRnQHmf0mZpif9ud/Oa196rfE9VeLQ4CB0+W132Kpi ocwUXKnQmV5gWhVkZx+IEbvJ2Z3BSIm9qe9s4Ix2LMUIS9ssk7oxl5trKX+pJt/l7MCntq7PkWdU NOEJHXyvzE3ANBz6SMbTvLKxOgmkxspmgMuM6cB87cKY4GtOSrElWzYU5PAzU9YD37WCk2Wn8kjm /ujRpWl5TaZufmNuHrPaH8gu2D14OPGq2XXrkGpu0WVBGMr7a5NpszFiu8XG14bDF5+XTmUingEI A/ccnAJYgGvgSn3mh7OKssv+ddr21iYQbqNWioQhP8PmLZ4GmH5zIDROsq4QCIUnwsmO7mA0ecCI sMuUiKU/EOyRIH9x0EkxE7a2oP6lMgQA0zj9WYrEnFGKQasb76/a3CYpEKlEbBcv55Z7j041O0+x agus5BwCnr0HyVdyBaBqh96K8AcyX+w0z6ucdaEdrgi2ig8mT/f6wblbAQtlTgdYssutG9X2xd4H i/9IlliJbjNsn/HWXt4mBCuuy4tdIDXG6jrG84dOJKZkZgMNFVlaIwG87D+OtBeivwIgmPZoxCt2 7WwO9pz0DALOjKsfwFRC/Ygvtk7CfDLnbLvH/nGWETSyFyY6QnjU4pT8Z6uej1kegl2yTsel1cWO vQXjUXV7Fu7KQGzL8VTlghGOdi9/JYhgaMmERJiGbPB8IMwbf3lWEWfE1BNnUBUSRA+y3qzxFMGN 56YZUpsQQOfNLKRS2M7rJNeGI1wb3x5w1aIcgfwyF+xmcr8iIOUhzbgW1AgctYshBe+f70Z2bzSn HNZOeYNXbLew1+qVxAKK8C2PryKELJHsO3dJ2r9J1GafaAUbFtnBb5IX9sf0O2E1T3cyWVNpTRQn k1S6THBdDir38H4wN+jzsuwFfxm+ltzol5TYKRtpwUwF8fiIBAUGPtwZ/hOUp/gVLglp1AM72vgh NWMWMpdWF3yoqg8lnWOl7JbrPu7Rz2JHkgi8jBrqywZq8lJ5Mu8sbETRNz8m6HIKQHJhRREEUwLv U18ljVZlEQqJit1KDLbciD5qOBaJMz9bE8iaJBOScKHkj4guVViD68rp5WPyDhWkS6YC6SJ8IG+l NSDSkE2jKAHXu9VYP3jzgLVAhxb26VmmvDWwjl2S17NEJRHbakBklwh6Dcg1kZ1vAKsko9f8iYSJ sDs3quqgaEKRRVIBBM7YU9HTX7Xw2RL5in39fgZJEndtyq/YzgAWZgDNVmC3X4jg5cTfa2ncZyYo sNDzkQ7mTF9y986yKEJ+2Pz9JUsrG3M/anX9kse+UvMAazpVWbhUkszZInPXDHRlJZmhhH6S0Ke6 loUF1h0NnxYdv4aJybJWECzCS6tkwsIcZtQ8CCX+g76sUTkWR030BFs3sG6TlTO4LdoqMFoi398f zzeOEXbXU1+Tn7I9y7L++X4gQX4IzRMCkk9GbnlaOG0lPQJnkhde+bmrcPDPXi1x5b5uCROwy2+E fNxIIkeKwV4imoEN/tmO3l6u+8shbTzhGkBKjAxx7NYrwlyX+XMhBc++YaH1QdhrUsGVAyrGJAp2 m1L5su79M4SxqgCQlOXlIs2JQxFf/NRmmF3QXCkQLcqbW5+tLlXHHR+SOC/R55RKj/XIfEGEtXHq W2IqnrlPJx+UYrJLRk4y3jHm+UmmhQ57soERIv3m3IWx1rDACm7D0pNIG+gMP6DrVkpwBxCQukqW cF+rAGTPuFeeJcWlN8E5rY01dYmpBDI2d7DK1ZqxHZN6WwMStJGjX0GPYfPnMyKXYvaV/c7a7V0O jfVHQd41PNC9uWHtRO8RDZxqgPYiB74OP1nIovSjRQTmyffmqTzc9P4rxpTGbx+hcCruPZfNWPEF LCrw4W5wQxcg1lpCUYM7Mtoui0x6DFYrGzB1At4MAxgNheppMzI2tB3ud49Vwe+wtBwtgfFwrdj/ V4TpDnG8TiBO9JHdQhUf/BW73Kwo5rHpQxtbK8bBJSbw/AMECxng16Qxk6YFrrR+zeugJqVpGY+4 YtOs2PPPE8aoGAy0xny91F6h7LGc4cXIxxlj8WDOwb3TcIRoX807Y/onHTA1On+VuqzbD5Av87Yy A3gsVEJNq2HH/rXwHD2vXZOjOKaTzM2G7RWaUM9JYdTeGaoz4gvCEACvoWxTYZRSHWo0grx5c9l6 6KxNMEL9ZvAPSQCqvGmAMH63dQ0pcBRlMP4OLnLdsYXWjXOCYWQU80gJDfMQM/LKMloZj0pDzxPw YH21ez1sMYdIYhqXl+F8Xmb5q00ZuRvR6jxd8rrb/bzmpuOVaA+23clmkg7phCs3la+eUwAz4UsA 9B5rXsem/6y/DFHIUPGKEXNOrfIa2ZGtCqM9sWKuKilVEM1chKEh5wS6bQOvQHcmTGouU7IB0BHC 1csfFIH+TVdqhmlLuyf1hsReUk7Co7VdG/gfT2oiHTx4n4z44bo5dg7DeLa9fGMBXIWruqDUfLJk VhWhHR5X92uZmXkKymLvJ9tElk4eJhZU14lH50vSQDrR1d4LoaHMeu6lyEQ+vV+ze0DPpEeNtwWM 7b5B4kgUbhDI3MCBNf9VHotOAvmo+4MMSFSFKXht11uK3Sjo2wCE8YJymHEDI/QtJC1DUhPmReRJ j7JKEqemgjddsVC+/wvVk1MHBgSMp1ZIHWBtM8uu/K43AXyFqQAKc8ggsslOamL1Yvq2KJntsbRW 8CT9l/vOYvVkX6mKm9CKp2ceRP7/gN4sWRokD26RO10Nvj4kGKqKsVIa0qWZfeiwI5BJUk3fbebW zCwsqYHkV9oUfYQ7nST3nTzXCtSCJIzJGzdCNg9OnaADWW3dBN64bKqThW0SLmW/Vghq35Usr0NY x707b53WFUYQ02fSTo8hkjOhkraH6aGhyF92Aibp8ROBkrGKYHKCJ96ESZXzQXX7qB309DAlxFqK xDYlPwE40D+iVaqb5orWKdet3kxCGYH1TEzNpVwb5sF9E7U3r+CXtFxEE1kFKZkdzUuzXqhQJZcm fzzRPAa4H6iYZVVQShjUWzCV6IWqpByI/389AbIEBiiBONuuKmVI4DLqD0DEcTZ3mwDfXWjhD1Bx UolkZvCyAWF+aRPzKP8efl9QoXih2odea6B5JyjmDNrWNWKwsu45Cz3Kke2KmK+cjX3nH5CaXT3A GoMX6a6wdVncqWWxPC0V00kW9ZUCe2Sa4w6NHHKfYRo6f9yYtLYmI8JzBtsug/VPgbRHU3n00WKp 6OeE14JBXMcjmXRLZsZoAoch2o9p6//sHVOlp6BebsopL3JmE/iUQqAg9okzUPJ0LauoWnqPhivD koiJATXnyKfZagPje1SDW+kRjRPq0fXRzYvxE20PhROK04STxsSECVd/gqU8BjF/HTRp0sYkfDgb ltenyKXq7E+HHCpYvtHNakc8kNU8MHqANLIRQh1HdalxxIQNb3RQlXOdl4X5oMWB8mKN4Uazm1w6 GSocOFik82HHG0spbhSH+R9UYWl0ZTFYFcEgIaKki+ifbSv/VDcTMRpcr/l7+DEY/RdsLbpgju5a /RoKGVUWM3gfKimUSChu4h6oxROpar0gUa1tkrWtjYHxeCk9DPCLYBHtODlYPKbC+6DSQdq6d8yq wuGAYWPLy9HsrZwj8/NXBpHijPxFrXEzBa1bbTm+ofdUTf144qhtauBfvhVSyEwTRWS9AbyCG/hi NqsfrNmnCu076ieleLP3bKZ8gHgEt6bf+SDrWECsa6ykAmaA524NK4dHrb+weYnCjas6E6NW/VsX eEOlGvxW69F2HAjvCQvB2dSOAjrQIQyv2iqeKO1/VdTSevj1VMBEYH+G5CkptJYW8+0tXzWOOs8P 6mgDgkaqaAWOhMMngknO6NWYzPcd3zbqfb3rIlty+Vvxm9vKusln8GxKtI6x1ppSZdghc5a7nhp/ uMvh0LBqGCOP5PP1wjULId5oQ7fTNPiwI3YLWkX3M6XFLkt1vWOMmOXtHu9qWnTM3ax2ajhBxjwY V7iuU0m//VILS0JAjQCoKIGoJGFQoqaROzuPg42O5WfRpiCox8G60BUxL4k/ij0RIJSmOmcGXwph LT7HmcxokyM3O5RZXaK61ZM2z1ngb6n5WGKuqpUWikojf7oW1mMbVZNt+vFTBFfu+Uctg65e9tzg eWq/JFLTQ20MTTg9+22+mnXWsdEMLY84T51F8UczgIloA5wIh/VuHu7zUPaMKMOLaCstBPwCFqI7 48ZnbWgUNFLpmjbItLK77JqTiFVgmpxn2pKob6HoXN7uRssmg3gNWCqgITQyIwG7bDcHmmrRf+4D lbTEQqLx7q2x9+R0LTJeP5OmqAJvfSWqWVj8ojz8CuKA8HwcGfA53DdvxBaZkbOiUM0EdijIg8Jd eb5+YZe0hptot6zTD8bfCZnCczz8FEe2luAhDsiwmdhzTENjaY5tCrViVuuFWhpev9LER+oE7eNc Mi620KB1oX++ZHjikoBjV3m0OnlbZ1No/XQYe0IOesVH+T/sq/47TSTaRF/lS0ZkcgLwJErfd0PA 3WsdWKt3vvM5mBzNFA0cnmIZyhpW8w/IgNeRmNjIYRhHhkDz5sFanckVaiMGf+eCZZWtHwUNtXjH lyQ5msEwsoX0XG7ic9gXQyyAlMSANSSPcDBQdGmkUxyAviEK68QF1dWt7aTuATti4Cf5TxwZ+0zj fL4A4W++WgWVXj9USE7aAvL7GxgW1Pa/o6KCoxa/eKnKNhksbCsh+S6soGktUz9Ubepijr1s2qHy 6hjdWgwdUXhAZB5YxAGRJjqXrvUt7vMwdRYVZSdJD4z2nyH/iyJtdKOT5otKIaa1O6UNOeLZBsmO kjBl4z7cv9TLxr/3rWckgud+0jHU1FzfBS4aV8YOhq6SueouraITAAHsVyhqyiBU2TwGoRuOZGMY VHa06NtqpbHu4DVsqFfh0xbwr+6IATNc67uoWNfo8pTkvWtPPvPQnRbJxrX4FuM4Rt+W0BytFT1V DHsxJTe1kXNW+8gaxDuViRFIM5GC68vXCtp5dcjBLvHG2bDBT3359QbCFW+avWSpGw8cd4SLYHEx /KaHzmX84ycr1fssg06z0WYgJus6VggAXYUY9L4my6MFBokWqZEXm7ew+8bSUhjY0UiW54AYTfzu 6bowsQJqqFMsigxn/2nnV5nRdnEl/GTVOVH1Wr3FRihxcagKzQ5sR7nJ0LG1O2eRB/6d1NhTLmnO waQ/m1M/A26sZIUYSm80Yr/2PFK1MHNZbaefoQXgOKwWAsPKk/m/nQgvPssCXuE364KAHL8iJCwo B7DsD9evN0BF6oCk3JuqtspMpnnWh3lxk2pRLuxWk30iammK25iTTNaktUoqqcJ4d+rhLupLSKGl +eBbwZl+KVh4xjsj+m8w79vzCLeAEp5cWw+Rd64MC/vzhv1nZXxXuPQ5oowVeO35JvjkcMdTSCWQ 95kD2IwBwakq6YJC5LobRexiTf17iXw0lPlWBwnilmeIaGRLu5I3V5gexJfMKQLRBATzVtD6X8rr Tpr0EhmwoNWtXZwfPy2rarg6A1RE1K8FIRMAQWkTqEphPqrjkJ5390rDP+tc7zGULsimTmdc6H08 9H8CaO1DwX2Qmx2osWfQeLnogWdg+aQXri6tMQGQ2Sg90/lHQqQIQZD0Y8Z1dfsez08sYMxGF7FV cHsw6nMn306BfcE8etRI8B/czQLvHUKP90sG8mNwy2vNHaafR0gz/kSYKnQmjiCh1lLqQAFTWpyi 1P0deDoTGNqakPhVrb17ic1FF0a+BiSkbu8v1EExCJo15DGWF1rqs5CXTetE5jVmvICYGv/FGjNw /xutpKy9+lAbDv7B0UO3Urw74sHcF1oD5V/ZffmPWsDsZWtQdP3whetD/qrzXilhWuB5A6kJAlDR kEgq62kggqr7vrM/PxP2JGN0Oe0RauFmlt/OkX66PInQ3yNOjsy2vT6rQHqx6PDm3VluU0u6kk9g aqGhbsaD5hQ7Q3xtkELtGhfioo78BblteuSO/Bg5A4WmnPKyVsRlxgod29fP/x+Nl8T5iI3TYpDE pjxhzkX/PCs2gohuBoPljHe0XanXH0UYuhKBXvMrvTqTRpF0I4n3R8P7iJfeWjy20RYqfbcHg9r2 brwS3sCjzB3PBh18HUSFhgYw4PYrf31xT1CxXCfGEQTdkLcWi74YrtrP/3j5lTINA4hdWLSeI22x hd77zf3ghV7yK6zaYnU+ycTbeV/D2D90yAvgpCr6Rf3jIk933oLLk0iHQVcX6/NmVxXJno74ARef pv4tjkGIjD7lSThLfLe68zD4M5pmoEBdfJDEhW/l3lXbfyKO3Ekt6R3r65WRqQ7vY9ysSRlkqN5d YRP4vXzr2z2CNbk5RkzNFIPErOE7LMgjOU7wRKRtSs96XQfaHI2PGxTtBP7T0nd/txfRS/lt9oq1 PsFuTrQw2z/1eB4bB4JjXbpeLr2iHPkjxaC8yHm/MgM5dlFZx03Ry2jnYrFMWrQODUTMvZ8GziVr SynvNJhxWxlER8YPBd8egQ== `protect end_protected library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \ddsdds_compiler_v6_0__parameterized0\ is port ( aclk : in STD_LOGIC; aclken : in STD_LOGIC; aresetn : in STD_LOGIC; s_axis_phase_tvalid : in STD_LOGIC; s_axis_phase_tready : out STD_LOGIC; s_axis_phase_tdata : in STD_LOGIC_VECTOR ( 23 downto 0 ); s_axis_phase_tlast : in STD_LOGIC; s_axis_phase_tuser : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axis_config_tvalid : in STD_LOGIC; s_axis_config_tready : out STD_LOGIC; s_axis_config_tdata : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axis_config_tlast : in STD_LOGIC; m_axis_data_tvalid : out STD_LOGIC; m_axis_data_tready : in STD_LOGIC; m_axis_data_tdata : out STD_LOGIC_VECTOR ( 31 downto 0 ); m_axis_data_tlast : out STD_LOGIC; m_axis_data_tuser : out STD_LOGIC_VECTOR ( 0 to 0 ); m_axis_phase_tvalid : out STD_LOGIC; m_axis_phase_tready : in STD_LOGIC; m_axis_phase_tdata : out STD_LOGIC_VECTOR ( 0 to 0 ); m_axis_phase_tlast : out STD_LOGIC; m_axis_phase_tuser : out STD_LOGIC_VECTOR ( 0 to 0 ); event_pinc_invalid : out STD_LOGIC; event_poff_invalid : out STD_LOGIC; event_phase_in_invalid : out STD_LOGIC; event_s_phase_tlast_missing : out STD_LOGIC; event_s_phase_tlast_unexpected : out STD_LOGIC; event_s_phase_chanid_incorrect : out STD_LOGIC; event_s_config_tlast_missing : out STD_LOGIC; event_s_config_tlast_unexpected : out STD_LOGIC; debug_axi_pinc_in : out STD_LOGIC_VECTOR ( 21 downto 0 ); debug_axi_poff_in : out STD_LOGIC_VECTOR ( 21 downto 0 ); debug_axi_resync_in : out STD_LOGIC; debug_axi_chan_in : out STD_LOGIC_VECTOR ( 0 to 0 ); debug_core_nd : out STD_LOGIC; debug_phase : out STD_LOGIC_VECTOR ( 21 downto 0 ); debug_phase_nd : out STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \ddsdds_compiler_v6_0__parameterized0\ : entity is "dds_compiler_v6_0"; attribute C_XDEVICEFAMILY : string; attribute C_XDEVICEFAMILY of \ddsdds_compiler_v6_0__parameterized0\ : entity is "zynq"; attribute C_MODE_OF_OPERATION : integer; attribute C_MODE_OF_OPERATION of \ddsdds_compiler_v6_0__parameterized0\ : entity is 0; attribute C_MODULUS : integer; attribute C_MODULUS of \ddsdds_compiler_v6_0__parameterized0\ : entity is 9; attribute C_ACCUMULATOR_WIDTH : integer; attribute C_ACCUMULATOR_WIDTH of \ddsdds_compiler_v6_0__parameterized0\ : entity is 22; attribute C_CHANNELS : integer; attribute C_CHANNELS of \ddsdds_compiler_v6_0__parameterized0\ : entity is 1; attribute C_HAS_PHASE_OUT : integer; attribute C_HAS_PHASE_OUT of \ddsdds_compiler_v6_0__parameterized0\ : entity is 0; attribute C_HAS_PHASEGEN : integer; attribute C_HAS_PHASEGEN of \ddsdds_compiler_v6_0__parameterized0\ : entity is 1; attribute C_HAS_SINCOS : integer; attribute C_HAS_SINCOS of \ddsdds_compiler_v6_0__parameterized0\ : entity is 1; attribute C_LATENCY : integer; attribute C_LATENCY of \ddsdds_compiler_v6_0__parameterized0\ : entity is 7; attribute C_MEM_TYPE : integer; attribute C_MEM_TYPE of \ddsdds_compiler_v6_0__parameterized0\ : entity is 1; attribute C_NEGATIVE_COSINE : integer; attribute C_NEGATIVE_COSINE of \ddsdds_compiler_v6_0__parameterized0\ : entity is 0; attribute C_NEGATIVE_SINE : integer; attribute C_NEGATIVE_SINE of \ddsdds_compiler_v6_0__parameterized0\ : entity is 0; attribute C_NOISE_SHAPING : integer; attribute C_NOISE_SHAPING of \ddsdds_compiler_v6_0__parameterized0\ : entity is 0; attribute C_OUTPUTS_REQUIRED : integer; attribute C_OUTPUTS_REQUIRED of \ddsdds_compiler_v6_0__parameterized0\ : entity is 2; attribute C_OUTPUT_FORM : integer; attribute C_OUTPUT_FORM of \ddsdds_compiler_v6_0__parameterized0\ : entity is 0; attribute C_OUTPUT_WIDTH : integer; attribute C_OUTPUT_WIDTH of \ddsdds_compiler_v6_0__parameterized0\ : entity is 16; attribute C_PHASE_ANGLE_WIDTH : integer; attribute C_PHASE_ANGLE_WIDTH of \ddsdds_compiler_v6_0__parameterized0\ : entity is 16; attribute C_PHASE_INCREMENT : integer; attribute C_PHASE_INCREMENT of \ddsdds_compiler_v6_0__parameterized0\ : entity is 3; attribute C_PHASE_INCREMENT_VALUE : string; attribute C_PHASE_INCREMENT_VALUE of \ddsdds_compiler_v6_0__parameterized0\ : entity is "0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0"; attribute C_RESYNC : integer; attribute C_RESYNC of \ddsdds_compiler_v6_0__parameterized0\ : entity is 0; attribute C_PHASE_OFFSET : integer; attribute C_PHASE_OFFSET of \ddsdds_compiler_v6_0__parameterized0\ : entity is 0; attribute C_PHASE_OFFSET_VALUE : string; attribute C_PHASE_OFFSET_VALUE of \ddsdds_compiler_v6_0__parameterized0\ : entity is "0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0"; attribute C_OPTIMISE_GOAL : integer; attribute C_OPTIMISE_GOAL of \ddsdds_compiler_v6_0__parameterized0\ : entity is 0; attribute C_USE_DSP48 : integer; attribute C_USE_DSP48 of \ddsdds_compiler_v6_0__parameterized0\ : entity is 0; attribute C_POR_MODE : integer; attribute C_POR_MODE of \ddsdds_compiler_v6_0__parameterized0\ : entity is 0; attribute C_AMPLITUDE : integer; attribute C_AMPLITUDE of \ddsdds_compiler_v6_0__parameterized0\ : entity is 0; attribute C_HAS_ACLKEN : integer; attribute C_HAS_ACLKEN of \ddsdds_compiler_v6_0__parameterized0\ : entity is 0; attribute C_HAS_ARESETN : integer; attribute C_HAS_ARESETN of \ddsdds_compiler_v6_0__parameterized0\ : entity is 0; attribute C_HAS_TLAST : integer; attribute C_HAS_TLAST of \ddsdds_compiler_v6_0__parameterized0\ : entity is 0; attribute C_HAS_TREADY : integer; attribute C_HAS_TREADY of \ddsdds_compiler_v6_0__parameterized0\ : entity is 0; attribute C_HAS_S_PHASE : integer; attribute C_HAS_S_PHASE of \ddsdds_compiler_v6_0__parameterized0\ : entity is 1; attribute C_S_PHASE_TDATA_WIDTH : integer; attribute C_S_PHASE_TDATA_WIDTH of \ddsdds_compiler_v6_0__parameterized0\ : entity is 24; attribute C_S_PHASE_HAS_TUSER : integer; attribute C_S_PHASE_HAS_TUSER of \ddsdds_compiler_v6_0__parameterized0\ : entity is 0; attribute C_S_PHASE_TUSER_WIDTH : integer; attribute C_S_PHASE_TUSER_WIDTH of \ddsdds_compiler_v6_0__parameterized0\ : entity is 1; attribute C_HAS_S_CONFIG : integer; attribute C_HAS_S_CONFIG of \ddsdds_compiler_v6_0__parameterized0\ : entity is 0; attribute C_S_CONFIG_SYNC_MODE : integer; attribute C_S_CONFIG_SYNC_MODE of \ddsdds_compiler_v6_0__parameterized0\ : entity is 0; attribute C_S_CONFIG_TDATA_WIDTH : integer; attribute C_S_CONFIG_TDATA_WIDTH of \ddsdds_compiler_v6_0__parameterized0\ : entity is 1; attribute C_HAS_M_DATA : integer; attribute C_HAS_M_DATA of \ddsdds_compiler_v6_0__parameterized0\ : entity is 1; attribute C_M_DATA_TDATA_WIDTH : integer; attribute C_M_DATA_TDATA_WIDTH of \ddsdds_compiler_v6_0__parameterized0\ : entity is 32; attribute C_M_DATA_HAS_TUSER : integer; attribute C_M_DATA_HAS_TUSER of \ddsdds_compiler_v6_0__parameterized0\ : entity is 0; attribute C_M_DATA_TUSER_WIDTH : integer; attribute C_M_DATA_TUSER_WIDTH of \ddsdds_compiler_v6_0__parameterized0\ : entity is 1; attribute C_HAS_M_PHASE : integer; attribute C_HAS_M_PHASE of \ddsdds_compiler_v6_0__parameterized0\ : entity is 0; attribute C_M_PHASE_TDATA_WIDTH : integer; attribute C_M_PHASE_TDATA_WIDTH of \ddsdds_compiler_v6_0__parameterized0\ : entity is 1; attribute C_M_PHASE_HAS_TUSER : integer; attribute C_M_PHASE_HAS_TUSER of \ddsdds_compiler_v6_0__parameterized0\ : entity is 0; attribute C_M_PHASE_TUSER_WIDTH : integer; attribute C_M_PHASE_TUSER_WIDTH of \ddsdds_compiler_v6_0__parameterized0\ : entity is 1; attribute C_DEBUG_INTERFACE : integer; attribute C_DEBUG_INTERFACE of \ddsdds_compiler_v6_0__parameterized0\ : entity is 0; attribute C_CHAN_WIDTH : integer; attribute C_CHAN_WIDTH of \ddsdds_compiler_v6_0__parameterized0\ : entity is 1; attribute downgradeipidentifiedwarnings : string; attribute downgradeipidentifiedwarnings of \ddsdds_compiler_v6_0__parameterized0\ : entity is "yes"; end \ddsdds_compiler_v6_0__parameterized0\; architecture STRUCTURE of \ddsdds_compiler_v6_0__parameterized0\ is signal \<const0>\ : STD_LOGIC; signal NLW_i_synth_debug_axi_resync_in_UNCONNECTED : STD_LOGIC; attribute C_ACCUMULATOR_WIDTH of i_synth : label is 22; attribute C_AMPLITUDE of i_synth : label is 0; attribute C_CHANNELS of i_synth : label is 1; attribute C_CHAN_WIDTH of i_synth : label is 1; attribute C_DEBUG_INTERFACE of i_synth : label is 0; attribute C_HAS_ACLKEN of i_synth : label is 0; attribute C_HAS_ARESETN of i_synth : label is 0; attribute C_HAS_M_DATA of i_synth : label is 1; attribute C_HAS_M_PHASE of i_synth : label is 0; attribute C_HAS_PHASEGEN of i_synth : label is 1; attribute C_HAS_PHASE_OUT of i_synth : label is 0; attribute C_HAS_SINCOS of i_synth : label is 1; attribute C_HAS_S_CONFIG of i_synth : label is 0; attribute C_HAS_S_PHASE of i_synth : label is 1; attribute C_HAS_TLAST of i_synth : label is 0; attribute C_HAS_TREADY of i_synth : label is 0; attribute C_LATENCY of i_synth : label is 7; attribute C_MEM_TYPE of i_synth : label is 1; attribute C_MODE_OF_OPERATION of i_synth : label is 0; attribute C_MODULUS of i_synth : label is 9; attribute C_M_DATA_HAS_TUSER of i_synth : label is 0; attribute C_M_DATA_TDATA_WIDTH of i_synth : label is 32; attribute C_M_DATA_TUSER_WIDTH of i_synth : label is 1; attribute C_M_PHASE_HAS_TUSER of i_synth : label is 0; attribute C_M_PHASE_TDATA_WIDTH of i_synth : label is 1; attribute C_M_PHASE_TUSER_WIDTH of i_synth : label is 1; attribute C_NEGATIVE_COSINE of i_synth : label is 0; attribute C_NEGATIVE_SINE of i_synth : label is 0; attribute C_NOISE_SHAPING of i_synth : label is 0; attribute C_OPTIMISE_GOAL of i_synth : label is 0; attribute C_OUTPUTS_REQUIRED of i_synth : label is 2; attribute C_OUTPUT_FORM of i_synth : label is 0; attribute C_OUTPUT_WIDTH of i_synth : label is 16; attribute C_PHASE_ANGLE_WIDTH of i_synth : label is 16; attribute C_PHASE_INCREMENT of i_synth : label is 3; attribute C_PHASE_INCREMENT_VALUE of i_synth : label is "0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0"; attribute C_PHASE_OFFSET of i_synth : label is 0; attribute C_PHASE_OFFSET_VALUE of i_synth : label is "0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0"; attribute C_POR_MODE of i_synth : label is 0; attribute C_RESYNC of i_synth : label is 0; attribute C_S_CONFIG_SYNC_MODE of i_synth : label is 0; attribute C_S_CONFIG_TDATA_WIDTH of i_synth : label is 1; attribute C_S_PHASE_HAS_TUSER of i_synth : label is 0; attribute C_S_PHASE_TDATA_WIDTH of i_synth : label is 24; attribute C_S_PHASE_TUSER_WIDTH of i_synth : label is 1; attribute C_USE_DSP48 of i_synth : label is 0; attribute C_XDEVICEFAMILY of i_synth : label is "zynq"; attribute downgradeipidentifiedwarnings of i_synth : label is "yes"; attribute secure_extras : string; attribute secure_extras of i_synth : label is "A"; begin debug_axi_resync_in <= \<const0>\; GND: unisim.vcomponents.GND port map ( G => \<const0>\ ); i_synth: entity work.\ddsdds_compiler_v6_0_viv__parameterized0\ port map ( aclk => aclk, aclken => aclken, aresetn => aresetn, debug_axi_chan_in(0) => debug_axi_chan_in(0), debug_axi_pinc_in(21 downto 0) => debug_axi_pinc_in(21 downto 0), debug_axi_poff_in(21 downto 0) => debug_axi_poff_in(21 downto 0), debug_axi_resync_in => NLW_i_synth_debug_axi_resync_in_UNCONNECTED, debug_core_nd => debug_core_nd, debug_phase(21 downto 0) => debug_phase(21 downto 0), debug_phase_nd => debug_phase_nd, event_phase_in_invalid => event_phase_in_invalid, event_pinc_invalid => event_pinc_invalid, event_poff_invalid => event_poff_invalid, event_s_config_tlast_missing => event_s_config_tlast_missing, event_s_config_tlast_unexpected => event_s_config_tlast_unexpected, event_s_phase_chanid_incorrect => event_s_phase_chanid_incorrect, event_s_phase_tlast_missing => event_s_phase_tlast_missing, event_s_phase_tlast_unexpected => event_s_phase_tlast_unexpected, m_axis_data_tdata(31 downto 0) => m_axis_data_tdata(31 downto 0), m_axis_data_tlast => m_axis_data_tlast, m_axis_data_tready => m_axis_data_tready, m_axis_data_tuser(0) => m_axis_data_tuser(0), m_axis_data_tvalid => m_axis_data_tvalid, m_axis_phase_tdata(0) => m_axis_phase_tdata(0), m_axis_phase_tlast => m_axis_phase_tlast, m_axis_phase_tready => m_axis_phase_tready, m_axis_phase_tuser(0) => m_axis_phase_tuser(0), m_axis_phase_tvalid => m_axis_phase_tvalid, s_axis_config_tdata(0) => s_axis_config_tdata(0), s_axis_config_tlast => s_axis_config_tlast, s_axis_config_tready => s_axis_config_tready, s_axis_config_tvalid => s_axis_config_tvalid, s_axis_phase_tdata(23 downto 0) => s_axis_phase_tdata(23 downto 0), s_axis_phase_tlast => s_axis_phase_tlast, s_axis_phase_tready => s_axis_phase_tready, s_axis_phase_tuser(0) => s_axis_phase_tuser(0), s_axis_phase_tvalid => s_axis_phase_tvalid ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity dds is port ( aclk : in STD_LOGIC; s_axis_phase_tvalid : in STD_LOGIC; s_axis_phase_tdata : in STD_LOGIC_VECTOR ( 23 downto 0 ); m_axis_data_tvalid : out STD_LOGIC; m_axis_data_tdata : out STD_LOGIC_VECTOR ( 31 downto 0 ) ); attribute NotValidForBitStream : boolean; attribute NotValidForBitStream of dds : entity is true; attribute downgradeipidentifiedwarnings : string; attribute downgradeipidentifiedwarnings of dds : entity is "yes"; attribute x_core_info : string; attribute x_core_info of dds : entity is "dds_compiler_v6_0,Vivado 2014.1"; attribute CHECK_LICENSE_TYPE : string; attribute CHECK_LICENSE_TYPE of dds : entity is "dds,dds_compiler_v6_0,{}"; attribute core_generation_info : string; attribute core_generation_info of dds : entity is "dds,dds_compiler_v6_0,{x_ipProduct=Vivado 2014.1,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=dds_compiler,x_ipVersion=6.0,x_ipCoreRevision=4,x_ipLanguage=VHDL,C_XDEVICEFAMILY=zynq,C_MODE_OF_OPERATION=0,C_MODULUS=9,C_ACCUMULATOR_WIDTH=22,C_CHANNELS=1,C_HAS_PHASE_OUT=0,C_HAS_PHASEGEN=1,C_HAS_SINCOS=1,C_LATENCY=7,C_MEM_TYPE=1,C_NEGATIVE_COSINE=0,C_NEGATIVE_SINE=0,C_NOISE_SHAPING=0,C_OUTPUTS_REQUIRED=2,C_OUTPUT_FORM=0,C_OUTPUT_WIDTH=16,C_PHASE_ANGLE_WIDTH=16,C_PHASE_INCREMENT=3,C_PHASE_INCREMENT_VALUE=0_0_0_0_0_0_0_0_0_0_0_0_0_0_0_0,C_RESYNC=0,C_PHASE_OFFSET=0,C_PHASE_OFFSET_VALUE=0_0_0_0_0_0_0_0_0_0_0_0_0_0_0_0,C_OPTIMISE_GOAL=0,C_USE_DSP48=0,C_POR_MODE=0,C_AMPLITUDE=0,C_HAS_ACLKEN=0,C_HAS_ARESETN=0,C_HAS_TLAST=0,C_HAS_TREADY=0,C_HAS_S_PHASE=1,C_S_PHASE_TDATA_WIDTH=24,C_S_PHASE_HAS_TUSER=0,C_S_PHASE_TUSER_WIDTH=1,C_HAS_S_CONFIG=0,C_S_CONFIG_SYNC_MODE=0,C_S_CONFIG_TDATA_WIDTH=1,C_HAS_M_DATA=1,C_M_DATA_TDATA_WIDTH=32,C_M_DATA_HAS_TUSER=0,C_M_DATA_TUSER_WIDTH=1,C_HAS_M_PHASE=0,C_M_PHASE_TDATA_WIDTH=1,C_M_PHASE_HAS_TUSER=0,C_M_PHASE_TUSER_WIDTH=1,C_DEBUG_INTERFACE=0,C_CHAN_WIDTH=1}"; end dds; architecture STRUCTURE of dds is signal NLW_U0_debug_axi_resync_in_UNCONNECTED : STD_LOGIC; signal NLW_U0_debug_core_nd_UNCONNECTED : STD_LOGIC; signal NLW_U0_debug_phase_nd_UNCONNECTED : STD_LOGIC; signal NLW_U0_event_phase_in_invalid_UNCONNECTED : STD_LOGIC; signal NLW_U0_event_pinc_invalid_UNCONNECTED : STD_LOGIC; signal NLW_U0_event_poff_invalid_UNCONNECTED : STD_LOGIC; signal NLW_U0_event_s_config_tlast_missing_UNCONNECTED : STD_LOGIC; signal NLW_U0_event_s_config_tlast_unexpected_UNCONNECTED : STD_LOGIC; signal NLW_U0_event_s_phase_chanid_incorrect_UNCONNECTED : STD_LOGIC; signal NLW_U0_event_s_phase_tlast_missing_UNCONNECTED : STD_LOGIC; signal NLW_U0_event_s_phase_tlast_unexpected_UNCONNECTED : STD_LOGIC; signal NLW_U0_m_axis_data_tlast_UNCONNECTED : STD_LOGIC; signal NLW_U0_m_axis_phase_tlast_UNCONNECTED : STD_LOGIC; signal NLW_U0_m_axis_phase_tvalid_UNCONNECTED : STD_LOGIC; signal NLW_U0_s_axis_config_tready_UNCONNECTED : STD_LOGIC; signal NLW_U0_s_axis_phase_tready_UNCONNECTED : STD_LOGIC; signal NLW_U0_debug_axi_chan_in_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_U0_debug_axi_pinc_in_UNCONNECTED : STD_LOGIC_VECTOR ( 21 downto 0 ); signal NLW_U0_debug_axi_poff_in_UNCONNECTED : STD_LOGIC_VECTOR ( 21 downto 0 ); signal NLW_U0_debug_phase_UNCONNECTED : STD_LOGIC_VECTOR ( 21 downto 0 ); signal NLW_U0_m_axis_data_tuser_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_U0_m_axis_phase_tdata_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_U0_m_axis_phase_tuser_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); attribute C_ACCUMULATOR_WIDTH : integer; attribute C_ACCUMULATOR_WIDTH of U0 : label is 22; attribute C_AMPLITUDE : integer; attribute C_AMPLITUDE of U0 : label is 0; attribute C_CHANNELS : integer; attribute C_CHANNELS of U0 : label is 1; attribute C_CHAN_WIDTH : integer; attribute C_CHAN_WIDTH of U0 : label is 1; attribute C_DEBUG_INTERFACE : integer; attribute C_DEBUG_INTERFACE of U0 : label is 0; attribute C_HAS_ACLKEN : integer; attribute C_HAS_ACLKEN of U0 : label is 0; attribute C_HAS_ARESETN : integer; attribute C_HAS_ARESETN of U0 : label is 0; attribute C_HAS_M_DATA : integer; attribute C_HAS_M_DATA of U0 : label is 1; attribute C_HAS_M_PHASE : integer; attribute C_HAS_M_PHASE of U0 : label is 0; attribute C_HAS_PHASEGEN : integer; attribute C_HAS_PHASEGEN of U0 : label is 1; attribute C_HAS_PHASE_OUT : integer; attribute C_HAS_PHASE_OUT of U0 : label is 0; attribute C_HAS_SINCOS : integer; attribute C_HAS_SINCOS of U0 : label is 1; attribute C_HAS_S_CONFIG : integer; attribute C_HAS_S_CONFIG of U0 : label is 0; attribute C_HAS_S_PHASE : integer; attribute C_HAS_S_PHASE of U0 : label is 1; attribute C_HAS_TLAST : integer; attribute C_HAS_TLAST of U0 : label is 0; attribute C_HAS_TREADY : integer; attribute C_HAS_TREADY of U0 : label is 0; attribute C_LATENCY : integer; attribute C_LATENCY of U0 : label is 7; attribute C_MEM_TYPE : integer; attribute C_MEM_TYPE of U0 : label is 1; attribute C_MODE_OF_OPERATION : integer; attribute C_MODE_OF_OPERATION of U0 : label is 0; attribute C_MODULUS : integer; attribute C_MODULUS of U0 : label is 9; attribute C_M_DATA_HAS_TUSER : integer; attribute C_M_DATA_HAS_TUSER of U0 : label is 0; attribute C_M_DATA_TDATA_WIDTH : integer; attribute C_M_DATA_TDATA_WIDTH of U0 : label is 32; attribute C_M_DATA_TUSER_WIDTH : integer; attribute C_M_DATA_TUSER_WIDTH of U0 : label is 1; attribute C_M_PHASE_HAS_TUSER : integer; attribute C_M_PHASE_HAS_TUSER of U0 : label is 0; attribute C_M_PHASE_TDATA_WIDTH : integer; attribute C_M_PHASE_TDATA_WIDTH of U0 : label is 1; attribute C_M_PHASE_TUSER_WIDTH : integer; attribute C_M_PHASE_TUSER_WIDTH of U0 : label is 1; attribute C_NEGATIVE_COSINE : integer; attribute C_NEGATIVE_COSINE of U0 : label is 0; attribute C_NEGATIVE_SINE : integer; attribute C_NEGATIVE_SINE of U0 : label is 0; attribute C_NOISE_SHAPING : integer; attribute C_NOISE_SHAPING of U0 : label is 0; attribute C_OPTIMISE_GOAL : integer; attribute C_OPTIMISE_GOAL of U0 : label is 0; attribute C_OUTPUTS_REQUIRED : integer; attribute C_OUTPUTS_REQUIRED of U0 : label is 2; attribute C_OUTPUT_FORM : integer; attribute C_OUTPUT_FORM of U0 : label is 0; attribute C_OUTPUT_WIDTH : integer; attribute C_OUTPUT_WIDTH of U0 : label is 16; attribute C_PHASE_ANGLE_WIDTH : integer; attribute C_PHASE_ANGLE_WIDTH of U0 : label is 16; attribute C_PHASE_INCREMENT : integer; attribute C_PHASE_INCREMENT of U0 : label is 3; attribute C_PHASE_INCREMENT_VALUE : string; attribute C_PHASE_INCREMENT_VALUE of U0 : label is "0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0"; attribute C_PHASE_OFFSET : integer; attribute C_PHASE_OFFSET of U0 : label is 0; attribute C_PHASE_OFFSET_VALUE : string; attribute C_PHASE_OFFSET_VALUE of U0 : label is "0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0"; attribute C_POR_MODE : integer; attribute C_POR_MODE of U0 : label is 0; attribute C_RESYNC : integer; attribute C_RESYNC of U0 : label is 0; attribute C_S_CONFIG_SYNC_MODE : integer; attribute C_S_CONFIG_SYNC_MODE of U0 : label is 0; attribute C_S_CONFIG_TDATA_WIDTH : integer; attribute C_S_CONFIG_TDATA_WIDTH of U0 : label is 1; attribute C_S_PHASE_HAS_TUSER : integer; attribute C_S_PHASE_HAS_TUSER of U0 : label is 0; attribute C_S_PHASE_TDATA_WIDTH : integer; attribute C_S_PHASE_TDATA_WIDTH of U0 : label is 24; attribute C_S_PHASE_TUSER_WIDTH : integer; attribute C_S_PHASE_TUSER_WIDTH of U0 : label is 1; attribute C_USE_DSP48 : integer; attribute C_USE_DSP48 of U0 : label is 0; attribute C_XDEVICEFAMILY : string; attribute C_XDEVICEFAMILY of U0 : label is "zynq"; attribute DONT_TOUCH : boolean; attribute DONT_TOUCH of U0 : label is std.standard.true; attribute downgradeipidentifiedwarnings of U0 : label is "yes"; begin U0: entity work.\ddsdds_compiler_v6_0__parameterized0\ port map ( aclk => aclk, aclken => '1', aresetn => '1', debug_axi_chan_in(0) => NLW_U0_debug_axi_chan_in_UNCONNECTED(0), debug_axi_pinc_in(21 downto 0) => NLW_U0_debug_axi_pinc_in_UNCONNECTED(21 downto 0), debug_axi_poff_in(21 downto 0) => NLW_U0_debug_axi_poff_in_UNCONNECTED(21 downto 0), debug_axi_resync_in => NLW_U0_debug_axi_resync_in_UNCONNECTED, debug_core_nd => NLW_U0_debug_core_nd_UNCONNECTED, debug_phase(21 downto 0) => NLW_U0_debug_phase_UNCONNECTED(21 downto 0), debug_phase_nd => NLW_U0_debug_phase_nd_UNCONNECTED, event_phase_in_invalid => NLW_U0_event_phase_in_invalid_UNCONNECTED, event_pinc_invalid => NLW_U0_event_pinc_invalid_UNCONNECTED, event_poff_invalid => NLW_U0_event_poff_invalid_UNCONNECTED, event_s_config_tlast_missing => NLW_U0_event_s_config_tlast_missing_UNCONNECTED, event_s_config_tlast_unexpected => NLW_U0_event_s_config_tlast_unexpected_UNCONNECTED, event_s_phase_chanid_incorrect => NLW_U0_event_s_phase_chanid_incorrect_UNCONNECTED, event_s_phase_tlast_missing => NLW_U0_event_s_phase_tlast_missing_UNCONNECTED, event_s_phase_tlast_unexpected => NLW_U0_event_s_phase_tlast_unexpected_UNCONNECTED, m_axis_data_tdata(31 downto 0) => m_axis_data_tdata(31 downto 0), m_axis_data_tlast => NLW_U0_m_axis_data_tlast_UNCONNECTED, m_axis_data_tready => '0', m_axis_data_tuser(0) => NLW_U0_m_axis_data_tuser_UNCONNECTED(0), m_axis_data_tvalid => m_axis_data_tvalid, m_axis_phase_tdata(0) => NLW_U0_m_axis_phase_tdata_UNCONNECTED(0), m_axis_phase_tlast => NLW_U0_m_axis_phase_tlast_UNCONNECTED, m_axis_phase_tready => '0', m_axis_phase_tuser(0) => NLW_U0_m_axis_phase_tuser_UNCONNECTED(0), m_axis_phase_tvalid => NLW_U0_m_axis_phase_tvalid_UNCONNECTED, s_axis_config_tdata(0) => '0', s_axis_config_tlast => '0', s_axis_config_tready => NLW_U0_s_axis_config_tready_UNCONNECTED, s_axis_config_tvalid => '0', s_axis_phase_tdata(23 downto 0) => s_axis_phase_tdata(23 downto 0), s_axis_phase_tlast => '0', s_axis_phase_tready => NLW_U0_s_axis_phase_tready_UNCONNECTED, s_axis_phase_tuser(0) => '0', s_axis_phase_tvalid => s_axis_phase_tvalid ); end STRUCTURE;
-------------------------------------------------------------------------------- -- This file is owned and controlled by Xilinx and must be used solely -- -- for design, simulation, implementation and creation of design files -- -- limited to Xilinx devices or technologies. Use with non-Xilinx -- -- devices or technologies is expressly prohibited and immediately -- -- terminates your license. -- -- -- -- XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" SOLELY -- -- FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR XILINX DEVICES. BY -- -- PROVIDING THIS DESIGN, CODE, OR INFORMATION AS ONE POSSIBLE -- -- IMPLEMENTATION OF THIS FEATURE, APPLICATION OR STANDARD, XILINX IS -- -- MAKING NO REPRESENTATION THAT THIS IMPLEMENTATION IS FREE FROM ANY -- -- CLAIMS OF INFRINGEMENT, AND YOU ARE RESPONSIBLE FOR OBTAINING ANY -- -- RIGHTS YOU MAY REQUIRE FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY -- -- DISCLAIMS ANY WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE -- -- IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR -- -- REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF -- -- INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A -- -- PARTICULAR PURPOSE. -- -- -- -- Xilinx products are not intended for use in life support appliances, -- -- devices, or systems. Use in such applications are expressly -- -- prohibited. -- -- -- -- (c) Copyright 1995-2014 Xilinx, Inc. -- -- All rights reserved. -- -------------------------------------------------------------------------------- -------------------------------------------------------------------------------- -- You must compile the wrapper file tx_fifo.vhd when simulating -- the core, tx_fifo. When compiling the wrapper file, be sure to -- reference the XilinxCoreLib VHDL simulation library. For detailed -- instructions, please refer to the "CORE Generator Help". -- The synthesis directives "translate_off/translate_on" specified -- below are supported by Xilinx, Mentor Graphics and Synplicity -- synthesis tools. Ensure they are correct for your synthesis tool(s). LIBRARY ieee; USE ieee.std_logic_1164.ALL; -- synthesis translate_off LIBRARY XilinxCoreLib; -- synthesis translate_on ENTITY tx_fifo IS PORT ( rst : IN STD_LOGIC; wr_clk : IN STD_LOGIC; rd_clk : IN STD_LOGIC; din : IN STD_LOGIC_VECTOR(31 DOWNTO 0); wr_en : IN STD_LOGIC; rd_en : IN STD_LOGIC; dout : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); full : OUT STD_LOGIC; empty : OUT STD_LOGIC; prog_full : OUT STD_LOGIC ); END tx_fifo; ARCHITECTURE tx_fifo_a OF tx_fifo IS -- synthesis translate_off COMPONENT wrapped_tx_fifo PORT ( rst : IN STD_LOGIC; wr_clk : IN STD_LOGIC; rd_clk : IN STD_LOGIC; din : IN STD_LOGIC_VECTOR(31 DOWNTO 0); wr_en : IN STD_LOGIC; rd_en : IN STD_LOGIC; dout : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); full : OUT STD_LOGIC; empty : OUT STD_LOGIC; prog_full : OUT STD_LOGIC ); END COMPONENT; -- Configuration specification FOR ALL : wrapped_tx_fifo USE ENTITY XilinxCoreLib.fifo_generator_v9_3(behavioral) GENERIC MAP ( c_add_ngc_constraint => 0, c_application_type_axis => 0, c_application_type_rach => 0, c_application_type_rdch => 0, c_application_type_wach => 0, c_application_type_wdch => 0, c_application_type_wrch => 0, c_axi_addr_width => 32, c_axi_aruser_width => 1, c_axi_awuser_width => 1, c_axi_buser_width => 1, c_axi_data_width => 64, c_axi_id_width => 4, c_axi_ruser_width => 1, c_axi_type => 0, c_axi_wuser_width => 1, c_axis_tdata_width => 64, c_axis_tdest_width => 4, c_axis_tid_width => 8, c_axis_tkeep_width => 4, c_axis_tstrb_width => 4, c_axis_tuser_width => 4, c_axis_type => 0, c_common_clock => 0, c_count_type => 0, c_data_count_width => 10, c_default_value => "BlankString", c_din_width => 32, c_din_width_axis => 1, c_din_width_rach => 32, c_din_width_rdch => 64, c_din_width_wach => 32, c_din_width_wdch => 64, c_din_width_wrch => 2, c_dout_rst_val => "0", c_dout_width => 32, c_enable_rlocs => 0, c_enable_rst_sync => 1, c_error_injection_type => 0, c_error_injection_type_axis => 0, c_error_injection_type_rach => 0, c_error_injection_type_rdch => 0, c_error_injection_type_wach => 0, c_error_injection_type_wdch => 0, c_error_injection_type_wrch => 0, c_family => "spartan6", c_full_flags_rst_val => 1, c_has_almost_empty => 0, c_has_almost_full => 0, c_has_axi_aruser => 0, c_has_axi_awuser => 0, c_has_axi_buser => 0, c_has_axi_rd_channel => 0, c_has_axi_ruser => 0, c_has_axi_wr_channel => 0, c_has_axi_wuser => 0, c_has_axis_tdata => 0, c_has_axis_tdest => 0, c_has_axis_tid => 0, c_has_axis_tkeep => 0, c_has_axis_tlast => 0, c_has_axis_tready => 1, c_has_axis_tstrb => 0, c_has_axis_tuser => 0, c_has_backup => 0, c_has_data_count => 0, c_has_data_counts_axis => 0, c_has_data_counts_rach => 0, c_has_data_counts_rdch => 0, c_has_data_counts_wach => 0, c_has_data_counts_wdch => 0, c_has_data_counts_wrch => 0, c_has_int_clk => 0, c_has_master_ce => 0, c_has_meminit_file => 0, c_has_overflow => 0, c_has_prog_flags_axis => 0, c_has_prog_flags_rach => 0, c_has_prog_flags_rdch => 0, c_has_prog_flags_wach => 0, c_has_prog_flags_wdch => 0, c_has_prog_flags_wrch => 0, c_has_rd_data_count => 0, c_has_rd_rst => 0, c_has_rst => 1, c_has_slave_ce => 0, c_has_srst => 0, c_has_underflow => 0, c_has_valid => 0, c_has_wr_ack => 0, c_has_wr_data_count => 0, c_has_wr_rst => 0, c_implementation_type => 2, c_implementation_type_axis => 1, c_implementation_type_rach => 1, c_implementation_type_rdch => 1, c_implementation_type_wach => 1, c_implementation_type_wdch => 1, c_implementation_type_wrch => 1, c_init_wr_pntr_val => 0, c_interface_type => 0, c_memory_type => 1, c_mif_file_name => "BlankString", c_msgon_val => 1, c_optimization_mode => 0, c_overflow_low => 0, c_preload_latency => 0, c_preload_regs => 1, c_prim_fifo_type => "1kx36", c_prog_empty_thresh_assert_val => 4, c_prog_empty_thresh_assert_val_axis => 1022, c_prog_empty_thresh_assert_val_rach => 1022, c_prog_empty_thresh_assert_val_rdch => 1022, c_prog_empty_thresh_assert_val_wach => 1022, c_prog_empty_thresh_assert_val_wdch => 1022, c_prog_empty_thresh_assert_val_wrch => 1022, c_prog_empty_thresh_negate_val => 5, c_prog_empty_type => 0, c_prog_empty_type_axis => 0, c_prog_empty_type_rach => 0, c_prog_empty_type_rdch => 0, c_prog_empty_type_wach => 0, c_prog_empty_type_wdch => 0, c_prog_empty_type_wrch => 0, c_prog_full_thresh_assert_val => 1023, c_prog_full_thresh_assert_val_axis => 1023, c_prog_full_thresh_assert_val_rach => 1023, c_prog_full_thresh_assert_val_rdch => 1023, c_prog_full_thresh_assert_val_wach => 1023, c_prog_full_thresh_assert_val_wdch => 1023, c_prog_full_thresh_assert_val_wrch => 1023, c_prog_full_thresh_negate_val => 1022, c_prog_full_type => 1, c_prog_full_type_axis => 0, c_prog_full_type_rach => 0, c_prog_full_type_rdch => 0, c_prog_full_type_wach => 0, c_prog_full_type_wdch => 0, c_prog_full_type_wrch => 0, c_rach_type => 0, c_rd_data_count_width => 10, c_rd_depth => 1024, c_rd_freq => 1, c_rd_pntr_width => 10, c_rdch_type => 0, c_reg_slice_mode_axis => 0, c_reg_slice_mode_rach => 0, c_reg_slice_mode_rdch => 0, c_reg_slice_mode_wach => 0, c_reg_slice_mode_wdch => 0, c_reg_slice_mode_wrch => 0, c_synchronizer_stage => 2, c_underflow_low => 0, c_use_common_overflow => 0, c_use_common_underflow => 0, c_use_default_settings => 0, c_use_dout_rst => 1, c_use_ecc => 0, c_use_ecc_axis => 0, c_use_ecc_rach => 0, c_use_ecc_rdch => 0, c_use_ecc_wach => 0, c_use_ecc_wdch => 0, c_use_ecc_wrch => 0, c_use_embedded_reg => 0, c_use_fifo16_flags => 0, c_use_fwft_data_count => 0, c_valid_low => 0, c_wach_type => 0, c_wdch_type => 0, c_wr_ack_low => 0, c_wr_data_count_width => 10, c_wr_depth => 1024, c_wr_depth_axis => 1024, c_wr_depth_rach => 16, c_wr_depth_rdch => 1024, c_wr_depth_wach => 16, c_wr_depth_wdch => 1024, c_wr_depth_wrch => 16, c_wr_freq => 1, c_wr_pntr_width => 10, c_wr_pntr_width_axis => 10, c_wr_pntr_width_rach => 4, c_wr_pntr_width_rdch => 10, c_wr_pntr_width_wach => 4, c_wr_pntr_width_wdch => 10, c_wr_pntr_width_wrch => 4, c_wr_response_latency => 1, c_wrch_type => 0 ); -- synthesis translate_on BEGIN -- synthesis translate_off U0 : wrapped_tx_fifo PORT MAP ( rst => rst, wr_clk => wr_clk, rd_clk => rd_clk, din => din, wr_en => wr_en, rd_en => rd_en, dout => dout, full => full, empty => empty, prog_full => prog_full ); -- synthesis translate_on END tx_fifo_a;
-------------------------------------------------------------------------------- -- This file is owned and controlled by Xilinx and must be used solely -- -- for design, simulation, implementation and creation of design files -- -- limited to Xilinx devices or technologies. Use with non-Xilinx -- -- devices or technologies is expressly prohibited and immediately -- -- terminates your license. -- -- -- -- XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" SOLELY -- -- FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR XILINX DEVICES. BY -- -- PROVIDING THIS DESIGN, CODE, OR INFORMATION AS ONE POSSIBLE -- -- IMPLEMENTATION OF THIS FEATURE, APPLICATION OR STANDARD, XILINX IS -- -- MAKING NO REPRESENTATION THAT THIS IMPLEMENTATION IS FREE FROM ANY -- -- CLAIMS OF INFRINGEMENT, AND YOU ARE RESPONSIBLE FOR OBTAINING ANY -- -- RIGHTS YOU MAY REQUIRE FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY -- -- DISCLAIMS ANY WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE -- -- IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR -- -- REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF -- -- INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A -- -- PARTICULAR PURPOSE. -- -- -- -- Xilinx products are not intended for use in life support appliances, -- -- devices, or systems. Use in such applications are expressly -- -- prohibited. -- -- -- -- (c) Copyright 1995-2014 Xilinx, Inc. -- -- All rights reserved. -- -------------------------------------------------------------------------------- -------------------------------------------------------------------------------- -- You must compile the wrapper file tx_fifo.vhd when simulating -- the core, tx_fifo. When compiling the wrapper file, be sure to -- reference the XilinxCoreLib VHDL simulation library. For detailed -- instructions, please refer to the "CORE Generator Help". -- The synthesis directives "translate_off/translate_on" specified -- below are supported by Xilinx, Mentor Graphics and Synplicity -- synthesis tools. Ensure they are correct for your synthesis tool(s). LIBRARY ieee; USE ieee.std_logic_1164.ALL; -- synthesis translate_off LIBRARY XilinxCoreLib; -- synthesis translate_on ENTITY tx_fifo IS PORT ( rst : IN STD_LOGIC; wr_clk : IN STD_LOGIC; rd_clk : IN STD_LOGIC; din : IN STD_LOGIC_VECTOR(31 DOWNTO 0); wr_en : IN STD_LOGIC; rd_en : IN STD_LOGIC; dout : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); full : OUT STD_LOGIC; empty : OUT STD_LOGIC; prog_full : OUT STD_LOGIC ); END tx_fifo; ARCHITECTURE tx_fifo_a OF tx_fifo IS -- synthesis translate_off COMPONENT wrapped_tx_fifo PORT ( rst : IN STD_LOGIC; wr_clk : IN STD_LOGIC; rd_clk : IN STD_LOGIC; din : IN STD_LOGIC_VECTOR(31 DOWNTO 0); wr_en : IN STD_LOGIC; rd_en : IN STD_LOGIC; dout : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); full : OUT STD_LOGIC; empty : OUT STD_LOGIC; prog_full : OUT STD_LOGIC ); END COMPONENT; -- Configuration specification FOR ALL : wrapped_tx_fifo USE ENTITY XilinxCoreLib.fifo_generator_v9_3(behavioral) GENERIC MAP ( c_add_ngc_constraint => 0, c_application_type_axis => 0, c_application_type_rach => 0, c_application_type_rdch => 0, c_application_type_wach => 0, c_application_type_wdch => 0, c_application_type_wrch => 0, c_axi_addr_width => 32, c_axi_aruser_width => 1, c_axi_awuser_width => 1, c_axi_buser_width => 1, c_axi_data_width => 64, c_axi_id_width => 4, c_axi_ruser_width => 1, c_axi_type => 0, c_axi_wuser_width => 1, c_axis_tdata_width => 64, c_axis_tdest_width => 4, c_axis_tid_width => 8, c_axis_tkeep_width => 4, c_axis_tstrb_width => 4, c_axis_tuser_width => 4, c_axis_type => 0, c_common_clock => 0, c_count_type => 0, c_data_count_width => 10, c_default_value => "BlankString", c_din_width => 32, c_din_width_axis => 1, c_din_width_rach => 32, c_din_width_rdch => 64, c_din_width_wach => 32, c_din_width_wdch => 64, c_din_width_wrch => 2, c_dout_rst_val => "0", c_dout_width => 32, c_enable_rlocs => 0, c_enable_rst_sync => 1, c_error_injection_type => 0, c_error_injection_type_axis => 0, c_error_injection_type_rach => 0, c_error_injection_type_rdch => 0, c_error_injection_type_wach => 0, c_error_injection_type_wdch => 0, c_error_injection_type_wrch => 0, c_family => "spartan6", c_full_flags_rst_val => 1, c_has_almost_empty => 0, c_has_almost_full => 0, c_has_axi_aruser => 0, c_has_axi_awuser => 0, c_has_axi_buser => 0, c_has_axi_rd_channel => 0, c_has_axi_ruser => 0, c_has_axi_wr_channel => 0, c_has_axi_wuser => 0, c_has_axis_tdata => 0, c_has_axis_tdest => 0, c_has_axis_tid => 0, c_has_axis_tkeep => 0, c_has_axis_tlast => 0, c_has_axis_tready => 1, c_has_axis_tstrb => 0, c_has_axis_tuser => 0, c_has_backup => 0, c_has_data_count => 0, c_has_data_counts_axis => 0, c_has_data_counts_rach => 0, c_has_data_counts_rdch => 0, c_has_data_counts_wach => 0, c_has_data_counts_wdch => 0, c_has_data_counts_wrch => 0, c_has_int_clk => 0, c_has_master_ce => 0, c_has_meminit_file => 0, c_has_overflow => 0, c_has_prog_flags_axis => 0, c_has_prog_flags_rach => 0, c_has_prog_flags_rdch => 0, c_has_prog_flags_wach => 0, c_has_prog_flags_wdch => 0, c_has_prog_flags_wrch => 0, c_has_rd_data_count => 0, c_has_rd_rst => 0, c_has_rst => 1, c_has_slave_ce => 0, c_has_srst => 0, c_has_underflow => 0, c_has_valid => 0, c_has_wr_ack => 0, c_has_wr_data_count => 0, c_has_wr_rst => 0, c_implementation_type => 2, c_implementation_type_axis => 1, c_implementation_type_rach => 1, c_implementation_type_rdch => 1, c_implementation_type_wach => 1, c_implementation_type_wdch => 1, c_implementation_type_wrch => 1, c_init_wr_pntr_val => 0, c_interface_type => 0, c_memory_type => 1, c_mif_file_name => "BlankString", c_msgon_val => 1, c_optimization_mode => 0, c_overflow_low => 0, c_preload_latency => 0, c_preload_regs => 1, c_prim_fifo_type => "1kx36", c_prog_empty_thresh_assert_val => 4, c_prog_empty_thresh_assert_val_axis => 1022, c_prog_empty_thresh_assert_val_rach => 1022, c_prog_empty_thresh_assert_val_rdch => 1022, c_prog_empty_thresh_assert_val_wach => 1022, c_prog_empty_thresh_assert_val_wdch => 1022, c_prog_empty_thresh_assert_val_wrch => 1022, c_prog_empty_thresh_negate_val => 5, c_prog_empty_type => 0, c_prog_empty_type_axis => 0, c_prog_empty_type_rach => 0, c_prog_empty_type_rdch => 0, c_prog_empty_type_wach => 0, c_prog_empty_type_wdch => 0, c_prog_empty_type_wrch => 0, c_prog_full_thresh_assert_val => 1023, c_prog_full_thresh_assert_val_axis => 1023, c_prog_full_thresh_assert_val_rach => 1023, c_prog_full_thresh_assert_val_rdch => 1023, c_prog_full_thresh_assert_val_wach => 1023, c_prog_full_thresh_assert_val_wdch => 1023, c_prog_full_thresh_assert_val_wrch => 1023, c_prog_full_thresh_negate_val => 1022, c_prog_full_type => 1, c_prog_full_type_axis => 0, c_prog_full_type_rach => 0, c_prog_full_type_rdch => 0, c_prog_full_type_wach => 0, c_prog_full_type_wdch => 0, c_prog_full_type_wrch => 0, c_rach_type => 0, c_rd_data_count_width => 10, c_rd_depth => 1024, c_rd_freq => 1, c_rd_pntr_width => 10, c_rdch_type => 0, c_reg_slice_mode_axis => 0, c_reg_slice_mode_rach => 0, c_reg_slice_mode_rdch => 0, c_reg_slice_mode_wach => 0, c_reg_slice_mode_wdch => 0, c_reg_slice_mode_wrch => 0, c_synchronizer_stage => 2, c_underflow_low => 0, c_use_common_overflow => 0, c_use_common_underflow => 0, c_use_default_settings => 0, c_use_dout_rst => 1, c_use_ecc => 0, c_use_ecc_axis => 0, c_use_ecc_rach => 0, c_use_ecc_rdch => 0, c_use_ecc_wach => 0, c_use_ecc_wdch => 0, c_use_ecc_wrch => 0, c_use_embedded_reg => 0, c_use_fifo16_flags => 0, c_use_fwft_data_count => 0, c_valid_low => 0, c_wach_type => 0, c_wdch_type => 0, c_wr_ack_low => 0, c_wr_data_count_width => 10, c_wr_depth => 1024, c_wr_depth_axis => 1024, c_wr_depth_rach => 16, c_wr_depth_rdch => 1024, c_wr_depth_wach => 16, c_wr_depth_wdch => 1024, c_wr_depth_wrch => 16, c_wr_freq => 1, c_wr_pntr_width => 10, c_wr_pntr_width_axis => 10, c_wr_pntr_width_rach => 4, c_wr_pntr_width_rdch => 10, c_wr_pntr_width_wach => 4, c_wr_pntr_width_wdch => 10, c_wr_pntr_width_wrch => 4, c_wr_response_latency => 1, c_wrch_type => 0 ); -- synthesis translate_on BEGIN -- synthesis translate_off U0 : wrapped_tx_fifo PORT MAP ( rst => rst, wr_clk => wr_clk, rd_clk => rd_clk, din => din, wr_en => wr_en, rd_en => rd_en, dout => dout, full => full, empty => empty, prog_full => prog_full ); -- synthesis translate_on END tx_fifo_a;
library ieee; use ieee.std_logic_1164.all; -- use ieee.math_real.all; -- use ieee.numeric_std.all; -- use ieee.std_logic_unsigned.all library uart_bfm; use uart_bfm.uart_bfm.all; -- library uart_rx; -- use uart_rx.uart_rx; entity uart_tb is end uart_tb; architecture tb of uart_tb is component uart is port( Clk : in std_ulogic; Rst : in std_ulogic; BaudRateGen : in std_ulogic_vector(19 downto 0); NumStopBits : in std_ulogic_vector(1 downto 0); UseParity : in std_ulogic; ParityType : in std_ulogic; -- rx BitRx : in std_ulogic; ByteTx : out std_ulogic_vector(7 downto 0); ByteTxValid : out std_ulogic; ParErr : out std_ulogic; StopErr : out std_ulogic; -- tx ByteRx : in std_ulogic_vector(7 downto 0); ByteRxValid : in std_ulogic; BitTx : out std_ulogic; TxBusy : out std_ulogic ); end component; signal byte : std_ulogic_vector(7 downto 0) := X"A5"; signal uart_tx : std_logic; signal clk : std_ulogic := '1'; signal rst : std_ulogic := '1'; signal dout : std_ulogic_vector(7 downto 0); signal doutValid : std_ulogic; signal uartByteRx : std_ulogic_vector(7 downto 0); signal uartByteRxValid : std_ulogic; signal uartBitTx : std_ulogic; signal uartTxBusy : std_ulogic; signal test_done : std_ulogic := '0'; begin uart_0 : uart port map ( Clk => clk, Rst => rst, BaudRateGen => X"00036", NumStopBits => "01", UseParity => '1', ParityType => '1', BitRx => uart_tx, -- ByteTx => dout, -- ByteTxValid => doutValid, ByteTx => uartByteRx, ByteTxValid => uartByteRxValid, ParErr => open, StopErr => open, -- tx ByteRx => uartByteRx, ByteRxValid => uartByteRxValid, BitTx => uartBitTx, TxBusy => uartTxBusy ); P_CLK : process begin clk <= '0'; loop wait for 5 ns; clk <= not clk; exit when test_done = '1'; end loop; assert test_done = '0' report "test run completed" severity note; -- loop -- clk <= not clk after 5 ns; -- exit when test_done = '1'; -- end loop; wait; end process P_CLK; rst <= '0' after 15 ns; -- clk16 <= not clk16 after 271 ns; P_STIMULUS : process begin wait for 100 ns; uart_tx_byte("odd", 1, x"A5", uart_tx); uart_tx_byte("odd", 1, x"FF", uart_tx); uart_tx_byte("odd", 1, x"00", uart_tx); uart_tx_byte("odd", 1, x"15", uart_tx); wait for 150 us; test_done <= '1'; wait; end process P_STIMULUS; end tb;
-- $Id: s6_cmt_sfs_gsim.vhd 556 2014-05-29 19:01:39Z mueller $ -- -- Copyright 2013- by Walter F.J. Mueller <[email protected]> -- -- This program is free software; you may redistribute and/or modify it under -- the terms of the GNU General Public License as published by the Free -- Software Foundation, either version 2, or at your option any later version. -- -- This program is distributed in the hope that it will be useful, but -- WITHOUT ANY WARRANTY, without even the implied warranty of MERCHANTABILITY -- or FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for complete details. -- ------------------------------------------------------------------------------ -- Module Name: s6_cmt_sfs - sim -- Description: Spartan-6 CMT for simple frequency synthesis -- simple vhdl model, without Xilinx UNISIM primitives -- -- Dependencies: - -- Test bench: - -- Target Devices: generic Spartan-6 -- Tool versions: xst 14.5, 14.6; ghdl 0.29 -- -- Revision History: -- Date Rev Version Comment -- 2013-10-06 538 1.0 Initial version (derived from s7_cmt_sfs_gsim) ------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; use work.slvtypes.all; entity s6_cmt_sfs is -- Spartan-6 CMT for simple freq. synth. generic ( VCO_DIVIDE : positive := 1; -- vco clock divide VCO_MULTIPLY : positive := 1; -- vco clock multiply OUT_DIVIDE : positive := 1; -- output divide CLKIN_PERIOD : real := 10.0; -- CLKIN period (def is 10.0 ns) CLKIN_JITTER : real := 0.01; -- CLKIN jitter (def is 10 ps) STARTUP_WAIT : boolean := false; -- hold FPGA startup till LOCKED GEN_TYPE : string := "PLL"); -- PLL or MMCM port ( CLKIN : in slbit; -- clock input CLKFX : out slbit; -- clock output (synthesized freq.) LOCKED : out slbit -- pll/mmcm locked ); end s6_cmt_sfs; architecture sim of s6_cmt_sfs is signal CLK_DIVPULSE : slbit := '0'; signal CLKOUT_PERIOD : time := 0 ns; signal R_CLKOUT : slbit := '0'; signal R_LOCKED : slbit := '0'; begin proc_init : process -- currently frequency limits taken from Spartan-6 speed grade -2 constant f_vcomin_pll : integer := 400; constant f_vcomax_pll : integer := 1000; constant f_pdmin_pll : integer := 19; constant f_pdmax_pll : integer := 375; variable t_vco : time := 0 ns; variable t_vcomin : time := 0 ns; variable t_vcomax : time := 0 ns; variable t_pd : time := 0 ns; variable t_pdmin : time := 0 ns; variable t_pdmax : time := 0 ns; begin -- validate generics if not (GEN_TYPE = "PLL" or GEN_TYPE = "DCM") then assert false report "assert(GEN_TYPE='PLL' or GEN_TYPE='DCM')" severity failure; end if; if VCO_DIVIDE/=1 or VCO_MULTIPLY/=1 or OUT_DIVIDE/=1 then if GEN_TYPE = "PLL" then -- check DIV/MULT parameter range if VCO_DIVIDE<1 or VCO_DIVIDE>52 or VCO_MULTIPLY<1 or VCO_MULTIPLY>64 or OUT_DIVIDE<1 or OUT_DIVIDE>128 then assert false report "assert(VCO_DIVIDE in 1:52 VCO_MULTIPLY in 1:64 OUT_DIVIDE in 1:128)" severity failure; end if; -- setup VCO and PD range check boundaries t_vcomin := (1000 ns / f_vcomax_pll) - 1 ps; t_vcomax := (1000 ns / f_vcomin_pll) + 1 ps; t_pdmin := (1000 ns / f_pdmax_pll) - 1 ps; t_pdmax := (1000 ns / f_pdmin_pll) + 1 ps; -- now check whether VCO and PD frequency is in range t_pd := (1 ps * (1000.0*CLKIN_PERIOD)) * VCO_DIVIDE; t_vco := t_pd / VCO_MULTIPLY; if t_vco<t_vcomin or t_vco>t_vcomax then assert false report "assert(VCO frequency out of range)" severity failure; end if; if t_pd<t_pdmin or t_pd>t_pdmax then assert FALSE report "assert(PD frequency out of range)" severity failure; end if; end if; -- GEN_TYPE = "PLL" if GEN_TYPE = "DCM" then -- check DIV/MULT parameter range if VCO_DIVIDE<1 or VCO_DIVIDE>32 or VCO_MULTIPLY<2 or VCO_MULTIPLY>32 or OUT_DIVIDE/=1 then assert false report "assert(VCO_DIVIDE in 1:32 VCO_MULTIPLY in 2:32 OUT_DIVIDE=1)" severity failure; end if; end if; -- GEN_TYPE = "MMCM" end if; -- one factor /= 1 wait; end process proc_init; proc_clkin : process (CLKIN) variable t_lastclkin : time := 0 ns; variable t_lastperiod : time := 0 ns; variable t_period : time := 0 ns; variable nclkin : integer := 1; begin if CLKIN'event then if CLKIN = '1' then -- if CLKIN rising edge if t_lastclkin > 0 ns then t_lastperiod := t_period; t_period := now - t_lastclkin; CLKOUT_PERIOD <= (t_period * VCO_DIVIDE * OUT_DIVIDE) / VCO_MULTIPLY; if t_lastperiod > 0 ns and abs(t_period-t_lastperiod) > 1 ps then report "s6_cmt_sp_sfs: CLKIN unstable" severity warning; end if; end if; t_lastclkin := now; if t_period > 0 ns then nclkin := nclkin - 1; if nclkin <= 0 then nclkin := VCO_DIVIDE * OUT_DIVIDE; CLK_DIVPULSE <= '1'; R_LOCKED <= '1'; end if; end if; else -- if CLKIN falling edge CLK_DIVPULSE <= '0'; end if; end if; end process proc_clkin; proc_clkout : process variable t_lastclkin : time := 0 ns; variable t_lastperiod : time := 0 ns; variable t_period : time := 0 ns; variable nclkin : integer := 1; begin loop wait until CLK_DIVPULSE = '1'; for i in 1 to VCO_MULTIPLY loop R_CLKOUT <= '1'; wait for CLKOUT_PERIOD/2; R_CLKOUT <= '0'; if i /= VCO_MULTIPLY then wait for CLKOUT_PERIOD/2; end if; end loop; -- i end loop; end process proc_clkout; CLKFX <= R_CLKOUT; LOCKED <= R_LOCKED; end sim;
-- megafunction wizard: %ROM: 1-PORT% -- GENERATION: STANDARD -- VERSION: WM1.0 -- MODULE: altsyncram -- ============================================================ -- File Name: rom.vhd -- Megafunction Name(s): -- altsyncram -- -- Simulation Library Files(s): -- altera_mf -- ============================================================ -- ************************************************************ -- THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE! -- -- 13.0.0 Build 156 04/24/2013 SJ Full Version -- ************************************************************ --Copyright (C) 1991-2013 Altera Corporation --Your use of Altera Corporation's design tools, logic functions --and other software and tools, and its AMPP partner logic --functions, and any output files from any of the foregoing --(including device programming or simulation files), and any --associated documentation or information are expressly subject --to the terms and conditions of the Altera Program License --Subscription Agreement, Altera MegaCore Function License --Agreement, or other applicable license agreement, including, --without limitation, that your use is for the sole purpose of --programming logic devices manufactured by Altera and sold by --Altera or its authorized distributors. Please refer to the --applicable agreement for further details. LIBRARY ieee; USE ieee.std_logic_1164.all; LIBRARY altera_mf; USE altera_mf.all; ENTITY rom IS PORT ( address : IN STD_LOGIC_VECTOR (7 DOWNTO 0); clock : IN STD_LOGIC := '1'; q : OUT STD_LOGIC_VECTOR (15 DOWNTO 0) ); END rom; ARCHITECTURE SYN OF rom IS SIGNAL sub_wire0 : STD_LOGIC_VECTOR (15 DOWNTO 0); COMPONENT altsyncram GENERIC ( clock_enable_input_a : STRING; clock_enable_output_a : STRING; init_file : STRING; intended_device_family : STRING; lpm_hint : STRING; lpm_type : STRING; numwords_a : NATURAL; operation_mode : STRING; outdata_aclr_a : STRING; outdata_reg_a : STRING; widthad_a : NATURAL; width_a : NATURAL; width_byteena_a : NATURAL ); PORT ( address_a : IN STD_LOGIC_VECTOR (7 DOWNTO 0); clock0 : IN STD_LOGIC ; q_a : OUT STD_LOGIC_VECTOR (15 DOWNTO 0) ); END COMPONENT; BEGIN q <= sub_wire0(15 DOWNTO 0); altsyncram_component : altsyncram GENERIC MAP ( clock_enable_input_a => "BYPASS", clock_enable_output_a => "BYPASS", init_file => "sine.mif", intended_device_family => "Cyclone II", lpm_hint => "ENABLE_RUNTIME_MOD=NO", lpm_type => "altsyncram", numwords_a => 256, operation_mode => "ROM", outdata_aclr_a => "NONE", outdata_reg_a => "UNREGISTERED", widthad_a => 8, width_a => 16, width_byteena_a => 1 ) PORT MAP ( address_a => address, clock0 => clock, q_a => sub_wire0 ); END SYN; -- ============================================================ -- CNX file retrieval info -- ============================================================ -- Retrieval info: PRIVATE: ADDRESSSTALL_A NUMERIC "0" -- Retrieval info: PRIVATE: AclrAddr NUMERIC "0" -- Retrieval info: PRIVATE: AclrByte NUMERIC "0" -- Retrieval info: PRIVATE: AclrOutput NUMERIC "0" -- Retrieval info: PRIVATE: BYTE_ENABLE NUMERIC "0" -- Retrieval info: PRIVATE: BYTE_SIZE NUMERIC "8" -- Retrieval info: PRIVATE: BlankMemory NUMERIC "0" -- Retrieval info: PRIVATE: CLOCK_ENABLE_INPUT_A NUMERIC "0" -- Retrieval info: PRIVATE: CLOCK_ENABLE_OUTPUT_A NUMERIC "0" -- Retrieval info: PRIVATE: Clken NUMERIC "0" -- Retrieval info: PRIVATE: IMPLEMENT_IN_LES NUMERIC "0" -- Retrieval info: PRIVATE: INIT_FILE_LAYOUT STRING "PORT_A" -- Retrieval info: PRIVATE: INIT_TO_SIM_X NUMERIC "0" -- Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "Cyclone II" -- Retrieval info: PRIVATE: JTAG_ENABLED NUMERIC "0" -- Retrieval info: PRIVATE: JTAG_ID STRING "NONE" -- Retrieval info: PRIVATE: MAXIMUM_DEPTH NUMERIC "0" -- Retrieval info: PRIVATE: MIFfilename STRING "sine.mif" -- Retrieval info: PRIVATE: NUMWORDS_A NUMERIC "256" -- Retrieval info: PRIVATE: RAM_BLOCK_TYPE NUMERIC "0" -- Retrieval info: PRIVATE: RegAddr NUMERIC "1" -- Retrieval info: PRIVATE: RegOutput NUMERIC "0" -- Retrieval info: PRIVATE: SYNTH_WRAPPER_GEN_POSTFIX STRING "0" -- Retrieval info: PRIVATE: SingleClock NUMERIC "1" -- Retrieval info: PRIVATE: UseDQRAM NUMERIC "0" -- Retrieval info: PRIVATE: WidthAddr NUMERIC "8" -- Retrieval info: PRIVATE: WidthData NUMERIC "16" -- Retrieval info: PRIVATE: rden NUMERIC "0" -- Retrieval info: LIBRARY: altera_mf altera_mf.altera_mf_components.all -- Retrieval info: CONSTANT: CLOCK_ENABLE_INPUT_A STRING "BYPASS" -- Retrieval info: CONSTANT: CLOCK_ENABLE_OUTPUT_A STRING "BYPASS" -- Retrieval info: CONSTANT: INIT_FILE STRING "sine.mif" -- Retrieval info: CONSTANT: INTENDED_DEVICE_FAMILY STRING "Cyclone II" -- Retrieval info: CONSTANT: LPM_HINT STRING "ENABLE_RUNTIME_MOD=NO" -- Retrieval info: CONSTANT: LPM_TYPE STRING "altsyncram" -- Retrieval info: CONSTANT: NUMWORDS_A NUMERIC "256" -- Retrieval info: CONSTANT: OPERATION_MODE STRING "ROM" -- Retrieval info: CONSTANT: OUTDATA_ACLR_A STRING "NONE" -- Retrieval info: CONSTANT: OUTDATA_REG_A STRING "UNREGISTERED" -- Retrieval info: CONSTANT: WIDTHAD_A NUMERIC "8" -- Retrieval info: CONSTANT: WIDTH_A NUMERIC "16" -- Retrieval info: CONSTANT: WIDTH_BYTEENA_A NUMERIC "1" -- Retrieval info: USED_PORT: address 0 0 8 0 INPUT NODEFVAL "address[7..0]" -- Retrieval info: USED_PORT: clock 0 0 0 0 INPUT VCC "clock" -- Retrieval info: USED_PORT: q 0 0 16 0 OUTPUT NODEFVAL "q[15..0]" -- Retrieval info: CONNECT: @address_a 0 0 8 0 address 0 0 8 0 -- Retrieval info: CONNECT: @clock0 0 0 0 0 clock 0 0 0 0 -- Retrieval info: CONNECT: q 0 0 16 0 @q_a 0 0 16 0 -- Retrieval info: GEN_FILE: TYPE_NORMAL rom.vhd TRUE -- Retrieval info: GEN_FILE: TYPE_NORMAL rom.inc FALSE -- Retrieval info: GEN_FILE: TYPE_NORMAL rom.cmp TRUE -- Retrieval info: GEN_FILE: TYPE_NORMAL rom.bsf FALSE -- Retrieval info: GEN_FILE: TYPE_NORMAL rom_inst.vhd FALSE -- Retrieval info: LIB_FILE: altera_mf
-- Copyright (C) 2002 Morgan Kaufmann Publishers, Inc -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA library ieee; use ieee.std_logic_1164.all; entity ROM is generic ( load_file_name : string ); port ( sel : in std_logic; address : in std_logic_vector; data : inout std_logic_vector ); end entity ROM; -------------------------------------------------- architecture behavioral of ROM is begin behavior : process is subtype word is std_logic_vector(0 to data'length - 1); type storage_array is array (natural range 0 to 2**address'length - 1) of word; variable storage : storage_array; variable index : natural; -- . . . -- other declarations type load_file_type is file of word; file load_file : load_file_type open read_mode is load_file_name; begin -- load ROM contents from load_file index := 0; while not endfile(load_file) loop read(load_file, storage(index)); index := index + 1; end loop; -- respond to ROM accesses loop -- . . . end loop; end process behavior; end architecture behavioral;
-- Copyright (C) 2002 Morgan Kaufmann Publishers, Inc -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA library ieee; use ieee.std_logic_1164.all; entity ROM is generic ( load_file_name : string ); port ( sel : in std_logic; address : in std_logic_vector; data : inout std_logic_vector ); end entity ROM; -------------------------------------------------- architecture behavioral of ROM is begin behavior : process is subtype word is std_logic_vector(0 to data'length - 1); type storage_array is array (natural range 0 to 2**address'length - 1) of word; variable storage : storage_array; variable index : natural; -- . . . -- other declarations type load_file_type is file of word; file load_file : load_file_type open read_mode is load_file_name; begin -- load ROM contents from load_file index := 0; while not endfile(load_file) loop read(load_file, storage(index)); index := index + 1; end loop; -- respond to ROM accesses loop -- . . . end loop; end process behavior; end architecture behavioral;
-- Copyright (C) 2002 Morgan Kaufmann Publishers, Inc -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA library ieee; use ieee.std_logic_1164.all; entity ROM is generic ( load_file_name : string ); port ( sel : in std_logic; address : in std_logic_vector; data : inout std_logic_vector ); end entity ROM; -------------------------------------------------- architecture behavioral of ROM is begin behavior : process is subtype word is std_logic_vector(0 to data'length - 1); type storage_array is array (natural range 0 to 2**address'length - 1) of word; variable storage : storage_array; variable index : natural; -- . . . -- other declarations type load_file_type is file of word; file load_file : load_file_type open read_mode is load_file_name; begin -- load ROM contents from load_file index := 0; while not endfile(load_file) loop read(load_file, storage(index)); index := index + 1; end loop; -- respond to ROM accesses loop -- . . . end loop; end process behavior; end architecture behavioral;
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library work; use work.cpu_defs.all; entity registers_tb is end; architecture registers_tb_arc of registers_tb is signal clk: std_logic := '0'; signal reset_n: std_logic; signal sel_a: std_logic_vector(4 downto 0); signal reg_a_out: std_logic_vector(31 downto 0); signal sel_b: std_logic_vector(4 downto 0); signal reg_b_out: std_logic_vector(31 downto 0); signal sel_c: std_logic_vector(4 downto 0); signal write_c: std_logic; signal reg_c_in: std_logic_vector(31 downto 0); signal sel_d: std_logic_vector(4 downto 0); signal write_d: std_logic; signal reg_d_in: std_logic_vector(31 downto 0); begin clk <= not clk after 13 ns; reset_n <= '0', '1' after 100 ns; process procedure write_c_reg(data: std_logic_vector(31 downto 0); sel: std_logic_vector(4 downto 0))is begin reg_c_in <= data; sel_c <= sel; write_c <= '1'; wait until rising_edge(clk); wait for 1 ns; write_c <= '0'; wait for 1 ns; end procedure write_c_reg; begin sel_a <= (others => '0'); sel_b <= (others => '0'); sel_c <= (others => '0'); reg_c_in <= (others => '0'); write_c <= '0'; wait for 140 ns; write_c_reg(x"ABCDEF01", "00110"); write_c_reg(x"12345678", "10110"); write_c_reg(x"F1E2D3C4", "00001"); wait for 200 ns; sel_a <= "00001"; sel_b <= "10110"; wait for 37 ns; -- read and write on the same cycle sel_b <= "00110"; write_c_reg(x"555DEF01", "00110"); wait; end process; uut: entity work.registers(registers_arc) generic map ( NREGS_LOG2 => 5 ) port map ( clk => clk, reset_n => reset_n, sel_a => sel_a, reg_a_out => reg_a_out, sel_b => sel_b, reg_b_out => reg_b_out, sel_c => sel_c, write_c => write_c, reg_c_in => reg_c_in, sel_d => sel_d, write_d => write_d, reg_d_in => reg_d_in ); end;
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library work; use work.cpu_defs.all; entity registers_tb is end; architecture registers_tb_arc of registers_tb is signal clk: std_logic := '0'; signal reset_n: std_logic; signal sel_a: std_logic_vector(4 downto 0); signal reg_a_out: std_logic_vector(31 downto 0); signal sel_b: std_logic_vector(4 downto 0); signal reg_b_out: std_logic_vector(31 downto 0); signal sel_c: std_logic_vector(4 downto 0); signal write_c: std_logic; signal reg_c_in: std_logic_vector(31 downto 0); signal sel_d: std_logic_vector(4 downto 0); signal write_d: std_logic; signal reg_d_in: std_logic_vector(31 downto 0); begin clk <= not clk after 13 ns; reset_n <= '0', '1' after 100 ns; process procedure write_c_reg(data: std_logic_vector(31 downto 0); sel: std_logic_vector(4 downto 0))is begin reg_c_in <= data; sel_c <= sel; write_c <= '1'; wait until rising_edge(clk); wait for 1 ns; write_c <= '0'; wait for 1 ns; end procedure write_c_reg; begin sel_a <= (others => '0'); sel_b <= (others => '0'); sel_c <= (others => '0'); reg_c_in <= (others => '0'); write_c <= '0'; wait for 140 ns; write_c_reg(x"ABCDEF01", "00110"); write_c_reg(x"12345678", "10110"); write_c_reg(x"F1E2D3C4", "00001"); wait for 200 ns; sel_a <= "00001"; sel_b <= "10110"; wait for 37 ns; -- read and write on the same cycle sel_b <= "00110"; write_c_reg(x"555DEF01", "00110"); wait; end process; uut: entity work.registers(registers_arc) generic map ( NREGS_LOG2 => 5 ) port map ( clk => clk, reset_n => reset_n, sel_a => sel_a, reg_a_out => reg_a_out, sel_b => sel_b, reg_b_out => reg_b_out, sel_c => sel_c, write_c => write_c, reg_c_in => reg_c_in, sel_d => sel_d, write_d => write_d, reg_d_in => reg_d_in ); end;
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block N/heajpNrarYNU3C254jDWshE5DbEj22fqC1Yucdjc4fkTOjG+ALNLiIqAjeHVj2PcYj0LHHhnDu v+EHF5nmJA== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block BLPoCYfoVBAH3RZ9vFKtH2HtwnbxcgO7h8CjI3i5SLCIBQ6+XWBtF6JA5HvbM075qHjgpvtc+IIF FVyBkg4RsdPCzMj/qR1a/RLtGLYJdF4+boBc+WGB/O/67rOyITAHUOcztKjol5ZNj/U/HpifvIGW dcdRMlBStKHP2iqZE7Q= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block Fns7Y/UN2G0Mm/9BCrk0/PTCU3+OxWxbVukLb0iRdQ5e86tBnY/XdBRlXS7i89fVO5yy6ur4wM0F wNggtwWgPTKVGUL7K5q78QwqUuUW3TVtQ6uebQB98k/cIp0JFaCU+isqVDkxh5PdylMgWStBNMW3 fD8ePbh4alm49PPnkz+XJHC/3yV/r3XR1EVRpq8xekTc9rjnlihJiAtSDk+2QpAYRUOaLxJ1uYaB f/c2hLCSCe31LwrwfS5Pvsywpw8WFUk9JEDmN5BAxbJXSczp53458FUIjHtSzaIjpSQI2rMeTs0E xIOpOi0vJuWt2qzsO5qKuAoVEDUFO01HT5jpSg== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block K3OZnQdFhsFlHV5aceYU/PfEpky41qUo8FJUTs+MvxKOz4NeUesMc+saeFdHB4YNDk6if5jWLiEE +uGJ5c/yBUwFLcrQSRvnPbKbXUQ83Fyk2IZY1OPxRSAuwtcDnBl++ci6lGC6VMY4CjNYrlWywOq+ 7nGRVz1AG9veU+BjUvo= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block VCELSlKKPMR/IFGPoDE9R6BeRWqdprUDexz/T8r1eqgX/Re4giNmeSwY2/GrMnNEOBS8Ts76n32V p/YD+/eqH0r/IeRPVdYGUm84WUpN11Pwua3lsC4tbSra3iB6YgsWqJbr7t+aDuRlpfB6r4Hjl2Qb WpeZgbd4OO9+awYMKjw0qm3ImTGdeK3zY5JRN/Nh+u7Hov9AU6+fyXMbf1LLXhfSdXRK6KfNALZB JbfeKTUVRDnlixCKwXjcn4aN60xgtgCGuyju0v5vWJ9pwd9A58PSNMv23TOVk0ayFoB3lXmhnat2 OVwbFYaH2fxujE/goNnv+u5tblmJUtViPGRskQ== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 41056) `protect data_block f3Tvq9hPhVanV6FpZ0GDrcEwQADJitgVtQDhrmDo8KpbUMhU+3qlcgkcZLQNzmiEVYo31y3cQBu+ ZDBehN4JOHVQVypFHR5YMN+3xOL4AJ9Vy1NNR9RBIbS2atJBLg+IN7K3ydsIHZkwqDF6vve3wMtm Ews5C9EcVTYQ2AXfpz1Kqmbuhljmupz6qL+1wkQpAXKRhjqdDbOdfAf4Pm4ppvWz1LoIVFGhk2I8 Gu+Pj3B2C5zPNnjlpQyBhaLuDSD0qQzLpZ4bHV4WgwgIrOpqhlMfvkdKUsTpU9mvFa7zlKECisG7 4yQLQsDyBfb1icT51h1lGs2nKZB3ZN8S4azlkN9WMhejOIoxNJCa8ah7dAEa04xzhIRlpfqUOaRH DOBrWhC+7L7hDlBB1vJ5tRMjU5pfpPksbRxlaN4XsVdxoDD6ACIX0UnNaNBZs7yQ/pGOUVF+YxWQ 46hzKFvhfZvA6UPb130g+bFwcOMKTpC8zkRGl0KQAr8XsC0Bj51v1zZGVDnN6M/0cmOrTFQrihxJ FrtGiEPi3FFi1LSmy1IQjlFfZNmLtMZyoThn4enP2Z4dWu/sJ5iT57ZriMxlP6FoVy6OA+trISXb A4QFXe/txXONRxqnsvWeeO3EZuPl+D4mPE2+MlbABw4WIOtAwUWStQtixzSeulX1D3iXYopesK7h Sr5K30cmuh28QTiHYjDXMdSEOAw7lp/HK8Az5jjzKhUt98Kt/wI7rwO19kGiJdyztKZZisXlpXuc 1Tzi1ahCMZfUVPC8STeNxq5EdwhAqNlk4wBIiM+2u/8qElbzGHC8vo5ImYrwNMVWWHTBci9Jkf+z piiVFQ25BhevJFh33JAZ+1kIPArcb6VJ80hET4085U5N8DdZO5feYSOF3rUrZQdxagvcLrW7UB7G +T3pNqE80Yh3xCkG0jU+4yQFp/JF8geST3acrE5084btCuB+PG7m5pXRGndsxYtMK1K62uVMUaop 6LvjW+FUn4zP8Biig5cDS6vwpzLnvaM2oW4n96G8zAvYw99CfVJNnM/ZkDBRp+HwVZbJME/p5x4J U+ihMbxHI4T3EBlGDq6djERkKy1yBMz5Ov8IafOb590fFed8HLMtzpYYhqMHL7K48T+PZcITiSqJ gv6CH0pxZZTvVmLUz1H4ZTxfIkGKtJzPyDFsTN0moXYU4OczmhRpVdCSKh3kFpnPjlgqMEXYnIV5 NX3BonkN7hgd9EcTIpjTY65ZLe+6UQ0aIKVvSZ7yj52w6hLhE/fSxdFkjWLYMHY1Lmu9mxloN7dl rAbm3YvyfFA42rIxiXdAKKvXAijbCbcy8Nw+OsUYpLms9uvabUrXs56YFIdwmHgfdio+m/LM60eK UmjEu8W6rwTufWkIF0suYxz0B9SFs8FfgN3K7D8xHVqvuzzq+2TpQ7HKn2lChZbXzgcbiveYCeIu Xt5lmWtBMy722tz4qK6mLC4+Ct0e9Fa8S5cveL117voXwI7IwZjTdAChbwkaaQIF0Hj75u9Jplbd Fwob2DxBsnmZE0Pv4lLEfsekhbf6r+5gIMSEAwsfJLwMGRelSrPZNm13RnSUBZPM3Ijmm7n6Tw9U NO3Eu1vgMHyHbm9sLvvTIhi2J0N+ydtbP+5CbOGa2cur0BW0rRQtZBc90Mky41j37071bSqdZ2e2 HuO6hetMr0uXTK7ifpLD8WdNV/bAh+UQikWrUZAxzLtqvosYjDcVAK0ZVJHjGjxlELuInYcfiGBl pfsHsTePXpdDnfmuUt1lVh18vtcMIhZrs7pQPwe3B4ocMqpDe0Ca4DEEGnwmYOwanVlnwblAYfsv ZpQlGZhpq6Hz2zYxT4k+aq+p5e8bSQtK7mwtk/zBWIZEGHApAIMaBL3elpDb9pAMGzRvOOULVL+p EyHSLd7XX/CFOGU4LlctFVh4ZsG84qYEKNGYv5PMwCC4SUX/tDAvL5iLIN3HaufnfdQhxdUzkMYb LK8YJeBicZEfKBiax0nNXmavSaeQHJOvvSS09ThD12TmSqCjAwqrBeKnsWzf+V9G9dyE0jYaURES PE5KJaXK/NresWrRUUQtadzkFJRygoB1L/n/XWqyCXj1ufgWEEaATMJKDyv5KgX2D4x/f2wgpE5X KR9k935j1QOIu98iniG8kZX7O/BHRwFPKp/omWvXh5GsxwqrGzuq9U5IC2LsbyAwqHErTZFixm6x h+K4IGLthkuQhD0k6nGgv1iRawoedQecFhmL8HhX41kyvF+XnqxoNQ57zj8xCmYYaZRSqz/AfM8W O0RhKCtRDgZmUp1mgzui8fxsghdtO7CWG2/8qP4WP1qDE0aRaKnzO4X96VcbR4F37kPGiUG8/Sva 4+v5zwHwwHq9KmFRf/INf/v6amW8NZmJbXnmEzn/e/apuyVbq20KRh0RF035JXUD+QG6leQ7eTmf L0OyfMDI4HRTZdEB4LRLgs+aibfVJCaeBsfon5bzgqZcpsDoeEN/vf+mXvCaoaDslbQgHh+UlmVF vDeXis+BapQb54AmRG4Q7237vQrC38XiTvemvsLXqxORMVzFqsbtU9qXfaV0cnSYkih1gxaltAfD Zv/7c/T1bdq48wpV7OH8RIDpKys6nfJUPrQ0JmO+dhTC8nUARmLQkMAkCkkiOvaJVH8sKkRi0pcA /uM1m2dgSnt196kHrihg1qCCRY5xqySY4o9r7qDOLcwBcyO6ysPbnMzMypKbC0o9qXSxBpJBb6vK b52YOTcOMOD/Tabaw9e8935tF3lOEJwqL/JZSgQnhQFjyCVdBp4r5wUQ6vKcgUGMRmExIQWtaG+e U9coIWN/RGyCFWsPXnI/m7kUMwbtt/jkb5SN9njQ/IaPQUFpyHSrxgNjeTjTqHGuHHpB2tDdD+ia Pc9uuAmK2iEA80WtYplKqo9KUSiirYvHQeUMwL99jnaEuDDN0uPbY3h5v2tYjn8oWtZeEggZ/p9u wQduKz0/DsC15AdT0O2yUVJfOmDX1+XisQdKOay2HY8c2YKFhu5u0Y/hFi7nGjtdixToui8sr6/q M/ZWAYUUR13LcCBTkiTEARqJ39Cqfh618X5tAUfhvmdjhnQ6yudqne04XBYbSd535MiBRTLxkh0d DqKkcrGBgKm2gI60+PAX+yqmE+36gfioUhjnPgB8MWM27uqR2XjROE9exasjj1uBYv5tjVtsPrPS 012niNolfwVUd0Yc/UKjxQbmNY4rdPRZ5nmcoYZcsaNpr6+DOPqlbNCnfV4s9QNb5rlv/I+ZMgyg 6ppzjt/st4fwIlkI2PQQLVowgGifeAWSCk5usyC8pXe83/X684bw8uey8bauD5OGZ6qF7gaa86HV V9zVVGHHV+4/0rDVzgF5nHLr4XdzWVwT/KqaytjEuJ8yP9uGzfpfTNTTm4KhsaUzocPBOG525tYz IUCJkJwOnrF9IwcIABALjJHXOAAdr3bhpHx/iuZyVX/H4eo9pt1hUmJ+I7eR7zmTtX6IKFDqgWHm OZU2pXMeLVH2ik/T9wYJSE5IO3eWobnINZdl129AdTW03juLLfjW6syHEHitB1sCOy4e90py6z5D rHRsXz9UPcdCl1h5mH8jZ/XuLgZzADfoNi+v+Mzvftr4T/xreG58oX3Dpkp2onYFEZCJQaCgnS2t TFTr3qaqG0en49Y2DySerKpGi3P5kjH/7AMmjeio5s9/jz0IUTpXcsUOrk4TMW8uffBWV+OdBcF4 yA8wXOsLXSfZj1BMTKVr7z5L924ZodN57LLIKIRgkH87yGIehYuphhdQuMvyLUabehmX2xtVL17d DP++9uvziS2h1Feh4odwb06/Z5HfwjTCcEPR/LcPl6MiTeYYeLjvRbAtOAyulO3vPBLqE7iuMAKQ rqAPi2NxKtP8pmPlCvcHZ9vXoWb15AVvAGN15sq84A4sslSO+DOoWv7glq2Q27rZUQSTWSzY+p2Y TqCBm9qnk4pCS6Kk5nDmVHZCCEG8uSl+osiqdYwqNSuvVWbntBjOztTWrusG1Vd9Id4k4HoJZ/gJ 7nIcMg5LP5k88tHIKNnc3U/hK4LLudlWeaxvw07QraSgpHWYc484P5uukKQBSqocbyVLUdQGcOeR dC4WJ4Iiv++58VoHQ6TLRjzWU4vKz99TJ414G+wls6ryboNtSHn7hklhOLArU8UaL3jkHJ4MBYUB 5VvKlZZ7wxEsNXRGxnVLPvlH5b484yiYEVCIKCivENCpVs/4bR7ZP6BPeHbrJg9DC7iTeW/pjmkr juqnQpcg8+PpFGXoLwDx3EBM+moNxcyL5eTKrElxgUWSdUbsnBXzkpPW+4xchlkNfNnVvDnF8uWJ fdfXv8PkxMuQn3HyI7qwwQI9sU1yigWa7+qe0GBPDAKX+RCRlcUrIIkyERsMpZ8a1s5hSOSR4Ara AdgjCH3K7sX/Yr84+89BWXJQT7+rPCfcOfu7osuxltmKoLIirQdGddXqNUJLdmb6tnFH/MgMXBqw 42b2NSX4X8FAyfXiqneD2xQZ4BV8o53LJmyABsauweVz/jD0TzmJcu/bmu32j7wbuGDOQYMhPByo rs5Kh2aPoDz50PkNdiOrFxdxobxGUpFvzj+lKP+w5E8QkvQ18faw+tcH0WvL0vXlPwlaTqavn+Zx rdYrvuNAVThi8FWaCT2J63vr3f3CDixOTRRzINJzwj0EfzsA62uCMCYK4mdI67UsU4r2f5VzD7ca rUEcMdVtbQl6w0JiCVYGwjMQsmwdmEfh2jdCCDWqsU+loWOOd3Ad5FEeCthYotfLuBKyM852OGDu se7Ez1K0qhDoMdDGF88e+nJ3JCevz1JyttlCOipflH9BDwVyPGmHKkkRbUEWENKrxb4pDZj8A1rJ QSKkmoWgJlytBPlTTWhz87Dzt8kOA22CgubZui9+2FUyVLLXedml4ccAGMbduCEbcHAsFjylTsjZ mC9swomVTWAfay948hI0HWYGM43Jw6QkTnFMrw7ai6MvJWtxm6eXCwnAc2kAcVwbfw99SoIyZjZV +caciwRxRKv+uLWFshBwVyqj5kuvL3uuuu1PfSgHeNF0S0YeV5IwHZkeqgbR/LiEX+vqn/eomiXQ +h3ydxCeJjmh95eweJXvAX/bb7zdFBoKc+0OZ5s34ZkeE+EzpFNbL8c+w3T0Y3Jz0NAB93U/R/rm YBBdFbpctHODHEaDTkqu94kjGsNQOiohal7jF8525JxqIvCrfnHpQhK4RvdjszhR/U9Lp/H1/Ui1 MWVGGiouexUc/XgoLKySo32BUfhxJt50R/nJTQsmtSMANCepqcpk9xR4iLSzkM1Tco0LzKq2M+31 7iJdy/XWR/SVyVNPjD8yjojBxjBmf0gCodmhfkhJMeic9qo9JpwrJBY+/+UzI1G6V1C80aYbhpHS t6VNGI35UlWErfsgpmLEbACK8RGKVY02llBHVHJqlfv0zAhOzvgh+Bb5590xMihWRplMwK0Vi1R2 R15LOiIo5FMNW9g5jinzRTidDjLQ6f5LA6A41hAzdoAMf8BmwYrXsvQTDjRHEQG5BKyHwHmqorbr BjjBXdQkuXPbv1M7KoeiG0ETpvWXB++w3uMHe51a1OGjTEEaIP/5uQs0z8VnOCaeP6z65m8d23dH rNVCiVj3rPZmqHxNbcfjdY1mxljEUnT67QPkQBU/BFhXbXCQtwNNJ1fR4ddVUL/KkiEQX9ljNyJV bm92SS2LTAcC4BHJ7hI/qJIeDsVavxMtQxO1x3Hl/KaP5n2lclRU1N5QCLYXr0JbkAjqDaaOPADv tIYU6AjNAhXGyrGhboC5DyNmWzSyLpQlfdIrufij08OPvASzDse7swZ5af7uglSJYUAmClW3jqTW SckfmHL8J7aeeTVOKkFS8rnAypj3UfifSOWGzrQnd7KxXcNFt5dK4gvWCMaUfP1Teg+iajfGF9Wb Iwz0gkl8Ago4wkVcIwz2pdsa77iylNPpvZHmsefI5s5Ek2kZoL0AwKKueqIfvZ9AEbTRqSqfNy3n Gqp5E/K7Ljee0651X7cejXCCmmRt8fv6PbbqG8VT8ykxfcQD7W70DFJbWFdQarRc/MGoFVJCkKoi dSjb21CDqk7CFpD+q7IzAYVxqkd3OCcZq0CPpNas5srpsV59iAsi+YOPX5AiX4JwcqTitB4iYDAA JybuEbvt+Ohh6HtVe9mq3mCRg51xJXnwmmmi0M32ixgEbWtlATqIGejVYajl1ya3DBXDsxSIBmiD 1pcXpDiNA20yXKC2MAKeAJ3VCRQ9mpg1es9VW6ZHYklAgvucN09B3pV0RR/DsCr+YXJ6XnaVZExt 4OhPANXKb1tfK1vCuXtgnNXK/9JGYntdJxgzqvcwwyAMiC9Y0He1gYlbMsAUxi0/TmZ3mxQMz34t xADJ/OfdDfL5RPtagLebZlYPlJ/Mm16iPLC/53q4oi1pCP4oHRoUXNwOpFU0j7BsAywyXf0mAR/F oa7R6rYTYp+up6yBU96X86CgGp73j/AY25OW6NEq4NpLU+9PyCcmRmwCOIo5O0WCInc8phGoSMWb b2752sFfqqlCYKckmm+kCSF1oOUiDj1DRBFd/NhDIKleqNavgHL1Ld8bWwhr8a4kuprV/PBZuzVy SCWBxiTI0zMzon0wRpeyMpms9Cvr8dZdHDeQuMgEgat27EF+EEk7sFUBLlEwU2yhsIctnBl/2ir3 mwhcUALI7mqxVF5iUuvjhkQ0uBqw/0jZgUzpNivWVGmLpYO6b5/c1jgjfGepwURDfVUPmba9KiK6 9zylLCCOY4bABbaa4T8X/NnU5lW6bijYLmkY36u0G8omyioPAHdu+AtScG96LOpq+AIo11h3FS90 QHsgR44jPXG9Nu9Iealsc5t7r88L+1EhhaStDRLskzxoQuNMHyMRC3y3UzlDBiOz3bqEYgyZ1ZTd GYtmOKGhykK77o/RgRWrbn4GvLzgogLak1e40c/ss+2DaxPux9dCWEKTTiL9OYoUJFL5VuYrVKTs GFpEaCIKnYqVuhmIWLIxQaAAYqdGuHMhYAK3Y8SyPPw6WOm0E3/aImUmk3R/x278mW35bKOFtR1O P3oNBuTOQeZaO/sLYoLFaMuNKnLdvDdZ/6is4F9AGUWLHNiAny86KMud0K7Ar0WI/e+xrAz09qjQ OyymFgW0iwjzefvWhH4J1ysZs16AAiams0T0zisKUh6yVq4rIEhXzreCs9YCrKOUDPwpabB4vI3f 8Qdk/v0ewiOKa7pRX0f1CXll8s/NS7u76FP1Ai/uydwf7SVAZ/B8/HHXDDhryDPAh/pWlyGS6o/p zhb50GqrNJG3qQP2dstys8epPD5/5AqqJpKWsdxoLDq38TTWBxSqTHrk3jI70jPiL2iQLnzcceA+ Y00RPZOIauxCpraE4IPfPKvJhzXxR1V44/+G1lt+J74pOv3Ze3lQ0x/bgDo7rrRbZizCRLsU0EeL ATmyoaFrCYMxJxTNWNAJBtgm4yBllF8I7bAkRlx/5QLg33LEYTiVoLJuksZOvZavW47/CpUoojl1 4pzbJvrUJqOaLoquE90bEv8o6aFydvNechilmPIFxsQkrCr7O6qlNUj7eaPHyQoA4IlyphTOYQ8t gd+jt2lmZ3ABCswRFgvn1M0J93XiMZTZkuNnu+aO2tlWgEy9rItVi9hQrtSa0BDnOtV9fy5/wQFf xxEZgsiknZ8hsLYX4jMwsfSpgOWREVSmOBCCRtRku7G2YUs/TpuurVvfvyGL6S89fQ88m5LpOyNH U/+GmaxUiwv2Gdff8h0AkU6NlXiHtOsrx4lzT7+9nD1ry3I0+dnJPTvLH18eRDUWe1hCVdoZZvjx dJ3K2HX3ZQgEsFTVg1YgH0lI5arGRIPfo7kXUZDWhiCKJBdBjcnRY0+vc+F5rLIOKqQ0exG7ETyz k6ZLgxGnBP2YZrlDbWtQ3xV5l1JKZQLrhgOS57AnVWAnNN2PNVzqLM75o5f/+PaFrVy0ryLUk9SH T4scCiQkh0GlyeZbBd3O1V+Awg7/IxeBl0XJWHcZ2489dgwpJrNEzzHHUtdbsPejCYYJ6TyStPq0 XHvIgDIIBrnf1qjLyqixVbVbUKxvW1KpH5ZauzVzBKs9jl8Rk34tQSA/d2ukLdvOPWFX+lnhL3W8 WGZyw2aTri5S6hLiEVwnwlq+MKUBnqcmXPc9c1b0xODpodrrwhG/N4W3M0pgwZEs/sYPsERFiN3L BJ4iZRQvaxs6g8BW5G3U8ZzvoAlulT1njLK8/pvczxZ+SGUTjwAzzpvraFbl71G+GavtfbNIBtxP QYfqugBna8cXWuOsoawooQpf3o17sZnqSo5k3c2NM7xeUWgzyLl/ooR+g4oxlAvR8LNH9Aa43tTE NYD+L2QaFZW7I3+qajQeV2BaWofegg/mjwnUa3U/7lD+f9snHAT3+3GiH60DWC3sFAAicif9SlJu PKUzdo3YtUt1BjCzzSrTTCsFJfZEVp9s19vhdsu4g1Md8oxGaebstb6tRM/WB2jLQUCCHSifRPFo vGXj9Ogl5dh34xnfoADIzbUcwkcM/xVURYVSPhJoMkDtCy5b0nhfRqqLUk11xKLHqzpjUPYIsSkV 5lzdvTlHNJfKgLNa+z/jV7mjgflJeHZspt/5teexEFDdCiGfbGSSCZZQbDtLuZqd01Up3IuaH8E/ eAZe5fk4L25z1LRDS2/eIsH0YQFNTINdme1NBqRw6/9OOjrO4tTKQcObZc1X6V/3pclTnFA1Tc4s GeA2sMCIh6W0+KtQBsLD3LnHksv+4lTrGpiI24ijU77SimTx4DiJ7Suhcmz+veq8wviLuwM8JIo1 rp0cvFHUFCtziuClmPh0gZLjnZqpASsiPjtCr5N7ibFCRVni5qMUiCp2EOyiWyjG/2cYWlwjYlId CkJaya5F62nQfANXkj87dSO0DceaCAJXysnjMZLHd3O0LJMRvfBTll1TvyIQ7uO3BiwJu4Ci1TUY uBzmpTYOhm1nGXP3QcORzsWpFnHZWd/gGwPgX0nNaqwfx0/SdfE3drHORSUO++uuwcNFweYPpqfE 0tCIArT0YWvBH2MaElo0IzWnHJksurv5mW1zB+1B/gyn/QH4Mttm2QgegQyyZduizQlOvttL21k7 n34FJJBFRbRHpHlG0ORN9bLKoMhXCkHNR/FP8iqKKpsMp1Il//u+EfMCQ3PrIkmIlo3xpZm6yeEP aIkA3mLIUtFueugO7h/M7mDsFx1Vk/1JgOoEZc1nn8fQmU81FrqdhFz1wGM8Mlp181OTybIQWDuB iqp1MXTIOiREV9SBAWJYmZ67geBoALPq8iAvItYEOGZ3RVXf5XmEbkypLTAZciwqjNJ1rh+LQTj0 TKJA0UlvTOHgVxu7NYe/2AhYt9lzrfjsLy6nMVlTVMIA2EyBBrZjmkxUbqMO8dXdAY8UkzwcumC7 exy9QKzeDecbeSigZWyjXzKolj7OQPm6SkzwsE4YC7CdwXtqDh9Rx0Q4oXZWK9K8g/zzYCbXbtKR bDynazjbX8Y3sBitjHZRY0Zv6mnWdh8GJjxG0RpCXjDN74xVVbhAbUJzlyxvdlcc03KcVUaViIYj E+4H2L7oWGCPVLxh/YH6kegyYjFkIx7BIk+2N6nVSEkFen7Qu8n6EcTrSizvsDhyDwhiJnStrgOP G6z2FrfO5ujgOvu95QTFIaXpvSTLZ8ENDgO7iaUfHfL2qjHhr/ryaFWhgW23NsdzamTHimntPAsc j7wOj1wrAeMrdp7P94HORwsutfW1/oiyATxGgetete2bcHtOA9jcm018jblM+CtmR8qmAr1fihMU GkGQyr2HyMBllyqRAQ5bcyWNGreP72kYOTUwzYGsdFswAolMagS74ayf4meF+79H8iIo9tsyfrjB w+6RRQzRdVZb7KPztSQ4zLWoniHUBXCwq5LdOP6xP4XCaGdUrrhJmYQZUl0VXVAHJoWzQRPAzNK0 JYjI3RklpkWAOHhYUw1nefYPONS+7rBA8DiMTriCJvA/q3OXOhO0JSUfBPxlDfYgvERJoconfge+ KPfwPyfzq57zC2YLduEBth/WV0MWvB4WBtAcJwH3eqk9kG7pd92wSgdwWHORcUPg9mME9gGm8Utb trXIigBSXP1gBHtvlJQD/zHqFxt+D/Qxfipsf6F6hDKWn7dw9uS2uULQY+vPJZlmUuJMuHhhZXFh iRLWaxGnX0MTHBDUH7GIrT6gFjaLNISofcaS1wSeHz8l/KG/TVsecln+r12GRSysz+rNth/qkxMi AmVgOmN1CYTu5iyAWjZzPPzdD8GE9RlWgJbwiuXMKrXER5QcBTZvVs0ZNqyFJ3OBqMjH/PawNb7c SftGWjp6gXtqgK14hMHSekbYZJdag1XNG+Mc+CQP+kmUsVi4HL2FeloGrGVD9MUpvvgeKCRdgL71 5mbnseczAPXWg9t6nElKJrA1HTBYS8UceXA4LfQlY48V/Vag8OyShcBPREfdMFjgsp5TBFPaDQvd 9OrpPMdeu8av8EgYLS0avMz8X1Stfk9If/it8G0nMkgbgsuClWSTU7H4onFdPRCwwn/hWFC5nfmd 1CSCN3yl6BnezDHJ9kp/l0NngCjbBICwRhodrIQwIIEMirUNdq6USuuRYdKHEgquewNOmRR7Et8s 2ua+4Byofcdbr2tPnPdtrJhVFIgEa+ggEbMWo4rLPxxGn2bUabsr2rFXs2Vk/Kob7b54+mUoycXl jGiq96fFj22hxtYol4btzNmTMOQHbbqCLb1eQQxHfYgaQm8tEMRmIq3c/o8XPzVrPG8ITwfkKH59 WgTBLzV8OxN8VDzcrJk6EPfFIhnLfRavnaE4RJ3tQLrDpbvmCM/+6iVfiTcBG/drPrJ4HSg9FwB5 lltvRa6pGL3Sj1mkT/QHgHuEMi1lK558p16M/UVGkCrLPgVLadIUQvheRNF1g5aFKANe6X0fkpjm M97WCFVH2iMOozMeCHC422N2X2kJ1HvYazVTrh3RvD5hNj9z2HUfuQhQr0VmHxgj/8WWjhf7fiem qoP+WWsh13ql06sQk8dLKzuiPxzITS0oFgtVifR2zz5+JZidOxHLLlVVNUpJyN8UQzMTcM1ap2QR m+pH+gUndcPGn2uUfT1rvRvZvo/SQnDMvb4aMXEiSar2iLZ2FtizVUf+ZS3MWV24ZpZ7aJy0q1ui KVpsRF10MaLOGbz3VinWxFtvJZjnwve2hTlfIUSjic7T4FeiZs8zRk98lHbqDJCp7V7zvk2SoMdR zs3X3KsSLkR9FaEW5hf1UamaVaG8OxaJuMb1uZ+Uk05uz0E//h3Qs2PL7UV9vezKO0MDT1HM/1ZY 4gUItr8crYAXVAf5cOvvUx7vSqaMWwP39s4RjhVAh2hjQEktJb340MY3L5FEOxc19ccZMM9JWYBU giru9d44EneDF3mUJBbwtz7ybc7Ni33Rq9CA9sGhPb970wiGoRa1djpw2H7oNA6iK72ZQIdhIXVk AFWeLt98I/EH/SwgysZaTZ9Ccm55eAJ+Ljo6QBc63civ/RcTMdEUQjgMI/5vZ6auTJqVDSfTDTH/ iQb1PjJTmGXSkinBiJAh8I7axjK+vwTfBucdQtqxcGvZ5emhs2V7sLXiXpwRyIHAVd+uLYGV5giO yJ6MCY23RA+BbPPfuaY+bhYJ0qknBTA/WT80s+O7lzghtVodqFwegf73m3iC84yjAkQhS6zWJv5n bW5Jm6+5jsxCyMww0Gzn0ujBvDD2KDntfW6P/rtN1hypR82RzTEDRjeqA3NK0Lc8nUH0yZDip+QW dyMglSN/LAYhFEy1b/P5vSb8EDCDIRl+kXMgxGPOIrNPqKP98U34XU+10o8C07CbZBz7eNqdppUo 6y1CC0HX4aWng5ezkGFxi3sEU580RZhKQL+XlhF8a6bItcFXmYdUP+nLUEttIrMb7j2mGV254tZT wqvTio0Hcc5zsP2U+Z5dwvBXXB1Ar3LrEor5G9bzNZbGpYUQbqYHyEMMC4xlnr05Umz8Q3Kf33fb nnkfcm/NKUAzoRYw817akqXyW82RP0Gu9SkbvF50RIN/uywgLdu7lI15oLZABG5OwNt8yjhq1tXY wAHge6ZSRTIRjqdIDSrQUaSWIDUmlNspYGqEQoqBcDEq/MJacmXnesU4ym1lGIm4l7YTszSf8jmk A5Eniv4q7nkqZq017JRKmmdX3TGKXHdB81Lyi4f/Co+mGex59S0BBQm/bCRrz3KF8tAUwTuz2S+H pRlQZCnK+fsKs1YY63ggvEIXq2UHSgFPG+Mw2oJekHGI9lzrq+xizljPjVSecV3m4y8RYZLXB5m/ FI47WWB0Dj0rnlzV3Z7ZEcVMWOprbYKyvDYwXQmDND10mAFrg5il4gUYoDgCfRFTQbnUrVlXa6sS znZaojwPsYiASSn697v8PcAUi3T7VipsSPwpwBkH+5IUdm/bLJvedk+CM0yHQHVG0LJ59+8TbWUU 6CmAcMiROcEw1VweQJiiEJ0Lw43vUBwikZm6tDpkXA0BN+YyaclMZ0pyZ9x63R8pmLQIza2MFaF3 QRD3nei1Nhcp3jnM1uDjyet27waDtUnMLXW4YWIlzBkAh8Vc40f1ICMb9c68IZ8Bwas1s82iczgl nou3nR5dAkUk1kf4AZYM2a3OH/0u55dLxq+5ZQfc4bO396DvoN3sZo0GKrM+Rnd/Yvwg/FaOPxxU c2MzTppfWhIVOOToc+FkPpinlJzSqfNbCDGlP/JXCfbAWckHfETFxf6jUvYRuxlCLTiuPUjHNpca wM4yOe6eaY9TnwqrMCAtkMIH4JUU95ctbvtKw5qhTInLM5JwmuJWiOl2pODuRqaHMjXonRDizduz +ZhYPfzR1DuqJGJIRWJbRsq0R1qsiqDvpLaVz+jt2GtGTI5yJaEbxqzFDumTZsGPsbeP8FM1mV1n g/t5JCKYmKnBvnMYPQmYT7I8Wv8VWyvs3Grs6iDVcIF87ZB2bBuRL9wOuvSkp//Z8Lf4jeThN6eJ m/2cKgDFvzRzCO/nz7toVzK0eI7b+UB1MosdJCYrQ22MZtpTsSsU/Q1xZ7EJBakQM8L1h/QnK4xy plShonTqL+12FfKZjh4kY3/5DN4XgKkEDmcYigC1YyzBBCZt4K/uwc/ZrllOhdNy+fxthZWkwQ8T vg1kyhF0/nluSrlI36BWNYbzLa7XQZpX0oeyLelj7+zfTNHjXgFcBTPolGW1x/HH2Hq8i5pP8HCk WSxNqpYik+vLWg/mjh0ogewLZj2rs5kxG9VXPIHmDE05PrfADX/kXFymxJgiTqXkGuNNhbKUQVp7 rIC4dBqifb69MgAUZTXIaURj2O9zS495eeFhSlJQEhuvrGYP4+2UDTs1o4+bTkqdJqr8pqcHKCxv cN6RmFbmBBB0BRaiTsoGRtGZyE3P88Tdvei0H+Qu2PNMy9gGx0IEqX4rFMzyuyPnv/6ZGNyU8Z/z cPhS/5NIn7HYw5ypI1Rs9SB4CjLljqF8uYyP3+5hLOoNwP7QmU6OeUdXvYLi9J2lETJlETU3MV2A ooowbBol3ZDNIZ44+vcAhIM0dQtiskZg6KuAv233AJ1xglXymWzI5mMqtG7sHS4SyRi81EFbQVFa 9dtPMd+fK7fswfYtfZuSUw72swPQefuAbyf7daivZFLug3phZbZbfYNDP9P1M+oATu2rHpxU+1y5 Bj4JWCAYtvXgag3g0SR0xjEj0yOuqPEnOZdmYSNmmO5YgNNGZDaGaujweJJpExyTDzgkqRMURh+6 mlvl6cXGmF2wnbHX/xk5Ktfq9W3oCa8JzidDklBjeyimXorJ78eh00zEb7gfQYBz2u1F4tlpAChY DvTuPL3ayX/+yUs1gPrtI6r0yddf3FybJVJaSZmGwwgVz69euxxtUR87FKQvBmnAEIjfQm6rAmpt q3FnD3OGQP6mRqygYdQf0+Zlljbc9h9nxtn51YpdJtbBg5SEmbvAR7QI4fC8k1a1ieujFdrCRssB VzP9JPDyvEHGuIwDiMRZb17eRsW+3E3i/HR0d8kXN4FCdjFcw1gOK3zi3XV3qbuhTC5SlF7aM1tr xqp9aTOJ/Z0s/OHAGpYfwp5lMH2kNrdpHPwQKmyGAiVEu/ZIXN/qnwZCNDZvFkZDiwrhwkoKzSy4 Wk/O6OGvCrGT567bdrvWtcp0OIgzmMWxytzkZV8UEoRffejXjzr3aEg7i5F/nocz0GdLXOLl3kcM FaLV6Io6oMllfPpbOImMyfNRlCvl3QaCvEM8RirreuZFLAaLB2MgOlKd3ZuSbSZk/7ERks1jTjMp 0JwsqtHXOrzyuCCnXvtTpXiQibXYH9KEiq40EfDNQHZAEbG1DabeaXHwQgAzZI1+lzrTZbyIV6zi lxlmHYVPJJqg9LbxEEYs5GOAU9QESTWl4Mm60BpDTGARDMIQoNxn+cr+WDPG+/SEy8zJ7YHEioCU O7M1hvv5dVmCF4ugPcme5Y64+r8Q9R10R3CbwJPpucc4YRRFLKyIVdHzjPHY9h4KmXsn935GwQsQ ApOsvN+l8N6cPFrIkkPfsyhO/FvuUAEcWA3+fnK2ce4BNdoF7BaygfpunSTLd8yLoa1fdy0HKeO+ QC8IyS7iUaAo9nIW1idELF8YySlePNQdAZvr7Bxklfeqao6ynLAGZCJl4uYmEQne6LAco/7ro14d HvbSRG1NoNepBzzJ1vikJvGa6PqX/ascmuuPBPt10odborUcoDeUcy0s2SLkUkG5W5/uE9v3IT/5 +8TVhJk13ak7WzUYlb7yEJ17bEsxI4+o1MJg1qxs7mtxlSVKDfJBSloFpef+MQ2EDiFnsTDhUm3z Sh6YNROEL7UbDiH6/bZe3X7pbp6hW+r6omNgMZRCjOUZvMCHZvV7hmMQu6XTy2gTFjyM+UHqXvgr Oc857GrTrbNFtdbwI3zlYLKYcO9+xVczugmlLd9mQcQkRefvJkNfzG4RabkF5fisqx7tddrxtS/k qF4m97C1Riga+mKQaf+5RMEY4GQ0tsxsNc2LFeQlgP+vmKYwxQLy3tB0Glj0g9tiya2lrIoidzeS AbfT55nxuTIvrhKkyI0v7lVz84IkEi0ry9MmhocNO4xogL6/9WU6C1rVXyiMg9o3dVn3rcj/7hhr 66TgONZwod3ozWWV4OGKhWGB3XT+1L9d/83QmLvcc23hR0B/qf0sCwgMphL+SWACv1B7DE3hFJ7A UBCEFjsAS7WGpebDgWdIpKy1SZIoE2yQLg4TwOh6kvpK1HaoKSVvEjzp1ztMhMCGH8WKiVbMiHnh /6XWn3RnjQOu3+HQBveS+cdC0Hp00QEYdwOPWp5maZyB/alJhERzA/uvnSrRIIMF7x/0RTNMUXAs XKa06eqNqmauaHTlo304/DmjO+Mby1v99pr4+eoFF6wqYDK2gnHOUcmbZOXs9D9OvIhjwE/GQVAi GpjFIOsZCYxyXnvaNGiUm/CN/0pZIOkkmXauKRbyzImaKU+B/TKBiPoPCJlkT/tq46Zapwf/8+iy OKFTLWhXZYmuZ0vvJZP+e83z5ss4Djbf7ifHk8Jt8oBLCi4FO+Q4eQxC4hP7F7E+2KIy1hH02Wa+ F2abnY78wbzJ+SiMb7uX2Gl8V6WICp8nntBrv79EC0MoEKLw6Ynp/5Ed+Mz+te6Og25ZaPV+QVY6 6oS4xlr/+37XP3/6x5qHS/TWNPcve1Z6xXMshDnYIg0PcoMGW+BJbBwonm7CIUUGY0RiS8xAUbe0 v+NkMIZsJz2h8mPAGXlJ9ymgoPgTDTHvHTvVJeuEoUms3mXLh5v1CBjgeWWm/KjKyIK42NK5cdCI jufojDJFXJmR0i5S4V21CprOMNzoQ29ltgCt9OuFtjtEnhO67w9KL96IoFzT+Rw4HzPDAeXUq+QE v1Va2qFAo5cRXSn7qw2xZ3x1wpns3FpAJcTgCh5WlFm8Ga6M5vadDKmARAmcgazRn8dAahRJvzI4 UzUWLTjj5FM35r6mePYO136Tmo9nuHdj4eKzjePAac2zyQhgM5MEopw70e5n5GLzuhFpYiUT1Zrh yY5WcIlArdfxwnExueuJGqXGvImPtXDFsJQO/sLAu/2pmqkPpjP56aGcjcT7WG6LHi/SFtmilsy6 TCHBMS+3J21Vjo2AsOWcCdoo+/gB0XB1QpCEQPbJs+QDYz2r52NxQv5VQWsbRZHoiTLXTK6oOv2d h3w9WpTM9UvQbytsN9yD0Gb7cqKluqcneUG5/ZIjHQzvBDAJB4mLuntihIZEQ3NbNjD3a+pwyhTz IsAtL4mYkkWbYJuaqkPj5MfzhhLYWGe97Vvsf94o/xuoC+pE26aNCmUtPFWemoOjt06dZdMAZkaS gHDTWgLBJrtjmeWsdkBgK+E4pPezwLV/I1Snxg0k1wLq+2QQFbBHKUo0lq2uSsuCTW3LhF/LBi0l dzad+VaUKoLUpXgG79nMyxtZ16fDu8qJsZAAbQJrv1Wj8yuEMSGn5j2j3SmdHCwpcWXuMo4AAENE MmcFX6VF/SenSgxkI7B6zU6TjJ3UH/M6ZHk6fjfBkansOaywZHoaiqkJfmp+f/s+Uog6GFWMOtk9 f9WCTn7ZQMYM1t8LVnFzf6upp45RxspmXDeOivn7lKnXXd9gTtHIqU22l5qQB2sqAJeYKBUhf2LO WenbXrEnRBsxElJVUELuZMFzGdrgJPSTrqujoXrGA9/mLTtQkS0xFCBEURAZk701Rgz2LyTatnNP XAjQwKZ8wR4uvv5IUV9WgfeJwa4vwE3jzG9+8Wi4NZ0KhlsdThMU++r5uEtFS29bpjo0HaChVkVo Irm9fs19YPwcnasWwieXxaE+ZEOTz06ZY72pbm4zMbVouTrFKkwFWtHArxuGVzjk4goNiK/ASxNd DlITsGbDZCzqnL5eqSsSF7+RGn4XwRZ+1ccOlx4AighPV1MfokXk8FtQXzo3A27nsAwdTkKBCsII qUlmAJyOTf6kRwhvazeIlgGpD7BDEa/EI0AYqWhGplM/jcBf+aMf8PsGXQVfDgZhQCdRbZjo3mkH 4YZI+w1myJuz5rQ/Ql3pDoYL2KtUdhTiiu+8u+Iala15nGB2TVz5tyssaZ0INzMl3WQlWpzNheDz tVuci401lmzROUaAv46F64AVZRhwjYqJMMUhM00qq1Nxgyu1SDHO6XCmc78kqLv6/pZIMA0SVLVz braceTN56CIbgdxiWLpF1vtrLHuk8KymsNpip17PM0XkwdTVnSM5jySusj+KyDbbA0ncB+VR2Wat HB+hjB8jULVH1YPY6oDDokY31BVStKJDHyPuqQef6Z592M/OAtU9mhjFm5zPCFIofjkn7FNYGz7W bbjec9okfX2Kg0djBzn60B7A9B3F6IbQGJhdP+FtXlXcsmoFPKqWEDU5ntI5wMcsSyURRJp8bXC0 8jh/Vfd4hjlgU9YJ+5OmYZL/9sdXNBjlfwgmDCQL7A5v+evZnZ/QLTS8BoePJwVbJ73QVAwHuaHG hMtbwxWVYuK/qy0fvQJ8Lv+yEBySUt7+oEsbwkd21CjJ0WOmzueumXTyJ3LbReILqG43h+pH5g4y n2xeycUbgKRQtNvsHw2U5kX69zfNssIU4mlRcWeap0RPkgIn5+hscgfqNzZb8eP1DtDSjT/qYjtt /nBnCZ8UrDSYkxRwDnwwQU3+Au3zrS0rv/IUwGST6dP7J15tE4xUoa7ypzh9LnYWtP6l8ZPEV5J+ KRBzdepNAE0rWAA+Qw51OE3yYaiWAjbKezGJm1//IPSXzN+YTiEQ0sscyisGNmb4dKcVK0UWuvPk UZ6gebXhDyckZyEkH+2X+PZImJZrSGzaYdxvdgu7lOoI+Re3gut815wPCTsJr3TzNegpXED/UPBc aLg576tF1QxTgExEOe89ERbenkN2d9jo5Acz1yGwn252iaejniGlSILooklGMtiCvq4/aAU/XIpE SgXzQxd49Ld4Dx5JDum9aOjXC7mDDyaPaa42BrjlUUk5O6mgSYGvsIpV7COcWaJyRy7wP8T8/U9E t/9OjVnRN/Q7/R81HOKJ3pEP/mmtQNEDoge6C/NcpxNbV8MIMPRtCGUsSrH3giTdEWhC7RpC9Zu0 MwIs0uB88XLhXLYpduxb9dO5tIwTRjPoEd/hGCTAbul8/ugVt4I/E5ZoR1eMWE//vbZMbXLAZlpq BUWB0ZlOEkeZAmu9aLNdgt5hcyrwagor8V/ckILh+0aKIy9jci8LTcHs9ixVCuaum/sj5kE32sgH 06Fpo+PtDboMRjWByc7ZEGUwUn4BL7hfit7gXU654PUHSp81lfdZY4bU0JIthibEDVmKD/yv7L7a U8KUMzpUJFqk6795lwVLnjYYo/j5spkxVRZfKSL26iwA2PAh5VIIoCStuKW+55+WUesPTi5Cr/gy Ytq0MYdLAo4vod17Dp91Hxk2Xlb9heagAN4brKwgUTM3zzdzWEgt9TgQMdGSUZ7sAemFd8fhBy60 ygtqbgN30tZ3j3xklycjfssY/F3v6lUJc2Yt8k87iQV9zcuqFIl6SWM22rr0IoDlfncfE/v+beDf zLHYWFDXGU/U+YKc/rEogjjny4idEZxpjsxAfTaQQsIHIv6QbbVkdpiKQeTtohRukweAzM+7pgRL 7Kz0NvStO6TcZy39UvsQR0MKe1DTrt8o3Vvu1A78P+RHHalQySLPzu5r18VKrdVuLqRM0SVNFV7r Q4e8FbWRpKcLN8K/uYwOuyzhqclZ7Z632Unbvs9n6O+hEkrmFtfsnUlMw4yHF+ZTJixV8HrC3Ey+ 1IFM6splvSotrhUDa3EwKKWeRYF4ZD311lUjPrfaN0dLaf4+ETDnhyJHx95CmujBFftZGVDY5Va6 yhJwRzJZuCH1dt+c5f3iiJ75uu9vHv837rjCGyMcz0DCqcBAneg7LbTlpCmsLuVcSyERUnohbYKp mPgJ1xesvxDS6951ZIE0+YY3zkfZlYYYVNG2bJW90gnw6usN72jZEIJPCJflLRd+43ZLl58NIBEU +auuTsJUYpqmf0Bt/udJqsGWH8L53kiVvFq/RrbNqi76zPre0DOxT/8TWAPfpMbS3DAUSfPI33fv 4qcVdGzNKbiYRZrgYRsNMJvNZl7QiNR8k/tXVdEsO11A2QS8T7POYOU2/+AAw1Y71JTFcYddHU/Z tzwCNpDGK/3gog+HZYPvJT9DlOFW5JER1y1+4XiAilPWuCuXld6Usz9cC4XYQNFZF6UgjCAtTrVZ Bjg9R2eCP6F2Qrik1G8sVey8ChKpfnFXHjF7ex0HN1Q9K6TJ8tAthm/BnTtbXr9+oaz79oMZrCYy tcRJ+49Lo72bppRwA3cqvjcZV7r0ZTSctSFTi29CBIgNqTwQWL1+XPqO+TtZOdam0PqfkM+0m8CT XlITwd3IfJiK2nh5GPdmT3nedA9mygrr1Z/kLQ5uDpfVZIhs+wGDTGVsDFQa3f5/5ehZgnfYm4Ki eYyM4CaswVZrVD7FlenlsN91UvDgMrhK2r7NACgUcVSd6lS3yHvaS4B1jUJF6PntzEh6my/oHQPh pchyM9Bm/yNTlEFstOP05JM7zgu9E6T4Tj+QJFN5y2URPx+Kf7dr2jpf/0gVE9bffMBDLs5ks6hd HuIm3HnCgp2ycg4OVaCcYAdT4xD2R25W/4AjCYRuoQRagHpD8eBEtCaiQnqibCM+GoP5V2mO04YV f65uqJ2doccPtY/3iT785u6doo8f6Wv7UnSu8vNmdLM0fBC99+Ir+enFgiUogLbJlhhUnFDpsxb6 vKxBVnHs8mqMnpf4bA148gBTG93gCyfJXORKZKdDSGZScbPRZmrmGY7o1NtICrsx2hLaYJWk56Rl l718TCv6f8FSCz4Q+Aq3AkLo49FbULxUgct3seyprjjUGiFJTlv1RYNTcHUuJ4pE2pVkVFzFzuOz 38OduQ7JyeZaNYy7i0x0tdCa2TXdcy8jYV8FTGbgem/5nkqhPfwfL3xQyp3oVMlU4KYHNLR4qM+5 JsFl5BFsC5S1ST5oquMRqVdzKyLTWtKyJBr/v5cRAo5PGJTw2XSaeu0CasGRrs3tHtzeaFopaDu2 jHZsG+FY/VOynu/3DKWU6Jk6eKBj5gaFRWQsU8Ix5qq83OOsgq0ey2Qj3MsHy09Smg6+l21TzQ12 hhTxiNfu1/fejeu5fu4EHRC0C3GdesXVJusFUkIi2MKHkOkWwZT/6CG225rNigSF1xP7Hu1u3L+7 wX52hzf5b4xTERxTPWPM0K1vxlXGOr7Pglqu4uiZOToMUqMIoQ+YnQPqsN2wJEwlZWmp7+AOYI6E cmYwVScuGY+tzuxRiJN/Xt4annDiazzLSM88LXT0JjeMw7hHQgWIgmdKbfbCvt28Q686Al2mH8JD I388rI6joBoHF7WI0KRAmb3vZKU4hUKE2qc+ndghuKwxlPp/3/JVhkpp0fdZ70SOv64AH28Q5roI OShF1mRF59xbhstoLh1tyY7oCPlsZDvjpZlHkqBDiGibvwh3+wKFiVTCVizPTP+WSm4LkMZ0Kb4C MaxNcpzrO6ia9IAzyOWMymWLt9qqfiZZzmkeWVBzqiwbEN9t4NtxXe94fMNQabLo9sX1Si8WmjSI uV1184fG0StOhE2HDpplku8eMG08CGinIfICqqCdMn1nj9IHI32SsMQt/zv7MHcsrU8qfvoSsyfH ljBf3rl6/5onQ6GwtyODRSuPYcakMDQee0EJE2ntuW7RJZb0fCxxDAwsZS1rlfbSS4ZRaHM3lWQd tF0y5I16HOjdSso0zUCQm/WTXG5BTwcdOIhgDcJJfVAdZ4RNTpe98VRaUeEC8w5Cx0gRB+LJkVg2 df3brqnEsPh8MM/PJIoAUAFPHXOGJvh/Z+1Lx5iPfB7xOfC8oVTdgsqynxHD7VXuS46m7pl6EpfC ZuruZdCARKm9CDiDEtVK9KPbxjUwoIXk2WMeRNwxakn6hvSRqzt22kFifJhaov0MxYGPSkmXGPPb aZ8DxMxb9QSHypIc8i9XwMMtUn//MY/uPSfk1aKE1XA7VLOflVjL8+8GeNdC6aWClOa4o3ylfLeF 1uDXFZr2pcd+1NTOdbQMdj9QHWhLo02WqldNFF9LznEFkjdY6xbJbnW53lQ/cMycxulyWRklxKLm 4qkxXbu8RXj+HkA/QdrRDrBGZ//9Np4Zzx5ChwpVH5mEYVBQxaQXBDQcHE13AV3dw8PK5j+0wior NciCmnBTOf0JqV14yCTw2bJk3fg3CNzVLiEJ3TsEuSuCsv42ITXoWgc8ZOsap9Bba1fdFWH5yC8f /MYXtx9MhhRnE7Tgw3K0SJfVe0JrBl6ZyyaXbUvJfGISoWBV2KiWUzp+yFhSakp+U84Dsaw3NY0L C+JYn0BAgaNbNl9qwDLxBk97I4/0wJBvAsfvH1+koV/bAwzDEtUxFnf/Is85S8RuJh3/thbvyV8v nphr6RF3o6mTAzzPPFLG383LR4TsUv0Urf0uunzWjX0h/t7BUjBUbGl84XB/yhfDZEDiLJb57wVD YwDzT/m+OSdXEswz2qI/4VYTk5uObQvr3Ho0fESR29nQrpFGgYsMYlfjZN+BkQaNBVBZkdrW/wAQ QUNHBfkgxZBGP2crPVV8lv4FBnpiyf24fVrP79w+HD8ZnH76K9GG8Zp8GGK0/EPGM9HkwiY5ykph q3xeHApVTyMkAkP9vY6Z5kRYOj1FHBBp4aiKBLGmnLLPlaM4zSACcN/C/FPxHtth1CC9/upZQyO7 ZKRU2pgNQXhPJ4w4kNxVbJGafvmDgjCcNZv76QE/WKDtZvATaqARf8GDfeB1myHh/pW5Q6p4MuTN yKOReqmyDyF4dVgQ2GcRRo+k+dbrTTQioRwf5TapyoDb1XSNQqFsErlN38qbPO4ZacsnSoIM/QoY 1au2u3zLdEIUZJIrt/syY9M8f28NZ53TLyUNIVEvyYTxXQ3F4imilQVS4zYxy9vsIo9ItnKOV3lD fE1FhyRz4YCiY4GRy+k6h11o7oqiT3hGUdrAxnq7hYf6MeJG+5UPAJZdR7heTkrYlV3UaSzdAiR1 Gfxs78RN+7Ofn+SBE5XLVkOH0einpGxNGpOricXliTzv1ogmW14rYscWlK+NFuzU2o2R28If2OJn ecRzdk9b4Vh4YT0GS0rNcUnlqrKp8q3scmBvCC8YZuZIruo6qunW0KQo8MGxcw1oGswKPmsJDeX1 0JhZyzrF6+BLYMRoeu1p6JQOzn/wfEFZWw/c0rimwe8HN8+jBvpWa5t0LsVREUkI/x6I1/rmPuFs 58LS1hPjfy7E2VIY4UNIKUjr2pgn0EyLB2l0y37atk5wwhgXDYZ2DNs4RXGxh5aym31Il8oldDjW F9X7RLUDxjQ9gl4+eqXl0PD84iXPFmLgOeIZMMfMs7JbD1GlCksHsXKV2RR0bcjkR6TaFNLu13gv hKyxhehPaSt1FxsvZXZ75ON9Nr570wMplfNKPelcCicGeP1IrqbiukcLH61WD7CqYhECOrrFpAyr Px+KlWN50K9+dQ09bnnS/4ZOLiBgmNa6E6v1xDRqinZRbgmxN7zKk6rmzJkgwGDxV0AuSACfyuwN pJ5UGLdycye/5eiOAVIW6FFstvdbxsJa9ewwJHzSmxJmDC6IzD2UtzPzcwUR3ILpJHdmtPfJlh0/ zo7Pse0A7VelhQvaOdCpLLJoCKk925XBV4CSaAvq3/2MZu02Rc1V4JBz0rng1brfTiTqtlUgKfb0 7BcFzL6lqGD+YuQ8m58Erqo5U+DqaUTk2dkQagclpdjv6+LhYbX3SRRxy/lamuoEgefKWL2D8Evo WxbxZZIQVR6x2zxl+7FZTfaZ5SJQKx9IM+ePajbW52MrkzMBIpB4/h7QsyRBW3Q+TKMhW5TP1v2R 2NsKSHBnH9MaNK2RijtZ/Dx7mSfGcO4f2HuUkoTZLjov5fuu/mCIp77+b2icnDxfOBDVvFreww3d A22cLqjeLGAqbMFhcf41toCt2bnafIMFWaUJPzwD9gTp1kcwHFTzb1XogHoDmiC8a/+P3aKfsu90 z70LkL9zidl0FhDISulU/yNfM2UZ3nSN4Bqdo/ZggNVcgMk2WFOhj9bHthdMeyyYPHh9THbhW7eg 0f1pYvUQMBxmliFr8J6RpCeacrN78yrq4S2qO4Gahq7MAYH4jfrkAhOnE22ZKnS7qw5JxG+yaFm2 6vn00hueIej7Fcbar2rL7WucdG/DuxGt8RtphqONW+C+1lE76j6OtvcSGpWr7uuOX8Q0cla+EA81 01dcd85Q1r2oQIDBxW0n6OCeKeg9t9VZAXOdGOq5D9GQegwy3GIu/d05mt+6IHlG2JF344PGMR95 P3yUCtGxg9u5r7VeSljIS1ztTXHmnPFc7a/cioO9XzvYWeRv2sirP/v/U+Id8FUNJIvSyeWEQyO+ TUhuRb0zJlLFFojnVPHARUCJesC78PF2+9LHDIL8TmH5cShGj4z2Ic4BN+vRJ8Mm6OQfUs8Q/V8J h0YzsvX9QUt6OOF18dtA/whBgwDNgiOrM1tv81RVFY0KTTf+PctFh2pmhfW9e3vClhW7K1KeH3B9 VCo8oncZMEq6qkedNNueLBFhKMuhymR7Ptw5pMf3IS/S0wci+ZxhXfCAo6VdYSW+LoSwejQ9Z+Pv pqFXchk//wUfHWksJT70/5Ael6VUj9YnR29T0HPCTD/TG0Bj5vDiznjaONWOInxgPXXssbbJFw2N ji5tCWmVtjnjiA4Km0/z2BDfslHlWNo4Lfj5tTkhuzwfAqS4EqHGZCVwFFUKQZSJ9JUQG610jRcO F2MH1xy5gk/f5jMCn9cvO2XX5ujBRvPlglm44BMtXv56E4tkRLV/WqQOIDmIhZz7REdGC3fpppla 17kS6DYSPldyzusQZ+m1pOBUwL1iqfjeVUZHobjClbwb0gs29NY3UjSuN75KbRRYqeg9JMETZrWm kP+hgPO+D0ksVkzLz0N1AJ2GOdsJIUMAdL/i3E8B9mlYvZfdRh5rBXzl+7+v4Byxxz6XncBnjMlO mbqJusGYSaCM4hPmbo7WdH/8bKVdg4U2zIVbj/L90tapYHcCMqRAsst1c6+7jdJTuEacGp16mhHS idB6CwLc4ThFpzJ/rZW0teQvQZ9ZXfP74KBVAqgwRuR1ATAQ7NdeANcwqNzk6l9TYp8EWEWPPzVL S7TZIIsQu5ASE0cR2UmiML0tFP1USnDBwfnECNfVerH3cD1IkiAIxpZao92RLpeO0OagvdbzIM55 5Z1SXb9MNry9S9s6OxqvvMznzk/KQ3W+yKVdOv6zc/9yk09ErGsdcj/OnKqIHYQViWznQBCMrEHd XbGIh1Javv1pSI8DR6+QNGGUlX5b0LH3cmNZDeB48IX221IjK0ruteCOW81aH5/nlMWZCyh+IuVP rKY/cDTnq+e3jZ5Fbr2Y7NLsgxYzGW+Vvng8I30qrseSHk7sA8YvjkY06AULbawq+L58j+z8YoqL fwU+9y2CyZaLtBsA6OFklyzhCV/sLSbyaOyUY/WZp2np0qfDHPF8H7WSkJ7bGh8NSkBhl/bo8VqW 5Lxz7/66Dnl+vZtdLek/of+/ysj6B35gHnYTWy5jCA/nLR2HOHKdDTySIfI/XLAcqxZr9epQ6x86 2Ui9zL4VpqNA7+K2kePNCmYbnU5Azn/EJKtTMipwM8LsiLCilM2iFSAe57Pthh3Z59z7P3RPzqqh 2glOFSfbEpfpv7c3SkP76gsLGNYd/5MP/dIXFevc+H5iyF19f9VyLE1mFedR7wSgltQb1714ARhj FJWbi65Fo9XYmTURu9oMvRo6c7I4TFvQefK0JRk0KsXUnzy2riDLBTH1tvvQr3ICon5KLK5qbKbF 1a4rKwWlVsexOTLM9x+dSWxE5RZ0BdfU9991BRIn0NinTppsyWsoWqH4+jvFy4c2spNxGSVq+VHg dUnqgWppuDpDkAxg6X2H/bnjdDhcRIWZKtD9nO2ZnpjmW78VHCmjep62kJUf0WToTSPaTxhiiysS DHqwy3LmvY9nlHdCI+fRR/ErVxxeEbwcrsyv8ve7a9wbidUlJrIzeidH9hBC5Ubh3GHlYcLMgYXi GDUgWXgW2V3fsXcdnWTxJNbCvMQnLrQvloDGuy46GtJOC1+Gt+FUQqrqE+/77hfcRnB00KdXKvNr xBo6D1mV4crfAG34JYnthlJfd3qtmrL7TSc/N0vCPIXcJdsSf/am4g+6c2Ywm5wae2qSyxCkGS4B nHG3R5a+vFektED5SsshWNy6dz9dnIYDZQKdlKifT32s18+i97aC8c/7eEe83A16o+BOmF+H6oTk qE08461p7KVooU2Ydm1QOLDs6fWwx6SaDBqUk+UwSKDnUyi/vUIVR/z1TWZhmh0yjjrJVMG5YTn2 zLah18NZTJfSVw0n2PZSVNGkwBSf5U5ZePlkKUjB3iNYkOGqW7IfoDp9JOCdBqq17K4xxaeySvCn VBD842+wFocUcHjn7F6F46/WQQ/48LOvxA7cKzYaTKTLkzper22FUbGcDagDHUyYjo20Pu/QZWMu /skm/TfJv1176S4vhuSfF3KEeLFOsTLF8QPVQ/SXGmB4Wiy7EJONdsMSkzOkgzcyhehtwo1cMMYP 8VO4i7U6hIB2EdkE/fZ2GYSK74rL0ri23t1Fz8ICzy/tcx3RSKSFBOGg2IuDDSJf6vwNVwweBdnO jHEje2flStCA7lZGvv08l83txlO7KUMQ6zDXzO4hQHrEtV5qp0p3IFerzdaCCYtLHZtSbjElX+er tCJBCgmtLTM+Z0+gdBvfi1QcVaqSUf90wf4HYR0NlapUDY2OD39KJxf9CSceV7IfqVadkBN37wH7 ted16XErAKUsMeFXU/bzqDYpCRjCwwaWtGTnutyk+7JD+ljguwzrmXfgyrfXoz9g6vxvCWbxLEMP BI/r0DiOq4MXAylYgLS5plwNIWwnYxUS3GfuJJEIhK1b+o34LVo07sDn6AmlUllSn8chpe9gwWxO YzYSqTkC3nzKxEDuCkZkvg+4Aa8D5r+1YhfDpP/Am4uMJFtOLjF4LmrUnrnTniAYdwpo6Xz7ZCM3 MqtC7pZpvV1QfA5gBMXZUzyxRfjA0L/UY4zX9Nv27z1snY8Ld6hE2UajZnf1JeJfI+EsiAqS2Vf+ hnlP6tY30WpJJDJ7PrEeh/5eV2oAbWg55tZghVH7BG9j2d6Oo5YK5nys+9IRuajwfUyL58ofJoh3 hkgfyLc93ajJQHiJD7L3+h8OXKMWj11IgsMIv6k/TasS3r0RkHfidWhYVb7gP5ZHBwJfQQmgI4aK elTq3Am32tFjcVDd4/ejPhXUxlNAjlPR5Al5Hzv1Fj5DZ4gGobCH9ml7UzeHNIIsdtJulmVRkQlu n9KPLebGk/l8d/Gbvb/NQP0IHIvpC54rY4anV+hPT2+/B9YIzOiyVXCo6dWBQU/a00EfIrM+Bsy8 /9VFdphE1yU97r6Vk8+Ltr9PZ3KteBiXX+OlffDotOM+sWG03s5bGnF2ZYg99bCgIfTNZV/Bk6LO NVWgLYFTbiw3KKnXcun8dQu44hiHka6521btyLpNwEP+gmjQq891E4hbxtJM0eQfsFPnr5xgvCjY Rr7ztGsSrTOz2b06Z+yxzvnefxLLRVc+vzXXqSQgNus1S5W91VoEOi3hZH8MTru2zvZ3MBY1ch7/ z6sciVRugWqGGziichLc6K0Rj9Zvm9Xb20IZV4N8lTGO/ycyikZ9d7YMBfUvHg4MHFDT9r7Y9uRH GsyhTlSJM5zDsRDrba+Xx9XmKsYBVN2Rm9MWUru8/fBfKHIyd6laJFV6z/8iuRAWW5nFPCzLbUXx 06WfjAIisOv/J0bnSV0PNIyGHdhzIJlhGM4mlaJqs+8e5HYvnE/1hPegILjd1RU7yVerodLB1749 VzpINcN5d8vVLQCSIheBY7ZoVg9t+36eZtdKH3LRlAPO7l5Hg6mLgC/X010OumI35nBNnMAKa4AZ zriF8aRmFT3QhXYu24ys0P36NkkW1XFaCGAMJ1mCN1nAbNbNcQUu4QOf7IWZhXh3ggHuK44TvOw7 FW3oCtnCtVKT+k4FhWkrIP9t7hb9JBpeLPOJBppU+H22jv1tEwD3gqsMqxwsgXlDAd1XKNBjjDAy p2yYGOK/LqegRp+w0gdHN2zMEMHnVmByJ+ZXaXdlXgewWPoRYx3SqHRKg88KEsxtmwaOqQFFoCgX GflwGuuYjv60oN/jP5Af9WIAPTOutjRpimfz50+Lcug+TGLLp/uvNHbLROaPKz1HLp5GyjjZlIy2 PBYXppR3LudjsBsbvE4ET6Bl3GYFDsuAaQr4CRH3djDVZXMyIwwRyeCZ8rwnFDJTp6TtESPf9ZAq I/cqEQsFYUwvf8tMuJl1pgNmYZha+QDNI2f9fNKboDqkv9d77IWq37Lyxd+xY9pq+J9HilC18eSP WqnB25zfkW6SJfi6Fmag5/LW+APeuyA6oQS8O0Hg5S1JhJP1R0jc7hvom24ARcX4l5QlA94qEI79 xQ62msRZXvmJbGZ8TCdhTrqOA2Vp7z6XE1JHt5Kv7SkxYf2NI4JY4Pe16BG7fcZbMoQpe7cyRvPJ LuYtDXQWwqMJfrZObaLIPh4v0vyot0gXingUxc5CR4k6nJ60wN9WCMyOCOtXn5Ll/WTu43HAYJlj Yziew4xQ+GAjuwxaMfdUGFoa/KQElscP9rYK2T6mKC63ztFcsUFNJCKjzHBCcszyKU75ZyS8heSU 0w/r38ZBA0a7yHwsUSjlQU0GWGPyw2d8A1oaJNNMrFcar66BbOwtDbAg7IcJ5FCfd/RvrqCfGpx1 Q0hRY21hvy9ZboQ1UhnU0vcOW1Az9236cKeB5tnAn5/3IuiLGgw9x4UaqglG2RCPtfn5a7RGzvMw dx2N4li4KkXURwjZ8fV0gdR0dnQDZad9KC06lLgSKFaKmuP0GGrV4qtOdIt+aK8tCDY6wsO9H+Ll 8KoeKxIKCUcNp3AGSgy/A/ijMpWsdYnGNhPpd/iQL96X5Uuk/McdsU01g3AyfGYQQMIbTLmKSMl7 GbysLfybb0MHUcyjSYWsM8vI6Dh1cZeuQaT4b6+mJlrDLLHf0Xv6D2TjaunN++NBGD1cM8OZ5EqR MLAIaAi5VzmGKtjaD0Amkc++KCshAgsM1bWmlisNR/jwjslAacHWUk9Lh/FkrzqcGW+Mvr8bx6QI wWqzjZJ0nvj0GalQ4NE+CuNqEC9SbgdQIcu303wQV5OpeZ26uTKHQHT0hrFHf0lmg+veeO7VIMR9 4Kl9fIm8RSplZnq2jUOYaSCMHoA486/DJ7yatFNi534nB2pOa6MMDOdVMl4DhGA3r/vT7d819EAz VZ0JrFOLwULQuhBhQTd8Ih6dc1gm1M2NgGOrNzDnzsJRLrKGpUtSXbXw/TBh88fZangoUR/w3HPN SvZtTq5TA9I1emdtH/dg5+jbDkyuPxUeOHCRz4p/57FboHaW3WYoHzdmMM6O7Ul/OCrFkatZEOpZ xUVkjX9CX48StLtMTGYVF7sH1WrXSdkimi8MOivtPJjAp/MM4AdsvmKVv0SQB/F2me7Gx8zZij23 t8FdRUcbmb+zT5AZUpTV1o0OAG79fzDaIF28lmlicTFxj8Siz1ZpQqMjfVx2aUgg+ezKUck7FG+f sfr2CtUBFJWTOM+6TnwXwHKSt8u1O0OmuHYWn+xouLJZfH2YrqAY+TqFX0gMWt/BUaX2uYSBKhuu lbA3tWRlP1voZknypSQdD+Ev5R5QT49kxiuFQGzjhz2Ru2voZJw6Hoi6S4hQP5A1vqyqk10sBKY5 ZDYO+abCsnbzEPiZpCbRXTolg5Pyo8F9jfiQUd8tuWZ+44Wga/Ffmfn5lNTxqbEb9o0+vbKOUGIM jSixWFimDkVmAKPn3K5AXM3SzCsXOHa1/b4kcmMu3TdyYrTSUzkVFqJrKIpsU2x0ST3QKZSyBUCt jk9creBpTEz8IK+cSLhBnkU8vfNtYyRSc38p1nwizGHoKkYP3BHqr1DDUO80K5OhjglFSNhERZp/ gdifyCLlD3I17fQioHJ+MFx2VksmamZhL+/DrekDiO5MtZlHdX8MLLZm0akBBv7tFmZJTdeIokGG t9tUzPnnyI6/S1YPKrT4YD3kMwm/fwrHGXaKlozWkgI9Yc7a9LgFQgKusehnBDiO96epaVBje47A dsJC2mrMeK/4WWk23PdzmyUR0O9p2eV+LgwkeqSTZn+ReABeFLSyDy0F+fnPI+K3A4OxzBaoJpl5 vCHOB32cSrwQMcXar74iAJMifTDrRSJoSE3bzg763Honf5CO1aeUzvNYCZaAFmESG4ghUIo9qlPO 3SnIwbbSvlsjCfhusGqFz3HyhGBYXNiSCwbJjifA7exPmOO5LbBrVZmgxe7Ya/1H5yU3KnT0X8SP aiQZAaLdpFV6f7aa1cygenoP7lFlRl4wnsJ49cd3KvyMhSkYaxLtXOAgriL3TweScNglmFDeuYmc AgvLQWwhlhMIkhFPhqOhCK3AA8q6dj+xh/MNe0QkcPD9Vs1oWPF4BkljBu1LEaTNecl3FnOBiK9H 4jyFEYkcv7oiKmZces2bQ8aNwBG0BVMZFN8N69ulb7+ckzA6hFKjI2CyZJDCkmNj8kOirzk+f46S Cx095KEEb5XjISgJA1ZvI0MVzzXZQwUEBElFI0dZ9INgkDHiTvIPwwI8o0yrPDysuNccHRmtvhcu 5BbLu76hdwBGxNylc0g/aKJ2iHTN8BTdBUBxTTKwATbkcuyNq33PvHlmegpMmiZ3h7mfhyBhRD3v /0afldGnALW7wHVr9zn4MnKBomj3XaAq6aPNuZco7uj+EzDjN1kei1zIbc0hJd/jXywE46Uv1CGm O9rCwJeg0orOyv1S/tgvsNaoA3oyebr9Kyuxr6LNFrKcdTMFJb+vDxQ51iDPKjB8Kq7iJuVNCOEJ D6pEYjjM7u4oiDqIFSd84rYBP0T7N9LrugAcARo4pOSQ4fQvFav3bOsO8iW2eZxTS7BBACAi3SgQ tCC0os084x9QpMfj25rlL9/OrB90VaF5RqW0anccggVzQhg+7vC8SajEcC0vLTNce7XjzRSPV8eX SmmEsxm60ua/I7CD//CF0f19e4jkWochCIE3Yzr8uS7gbBSZub3EO38ysSqFEkFfsHTKFjPf2lHn 3m7mWyDF8fcrxyOsZ/q0+9b9JYIS8jXInrxiPUtS9gWZs/gFzKtdFJ24jm7MVmdq92Y0uwg0eLPd h7JeiWk70Z8/71PWk6h0gb54ThQbxZuJmF2YwDhIGdN1Uyjp1Fgo3Chxc0Z+kj8dJYRg4s3CMhXy 8/bvFB45uXILeGxKPWWjWRLIRcjZoDpz3My1aYTbLfTXWCLK1kgy19Iyg9PN7t1zIZYUSkp1jyod FNs7ky+/MiqH/INB7w75ODQZSHMHMh4R4QvFEiIH+PtqWITiSI0aHh7iL9mcViXRtWji0RBFuEEf FNsnonc24yBHqOqt753axZiVnR8JlfdE1KGnYTIQMX7GgtGB+XStQl7KBv5cRiACPrlPmOHCvhUU 7PBhADsF9rXXmON74Ob/nKrUvDzybM2Xuu4D3E/f7/7t6kfP6FRVdvNcv1bMMC/4jideCqjtqrQq /lcCq8kOzpA1QJhe3WDD7y1zZG9BgeeowL4EqF/di297ZHV3+Zm2fT+J9WqO0vs5AMi6XXvO3qlr 2Ml2RuVU0hgGZJLO/x0JDFIu7zxwevAfMwYQMHezzgQ3Wh+/ehVNEwV/3U7NmgVCIUxMSpq1AiuM bBb3X9fglb4PSx2aOcD7Vf5Y0c+BZH5vRPr4xVj9+zL17nfHHXrgyAvzkxgxgwuK7RuwSntw6wwm vrijS4okOzofhnYwavk6rbnr62Y2eHqxUL6dWPmOB7LzvZHLmyJ8OIM9f2FMZnYhoSf8H0g2qDhe LVNC7ZtUPitO5VqmG2+RoYnNw8SfWzl9Ami0gjsfc/9olP2cO5mfxlkF76p0N/sp5F6YTWxxuEdJ UWeiXmVd9XlBYH+2PW2Eu0eu3ymm7sBLiCHyKpdoyMcLxZyKzH8JqywScapECcAGcv5fqTfl2U22 jH/1TBpYnTRYABodcDzmCiIBnDoXFiHPGta7aPjylzPatkC46DuYhAgWxfpYRNoI61+Nq1JxP7bm neAdLrIkjk4/t2GKZk+mhqQxupgUJNJOtH6948Qb92pIKJJpQw2LBg/rpKqZtthCKCkC6amLjoFi DhunIj+eLwyYmLcRUFBQY1ljH8EODj7k4GUd61jQcqSfcFqNeHf8MD46nvVyCTpC8/lStwGkp+tm jn4Bp2E0gTH9FYToZ3MpfK0LJfbkXrNUqTR4OrxfM1D05NdiBDcWMI2EM0pavvUv7B2ot/EDewK0 +dkFIS7GGoovu/Ai3ukVcxenAW0vfeqROSa6KUq7cCEBnz+4KmT0gV0u/yF6F+i16NpUH3bmFhlK kNNJLOh8r1X2uWRNZiTtzuT+gAo5VHy9KM5kOvZyjqv4a3iDlwJgL6nbs4d8F290zVwEErCoVyTu E7jU3r6u3mKpNcRxSWGFMylaKJGiU/oe7ZZpdAZ7RI5bwWreD7CWNCohWDYJJUJj5k7GSYWVUINg 7MpmMBalhgnJkR2UTj+R3jlzj5WiyW4rL+8EH5i/o4VFaPwrYON5hehBTmW2d+IItppSfeuWt4ho 4hNtxg28yTkTm6RXVgU6OxBpZK0k1ecvWEzR6tNA+kiRmzLnaniFn2h+268kFth8z6n3lcAcMqDH Y4rBXYsy4+zc/7syqIXkcID0+xRL2t30y4bnmueYL5HGi2BBfPo2FS4jh34m38QYX1t7cf8etM4N Qip4SSjBWQFmGqCLTZFP1qYMF7EQVsxXSqo/Q/H9/mTk+1SA2Y9ZczD7kP+nCTZm4U6SQAMRr+ry RcLlRpPkQpdT4wIogXfwBp919bfza7em55vh56skWs/U7LBggGi+ZkoT5sVHwmXLMLu7OOUwZIhi xEF8l+umEVNa35de5Y1eS/alACa1rS+SBIY4gip1ehZZO5DI54evVXieaTWhm9AgegW0ZKV5YXMo t6j5zyaBRz1WtpBqTkB7DqDNNGvCqKo1XvidN8NNi9Ug4nqfxkiQDA8IVPDDLSHjVWJKjHXmjkWn k0doxXdKmJEsWxGsOkQu/Ai/ahNpHBUzRPnLfnBqpPccujN0xM/vUWTCixFC75SsvxLZyY7DZQTj WNlieh3ZCe9rfKBKIxQR5IQoShECqU53zeMwYGPTJXM3mNqgyf0oPoDZRwNyY8Gtsz8OUla5LECV Ar1n6KbKyrwlmlnX/eXUcfRHhLj/uSbUebfSlm7Ygj3NZn8uLq2l28hZshVnWnAoES5k8slLVejM kFFMWGE6gUzfrQ1MvWtWSrioL/IlT/TR2VCK5pBf85QF2eqKRRh0GyZSAgIp1a0ybCpg9FXp5KWd mJ22vYJ8K/drnzvK7IuAEheSjVRM4+LNplEiLbgUL3+49RhTMfhjXR+3KWhJ9UmvsXG73rl1+qvC 5YKUNTypuk+5wVfdkPlFwlb2wLuiTurfG363amWwLlOYZCCzxUrS7D20Zaz05AQcNdtYUVHz2EaC eQgg8etYDyFhW7YJPUO63d+G/ot5dPIxvYY4x2DlPUmVrhNiFdhPwYwaMMQlB3UtVYo0KWhe1pRh lkk6jbtl+xL17H6b9W9qiMSgmzqJO6Rr4n5PIksWodOtbJ4Y+Hm7nNd2etVhuP5wzsx7fX474Htt UvnAnfaZrm/aHeSuJbPxdQKupR10WZ+WOtDI6MSt+TpPsPzMd7IDz4C6lZR3o0phPPQG3O3Re+dB lHbNN9GdiILsBPkIBTWkPEIED+Vun9nzic1RFHRSY8x0T6ksa/iPZCyp75jaSgFRXlLZccco3n5g vLZqVtF5K7KIxaHpPeNZm6gy8CHJNHDHcJBfawrhlpT8yGcOnQsaLJ8QWn2xjki5qnDMzjynpZ+8 5rXn6PaZgzzBJ6FKFiDalTG0qvNjo7vAYG4jnaPovCtf5CMD1HBxSfCypQtecqHcwy1LhL33I5+K AxGVdkw/GHs9Reeuu99bMRy8JWp1WypsYFZUaV5KbvPRYwRVpFBPn2AVYYiyF8tkzDnNw1v+cP5S c1BG3cWAeG0SPWqfUPjn4vrCLTiKgfQxs9ikknh6HLFNNWLP8qkrvUmG/JUu3SZjZFKQp8QFFA/n /JlQzFTnPn6o61zWF+k6l/yCitKvhu3A4nihfrs67TMD6JLBV0exkkGDdwtZYw5Osws8BzTTajn4 nsopd/RcTOQVz0tO+vGf1Y4To1jp+qwsnKBFONOXErPGpDnlfI0wrgq1t4Rf5WWXS9OwEA3cqYp/ cpfDOBETL/XJ31DwFKdEHLfLS1TvPZZm81wFuczVHJmMZQkQkor81OSC+xs9ZHeKrAvOpotsNpos USql0TC9A12uudOQFnhb63osCqS7yrYkTSYC+SPEawF9Lck0kIpxfDDVtN5y2mgeLMNaySMKG77L kjxc/NUdKIxoHqpH2s9YOPmDKMJleW+0RPQ/m50foI9ssyI9kdYZAnNBUJ11CLwvLjMZN2N+1Iwc 6Juj5IxxTZOWJmQrlbpqK7fXsZqFzX/NvyOA3t60iYBBtSgpp9CrOkOCny96ljyIcsDRxOoGdx+l mv60DmQLNzv8F5NQfbqt1nHxp4YR1gNw4hV6Jkm0x8/9xuU68qjfh2PtIXZXYipcxGICdLr/lP40 SPkAAd/U3vOMKYkT0K5CFJf/ox466708L5nb9KHEySONQEqt43E9xODpX3/aa8UlRY4q2ZRMjt1p zphmEtfqaNJclVKj+cJk6WmbR3f7vuDKOTYC0dL0fp20AyOOZMJZYTsWktQ5iLklJ3PQRLykE1aR JnKWKLiWJTExhYT3XpaepFe1rkILKZ21rMOl8PtbuCliyeUJI1/SXDqBKFjS4ND0A9KZJFXxoZCe LutTNBwTw+Ctoo4LdiUCkR0Y3iREr1t/a4CrEzGWkNNtprQ85r73Y18I1rcIRfbF1qDiLdrR7tTX nIUca2oMfu+2jCQ4kIhhFADD5UWlyxZOxApAajFiEQa4E/uaF5x5ZJ8KsUushp4Jvv9XFZXg3SLd DTEqZZqE4yu9TvqOR1nhmN2lJhgCPIns368WVWKTXXi9PARZjD/8cHaUbi04em9GLscw8FYfGQ9T rNY2mgeia+GzSWcmvr746W97H8B2W/RsjbAeGOBcvraDBvphFtDcvPYclovSTE0phUB94sJ+9WTk VTIs8Gj89vM+NSsLcQ2tp8bk3t+2J0nHM6i/4A7uV03O2vEfK15mBuzXbzFv1KGD6Cj1ZvnbiY/8 LrvAFKHoDHCVnzF8yW0YBOsS8l09VExqrgu4elACL+DBeGhqq6jJ6cPv5RBsoAMDQxW7w0EzDMOw c7B+cE5gv85w6Jx3LELStx18x0nKPPuFOiptIDG0WKd3cqUJfrKMuULABg+y3t9zHlWfQGR+skvZ ++d8qzzFkWSmVk7bhWIyXwDjgVLBypDa7opqaagOQGRkd0BNnB5cLtyIjjxgq2fHiMIY8Mh2rUsX SE/fpK8w1VaAXjl5YB0diLlShsKclfkJ2MXN4FPGSDrgd+6Vo8qMWrUKX+j9Vise+QtFT/JDyYpb y8jaiGlLzR05+QjXZ67/Qla029bhvWi4a61MLfa+CTdlnVBFiV+9gkn8C2Q76twixyf//uBrN9c5 ZYYrwD2GyE94XdM6ZPh/lanoWojhrt7vrYZqkFu86wzfHfaV8e/rTAsrLYtXpWt0DU0XPFB5me0T z7G0rtJZy7rnxZvuXr/JhpPA343lvgZLry+C1q5xkDWehxY3CuoFLDwcJnDmpAXENRTqniqmVOsb iGll5iCJYaY+vt3O2iwO31Fmwfj6HDDM5egO3CItFtOdsv4ogf4j1/IfzbcCdnGZsBStBzmKVSSR 7ZOL0qYdOTA4Qfso7zhzzca1G+zpvTKwft9Sq+zELICEaccSHo5nowNTFBKnRAahJGTAcdKzVwiC ZHi73Vxt+fTYZ9YJqPQDF7XQREuqED+isygL2qr2J6/aED9VwagETLnNNLfwD6I1kDEvSfhoVZM4 ZcVVhj1oSi2UU2oNhVmm3tP8iXAACHpu0s6DNuPUeg8jP0UyEcm9vgFY8azRi2jsBN2fYhZdrQGr QQQ7e2fz8dnkmXiqdZqLRacgxNh0Uqe+ON6OT1RHGQaTZ0miWXc2aRtTCKUWZtYAxAoYPSKNDimF NKJt4gBRv1sFf0shaMOA2eGatmnnwyKzaVjHRSSAM0/Y61Fo3W8nF803Zeccw2saMMSe9cTA4ki+ 2ovpjBX1WebjJbrvEQcacELfq8uJEuKuDbT7mdCo7kqXCYhQ1Ph+NHROW6sJt59WLNfTr8YRTBaV CVvSQmTiOxiIsJZ8nh3K9lLLxEKaWmlinkKtxleJtvi1uuY846gh0NRCXvciqKdIKcIRJ2eVKTEW m6O4K35Wh+igIX9fe4cesL63Mp9sE+gboflEBI2IkDpiAum7+u6w96NvXwjGV8Q7asK5Y2qUcXno QlOiTvj9zV1IRCG9/G9mP8MdTub9Sq0Qe66zRo+aN3kvhAl0mEG4WFQxy3JdlYOCYn3uukBhnLfT 8v+PspeTsqEUtycA9kqmzSsaCH3MJjSAXXlvZGj5OPeyyCD3t8fl/P/sz7t61J6ZpgeD0Gez21dW QabfEdCXD9yzzMDTIsk5wh/Gd8WCnff2eesWLkxlxOXkIw9vDZ24dHtZsij3TMXF/OzQ9vYElzK8 Fo34QOhEx8K9AymI9tz9HQxiagcp6uuXOzKEFVmWG01pZe3REZ+8cXBaedZdt3un7Q+RhnzIgxmf 0P7SaGygr+lmAytLx6CzgQ191C1jAFMixVuDERpaYUuMRto216O7hZz4TVCXLnwmKu/a8STH1U5W mNJPMFlB92R25bc6BnGnseVH00rEGcutePnrcdy/Q5AMOZFbFxpRlGY4R2MnyJs0UBixE6jYZy9R rViA+oicy+cwLEiD0/p/4+9iV/ZgeZZ5Ae4Pr6ZW1Jf/DwSenm91TLYhnE031+kk102XwDuio5BA rH3JiDP0FansnkhPDbqIRpsgdRfSTV8B80ECd5EfHDZmxYjFf5T0tToMMDBmILd85H7Q7aT/VcQs tPlNVfNwaWf+JC4W/D2QnR7Vp0bHwsN5syDBNpxNDPXbuR/ziprm1pw52EzdbHou1B5fMs6wNWYv EFm+YOYl3dBZUzKheyfjFowg+v9mUKc96FfrFNPfX0teIC5+Da1Mmi9E+/YNbYmzwG4TNy4IDUdK yTXVH6ATr5VYnOZymi6p3M/KwFdf2KaAXcMm6HAyvIJz55PHxJBcR0JNbwAnKfaMZnvn68Y3/RV7 LHWqgql5i4LZbi+zG767YxX8RnTW8pNstsTHK4BSpbe6itFiybRaXQwV1idrWo9dF0oYEBrtDHqF ZtvamMFMVVxuU77icFgH/Oa9WTsH2OZ1yJKGYcaZgzRpkHovso/7+nM1KwILzvSyh5if3BKLh0PH v2+mY1XXHPPXKheeGZmqof6kZAdMtCTsGuPwWbfVaqSY5PBvICz8eelTMgTVzBvB2gzhMLAhPlyk cjJpUjgH9KPeJphZUZ60NCWpA6q64NOJhIY0IJ6bQfjkjKGFZA+IoKwP6TQ2GEjFsVUraGnD3Pf5 fM8ff5+YnJgH9/8R/MB7v2NK97dM3OdM/7CVAlQsrwhuL7FJL3PjCUDj+srvs8m6NAJ2sUlm/kwV hvVCfjECSY9aolcCgwXT4gpWFpffOuzyy4A7/mFzqWvHMyzYI51kKYYGKHxVLPP63PxJN5NXeFje 8Pa4GcwgIzfMJTaeL+BovNQmeXaNRK3PhmI4KR91U/5nnwIP4iRpDlW/fCNwSghw4doPPt3tcTB7 4tMP6DNsWLQ8a5IK+exlFGWzMqKT1F6f5uSZsyw7xZheMtgozN4Wg6F6ipjJAYkKypq1wwrMq65Q Y3L16WggG6GN4jvBrwdsOSARDFdBGcovzSEw78ma8xeNUj43AilwHpavCkBLy3Vjd3ytJYtJOChQ 2ybLTjgODhNaKyeDd+IJzjk0XJXxdZtIGprxVhb9Ey16yu3ljV1Xwu/aqgPTGolz1155p8KvbUbV +rfneiua9Pa5x0SazLonnwAJ4VAqSC3KHI8SuaNAT6O+3Spj/DcXqH1esRl2paHIMJnmTzfgxZy+ /eT9hS6x5sZ5M6c8xfBzzvVJ5wVRgC9pSm1rgZUrAmVOkMRr/WMbYb9GG3wfnOYz+9ktmKVDyhVP XDSZQ604G6hiZ54EhQ2PCAXfWJaCglFkjFkoHzAgfR8xD/HtbkRZB29vfL9qEUcxvOn5aAMcvsMQ MaylRoCNVyJcrD4HvqAJMu4HL+T4t3dR4832YnurG4No6gVrBgeXaK97jLeBRCdpu9vzGJ7ZTgYv rZjeJad6bTnN6ngzw7S0NIrmUaL0atdsb7PxF2TAEdj1IhkPsYIU7Qofu7sIUlLGv6oMWtN9S/2B eepZ1vASnkua+kfOoOOHP3WdkGR7wClQkvF0D8dVXpna+p5zDrTD0pSgKP6etpg1wh+pZm3nSqY1 6iqlaCK7eVz75Uzps0MQPQTwyvMsFcfCKTyS1IboilL2tiZyCqdfcFc3kV5si44t50oYcxyMmOD5 e+4mLm9cJVNUp4nkInsWij5Ceu0IE2O/sCmNiUn+wrH/cnLwumzoLLKPjFZxvRjrwUi8nZeDu6Rj FFjsbWfuRn6Gkjt99gsXtGVxudT1HyB/BkJNhYNEZ7V7DpCwe6k94e7MiUdIOb5mPDxXRlW5nMtP dqiUX8oNF9p43tk62SJaYpbi6oTQWxdeIEyVVnkJ2sLydVvhJS1FD01/Pj43At9X7z3JVNtdl3e8 a55yvgRzl8k3Hph0P68obqg4jUtcbHipaTP+4iU+skzzo4JLqnChNZDJFYJDUKpMfUVDIB9eLDSs 6YdGc6r29llIoA9f4XlGFG1Wn6+lDiickTBXMZ5StKHDb4gIF8sM2apB2uRx3drtK2R1uaW+Jg2z gV9IOeFobdVYqWU70bUEdd+4MlfxlaPUz/jEarcfu90NNuY+Tk1RME7hcMQzA8dfz8gG54dH68AX VjRhd40Q7vz1d16uVAMpaAXs5usHykRKZ+einBIB96ZmLsSESJWCMfHQx/W6rhP+xzJWSS3Od8w4 0IupuW0HSZbSJ342muYTrKdCKuSk37YvxwUrvYWR74AFxHoH5yfTW9VnuEFJwRPVdX8nOb6tQy73 73Z7AfVHHJgcuxiKaNzSGfmQDG75Zs3Eo2Q19jxRvF6GQpvjVHWokx3MZBHH1g7Wp89MI3VJParJ zblT0n4sv3xv29Bcq4ND/yOFhv2HKrNlt5natf470rGTxP/GTEyvC1m9GquOi3LPoUkX/nOO9Z6D gPcIwrgt3pNN8x4ZsGmWsm8Z5KZX9sEMxelDXJ0s1Ldx1C9WDtxn/2zR2YaFMKY+PJusG7XX5yB+ fHPWOpqNgPJjRFB8PguKuAnJxpCeWe019EksHwtiIF/BeziVxXvFmWv0djTrORoNuBXU2wAEZs2H 7F1Q37DJRpVs5d7s7sNCpHaGbUSDvCztuxGOerN4stK6kvx1Q1/Xy/nDp1oQzxsma7xMkg4gnAm1 Cc8ziri6aMq/UtU23q4mC/MOgxCFbKeqYYfZ5ago9YfpbBhCkAX8Nd63fR56FG0rJnIqFmk+EY9s b3r5uC8AIHPHaFD0kTMFQA0nHFvyhIcnkjjcvVKPRLF17pK4cwOCUdQRhY2/MRFzDTvbmJBLTC/f ANJjD5abeV58gM9MtY3SYxntm1zV8q/Ukt4tozANoTfDkchk2eHN21epkdl+Pek+Fv2C3o8RxDgK FVkoE6MH2tHJLC/eFg9+vD8H+wMkHDwCDStgn5hxtYBH8lZXab2JIA7MqafwZCjMEih4X6zLaiTM Aoysya5wCIolqb3nVYyFWClv/AXOTTp88PN2sADmiO5fNXy2rS6LgkAHNBxwkl7RqreB3H9sHPsj V+bSI/MHF+4DoyYstml2NNA8B7DUS3jRICtElbkCWsvpstcFs23n16hRv4DXGaLNihREDbeRp2eK VHzFJZc68bq0UhvSEuaBFekDTGCwh+bXQQGUC16DJzzJv4/TAKM7UuMXptQ+6WFnwX8FaZVxKbn8 82JB3dGayuXXkxZTHq7mED+ByW1/9SsuAW0ipEyOB+EzKsELJteCeWGz/vKGzVbuRQEN3ABMuXG8 ZC7e/hnLILVsQ3865yGxWuKKtNMc6gi+4KyJqHVseIoiarj3fWfUyMUffbvIYzNBKCsmKDjrdPui 0hlm1hnEmRKeWlGzazySsErnG5p7KQFt+dXgTMOnYEq8vv8r6ySrPP84p0iAmMPhAeUaU2ObpGmK dQxyJ88jQhrXckUuBQ3iP/xM+rvCUpk7qYfe6Mj8S+RlF7WKC7BU2Yltw/PSpKEyuC0mHpJ0S1nJ /Ses0BmcH+adnLWq/a+zdPcYzfy6cV4jrZvQf9PjNwmMVzF0/xyCEALstoXRSFmrxWogeKv2wo+2 RiKGCF0JDUz89AYoI6cFdoMcc1sZMcNP24AnV+1dahf9cCiHr5jMVMnbzlU9vgpjy+cBA1p2F9L4 2pLX/JAAxEiasurDV1TZ3+MR3LsQErS54q+iCnzEqNn6AMwKMMzqZkOpFwrccU5vgcXfcIVqsE7l GSiiau/grnQ2MAqv+lxdzMZNRK84ZVgVEP0eqgy1qH1ulIU6vnqU1dg6M5iGhElesTg3tWYfk0jH nbjR0c97MWzy7L6ldywz+TBZX7e6vefzLwThG5C37mvCl5lBN8zcL9Bxs+miHX8eqnaCgk85JfCd cnuNgmzMTiyNXw/00UXWWrhEFsxkamTz2h//YvMPS9M+8ferE5t+d2GDXvbfOdZFXhVHTKXiHL/O tJcdrkVLxmac2DpKYnR1JO+tTMllcQ1VgA3QOg4QTuYR9N2wNVTM9enMaaDQ5Vyj1SyQJDMOawm9 klgDxLu2CO1Kfk8MLUET1gl4BXZksJun6oeSuxdNCjDlECzapJA8h9y/Ry1NgM4p7iYevdpia82z 02477O9o2J8SLuzSVJWJ3+CMmypjcsnUK1vsnJ3HAznzoPZZsBeXsk6V7VB8jusffCEQ/zOGUjVu xxqLjXWUoiB8koBYS2SA4Crk3UTUnCDcuQIsflwUMnnh6fYNzBk5OeefPhEFLrJvfCc465RSDbrz ha3+nej3daOlhXkNJla5RzJfOg4BrVklztMs2WdD7z7/sRYJ7Z7+USoZmOs9Fu6KS2VBi7q7kxGL V1356FsjbBtjHHoygbrvGLeM1ppaCbb8se8wWf5d0I2evbPHWK9mWLVXyGgE+mHkuwytshq77dsp lZi951Sw5CEUVMkXr7AiayuHyqwL5Woz3ksdEtOqzzJ7KRJN6C31WhXyGf0nP0wj65/MrwKUo9sk WiUX/tPwJTJUrQwEu5U4aUm4OYdnd67VAP8sI1//xgt80mqMtiil+X4vBCfgnKOM3wGUrWCyWL4o L6S/LVDLOHapWeC0Y68rdx83yQfpy4TE7YtzhJfwbaOVHWZw8Eo7z0P78yVqhXFOOhzzWRkyXiTU py5Vg3TbxxPC7w93mPG8hDlrJHWZNUu7EN71RwTxOVfrr3vzqzOjbeQ0Mcf7bJpeFziYAZE9GWoj +FMcIxdh/kFRKlWnOTEvt7HaUi2142hj0Twx6EaJ0OVTCtFzyHNSAzBrB2DrKfmg5yOUWS1x8f4q cZW/GXbRd+65odhtMsJcruaPrDhGbHaTLczP3YnWkA0XvGUJG+vD6Zgpl19TEOT6nP0jvrIkKVm7 8luRlGHtgzKFrY/oRZgJ9pxrFVMUG7MOHlG+bvOXXkuwy5bfi8sdEc0pjpCdLgCs4gQCfTJU8D8T XnzSJyjt3jUak7WzODbA6JpGnSLfB9O9S3yb9nEl4wv2BnJk/gYDqsV4ZY0mOgPksLocCivS9G5g O0Do6D9KSRPKy88Td1MIbntwh2yxBW/coeguDsbq94rBReTfUbuIcGrxK9WHf6lomFn2eic7552m 9WX4ZFp+pyE9RjRMUOJ8WGPEj7RQYFpjaEXuiW8czVKPMsXeZMu5GPTmFMiC7jnvGnxaHk2WzB4E UivElaKCCZ0WL6PUXfTLMk5lZ+Ge+sBGwYEGhGKLlwkEa+C02xVCnb9Pxz4z/l4iC+dIx0laNJK8 n0kwLuby8uSjjpZekoAGbdmDy+IxQ0EPzJjVtz0MuKrQUASfiF8GZoyAD2cxeKmJ4nClx6tntZke UFjYeuoSWc9fABGkvjPkbdR4m1JIbVUaIcGJoM07pNxYdmeqLTCMcMysBPKKQ0vsdmS6joV2v5IL 05M4Oops5XBgn+eLtDxWNLmmSUSW/h/pUzYYE1b9V7DBRX1Cxv0EnnbgCvhlDrUPsLhsS68JyMSq BZ1vzEUAQkK8LWOIzmGTHAzkVeiAagYFeoW04uGN8sGGm27uH5xUKVTHV2UjlCenCwOQAhAXjiyW etpfJxWwIK1rCYMd67ZRKY7nwwFikXT5ljqqBxTvuPpASQ6DdiCzeQ3hn2pyHIRH0oOdBjZ/oAnz dbzBeN2DLViqOyfPoqijftGMRmGQeBK07C2LXNJ6/G9rgH5gKATRavt+ba1OkO/9GaTWlxoslV4E /coLwXX1wHSpQn7pd/WqWNYL6k1crXa9WTJnONAU9e+e4Y3c0a3T8d8ZOkcDU1vd0vhCXwOl+qd9 h+bj+AoniceNK3xDDD20SD45XwtFAVgFK1ME8xp5YXzjkSZu61KFTg3/s2MKuua0f/tArwzW4VpY 5bZPjLqyHfzlG1gSbUiyU/mqj4nQlwwumItntwyIWFsn3UixjHwhdlMGV5IQ96lWG8BmLF+97ACC AGLN8as51/Y/o4YXkMtUYSBTQ6mrIFlKgnYvVBcrMnFBejUTUenayHDADXtFdH/+hhF/ZAvVZb7h f+rqJxUBODIRNlcE+yJDCbfYm4wmXXitlobKNHdzhar5TdrY7AiSadGJL3JzkZHuIWr4HrUDM81Z xNGtVwFgrjHv34XOMn6iVYjXu+qUVs+8p5LCfbJKQVT3QvJtX6XIEgu/exWJXsmaN806WgXh/nWy CdpaNiM2RPOF2v/E1kQ6wnTMO3EdrVkwrLiUZRxjeZ/vPzAfUCULfjcrXr+9Afotg1ZNx/Ms0Mn6 GGl3NOAwt9+yhrAQBd3zz3LGCsrGgVUGz9O2LgbHEtcq6eUrWJpa9op0dYcwZ6GmwHwWmR71Tj5y Gvl1fpZn7w1J2eS1gOK0lNwKKNKDqZPS2FjdQl+TVVyfPgq2JXrX0lSu0lqWWkN35ZT9jxa6FCds Su2T4klBU44zwwlQ8kgTOfXiS5xiOtZt37auhd6vtTk0QAcIYC4MpUsmT9/ZBG+1dBz/zkWyttcV wB1PWuLmTEyb1JnxmagST69+cJkTzVtnlAMkwrYFPos5wTkiVXwjVPX4GzG6ubPqmtWtIQRtRQIb djo1HCJwF0frMWAYUj2ek547//jUn0sjlzMZr5iGs89EVnTw1EXe2zhRf2Oz9pqKkZKlJhoc6MoN VRnBe+YhtsfX93mAweo5cYnUOb/L+3pOekPb1tdM6aTts/fmzPPtKbtAlrvQ0mw8/c7C+kfLdRmC vo9l7fYFNu6CFOeNq7x5Qi7/rY3yGJWlSE74f7THv5d9n73FBZ74prWCylm0ALHQnwRX3IHhhg9Q jMC7+bY91Xg3ECA3XI67A5OHIUvFBtATf9j9asrqS+zSwqNzp8Aj8zt5s5mX0VW1Gzm+dXdXQrhE 4eXVfJBlzCbhBhCEnsYg4ZHC1Eb9rJNst6OmAWqa/Fs+6KM37K7OP/FsyYhGzCTry6cqOeOqGEkO q6oYkiJIAvCNEy9A6Hy1Gtdj9z0MC1chho9YuOqSvovgASlF4vHIWv8/XO7HmUW8BaJbquR7xAAx qavJc9+V1RQMUI7XnN3nV7/bTU9hNhn3d2j+Mh+EIfKOYqOyE8rhsimD9TzseV0FiFZKgS8vdUlV 81UkuGZ1RCBnKQP8INUNcNwy+6quvCvbiQ/U1FO653TfwOwOqF42CVtRxjJ2oTBUSODnLpcfcnu5 V0dM4ra6zOUc0/KfZ2OBOFwbxdLgzhnJBWJ/LLHPKcC608PzHdvzLB+oFI33kDEJ0/SUdPcIACMt vEOX3jORxpfG+2m0iRpEsJFcS2vjd0P5mgQzEFyUOBygoJ73SQccNmfBIeGlkOV8uDE76VVQeMWr c6/oYCQaxciQDlj2QynlcAA1EqIs/5nt3pV2rNcvkhFW3q678ByKTyINuuUSC1Y+FsR6JnUaMcTS nROEfWbVnq7ahj4do7Qriw4KMbcazJnz2KSqprrtE6eJ3FWkUD/hxtyJJK6Nzk/WVBsXZU3vSjXS 95gUMunDQ1cKvYhDHqoq5vC8txOHoxkSQdHV9f1xEKeEzDlDVREVGoojC0Ub3PpsDJunvFkljtf5 vin37DEe0CRQPgeQ0RUf7a1VIBtOCeIzk+CGOiwxVOfd9fQcVljR0ZH3oo+5QjDT1hcZUEFB8eDN j2RVBDxcJZ0AdrCfAUV6GYaQoNGIEXtrLaefNZnbFid2voMCw85DfVksU6/qEiYqrjBBnYHoWnzg laocywggnE3b+Ufyd/4f22kO6mFm8pIaTA1rVFcSYn2jJMiKxzqEEhswKA1Dz4L5rJWngHHskzU0 yefDfWhInG7Ibe/QnGWqv3PKKKciLShVUHWPPr7/EwS2nVYAeVuBDgOJlzgUNq29/2aJ5Nhh8KqH 3Cneu3dtafjSirMMF5FZzGkoXvA4eTm4mFuAx8vNx0LG4Qv6S3g5x8zL0i2rOpLx9JkmY7HvXuLw aBhSCOrYOBEyyqQIn4RYFQ0UDh67qN65EEolIw0zYjDaLQshVuowQLgIC2bGwSnACcJbhWci37Aa jfy8G4lXbPYvDqJsbj0M/Eje1H/Rv+5O1TduaROSYxBAls37ghtSS+CXX0MnNvOwbbhcQEwqybMH 7ijvjBV6YiCuwQ48noQx8OwopWicgoI7BhZh1OFa8I6pz8Jj2KBPBoMY+4AyV/zKZiKLJ8D0LDeR 39Sl5e4jY8ntR8zXwx17nMdtpVbIPXyu5peNSSYmWgzU8boxJY0+pZ8T83/e21stg4FkW0rfNb2y SvurtW6J+tmbzG+GB5cLCUvwzjqaAXK0st8rve5Tmgyf/RcmdjNcqL0c7LsS0Y1K6gpU/pViBLSa sOIrRfdBtF/276lPs9w0D0RWT1urv/y6bwIcE5vfoTNnYqu/MuqwGvCJNxoUqicB/kMUmzUg+bED oeIEJWZVUlc55aA35KrKNWj3nJXQC75wSgL15MC779HBBIoUHecn8QUWIiNLb6CIIUcS+OhijtC3 Fzx/eDiARMerQF0eY6BVAWqeDzhG5BgCtAQBVHOKQAYoKJjp05zo3ZGOHivhRTp+4A27uf//3ZuL J1tiGaBlIZRmr7PZOBRtMkUf2OzNTroy1Ei8dbUzkl9z7erl8JFsOTMGD2blGi6Z/DyXmkBqhI51 rTG5+XXmqQS3S+qy6yBVg7CPbx9AjRaciZ1A9YDHDbMJx3pcl4SWwei4wgTUh/TeRqWX5AydTQip 6JV7QYx+8USeo6vEgDwnqmpkow1rm2GhUcSw8N7Zca73Lb0bdfXQiztRHgGY48o+pBDiybJsGCsB 1hVnD3aEs9ESDKp+aZzxjs3KRgIjj/Nj3V9jnJoXsf505Ul8UqJVJYwvAMIZZx17YWbXVOqKiyhf pyXVJKEwr4pJvXpt2n8Lp1fP/TXAqC3ZLwH60SXRnr5mKPK+x8U9vUnHQSmztQ4qiLDcawmvAg+P W3KXFBP2DThUZipbavfUNe90I7jjpxY6hBhQ2wkzPa2cBEAT/EFKkjpihUex1gjKB+SMVYtKfd+Y Kqrdtuapft23Q5NpJpmhYwPV+Sk1HozfrI5mKRwIOVE3taIOOzYuOhJ9kwpRjPmXWIptlg7PcOMH MKv19lj94Ldfhxsj1SZuLMEiCxn5jU+JkWrAgH7Mx88GQifbuyH/nIuntkLyZhkmKH45tAO2DNhJ JmGjiXenaBB1cW7Cz3zc35abagjjl8YfTa0oJwjuZvS3lgmp/FJH5tMQLiZlzXnBwAitC9RpcXeM ps1tkR8wnpPyV1sjMlhWdm1/QZ9341eMZ6OcrdJjzOno4okcMYiPcINJM6UGkWyacepWwFnpuSm3 BGokKSNZQo0C+GZ3+jUVEq5esD3gOydHWdUGbv9Y794wn8RLmaqiIbWr3eIKiz+loDv0X/sfRbec 8EpbbEZJdrF/EyyjNmCUz7BnUKHJPliRIxs9SNcZxnij5VbeNQecxcbCsL5oJJAJ+Ew34evtG2UR FwDLhtQhw7DaddnhQfwrJpX2uVI3ua393zP/aQE5JlKwi2QX7mgAaXRa8FmsWJdg4+9ggDbGycOl +sM62QVapDBMu7cVLiEQuLcZ0iXnmF90LnjjJuBBkj9jvAD+qyGOdd7a0D7zZm6vphZNfXY8USo6 N0FAZtSx1Hw8CxQVQ6Vo24W3pQq5apxORUkVAqiSdcjFSNPcnVR//yKFwEP0esoYvv0kdNCGvQX0 NKAzjUVYKUwwKxUK3ixTZmx/HATUKjDfDpYvB1PdtqRGr7TQhArZJK+FJdA8x3QFDj//TQBHa4tr GnXMJ7O49s35H0zEUPRyAqBh5vnXc+JqotvhpsgpUVBkKJWzf0cAUo7Hp8GtMqPRXBsoqmOXQOzh CrNMXGkWTw+EQeUXhKCVj0kUSQ77XSJA4aG0wMv8g8VT6jwfRbxGAxxE67cDBy6JvgJXCX0Zy/uU Kx3S3ZCvSFLnI7QYORjDwfhHkG2iLPXbR3VdOMSB+N2EHHCiQ+l41RVoF8YIQl4kD3fPv7ZdbbvI Q4vrb3Ko+74O3BCCuqCcc5zWXvN1faGMMagJq77XRmu7VDaIoqZiAJun0Zv94kJU1o86EvxNyeR9 3mO3O4f5l9sF5Vfl+EUkGXN33Pr0x2R7PI42uoH6NS4VLm7pbXS8s8W/nWoGidaRAiuC+KAde4XA pgQOsqhLJ7fmznibAv9b+TOb/CVDJQxWtjvMsmC/q9Tw+OB5zO2Ao6/dIxwKlo5Nwv1IBsJdgFNz hfrUoU1mVAQQuS56Ln4WRVaMssON3/E1kalkuTcF8bcdKD6emVE5tG12h9mSJhOFROOUDVOLKr+k di6ttItZP159qxgpBVziyacDcIrgogkZ3BcWFCvL2t6ttfFSVy0ixj2QuBHg1U7WhXyb00Bmmi0N 2duJQeekmMPmIEOfLDhffqMrJxa+S/Pu9RxjYsT9ueS4Hi7OLZt94M6G5knG+ym1MewvO4JlT2a3 9QHNKiVIsGXWdBtAhyXe/Laz+Y2bIjygpRQMLsvFUsGohUVmqc+KMvPeGmPTe71qZR/KY8NgLxAN hwNr7OV/nfLFlzn4Bdz72PR+0yAkK0gTCOYBdz/NxBI9ogEN7nk7YJGaoIcikvIwi9WIBbqn3bi+ M0Wniy1ogiHXWyt2qZjGh6YcIub8kA++dxO4q8kgJkdEmZ3lOWXvs/61x3PbciSTw9H83cFPcBSv obX4HQNqGBTWq77OIplBvGASbyfU9EONUQW3F5cnxm9lsr47cEwHb3Nwe+3J4+hV/AnUWbwgXOf9 HLJyC2KFM7aIhiA/2ebI//d7m4eNIP0Ovg0WtfAeqPwjBpxcWIH7pAjy9uN+L0JIMCvE/ofVKJ5K iosmx/NEuGvMI+FVx+5eZhdqVZ936Gx0c0fGZ0tSzoMcpukYYFcGYaMvovERihqVRCa3HKaNrP5/ 73ttT00lUiAEg7OMezJFHrPC1gYWg//aWckOtiI3/j0gfkNpGonhxvBd/MotTD5QtniKcPOKUrFI hBBgOH7Xgm8v01iYySaa3+XmkjbWRF0hkSI1PqhRaQbCf7wbi5S4093oOoSOnvp3JImF5fpZlUYg eASYBpkYTnQR7xSVT8NaZttEsNZU5rBTFQEVhjLxAsM7tAEJTm0GIScb/NtCG6BfKU7VoCHb3uOu LfrniEF3FIYyX19VRwgNhNbT0pqrc8maR6jJCQyrNIAi9uuTZCWyduY4VI1SF9qK/XZLjdk9jmAp ITdcR4ckwqwrvasWq8kygyUFeE4zZ5Bh6JaZ7UUKi5N6wJvuP25rAFo5gRFLBOqaeJ+XLhW0bx3O 0oMBGKzQ9erl0Zo96O7mjfNbx/mVyKQ9/B61ZXQ/k23YNyNR4i7nBZFxvWMPHLR/a6cCwBCj4q3F DPEMH8Sg95cwz/V3+bni2NYiyv8lMuEZZpigiZ209pfnBG9ft7QJMy0wx/PI7ADKjxz6dmjS8swr EwjmlKQECjeUtnJzZnunS9ZecoXU7pwnPy5Vm6fLeFlxYyFhO3AW688uteeTr5hY0YBDYkutkygC o+4qusn5mYZn02aCCm2WL80OcFneFcuxuUmH1PIw1JR3qQ+G0xGBtKgG7qWe84ztegPMRYJHatKD HwS+og0RdmJqmKkg3fnssvOtfXsPlJldWFrLMDc0N0GJTXJYqJer6Xqj7JkhFFO+awhb40q2Ocet Gwf3N9nVKvKsUJf0pzFS3EZcsF2V/zXaXDsuUrZfwLvcVgVGh66Njpjt9yVbf8w2QwsifXGoxKHv KUeNZbx9cdJZl4AJyGxtkRTe6fRX4j4IK1/FXV0r2rlLt5MBNeEsIpEx5gnPUoSpv0BVH+3AksMb H1f+R5NZjguEJGvXRJ4BjtObd00qSmNjJ1De2mcdD40NVEV9w0aHHPQWwazfjRkMqnWoraFpwmRw OSOxl11zIjlKUqWhNBGTGpX/wkW2icgWzsWJu8VVZoMXNyzITT/kYmqJQY7dE7mpr95tvE2setL3 OubhR5DTEPXhUToYU5ckI+TIOmC3dTOU6kkX46UIJnehye03Ykn6yRKZti1+kWTyDyLiPGmxE7mN XsUo74twECmgoY0zDXAMoSUZHb1IyR7mvOI92UrdgFEKW4ovHRs4KBNEVhXFY1ABxjcn9jc9tfAx lutkxlvGSXwx98+kUv80FcvYJe774rM9mtGhtfRunKVtgCkSuGTeYWEChRzKcVXLgrx2XyUtne0M xI2B9+LBaki3+T4R1I5W+6X55raQLL+zH8J9fYZQp8d3YZ4yOuc+QYGEQYot/rkcpLRRWIREWAM6 kGxGdmT4dKd+vuWXLfYIje2EGReDZLDGCmpSlMRWZ94t4facTHKs6ms84v0Z82uO5tqV11MIT0Rj tV97zeGT5pT/t8VTFIlXkq7shxqoBYc6AuXhWLC3HA2ltWomy/GpX1wf0LAUAO1bddOhqOtjkmo9 dKN2DjIathPSdPf0NC+gew+5mIZnyAmI9OhkPlSjPZ/ub2xTV0qnLN3QUujSx+LUcC1qu+lQwifL MvQolVpOsXtOxbj29NFuUzLkAwdQq2z6RcnJFREXwblNUboWLNvxlWCeIdZ9XMhMMxuUAQ6VdsPo Tj7Zkv5etmFePILpjM3Zam+A5U8OezvL2luLsa3+/hw9jZFLkgfKf3pfWGMnoEzFqpjdaixg/YPO 5kNUrM5CT9IhxExZ0A2V65jDZ5Z0kWYsF8OGekN2ZRkTHXk43vsWQ5rBlgIMbeqPgYl5bmAQm+iD nwhCwE86QvSdZ4+BHsRMiY6Xe0v9cfd5H8Td3Qi0taC2DvvFj6Re5HYRd7iZOo6zmYmuYEumgyob b4GSI85iTShp4PevShZtYdhh/H1RkBwrfeo+KQdhtYrxZzYCiJlJnPm5wo4QOe0zD4i8xs/C3Fvu Sye6nmITirJhjXlp2ispb+Q98HrDKfggB4rVrHgciVvVhHZaEExCMv/WsotMLWZbAd1rMvh6SrGG rsVl1zmWJamTPbuHlKNU0Rw1XMIc6bCsd/7k28r0EVc7MZpux0XbvTvG2xpyT7yPgD8iI/aww/NG so9I+GeYl4Rw2Jj/qhLoe04ukJFv/Tp5IyO/T3gU9W8chI/wOqvmzPY+RDnt3J8RvMmvHMQfx1jS ZwuYclNTBGg7n14M2XBfbqdUq1vjPelenKSF1ZvlIBrsuy550JllGeztL/F/8dPzO52FCFHCTQ72 UWa/ZR4GQqT8qcz3mU8LivKycq14SOkwQpy9cn1EP+UnmtiDalGuW5Vuc3uZ8xAKAvw3UWXkhgXK fiUcvYEQAuNvqOJlI53LwpYHXlj45IyytixlEI+7bcel5A9P1F8fmbU850Z5evLVY+0aboVkVfTx J4lHeOY1EK1aYHZuG/0dCgJMJigknBppMpVjMo1b4p6cI/ACSuLD3k60Lr7TWrSMb8We7h58+Qg7 MeYjcQ2uinyncWzBIicwfGgxpJZDmZIeliVpf4RB7bEtQ83O10K4CL1MR/EAA4Mq1//UEjZ7jfx2 doRF/wuc7u+Q7RcKKQmKIH4lymjuMUhu2ei9+bJ2vy6VvKgcjuN1HZrGlhyyRur9yiPoYnQqLfrv kxKay0W+uK5zDLP9QBpqPTJmdx1cIPCGktNws8G0RvqGL7AE+gEVN9ItKzOsa8s/Nmd8J8Bje8G7 IANdpNkbPvFYMKLuEqH6fXshSypnejzFM7NcZqEAsBudXayWjTZ3K8/8J6f9L3WRwObG+iU9R4bf Ba9hd3yGLeC72MhIQzUJRZlIF9BPqSF5K76U0f6G80v9ATQ2twjfXRAqsOVhiasWycgb7WfnJBIx PT5vWgDcsl9NqDlFcSsSIp3PanA2MSU8K5j9jpJO7A2BbiiWdYgh9jSnnPEkfw6Z78UJ87NC5B+i QiPkYoUM6xzXXPBYAsrtYbD50gTbYuKkgQ9xP61Q9WGf+kIIu7H+HaTpC6sGy3xFCTFSASNbJFxp 80wQuwRwf0wqBlpbdW0vmTOApgvA8JL4hfjLKgiZxkHgVvLgIAvOzjzsce3+f+t/cyOEiGCf4w0u 3a37p7jfZTOHKZW8Al1aDYvV1EPKh9jXRSj1BGPFNB2NkMFMSABM8Jx+THWJnBE0GI8JgM6aPzpp LUaa5iivVtJCybd1/csGirM3slFxMcwUDg4UZzlgj+24nlOSYudas8yM3jMsH0wAuWYpkGvtOriU DYYEgW7QEkXVe2YlpDZMfj9Rpm2bkwCOcYExmf1N/N5jTqd+bIDVAKhxFRzH3IwikL8pfSNYlZWF oHEWox7Y+GdVkDj1pgzT+TqWvllUnFD9kZLCeA6Idq1zRx9H/NyI0ZaWMdvY1l3C2qFcZXTiiwv3 Vvn1dEeaJac/v6f0/qJFv8dJIxDhpB7TqtGfW9Ax2AEWuio7oERusus9HOi2UyzanKDbEQSx51He L/Sa9bl0TtO5jlDkshmULVv6+ttOqsyHIxL67V1LS14zcU4iK+bBhWC9Tp6jGxnkET1gcMloPLy6 Ta66CTwK021dEuWrqPnSjZIFcmxrejMh+mgn2xc3WZipLLTwDuCpJAPiIVy4ARBzLpieRyD5DrXu Y5B/VK5FYyvnWuZh/5J6aMQkvcRATa+YOS79Keic50RpPSuUr2RiLWczVGxN0jO0kq3OipFCBsWc ap4KNcPtpwTgKoZ5MF59+WOF94s+QvG8e68ISYCJg0iubOZMXulKyEksPRxY+D2m6t1kEJMTaV96 hPsfvOuZTjAi1hib2y2WsU3V2/4yNseFeCmzEf8rlLXr21atAu83Uzm0SW3ZoTAPL7x0r2xq71D3 Gd6qrwItFnjHWSI1q+TjLQsCAnjvDhB3+4fy8f+r+A8OMXsmC3NF9XoaJo5ZF5NHq8LbMknSSTnP RCNlS/2GOBtIHepUmcO00NDOESz4PZo0JWWEl/9zMDH5oK1rzEO1/y4Q0KGIY8BvBg1Eweufvjni d5ydLY/gJrpw3tRikmN0W15YsT5cS43s2xSnbIED+EIzZ4P9l5dI2uohXM+ufA/WEyzDbs+DtucW slGfooU7GB7JAWP6n84xfBhtEXi+/Ge7Jw90hRpeXbcX51QOZTANGSu6bP6CvHp/5wy8cyqoMD8n HuGQa0lzaPvbk3c92VMvltfrtZ/p6gYYo+c6GseA/FPzQ2LzavyoKgcbCDyYIdeeKYuS6ifPtrSI b4iOlTnqyQhhOJ9NglCkloVmy/nZTdLEHCwRdvIncMST7XIZxPmMtCAQ+eQenfZwUzerfB9AJLCF PwVAbdaJlcq85rbIEGRmM/zTAccDi1LIEkWddtVNF8x5KkB9VZR/ejX0UVp3B96lgocbp4v0/h4w kMLTVzlWGU0g/9EwTeotJnL/FQxszdwVw/2n+PTicwN5Eo2V6rZbOWFGxvr+wttCQe3/KLtS83O7 9H+jGMbj9xpKKCuifetASe7s9MoH4johvpsXLQ/pWJBXXTRtD0Rh8NHz1Ux7LGbAVTZ8B7iShKaL OrjlfCP35jGtrc0jZPzx7ol6Lyesw+k5m5AGDGCQkm0d+VPr9IFQx0eNsjBtwF2fXZZWOgjleeuw GFLrBQ0BUkAAALcefcHwM9moXMwMYf8qDkIhNiChOqBpDpB/v7CRKjnOF/Ggf1PXNcoJEbC6jvGX OkhSnWdavNhkukDpQhE+pddM+tRQBz+l+InLQRydqZOhiY1U0gC7LAWsFrRmvtQ4JK7W2P1HLlZ9 ZYJf6ggh4FZmaQp7WqbNSaqc1W3CyLf2FqRlWc5xvIWBqqEyi5VOeAWPETgTUv1FXKF4pHIWapRS WXOI56++rtzYRn+TIcyICMd2r0SthrlhkuqncWxCTS/ahM5BLirHQxaCaMccdouaiJk7TRaIYomr v+KuGi12wIBwhRQstfg4kOaHEOkKEupIUZIiVQuG2d/H1gLAounW00wPwLw9ZsGEZ99skayuJurE 4Dx+LQ/C1QVbhmp4ZfD9BSEC2JNbjPBYduUhbAFQPXrxk16lvbIKt37m6V8L2aEkyoR14wYo1TE1 wIBTWfa0gs91ZAEph6NvFK8Hrn0JkSHdl3nCKVoor34xIWQsomOmGPYD4uZI8pnTa03nWD1BlZuJ sXCSZ9ZIIc/AEr+x8VoXA0ykW8yy5wLFIv23MC3K/DcrcewsHbAT0VpqSG0MjH+kK8GX0BnMjAqx zInzQ96PnI8UtbrTsWZGJybGRRXlaNCvWS4g+gxTOZ/mal/XeagKBnMk6U8TNJ5teCYr1nvJTl2I 23hRi3lBlVJF8wB6wvHYHCkqYnPFArIfP659NsNGXtF6ECPi7kth8BwnEywJ6lmX2Tx/+scfYYg7 mnbe3HKCKAy7cIYa934lTAiz6iEsfhCU3QaDDALnETdndrbPMlWsBxAde1SlgF4z7KXl5RUrxwzg 7Yn5zTjgbxl2dgu03xyQhUAcX/YrPdw3m1y9VrA238fP4xG4IyoG1QwVhnCMAjBaD7b0Sz5eTGXg LypuqQ6PGCPP3Aq5Zs6FbnALQr9ksVophsdbFzN4DXPKz0j3baxeh+0qIDcsTKpvGx9lzQuyMfww RBGSx1SAzQesf8+5H175+iv8aT2ZuxrZzxS7qlTbg0EFi4csjbWjVFn7or/mxIitcQE+8aExDO5D SuR401iS0jItHBIs0bxAURjc3xWNkfryBwMooXN25qxO46X5nkHOK5vDdj3mPP5vLTcniDtkmrx6 JtQ1otbQMeTdtAqnb1Nww6FQchK+HTYlcNhNSTC/r2Yk1A4oAt9D06DHEQgRCfQpVfe0WzjCJ8bb Fm42IAMeYTGsnq6aq4PuEE4UsxtEWaOkmzWWiHcz22Qq0k3j6ODolX1AX3GWa91NBeG3FkF35MSp hWB7sAEALX+eCLehmgVJPID9cHPGSK2wJ44Wqya/Vev695NAkIfFxY/8yNtkPQ7zA46J7Ed86AdW uoMoNYrH60jGpb4ELiCJILVhxOsD8daMxDxwOfMSf77F6adbbzPF0e371ZB1YGioPZjiY3pl01K5 tDJ2+8g4Lva9uUDfCSR/lzwSkZSX2ali41KB1cTL0bpYb3aTiwnK4xbJlI1qkZBkruf0BclKIY55 rgJY9v9sMRjZUW/kJuDM8d1rGgx/jTzTfN4BIyUzmJUTwoAP31mpfXbjNYd5O6kcbZhRTLltn4oX nCu+IMGQTUr/N4VxhdRyVr633/JNc9eDjgDR31j4nY57rhZ9QGfNRMkBea1n/eMcbxF41OENByhX fVdOpzR8OtAkQWwKSyT3oWmJBaKZKu2IWRJKC9q4kYIsOpHSjt2ZKjGpZMGZyfNSCKYE9Rcsq1qs tSiWuI9IcmzF47/m7rpu1bHvlgqXu+I+lxpKSkqMyhoZ8j+ozamzdDyvx9QyKZ8KqNcDvngwS+dV mhjLoWLU1lB57uvzSGQ8eCmN20yu1k80W3RWdTG8hQTHcvxcxg8hCRhemZXIYpUopZC+HBXY44wZ v8YyaDSx3K9LUXb4+JfsyfE5XYs2ctVU0/5LXbl/9d3w0mXB7Xyle951Kzzog3bLDwHFtxf6kqnI LSQIemFoqIuaj/keYDMEI7pNrDy/SfH+xdGytA0EmnTlc6rEKXvgq4+Nd1G1ov0ezzy+LRx6vWvp VRe9ZYN2Tz61bGnG/iDKlHBqko4L3ucuuRU0KCcup3tjBxLFrJGtKtazyVci9Y4cQMBj89Q2sfyM iq6j/JkzvOemMWUe4PRTssr6Z+pfVq7Un/fj+GvNhFhGl0AleApWsqfZPbMZNUTG/K9DHGRSjMQr QRLoEsfijIjOFEYzSSetc0/mEY9wj4BJS61JjcPqI0yss5QHztSlZgd7BjiWHB3yHsSbJr4MbxMG nwieMO8SSsd0hQLqXoaqUihg763Zaeo6dB3CpyUbuFmH/OPoT7qEulCmGGccbGqszdzMDhekJeKM l5ZUsgc+bqazptBortBC/apRRRpr01EM2MF7HanlT+XIzDffO9mqd3P7r5nUr8gaLwKEm0+Kly0c t1ZU80CI2CAkAmjqDbmhgQSA91C9m8JsYlkrnWOwszgTWXGIJVb1Dp/kX6e9NiFdz68oLt3l7rqB PcoYq3wA/4qWpRa1lSCHXzoK7ipiICCZUnBYFTCIdoxo0g0SOxCPfahcLfjKOc3fe7oAp4TklxvI DXhJETIwnA9kH5QPjMNCqzr+K2OG8KwNavjPyw/CPMXAsXStvmPYgO/Cpyh4c4/od4vzw0tbitXQ AG4HbsBVSP/ZhU36dyQKJCM6HQzYZytPUzxa1o2uyyemVe5RtdhpVEwvdGeZy7sivuokF3c+ct/O F3nn4Ma7GSeDJbrkTNgQtEJeBHglfUnoVZm9PURKAFLYYVkxk+ZVdV+/5mlwRPCCwBR7H6nF3qhp B//zdFhCVTWWxsuF1+DOTIjmGcu0G8FP3QDJ06h/mNCJGdV9JDc9x9hR5HF6EsDA7mNRQG1RA4xT RRZfsBm+4XGN7s5BD2nw4L3L93lSG8uTM+FNHrwd9aa+YekL6/ivKu3B7zT38AgmIivfuCyk3Uum kJ8JEzC4ar3+EJawv5o4ZDq3eJsDtaw6gROD3jw+euZKOgHHYO6CcDv8/p9tOiMiMEy8dvVZgiAe iThi/LMFdQcOspPE8NgVFWKpLtU67kJsVIWkU8fIhLUrF54OWy82OM4eavPnm9PcpieUIx44Os4G nFukBiKNhcArApabpWVqRJAI0pO9/K0iQkuEZUGuUT4segd7Gw54iEsAvdPd6WS/pvMxBdQcQ/lO 7S0KfzkgjrNw54OV+mN3sg== `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block N/heajpNrarYNU3C254jDWshE5DbEj22fqC1Yucdjc4fkTOjG+ALNLiIqAjeHVj2PcYj0LHHhnDu v+EHF5nmJA== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block BLPoCYfoVBAH3RZ9vFKtH2HtwnbxcgO7h8CjI3i5SLCIBQ6+XWBtF6JA5HvbM075qHjgpvtc+IIF FVyBkg4RsdPCzMj/qR1a/RLtGLYJdF4+boBc+WGB/O/67rOyITAHUOcztKjol5ZNj/U/HpifvIGW dcdRMlBStKHP2iqZE7Q= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block Fns7Y/UN2G0Mm/9BCrk0/PTCU3+OxWxbVukLb0iRdQ5e86tBnY/XdBRlXS7i89fVO5yy6ur4wM0F wNggtwWgPTKVGUL7K5q78QwqUuUW3TVtQ6uebQB98k/cIp0JFaCU+isqVDkxh5PdylMgWStBNMW3 fD8ePbh4alm49PPnkz+XJHC/3yV/r3XR1EVRpq8xekTc9rjnlihJiAtSDk+2QpAYRUOaLxJ1uYaB f/c2hLCSCe31LwrwfS5Pvsywpw8WFUk9JEDmN5BAxbJXSczp53458FUIjHtSzaIjpSQI2rMeTs0E xIOpOi0vJuWt2qzsO5qKuAoVEDUFO01HT5jpSg== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block K3OZnQdFhsFlHV5aceYU/PfEpky41qUo8FJUTs+MvxKOz4NeUesMc+saeFdHB4YNDk6if5jWLiEE +uGJ5c/yBUwFLcrQSRvnPbKbXUQ83Fyk2IZY1OPxRSAuwtcDnBl++ci6lGC6VMY4CjNYrlWywOq+ 7nGRVz1AG9veU+BjUvo= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block VCELSlKKPMR/IFGPoDE9R6BeRWqdprUDexz/T8r1eqgX/Re4giNmeSwY2/GrMnNEOBS8Ts76n32V p/YD+/eqH0r/IeRPVdYGUm84WUpN11Pwua3lsC4tbSra3iB6YgsWqJbr7t+aDuRlpfB6r4Hjl2Qb WpeZgbd4OO9+awYMKjw0qm3ImTGdeK3zY5JRN/Nh+u7Hov9AU6+fyXMbf1LLXhfSdXRK6KfNALZB JbfeKTUVRDnlixCKwXjcn4aN60xgtgCGuyju0v5vWJ9pwd9A58PSNMv23TOVk0ayFoB3lXmhnat2 OVwbFYaH2fxujE/goNnv+u5tblmJUtViPGRskQ== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 41056) `protect data_block f3Tvq9hPhVanV6FpZ0GDrcEwQADJitgVtQDhrmDo8KpbUMhU+3qlcgkcZLQNzmiEVYo31y3cQBu+ ZDBehN4JOHVQVypFHR5YMN+3xOL4AJ9Vy1NNR9RBIbS2atJBLg+IN7K3ydsIHZkwqDF6vve3wMtm Ews5C9EcVTYQ2AXfpz1Kqmbuhljmupz6qL+1wkQpAXKRhjqdDbOdfAf4Pm4ppvWz1LoIVFGhk2I8 Gu+Pj3B2C5zPNnjlpQyBhaLuDSD0qQzLpZ4bHV4WgwgIrOpqhlMfvkdKUsTpU9mvFa7zlKECisG7 4yQLQsDyBfb1icT51h1lGs2nKZB3ZN8S4azlkN9WMhejOIoxNJCa8ah7dAEa04xzhIRlpfqUOaRH DOBrWhC+7L7hDlBB1vJ5tRMjU5pfpPksbRxlaN4XsVdxoDD6ACIX0UnNaNBZs7yQ/pGOUVF+YxWQ 46hzKFvhfZvA6UPb130g+bFwcOMKTpC8zkRGl0KQAr8XsC0Bj51v1zZGVDnN6M/0cmOrTFQrihxJ FrtGiEPi3FFi1LSmy1IQjlFfZNmLtMZyoThn4enP2Z4dWu/sJ5iT57ZriMxlP6FoVy6OA+trISXb A4QFXe/txXONRxqnsvWeeO3EZuPl+D4mPE2+MlbABw4WIOtAwUWStQtixzSeulX1D3iXYopesK7h Sr5K30cmuh28QTiHYjDXMdSEOAw7lp/HK8Az5jjzKhUt98Kt/wI7rwO19kGiJdyztKZZisXlpXuc 1Tzi1ahCMZfUVPC8STeNxq5EdwhAqNlk4wBIiM+2u/8qElbzGHC8vo5ImYrwNMVWWHTBci9Jkf+z piiVFQ25BhevJFh33JAZ+1kIPArcb6VJ80hET4085U5N8DdZO5feYSOF3rUrZQdxagvcLrW7UB7G +T3pNqE80Yh3xCkG0jU+4yQFp/JF8geST3acrE5084btCuB+PG7m5pXRGndsxYtMK1K62uVMUaop 6LvjW+FUn4zP8Biig5cDS6vwpzLnvaM2oW4n96G8zAvYw99CfVJNnM/ZkDBRp+HwVZbJME/p5x4J U+ihMbxHI4T3EBlGDq6djERkKy1yBMz5Ov8IafOb590fFed8HLMtzpYYhqMHL7K48T+PZcITiSqJ gv6CH0pxZZTvVmLUz1H4ZTxfIkGKtJzPyDFsTN0moXYU4OczmhRpVdCSKh3kFpnPjlgqMEXYnIV5 NX3BonkN7hgd9EcTIpjTY65ZLe+6UQ0aIKVvSZ7yj52w6hLhE/fSxdFkjWLYMHY1Lmu9mxloN7dl rAbm3YvyfFA42rIxiXdAKKvXAijbCbcy8Nw+OsUYpLms9uvabUrXs56YFIdwmHgfdio+m/LM60eK UmjEu8W6rwTufWkIF0suYxz0B9SFs8FfgN3K7D8xHVqvuzzq+2TpQ7HKn2lChZbXzgcbiveYCeIu Xt5lmWtBMy722tz4qK6mLC4+Ct0e9Fa8S5cveL117voXwI7IwZjTdAChbwkaaQIF0Hj75u9Jplbd Fwob2DxBsnmZE0Pv4lLEfsekhbf6r+5gIMSEAwsfJLwMGRelSrPZNm13RnSUBZPM3Ijmm7n6Tw9U NO3Eu1vgMHyHbm9sLvvTIhi2J0N+ydtbP+5CbOGa2cur0BW0rRQtZBc90Mky41j37071bSqdZ2e2 HuO6hetMr0uXTK7ifpLD8WdNV/bAh+UQikWrUZAxzLtqvosYjDcVAK0ZVJHjGjxlELuInYcfiGBl pfsHsTePXpdDnfmuUt1lVh18vtcMIhZrs7pQPwe3B4ocMqpDe0Ca4DEEGnwmYOwanVlnwblAYfsv ZpQlGZhpq6Hz2zYxT4k+aq+p5e8bSQtK7mwtk/zBWIZEGHApAIMaBL3elpDb9pAMGzRvOOULVL+p EyHSLd7XX/CFOGU4LlctFVh4ZsG84qYEKNGYv5PMwCC4SUX/tDAvL5iLIN3HaufnfdQhxdUzkMYb LK8YJeBicZEfKBiax0nNXmavSaeQHJOvvSS09ThD12TmSqCjAwqrBeKnsWzf+V9G9dyE0jYaURES PE5KJaXK/NresWrRUUQtadzkFJRygoB1L/n/XWqyCXj1ufgWEEaATMJKDyv5KgX2D4x/f2wgpE5X KR9k935j1QOIu98iniG8kZX7O/BHRwFPKp/omWvXh5GsxwqrGzuq9U5IC2LsbyAwqHErTZFixm6x h+K4IGLthkuQhD0k6nGgv1iRawoedQecFhmL8HhX41kyvF+XnqxoNQ57zj8xCmYYaZRSqz/AfM8W O0RhKCtRDgZmUp1mgzui8fxsghdtO7CWG2/8qP4WP1qDE0aRaKnzO4X96VcbR4F37kPGiUG8/Sva 4+v5zwHwwHq9KmFRf/INf/v6amW8NZmJbXnmEzn/e/apuyVbq20KRh0RF035JXUD+QG6leQ7eTmf L0OyfMDI4HRTZdEB4LRLgs+aibfVJCaeBsfon5bzgqZcpsDoeEN/vf+mXvCaoaDslbQgHh+UlmVF vDeXis+BapQb54AmRG4Q7237vQrC38XiTvemvsLXqxORMVzFqsbtU9qXfaV0cnSYkih1gxaltAfD Zv/7c/T1bdq48wpV7OH8RIDpKys6nfJUPrQ0JmO+dhTC8nUARmLQkMAkCkkiOvaJVH8sKkRi0pcA /uM1m2dgSnt196kHrihg1qCCRY5xqySY4o9r7qDOLcwBcyO6ysPbnMzMypKbC0o9qXSxBpJBb6vK b52YOTcOMOD/Tabaw9e8935tF3lOEJwqL/JZSgQnhQFjyCVdBp4r5wUQ6vKcgUGMRmExIQWtaG+e U9coIWN/RGyCFWsPXnI/m7kUMwbtt/jkb5SN9njQ/IaPQUFpyHSrxgNjeTjTqHGuHHpB2tDdD+ia Pc9uuAmK2iEA80WtYplKqo9KUSiirYvHQeUMwL99jnaEuDDN0uPbY3h5v2tYjn8oWtZeEggZ/p9u wQduKz0/DsC15AdT0O2yUVJfOmDX1+XisQdKOay2HY8c2YKFhu5u0Y/hFi7nGjtdixToui8sr6/q M/ZWAYUUR13LcCBTkiTEARqJ39Cqfh618X5tAUfhvmdjhnQ6yudqne04XBYbSd535MiBRTLxkh0d DqKkcrGBgKm2gI60+PAX+yqmE+36gfioUhjnPgB8MWM27uqR2XjROE9exasjj1uBYv5tjVtsPrPS 012niNolfwVUd0Yc/UKjxQbmNY4rdPRZ5nmcoYZcsaNpr6+DOPqlbNCnfV4s9QNb5rlv/I+ZMgyg 6ppzjt/st4fwIlkI2PQQLVowgGifeAWSCk5usyC8pXe83/X684bw8uey8bauD5OGZ6qF7gaa86HV V9zVVGHHV+4/0rDVzgF5nHLr4XdzWVwT/KqaytjEuJ8yP9uGzfpfTNTTm4KhsaUzocPBOG525tYz IUCJkJwOnrF9IwcIABALjJHXOAAdr3bhpHx/iuZyVX/H4eo9pt1hUmJ+I7eR7zmTtX6IKFDqgWHm OZU2pXMeLVH2ik/T9wYJSE5IO3eWobnINZdl129AdTW03juLLfjW6syHEHitB1sCOy4e90py6z5D rHRsXz9UPcdCl1h5mH8jZ/XuLgZzADfoNi+v+Mzvftr4T/xreG58oX3Dpkp2onYFEZCJQaCgnS2t TFTr3qaqG0en49Y2DySerKpGi3P5kjH/7AMmjeio5s9/jz0IUTpXcsUOrk4TMW8uffBWV+OdBcF4 yA8wXOsLXSfZj1BMTKVr7z5L924ZodN57LLIKIRgkH87yGIehYuphhdQuMvyLUabehmX2xtVL17d DP++9uvziS2h1Feh4odwb06/Z5HfwjTCcEPR/LcPl6MiTeYYeLjvRbAtOAyulO3vPBLqE7iuMAKQ rqAPi2NxKtP8pmPlCvcHZ9vXoWb15AVvAGN15sq84A4sslSO+DOoWv7glq2Q27rZUQSTWSzY+p2Y TqCBm9qnk4pCS6Kk5nDmVHZCCEG8uSl+osiqdYwqNSuvVWbntBjOztTWrusG1Vd9Id4k4HoJZ/gJ 7nIcMg5LP5k88tHIKNnc3U/hK4LLudlWeaxvw07QraSgpHWYc484P5uukKQBSqocbyVLUdQGcOeR dC4WJ4Iiv++58VoHQ6TLRjzWU4vKz99TJ414G+wls6ryboNtSHn7hklhOLArU8UaL3jkHJ4MBYUB 5VvKlZZ7wxEsNXRGxnVLPvlH5b484yiYEVCIKCivENCpVs/4bR7ZP6BPeHbrJg9DC7iTeW/pjmkr juqnQpcg8+PpFGXoLwDx3EBM+moNxcyL5eTKrElxgUWSdUbsnBXzkpPW+4xchlkNfNnVvDnF8uWJ fdfXv8PkxMuQn3HyI7qwwQI9sU1yigWa7+qe0GBPDAKX+RCRlcUrIIkyERsMpZ8a1s5hSOSR4Ara AdgjCH3K7sX/Yr84+89BWXJQT7+rPCfcOfu7osuxltmKoLIirQdGddXqNUJLdmb6tnFH/MgMXBqw 42b2NSX4X8FAyfXiqneD2xQZ4BV8o53LJmyABsauweVz/jD0TzmJcu/bmu32j7wbuGDOQYMhPByo rs5Kh2aPoDz50PkNdiOrFxdxobxGUpFvzj+lKP+w5E8QkvQ18faw+tcH0WvL0vXlPwlaTqavn+Zx rdYrvuNAVThi8FWaCT2J63vr3f3CDixOTRRzINJzwj0EfzsA62uCMCYK4mdI67UsU4r2f5VzD7ca rUEcMdVtbQl6w0JiCVYGwjMQsmwdmEfh2jdCCDWqsU+loWOOd3Ad5FEeCthYotfLuBKyM852OGDu se7Ez1K0qhDoMdDGF88e+nJ3JCevz1JyttlCOipflH9BDwVyPGmHKkkRbUEWENKrxb4pDZj8A1rJ QSKkmoWgJlytBPlTTWhz87Dzt8kOA22CgubZui9+2FUyVLLXedml4ccAGMbduCEbcHAsFjylTsjZ mC9swomVTWAfay948hI0HWYGM43Jw6QkTnFMrw7ai6MvJWtxm6eXCwnAc2kAcVwbfw99SoIyZjZV +caciwRxRKv+uLWFshBwVyqj5kuvL3uuuu1PfSgHeNF0S0YeV5IwHZkeqgbR/LiEX+vqn/eomiXQ +h3ydxCeJjmh95eweJXvAX/bb7zdFBoKc+0OZ5s34ZkeE+EzpFNbL8c+w3T0Y3Jz0NAB93U/R/rm YBBdFbpctHODHEaDTkqu94kjGsNQOiohal7jF8525JxqIvCrfnHpQhK4RvdjszhR/U9Lp/H1/Ui1 MWVGGiouexUc/XgoLKySo32BUfhxJt50R/nJTQsmtSMANCepqcpk9xR4iLSzkM1Tco0LzKq2M+31 7iJdy/XWR/SVyVNPjD8yjojBxjBmf0gCodmhfkhJMeic9qo9JpwrJBY+/+UzI1G6V1C80aYbhpHS t6VNGI35UlWErfsgpmLEbACK8RGKVY02llBHVHJqlfv0zAhOzvgh+Bb5590xMihWRplMwK0Vi1R2 R15LOiIo5FMNW9g5jinzRTidDjLQ6f5LA6A41hAzdoAMf8BmwYrXsvQTDjRHEQG5BKyHwHmqorbr BjjBXdQkuXPbv1M7KoeiG0ETpvWXB++w3uMHe51a1OGjTEEaIP/5uQs0z8VnOCaeP6z65m8d23dH rNVCiVj3rPZmqHxNbcfjdY1mxljEUnT67QPkQBU/BFhXbXCQtwNNJ1fR4ddVUL/KkiEQX9ljNyJV bm92SS2LTAcC4BHJ7hI/qJIeDsVavxMtQxO1x3Hl/KaP5n2lclRU1N5QCLYXr0JbkAjqDaaOPADv tIYU6AjNAhXGyrGhboC5DyNmWzSyLpQlfdIrufij08OPvASzDse7swZ5af7uglSJYUAmClW3jqTW SckfmHL8J7aeeTVOKkFS8rnAypj3UfifSOWGzrQnd7KxXcNFt5dK4gvWCMaUfP1Teg+iajfGF9Wb Iwz0gkl8Ago4wkVcIwz2pdsa77iylNPpvZHmsefI5s5Ek2kZoL0AwKKueqIfvZ9AEbTRqSqfNy3n Gqp5E/K7Ljee0651X7cejXCCmmRt8fv6PbbqG8VT8ykxfcQD7W70DFJbWFdQarRc/MGoFVJCkKoi dSjb21CDqk7CFpD+q7IzAYVxqkd3OCcZq0CPpNas5srpsV59iAsi+YOPX5AiX4JwcqTitB4iYDAA JybuEbvt+Ohh6HtVe9mq3mCRg51xJXnwmmmi0M32ixgEbWtlATqIGejVYajl1ya3DBXDsxSIBmiD 1pcXpDiNA20yXKC2MAKeAJ3VCRQ9mpg1es9VW6ZHYklAgvucN09B3pV0RR/DsCr+YXJ6XnaVZExt 4OhPANXKb1tfK1vCuXtgnNXK/9JGYntdJxgzqvcwwyAMiC9Y0He1gYlbMsAUxi0/TmZ3mxQMz34t xADJ/OfdDfL5RPtagLebZlYPlJ/Mm16iPLC/53q4oi1pCP4oHRoUXNwOpFU0j7BsAywyXf0mAR/F oa7R6rYTYp+up6yBU96X86CgGp73j/AY25OW6NEq4NpLU+9PyCcmRmwCOIo5O0WCInc8phGoSMWb b2752sFfqqlCYKckmm+kCSF1oOUiDj1DRBFd/NhDIKleqNavgHL1Ld8bWwhr8a4kuprV/PBZuzVy SCWBxiTI0zMzon0wRpeyMpms9Cvr8dZdHDeQuMgEgat27EF+EEk7sFUBLlEwU2yhsIctnBl/2ir3 mwhcUALI7mqxVF5iUuvjhkQ0uBqw/0jZgUzpNivWVGmLpYO6b5/c1jgjfGepwURDfVUPmba9KiK6 9zylLCCOY4bABbaa4T8X/NnU5lW6bijYLmkY36u0G8omyioPAHdu+AtScG96LOpq+AIo11h3FS90 QHsgR44jPXG9Nu9Iealsc5t7r88L+1EhhaStDRLskzxoQuNMHyMRC3y3UzlDBiOz3bqEYgyZ1ZTd GYtmOKGhykK77o/RgRWrbn4GvLzgogLak1e40c/ss+2DaxPux9dCWEKTTiL9OYoUJFL5VuYrVKTs GFpEaCIKnYqVuhmIWLIxQaAAYqdGuHMhYAK3Y8SyPPw6WOm0E3/aImUmk3R/x278mW35bKOFtR1O P3oNBuTOQeZaO/sLYoLFaMuNKnLdvDdZ/6is4F9AGUWLHNiAny86KMud0K7Ar0WI/e+xrAz09qjQ OyymFgW0iwjzefvWhH4J1ysZs16AAiams0T0zisKUh6yVq4rIEhXzreCs9YCrKOUDPwpabB4vI3f 8Qdk/v0ewiOKa7pRX0f1CXll8s/NS7u76FP1Ai/uydwf7SVAZ/B8/HHXDDhryDPAh/pWlyGS6o/p zhb50GqrNJG3qQP2dstys8epPD5/5AqqJpKWsdxoLDq38TTWBxSqTHrk3jI70jPiL2iQLnzcceA+ Y00RPZOIauxCpraE4IPfPKvJhzXxR1V44/+G1lt+J74pOv3Ze3lQ0x/bgDo7rrRbZizCRLsU0EeL ATmyoaFrCYMxJxTNWNAJBtgm4yBllF8I7bAkRlx/5QLg33LEYTiVoLJuksZOvZavW47/CpUoojl1 4pzbJvrUJqOaLoquE90bEv8o6aFydvNechilmPIFxsQkrCr7O6qlNUj7eaPHyQoA4IlyphTOYQ8t gd+jt2lmZ3ABCswRFgvn1M0J93XiMZTZkuNnu+aO2tlWgEy9rItVi9hQrtSa0BDnOtV9fy5/wQFf xxEZgsiknZ8hsLYX4jMwsfSpgOWREVSmOBCCRtRku7G2YUs/TpuurVvfvyGL6S89fQ88m5LpOyNH U/+GmaxUiwv2Gdff8h0AkU6NlXiHtOsrx4lzT7+9nD1ry3I0+dnJPTvLH18eRDUWe1hCVdoZZvjx dJ3K2HX3ZQgEsFTVg1YgH0lI5arGRIPfo7kXUZDWhiCKJBdBjcnRY0+vc+F5rLIOKqQ0exG7ETyz k6ZLgxGnBP2YZrlDbWtQ3xV5l1JKZQLrhgOS57AnVWAnNN2PNVzqLM75o5f/+PaFrVy0ryLUk9SH T4scCiQkh0GlyeZbBd3O1V+Awg7/IxeBl0XJWHcZ2489dgwpJrNEzzHHUtdbsPejCYYJ6TyStPq0 XHvIgDIIBrnf1qjLyqixVbVbUKxvW1KpH5ZauzVzBKs9jl8Rk34tQSA/d2ukLdvOPWFX+lnhL3W8 WGZyw2aTri5S6hLiEVwnwlq+MKUBnqcmXPc9c1b0xODpodrrwhG/N4W3M0pgwZEs/sYPsERFiN3L BJ4iZRQvaxs6g8BW5G3U8ZzvoAlulT1njLK8/pvczxZ+SGUTjwAzzpvraFbl71G+GavtfbNIBtxP QYfqugBna8cXWuOsoawooQpf3o17sZnqSo5k3c2NM7xeUWgzyLl/ooR+g4oxlAvR8LNH9Aa43tTE NYD+L2QaFZW7I3+qajQeV2BaWofegg/mjwnUa3U/7lD+f9snHAT3+3GiH60DWC3sFAAicif9SlJu PKUzdo3YtUt1BjCzzSrTTCsFJfZEVp9s19vhdsu4g1Md8oxGaebstb6tRM/WB2jLQUCCHSifRPFo vGXj9Ogl5dh34xnfoADIzbUcwkcM/xVURYVSPhJoMkDtCy5b0nhfRqqLUk11xKLHqzpjUPYIsSkV 5lzdvTlHNJfKgLNa+z/jV7mjgflJeHZspt/5teexEFDdCiGfbGSSCZZQbDtLuZqd01Up3IuaH8E/ eAZe5fk4L25z1LRDS2/eIsH0YQFNTINdme1NBqRw6/9OOjrO4tTKQcObZc1X6V/3pclTnFA1Tc4s GeA2sMCIh6W0+KtQBsLD3LnHksv+4lTrGpiI24ijU77SimTx4DiJ7Suhcmz+veq8wviLuwM8JIo1 rp0cvFHUFCtziuClmPh0gZLjnZqpASsiPjtCr5N7ibFCRVni5qMUiCp2EOyiWyjG/2cYWlwjYlId CkJaya5F62nQfANXkj87dSO0DceaCAJXysnjMZLHd3O0LJMRvfBTll1TvyIQ7uO3BiwJu4Ci1TUY uBzmpTYOhm1nGXP3QcORzsWpFnHZWd/gGwPgX0nNaqwfx0/SdfE3drHORSUO++uuwcNFweYPpqfE 0tCIArT0YWvBH2MaElo0IzWnHJksurv5mW1zB+1B/gyn/QH4Mttm2QgegQyyZduizQlOvttL21k7 n34FJJBFRbRHpHlG0ORN9bLKoMhXCkHNR/FP8iqKKpsMp1Il//u+EfMCQ3PrIkmIlo3xpZm6yeEP aIkA3mLIUtFueugO7h/M7mDsFx1Vk/1JgOoEZc1nn8fQmU81FrqdhFz1wGM8Mlp181OTybIQWDuB iqp1MXTIOiREV9SBAWJYmZ67geBoALPq8iAvItYEOGZ3RVXf5XmEbkypLTAZciwqjNJ1rh+LQTj0 TKJA0UlvTOHgVxu7NYe/2AhYt9lzrfjsLy6nMVlTVMIA2EyBBrZjmkxUbqMO8dXdAY8UkzwcumC7 exy9QKzeDecbeSigZWyjXzKolj7OQPm6SkzwsE4YC7CdwXtqDh9Rx0Q4oXZWK9K8g/zzYCbXbtKR bDynazjbX8Y3sBitjHZRY0Zv6mnWdh8GJjxG0RpCXjDN74xVVbhAbUJzlyxvdlcc03KcVUaViIYj E+4H2L7oWGCPVLxh/YH6kegyYjFkIx7BIk+2N6nVSEkFen7Qu8n6EcTrSizvsDhyDwhiJnStrgOP G6z2FrfO5ujgOvu95QTFIaXpvSTLZ8ENDgO7iaUfHfL2qjHhr/ryaFWhgW23NsdzamTHimntPAsc j7wOj1wrAeMrdp7P94HORwsutfW1/oiyATxGgetete2bcHtOA9jcm018jblM+CtmR8qmAr1fihMU GkGQyr2HyMBllyqRAQ5bcyWNGreP72kYOTUwzYGsdFswAolMagS74ayf4meF+79H8iIo9tsyfrjB w+6RRQzRdVZb7KPztSQ4zLWoniHUBXCwq5LdOP6xP4XCaGdUrrhJmYQZUl0VXVAHJoWzQRPAzNK0 JYjI3RklpkWAOHhYUw1nefYPONS+7rBA8DiMTriCJvA/q3OXOhO0JSUfBPxlDfYgvERJoconfge+ KPfwPyfzq57zC2YLduEBth/WV0MWvB4WBtAcJwH3eqk9kG7pd92wSgdwWHORcUPg9mME9gGm8Utb trXIigBSXP1gBHtvlJQD/zHqFxt+D/Qxfipsf6F6hDKWn7dw9uS2uULQY+vPJZlmUuJMuHhhZXFh iRLWaxGnX0MTHBDUH7GIrT6gFjaLNISofcaS1wSeHz8l/KG/TVsecln+r12GRSysz+rNth/qkxMi AmVgOmN1CYTu5iyAWjZzPPzdD8GE9RlWgJbwiuXMKrXER5QcBTZvVs0ZNqyFJ3OBqMjH/PawNb7c SftGWjp6gXtqgK14hMHSekbYZJdag1XNG+Mc+CQP+kmUsVi4HL2FeloGrGVD9MUpvvgeKCRdgL71 5mbnseczAPXWg9t6nElKJrA1HTBYS8UceXA4LfQlY48V/Vag8OyShcBPREfdMFjgsp5TBFPaDQvd 9OrpPMdeu8av8EgYLS0avMz8X1Stfk9If/it8G0nMkgbgsuClWSTU7H4onFdPRCwwn/hWFC5nfmd 1CSCN3yl6BnezDHJ9kp/l0NngCjbBICwRhodrIQwIIEMirUNdq6USuuRYdKHEgquewNOmRR7Et8s 2ua+4Byofcdbr2tPnPdtrJhVFIgEa+ggEbMWo4rLPxxGn2bUabsr2rFXs2Vk/Kob7b54+mUoycXl jGiq96fFj22hxtYol4btzNmTMOQHbbqCLb1eQQxHfYgaQm8tEMRmIq3c/o8XPzVrPG8ITwfkKH59 WgTBLzV8OxN8VDzcrJk6EPfFIhnLfRavnaE4RJ3tQLrDpbvmCM/+6iVfiTcBG/drPrJ4HSg9FwB5 lltvRa6pGL3Sj1mkT/QHgHuEMi1lK558p16M/UVGkCrLPgVLadIUQvheRNF1g5aFKANe6X0fkpjm M97WCFVH2iMOozMeCHC422N2X2kJ1HvYazVTrh3RvD5hNj9z2HUfuQhQr0VmHxgj/8WWjhf7fiem qoP+WWsh13ql06sQk8dLKzuiPxzITS0oFgtVifR2zz5+JZidOxHLLlVVNUpJyN8UQzMTcM1ap2QR m+pH+gUndcPGn2uUfT1rvRvZvo/SQnDMvb4aMXEiSar2iLZ2FtizVUf+ZS3MWV24ZpZ7aJy0q1ui KVpsRF10MaLOGbz3VinWxFtvJZjnwve2hTlfIUSjic7T4FeiZs8zRk98lHbqDJCp7V7zvk2SoMdR zs3X3KsSLkR9FaEW5hf1UamaVaG8OxaJuMb1uZ+Uk05uz0E//h3Qs2PL7UV9vezKO0MDT1HM/1ZY 4gUItr8crYAXVAf5cOvvUx7vSqaMWwP39s4RjhVAh2hjQEktJb340MY3L5FEOxc19ccZMM9JWYBU giru9d44EneDF3mUJBbwtz7ybc7Ni33Rq9CA9sGhPb970wiGoRa1djpw2H7oNA6iK72ZQIdhIXVk AFWeLt98I/EH/SwgysZaTZ9Ccm55eAJ+Ljo6QBc63civ/RcTMdEUQjgMI/5vZ6auTJqVDSfTDTH/ iQb1PjJTmGXSkinBiJAh8I7axjK+vwTfBucdQtqxcGvZ5emhs2V7sLXiXpwRyIHAVd+uLYGV5giO yJ6MCY23RA+BbPPfuaY+bhYJ0qknBTA/WT80s+O7lzghtVodqFwegf73m3iC84yjAkQhS6zWJv5n bW5Jm6+5jsxCyMww0Gzn0ujBvDD2KDntfW6P/rtN1hypR82RzTEDRjeqA3NK0Lc8nUH0yZDip+QW dyMglSN/LAYhFEy1b/P5vSb8EDCDIRl+kXMgxGPOIrNPqKP98U34XU+10o8C07CbZBz7eNqdppUo 6y1CC0HX4aWng5ezkGFxi3sEU580RZhKQL+XlhF8a6bItcFXmYdUP+nLUEttIrMb7j2mGV254tZT wqvTio0Hcc5zsP2U+Z5dwvBXXB1Ar3LrEor5G9bzNZbGpYUQbqYHyEMMC4xlnr05Umz8Q3Kf33fb nnkfcm/NKUAzoRYw817akqXyW82RP0Gu9SkbvF50RIN/uywgLdu7lI15oLZABG5OwNt8yjhq1tXY wAHge6ZSRTIRjqdIDSrQUaSWIDUmlNspYGqEQoqBcDEq/MJacmXnesU4ym1lGIm4l7YTszSf8jmk A5Eniv4q7nkqZq017JRKmmdX3TGKXHdB81Lyi4f/Co+mGex59S0BBQm/bCRrz3KF8tAUwTuz2S+H pRlQZCnK+fsKs1YY63ggvEIXq2UHSgFPG+Mw2oJekHGI9lzrq+xizljPjVSecV3m4y8RYZLXB5m/ FI47WWB0Dj0rnlzV3Z7ZEcVMWOprbYKyvDYwXQmDND10mAFrg5il4gUYoDgCfRFTQbnUrVlXa6sS znZaojwPsYiASSn697v8PcAUi3T7VipsSPwpwBkH+5IUdm/bLJvedk+CM0yHQHVG0LJ59+8TbWUU 6CmAcMiROcEw1VweQJiiEJ0Lw43vUBwikZm6tDpkXA0BN+YyaclMZ0pyZ9x63R8pmLQIza2MFaF3 QRD3nei1Nhcp3jnM1uDjyet27waDtUnMLXW4YWIlzBkAh8Vc40f1ICMb9c68IZ8Bwas1s82iczgl nou3nR5dAkUk1kf4AZYM2a3OH/0u55dLxq+5ZQfc4bO396DvoN3sZo0GKrM+Rnd/Yvwg/FaOPxxU c2MzTppfWhIVOOToc+FkPpinlJzSqfNbCDGlP/JXCfbAWckHfETFxf6jUvYRuxlCLTiuPUjHNpca wM4yOe6eaY9TnwqrMCAtkMIH4JUU95ctbvtKw5qhTInLM5JwmuJWiOl2pODuRqaHMjXonRDizduz +ZhYPfzR1DuqJGJIRWJbRsq0R1qsiqDvpLaVz+jt2GtGTI5yJaEbxqzFDumTZsGPsbeP8FM1mV1n g/t5JCKYmKnBvnMYPQmYT7I8Wv8VWyvs3Grs6iDVcIF87ZB2bBuRL9wOuvSkp//Z8Lf4jeThN6eJ m/2cKgDFvzRzCO/nz7toVzK0eI7b+UB1MosdJCYrQ22MZtpTsSsU/Q1xZ7EJBakQM8L1h/QnK4xy plShonTqL+12FfKZjh4kY3/5DN4XgKkEDmcYigC1YyzBBCZt4K/uwc/ZrllOhdNy+fxthZWkwQ8T vg1kyhF0/nluSrlI36BWNYbzLa7XQZpX0oeyLelj7+zfTNHjXgFcBTPolGW1x/HH2Hq8i5pP8HCk WSxNqpYik+vLWg/mjh0ogewLZj2rs5kxG9VXPIHmDE05PrfADX/kXFymxJgiTqXkGuNNhbKUQVp7 rIC4dBqifb69MgAUZTXIaURj2O9zS495eeFhSlJQEhuvrGYP4+2UDTs1o4+bTkqdJqr8pqcHKCxv cN6RmFbmBBB0BRaiTsoGRtGZyE3P88Tdvei0H+Qu2PNMy9gGx0IEqX4rFMzyuyPnv/6ZGNyU8Z/z cPhS/5NIn7HYw5ypI1Rs9SB4CjLljqF8uYyP3+5hLOoNwP7QmU6OeUdXvYLi9J2lETJlETU3MV2A ooowbBol3ZDNIZ44+vcAhIM0dQtiskZg6KuAv233AJ1xglXymWzI5mMqtG7sHS4SyRi81EFbQVFa 9dtPMd+fK7fswfYtfZuSUw72swPQefuAbyf7daivZFLug3phZbZbfYNDP9P1M+oATu2rHpxU+1y5 Bj4JWCAYtvXgag3g0SR0xjEj0yOuqPEnOZdmYSNmmO5YgNNGZDaGaujweJJpExyTDzgkqRMURh+6 mlvl6cXGmF2wnbHX/xk5Ktfq9W3oCa8JzidDklBjeyimXorJ78eh00zEb7gfQYBz2u1F4tlpAChY DvTuPL3ayX/+yUs1gPrtI6r0yddf3FybJVJaSZmGwwgVz69euxxtUR87FKQvBmnAEIjfQm6rAmpt q3FnD3OGQP6mRqygYdQf0+Zlljbc9h9nxtn51YpdJtbBg5SEmbvAR7QI4fC8k1a1ieujFdrCRssB VzP9JPDyvEHGuIwDiMRZb17eRsW+3E3i/HR0d8kXN4FCdjFcw1gOK3zi3XV3qbuhTC5SlF7aM1tr xqp9aTOJ/Z0s/OHAGpYfwp5lMH2kNrdpHPwQKmyGAiVEu/ZIXN/qnwZCNDZvFkZDiwrhwkoKzSy4 Wk/O6OGvCrGT567bdrvWtcp0OIgzmMWxytzkZV8UEoRffejXjzr3aEg7i5F/nocz0GdLXOLl3kcM FaLV6Io6oMllfPpbOImMyfNRlCvl3QaCvEM8RirreuZFLAaLB2MgOlKd3ZuSbSZk/7ERks1jTjMp 0JwsqtHXOrzyuCCnXvtTpXiQibXYH9KEiq40EfDNQHZAEbG1DabeaXHwQgAzZI1+lzrTZbyIV6zi lxlmHYVPJJqg9LbxEEYs5GOAU9QESTWl4Mm60BpDTGARDMIQoNxn+cr+WDPG+/SEy8zJ7YHEioCU O7M1hvv5dVmCF4ugPcme5Y64+r8Q9R10R3CbwJPpucc4YRRFLKyIVdHzjPHY9h4KmXsn935GwQsQ ApOsvN+l8N6cPFrIkkPfsyhO/FvuUAEcWA3+fnK2ce4BNdoF7BaygfpunSTLd8yLoa1fdy0HKeO+ QC8IyS7iUaAo9nIW1idELF8YySlePNQdAZvr7Bxklfeqao6ynLAGZCJl4uYmEQne6LAco/7ro14d HvbSRG1NoNepBzzJ1vikJvGa6PqX/ascmuuPBPt10odborUcoDeUcy0s2SLkUkG5W5/uE9v3IT/5 +8TVhJk13ak7WzUYlb7yEJ17bEsxI4+o1MJg1qxs7mtxlSVKDfJBSloFpef+MQ2EDiFnsTDhUm3z Sh6YNROEL7UbDiH6/bZe3X7pbp6hW+r6omNgMZRCjOUZvMCHZvV7hmMQu6XTy2gTFjyM+UHqXvgr Oc857GrTrbNFtdbwI3zlYLKYcO9+xVczugmlLd9mQcQkRefvJkNfzG4RabkF5fisqx7tddrxtS/k qF4m97C1Riga+mKQaf+5RMEY4GQ0tsxsNc2LFeQlgP+vmKYwxQLy3tB0Glj0g9tiya2lrIoidzeS AbfT55nxuTIvrhKkyI0v7lVz84IkEi0ry9MmhocNO4xogL6/9WU6C1rVXyiMg9o3dVn3rcj/7hhr 66TgONZwod3ozWWV4OGKhWGB3XT+1L9d/83QmLvcc23hR0B/qf0sCwgMphL+SWACv1B7DE3hFJ7A UBCEFjsAS7WGpebDgWdIpKy1SZIoE2yQLg4TwOh6kvpK1HaoKSVvEjzp1ztMhMCGH8WKiVbMiHnh /6XWn3RnjQOu3+HQBveS+cdC0Hp00QEYdwOPWp5maZyB/alJhERzA/uvnSrRIIMF7x/0RTNMUXAs XKa06eqNqmauaHTlo304/DmjO+Mby1v99pr4+eoFF6wqYDK2gnHOUcmbZOXs9D9OvIhjwE/GQVAi GpjFIOsZCYxyXnvaNGiUm/CN/0pZIOkkmXauKRbyzImaKU+B/TKBiPoPCJlkT/tq46Zapwf/8+iy OKFTLWhXZYmuZ0vvJZP+e83z5ss4Djbf7ifHk8Jt8oBLCi4FO+Q4eQxC4hP7F7E+2KIy1hH02Wa+ F2abnY78wbzJ+SiMb7uX2Gl8V6WICp8nntBrv79EC0MoEKLw6Ynp/5Ed+Mz+te6Og25ZaPV+QVY6 6oS4xlr/+37XP3/6x5qHS/TWNPcve1Z6xXMshDnYIg0PcoMGW+BJbBwonm7CIUUGY0RiS8xAUbe0 v+NkMIZsJz2h8mPAGXlJ9ymgoPgTDTHvHTvVJeuEoUms3mXLh5v1CBjgeWWm/KjKyIK42NK5cdCI jufojDJFXJmR0i5S4V21CprOMNzoQ29ltgCt9OuFtjtEnhO67w9KL96IoFzT+Rw4HzPDAeXUq+QE v1Va2qFAo5cRXSn7qw2xZ3x1wpns3FpAJcTgCh5WlFm8Ga6M5vadDKmARAmcgazRn8dAahRJvzI4 UzUWLTjj5FM35r6mePYO136Tmo9nuHdj4eKzjePAac2zyQhgM5MEopw70e5n5GLzuhFpYiUT1Zrh yY5WcIlArdfxwnExueuJGqXGvImPtXDFsJQO/sLAu/2pmqkPpjP56aGcjcT7WG6LHi/SFtmilsy6 TCHBMS+3J21Vjo2AsOWcCdoo+/gB0XB1QpCEQPbJs+QDYz2r52NxQv5VQWsbRZHoiTLXTK6oOv2d h3w9WpTM9UvQbytsN9yD0Gb7cqKluqcneUG5/ZIjHQzvBDAJB4mLuntihIZEQ3NbNjD3a+pwyhTz IsAtL4mYkkWbYJuaqkPj5MfzhhLYWGe97Vvsf94o/xuoC+pE26aNCmUtPFWemoOjt06dZdMAZkaS gHDTWgLBJrtjmeWsdkBgK+E4pPezwLV/I1Snxg0k1wLq+2QQFbBHKUo0lq2uSsuCTW3LhF/LBi0l dzad+VaUKoLUpXgG79nMyxtZ16fDu8qJsZAAbQJrv1Wj8yuEMSGn5j2j3SmdHCwpcWXuMo4AAENE MmcFX6VF/SenSgxkI7B6zU6TjJ3UH/M6ZHk6fjfBkansOaywZHoaiqkJfmp+f/s+Uog6GFWMOtk9 f9WCTn7ZQMYM1t8LVnFzf6upp45RxspmXDeOivn7lKnXXd9gTtHIqU22l5qQB2sqAJeYKBUhf2LO WenbXrEnRBsxElJVUELuZMFzGdrgJPSTrqujoXrGA9/mLTtQkS0xFCBEURAZk701Rgz2LyTatnNP XAjQwKZ8wR4uvv5IUV9WgfeJwa4vwE3jzG9+8Wi4NZ0KhlsdThMU++r5uEtFS29bpjo0HaChVkVo Irm9fs19YPwcnasWwieXxaE+ZEOTz06ZY72pbm4zMbVouTrFKkwFWtHArxuGVzjk4goNiK/ASxNd DlITsGbDZCzqnL5eqSsSF7+RGn4XwRZ+1ccOlx4AighPV1MfokXk8FtQXzo3A27nsAwdTkKBCsII qUlmAJyOTf6kRwhvazeIlgGpD7BDEa/EI0AYqWhGplM/jcBf+aMf8PsGXQVfDgZhQCdRbZjo3mkH 4YZI+w1myJuz5rQ/Ql3pDoYL2KtUdhTiiu+8u+Iala15nGB2TVz5tyssaZ0INzMl3WQlWpzNheDz tVuci401lmzROUaAv46F64AVZRhwjYqJMMUhM00qq1Nxgyu1SDHO6XCmc78kqLv6/pZIMA0SVLVz braceTN56CIbgdxiWLpF1vtrLHuk8KymsNpip17PM0XkwdTVnSM5jySusj+KyDbbA0ncB+VR2Wat HB+hjB8jULVH1YPY6oDDokY31BVStKJDHyPuqQef6Z592M/OAtU9mhjFm5zPCFIofjkn7FNYGz7W bbjec9okfX2Kg0djBzn60B7A9B3F6IbQGJhdP+FtXlXcsmoFPKqWEDU5ntI5wMcsSyURRJp8bXC0 8jh/Vfd4hjlgU9YJ+5OmYZL/9sdXNBjlfwgmDCQL7A5v+evZnZ/QLTS8BoePJwVbJ73QVAwHuaHG hMtbwxWVYuK/qy0fvQJ8Lv+yEBySUt7+oEsbwkd21CjJ0WOmzueumXTyJ3LbReILqG43h+pH5g4y n2xeycUbgKRQtNvsHw2U5kX69zfNssIU4mlRcWeap0RPkgIn5+hscgfqNzZb8eP1DtDSjT/qYjtt /nBnCZ8UrDSYkxRwDnwwQU3+Au3zrS0rv/IUwGST6dP7J15tE4xUoa7ypzh9LnYWtP6l8ZPEV5J+ KRBzdepNAE0rWAA+Qw51OE3yYaiWAjbKezGJm1//IPSXzN+YTiEQ0sscyisGNmb4dKcVK0UWuvPk UZ6gebXhDyckZyEkH+2X+PZImJZrSGzaYdxvdgu7lOoI+Re3gut815wPCTsJr3TzNegpXED/UPBc aLg576tF1QxTgExEOe89ERbenkN2d9jo5Acz1yGwn252iaejniGlSILooklGMtiCvq4/aAU/XIpE SgXzQxd49Ld4Dx5JDum9aOjXC7mDDyaPaa42BrjlUUk5O6mgSYGvsIpV7COcWaJyRy7wP8T8/U9E t/9OjVnRN/Q7/R81HOKJ3pEP/mmtQNEDoge6C/NcpxNbV8MIMPRtCGUsSrH3giTdEWhC7RpC9Zu0 MwIs0uB88XLhXLYpduxb9dO5tIwTRjPoEd/hGCTAbul8/ugVt4I/E5ZoR1eMWE//vbZMbXLAZlpq BUWB0ZlOEkeZAmu9aLNdgt5hcyrwagor8V/ckILh+0aKIy9jci8LTcHs9ixVCuaum/sj5kE32sgH 06Fpo+PtDboMRjWByc7ZEGUwUn4BL7hfit7gXU654PUHSp81lfdZY4bU0JIthibEDVmKD/yv7L7a U8KUMzpUJFqk6795lwVLnjYYo/j5spkxVRZfKSL26iwA2PAh5VIIoCStuKW+55+WUesPTi5Cr/gy Ytq0MYdLAo4vod17Dp91Hxk2Xlb9heagAN4brKwgUTM3zzdzWEgt9TgQMdGSUZ7sAemFd8fhBy60 ygtqbgN30tZ3j3xklycjfssY/F3v6lUJc2Yt8k87iQV9zcuqFIl6SWM22rr0IoDlfncfE/v+beDf zLHYWFDXGU/U+YKc/rEogjjny4idEZxpjsxAfTaQQsIHIv6QbbVkdpiKQeTtohRukweAzM+7pgRL 7Kz0NvStO6TcZy39UvsQR0MKe1DTrt8o3Vvu1A78P+RHHalQySLPzu5r18VKrdVuLqRM0SVNFV7r Q4e8FbWRpKcLN8K/uYwOuyzhqclZ7Z632Unbvs9n6O+hEkrmFtfsnUlMw4yHF+ZTJixV8HrC3Ey+ 1IFM6splvSotrhUDa3EwKKWeRYF4ZD311lUjPrfaN0dLaf4+ETDnhyJHx95CmujBFftZGVDY5Va6 yhJwRzJZuCH1dt+c5f3iiJ75uu9vHv837rjCGyMcz0DCqcBAneg7LbTlpCmsLuVcSyERUnohbYKp mPgJ1xesvxDS6951ZIE0+YY3zkfZlYYYVNG2bJW90gnw6usN72jZEIJPCJflLRd+43ZLl58NIBEU +auuTsJUYpqmf0Bt/udJqsGWH8L53kiVvFq/RrbNqi76zPre0DOxT/8TWAPfpMbS3DAUSfPI33fv 4qcVdGzNKbiYRZrgYRsNMJvNZl7QiNR8k/tXVdEsO11A2QS8T7POYOU2/+AAw1Y71JTFcYddHU/Z tzwCNpDGK/3gog+HZYPvJT9DlOFW5JER1y1+4XiAilPWuCuXld6Usz9cC4XYQNFZF6UgjCAtTrVZ Bjg9R2eCP6F2Qrik1G8sVey8ChKpfnFXHjF7ex0HN1Q9K6TJ8tAthm/BnTtbXr9+oaz79oMZrCYy tcRJ+49Lo72bppRwA3cqvjcZV7r0ZTSctSFTi29CBIgNqTwQWL1+XPqO+TtZOdam0PqfkM+0m8CT XlITwd3IfJiK2nh5GPdmT3nedA9mygrr1Z/kLQ5uDpfVZIhs+wGDTGVsDFQa3f5/5ehZgnfYm4Ki eYyM4CaswVZrVD7FlenlsN91UvDgMrhK2r7NACgUcVSd6lS3yHvaS4B1jUJF6PntzEh6my/oHQPh pchyM9Bm/yNTlEFstOP05JM7zgu9E6T4Tj+QJFN5y2URPx+Kf7dr2jpf/0gVE9bffMBDLs5ks6hd HuIm3HnCgp2ycg4OVaCcYAdT4xD2R25W/4AjCYRuoQRagHpD8eBEtCaiQnqibCM+GoP5V2mO04YV f65uqJ2doccPtY/3iT785u6doo8f6Wv7UnSu8vNmdLM0fBC99+Ir+enFgiUogLbJlhhUnFDpsxb6 vKxBVnHs8mqMnpf4bA148gBTG93gCyfJXORKZKdDSGZScbPRZmrmGY7o1NtICrsx2hLaYJWk56Rl l718TCv6f8FSCz4Q+Aq3AkLo49FbULxUgct3seyprjjUGiFJTlv1RYNTcHUuJ4pE2pVkVFzFzuOz 38OduQ7JyeZaNYy7i0x0tdCa2TXdcy8jYV8FTGbgem/5nkqhPfwfL3xQyp3oVMlU4KYHNLR4qM+5 JsFl5BFsC5S1ST5oquMRqVdzKyLTWtKyJBr/v5cRAo5PGJTw2XSaeu0CasGRrs3tHtzeaFopaDu2 jHZsG+FY/VOynu/3DKWU6Jk6eKBj5gaFRWQsU8Ix5qq83OOsgq0ey2Qj3MsHy09Smg6+l21TzQ12 hhTxiNfu1/fejeu5fu4EHRC0C3GdesXVJusFUkIi2MKHkOkWwZT/6CG225rNigSF1xP7Hu1u3L+7 wX52hzf5b4xTERxTPWPM0K1vxlXGOr7Pglqu4uiZOToMUqMIoQ+YnQPqsN2wJEwlZWmp7+AOYI6E cmYwVScuGY+tzuxRiJN/Xt4annDiazzLSM88LXT0JjeMw7hHQgWIgmdKbfbCvt28Q686Al2mH8JD I388rI6joBoHF7WI0KRAmb3vZKU4hUKE2qc+ndghuKwxlPp/3/JVhkpp0fdZ70SOv64AH28Q5roI OShF1mRF59xbhstoLh1tyY7oCPlsZDvjpZlHkqBDiGibvwh3+wKFiVTCVizPTP+WSm4LkMZ0Kb4C MaxNcpzrO6ia9IAzyOWMymWLt9qqfiZZzmkeWVBzqiwbEN9t4NtxXe94fMNQabLo9sX1Si8WmjSI uV1184fG0StOhE2HDpplku8eMG08CGinIfICqqCdMn1nj9IHI32SsMQt/zv7MHcsrU8qfvoSsyfH ljBf3rl6/5onQ6GwtyODRSuPYcakMDQee0EJE2ntuW7RJZb0fCxxDAwsZS1rlfbSS4ZRaHM3lWQd tF0y5I16HOjdSso0zUCQm/WTXG5BTwcdOIhgDcJJfVAdZ4RNTpe98VRaUeEC8w5Cx0gRB+LJkVg2 df3brqnEsPh8MM/PJIoAUAFPHXOGJvh/Z+1Lx5iPfB7xOfC8oVTdgsqynxHD7VXuS46m7pl6EpfC ZuruZdCARKm9CDiDEtVK9KPbxjUwoIXk2WMeRNwxakn6hvSRqzt22kFifJhaov0MxYGPSkmXGPPb aZ8DxMxb9QSHypIc8i9XwMMtUn//MY/uPSfk1aKE1XA7VLOflVjL8+8GeNdC6aWClOa4o3ylfLeF 1uDXFZr2pcd+1NTOdbQMdj9QHWhLo02WqldNFF9LznEFkjdY6xbJbnW53lQ/cMycxulyWRklxKLm 4qkxXbu8RXj+HkA/QdrRDrBGZ//9Np4Zzx5ChwpVH5mEYVBQxaQXBDQcHE13AV3dw8PK5j+0wior NciCmnBTOf0JqV14yCTw2bJk3fg3CNzVLiEJ3TsEuSuCsv42ITXoWgc8ZOsap9Bba1fdFWH5yC8f /MYXtx9MhhRnE7Tgw3K0SJfVe0JrBl6ZyyaXbUvJfGISoWBV2KiWUzp+yFhSakp+U84Dsaw3NY0L C+JYn0BAgaNbNl9qwDLxBk97I4/0wJBvAsfvH1+koV/bAwzDEtUxFnf/Is85S8RuJh3/thbvyV8v nphr6RF3o6mTAzzPPFLG383LR4TsUv0Urf0uunzWjX0h/t7BUjBUbGl84XB/yhfDZEDiLJb57wVD YwDzT/m+OSdXEswz2qI/4VYTk5uObQvr3Ho0fESR29nQrpFGgYsMYlfjZN+BkQaNBVBZkdrW/wAQ QUNHBfkgxZBGP2crPVV8lv4FBnpiyf24fVrP79w+HD8ZnH76K9GG8Zp8GGK0/EPGM9HkwiY5ykph q3xeHApVTyMkAkP9vY6Z5kRYOj1FHBBp4aiKBLGmnLLPlaM4zSACcN/C/FPxHtth1CC9/upZQyO7 ZKRU2pgNQXhPJ4w4kNxVbJGafvmDgjCcNZv76QE/WKDtZvATaqARf8GDfeB1myHh/pW5Q6p4MuTN yKOReqmyDyF4dVgQ2GcRRo+k+dbrTTQioRwf5TapyoDb1XSNQqFsErlN38qbPO4ZacsnSoIM/QoY 1au2u3zLdEIUZJIrt/syY9M8f28NZ53TLyUNIVEvyYTxXQ3F4imilQVS4zYxy9vsIo9ItnKOV3lD fE1FhyRz4YCiY4GRy+k6h11o7oqiT3hGUdrAxnq7hYf6MeJG+5UPAJZdR7heTkrYlV3UaSzdAiR1 Gfxs78RN+7Ofn+SBE5XLVkOH0einpGxNGpOricXliTzv1ogmW14rYscWlK+NFuzU2o2R28If2OJn ecRzdk9b4Vh4YT0GS0rNcUnlqrKp8q3scmBvCC8YZuZIruo6qunW0KQo8MGxcw1oGswKPmsJDeX1 0JhZyzrF6+BLYMRoeu1p6JQOzn/wfEFZWw/c0rimwe8HN8+jBvpWa5t0LsVREUkI/x6I1/rmPuFs 58LS1hPjfy7E2VIY4UNIKUjr2pgn0EyLB2l0y37atk5wwhgXDYZ2DNs4RXGxh5aym31Il8oldDjW F9X7RLUDxjQ9gl4+eqXl0PD84iXPFmLgOeIZMMfMs7JbD1GlCksHsXKV2RR0bcjkR6TaFNLu13gv hKyxhehPaSt1FxsvZXZ75ON9Nr570wMplfNKPelcCicGeP1IrqbiukcLH61WD7CqYhECOrrFpAyr Px+KlWN50K9+dQ09bnnS/4ZOLiBgmNa6E6v1xDRqinZRbgmxN7zKk6rmzJkgwGDxV0AuSACfyuwN pJ5UGLdycye/5eiOAVIW6FFstvdbxsJa9ewwJHzSmxJmDC6IzD2UtzPzcwUR3ILpJHdmtPfJlh0/ zo7Pse0A7VelhQvaOdCpLLJoCKk925XBV4CSaAvq3/2MZu02Rc1V4JBz0rng1brfTiTqtlUgKfb0 7BcFzL6lqGD+YuQ8m58Erqo5U+DqaUTk2dkQagclpdjv6+LhYbX3SRRxy/lamuoEgefKWL2D8Evo WxbxZZIQVR6x2zxl+7FZTfaZ5SJQKx9IM+ePajbW52MrkzMBIpB4/h7QsyRBW3Q+TKMhW5TP1v2R 2NsKSHBnH9MaNK2RijtZ/Dx7mSfGcO4f2HuUkoTZLjov5fuu/mCIp77+b2icnDxfOBDVvFreww3d A22cLqjeLGAqbMFhcf41toCt2bnafIMFWaUJPzwD9gTp1kcwHFTzb1XogHoDmiC8a/+P3aKfsu90 z70LkL9zidl0FhDISulU/yNfM2UZ3nSN4Bqdo/ZggNVcgMk2WFOhj9bHthdMeyyYPHh9THbhW7eg 0f1pYvUQMBxmliFr8J6RpCeacrN78yrq4S2qO4Gahq7MAYH4jfrkAhOnE22ZKnS7qw5JxG+yaFm2 6vn00hueIej7Fcbar2rL7WucdG/DuxGt8RtphqONW+C+1lE76j6OtvcSGpWr7uuOX8Q0cla+EA81 01dcd85Q1r2oQIDBxW0n6OCeKeg9t9VZAXOdGOq5D9GQegwy3GIu/d05mt+6IHlG2JF344PGMR95 P3yUCtGxg9u5r7VeSljIS1ztTXHmnPFc7a/cioO9XzvYWeRv2sirP/v/U+Id8FUNJIvSyeWEQyO+ TUhuRb0zJlLFFojnVPHARUCJesC78PF2+9LHDIL8TmH5cShGj4z2Ic4BN+vRJ8Mm6OQfUs8Q/V8J h0YzsvX9QUt6OOF18dtA/whBgwDNgiOrM1tv81RVFY0KTTf+PctFh2pmhfW9e3vClhW7K1KeH3B9 VCo8oncZMEq6qkedNNueLBFhKMuhymR7Ptw5pMf3IS/S0wci+ZxhXfCAo6VdYSW+LoSwejQ9Z+Pv pqFXchk//wUfHWksJT70/5Ael6VUj9YnR29T0HPCTD/TG0Bj5vDiznjaONWOInxgPXXssbbJFw2N ji5tCWmVtjnjiA4Km0/z2BDfslHlWNo4Lfj5tTkhuzwfAqS4EqHGZCVwFFUKQZSJ9JUQG610jRcO F2MH1xy5gk/f5jMCn9cvO2XX5ujBRvPlglm44BMtXv56E4tkRLV/WqQOIDmIhZz7REdGC3fpppla 17kS6DYSPldyzusQZ+m1pOBUwL1iqfjeVUZHobjClbwb0gs29NY3UjSuN75KbRRYqeg9JMETZrWm kP+hgPO+D0ksVkzLz0N1AJ2GOdsJIUMAdL/i3E8B9mlYvZfdRh5rBXzl+7+v4Byxxz6XncBnjMlO mbqJusGYSaCM4hPmbo7WdH/8bKVdg4U2zIVbj/L90tapYHcCMqRAsst1c6+7jdJTuEacGp16mhHS idB6CwLc4ThFpzJ/rZW0teQvQZ9ZXfP74KBVAqgwRuR1ATAQ7NdeANcwqNzk6l9TYp8EWEWPPzVL S7TZIIsQu5ASE0cR2UmiML0tFP1USnDBwfnECNfVerH3cD1IkiAIxpZao92RLpeO0OagvdbzIM55 5Z1SXb9MNry9S9s6OxqvvMznzk/KQ3W+yKVdOv6zc/9yk09ErGsdcj/OnKqIHYQViWznQBCMrEHd XbGIh1Javv1pSI8DR6+QNGGUlX5b0LH3cmNZDeB48IX221IjK0ruteCOW81aH5/nlMWZCyh+IuVP rKY/cDTnq+e3jZ5Fbr2Y7NLsgxYzGW+Vvng8I30qrseSHk7sA8YvjkY06AULbawq+L58j+z8YoqL fwU+9y2CyZaLtBsA6OFklyzhCV/sLSbyaOyUY/WZp2np0qfDHPF8H7WSkJ7bGh8NSkBhl/bo8VqW 5Lxz7/66Dnl+vZtdLek/of+/ysj6B35gHnYTWy5jCA/nLR2HOHKdDTySIfI/XLAcqxZr9epQ6x86 2Ui9zL4VpqNA7+K2kePNCmYbnU5Azn/EJKtTMipwM8LsiLCilM2iFSAe57Pthh3Z59z7P3RPzqqh 2glOFSfbEpfpv7c3SkP76gsLGNYd/5MP/dIXFevc+H5iyF19f9VyLE1mFedR7wSgltQb1714ARhj FJWbi65Fo9XYmTURu9oMvRo6c7I4TFvQefK0JRk0KsXUnzy2riDLBTH1tvvQr3ICon5KLK5qbKbF 1a4rKwWlVsexOTLM9x+dSWxE5RZ0BdfU9991BRIn0NinTppsyWsoWqH4+jvFy4c2spNxGSVq+VHg dUnqgWppuDpDkAxg6X2H/bnjdDhcRIWZKtD9nO2ZnpjmW78VHCmjep62kJUf0WToTSPaTxhiiysS DHqwy3LmvY9nlHdCI+fRR/ErVxxeEbwcrsyv8ve7a9wbidUlJrIzeidH9hBC5Ubh3GHlYcLMgYXi GDUgWXgW2V3fsXcdnWTxJNbCvMQnLrQvloDGuy46GtJOC1+Gt+FUQqrqE+/77hfcRnB00KdXKvNr xBo6D1mV4crfAG34JYnthlJfd3qtmrL7TSc/N0vCPIXcJdsSf/am4g+6c2Ywm5wae2qSyxCkGS4B nHG3R5a+vFektED5SsshWNy6dz9dnIYDZQKdlKifT32s18+i97aC8c/7eEe83A16o+BOmF+H6oTk qE08461p7KVooU2Ydm1QOLDs6fWwx6SaDBqUk+UwSKDnUyi/vUIVR/z1TWZhmh0yjjrJVMG5YTn2 zLah18NZTJfSVw0n2PZSVNGkwBSf5U5ZePlkKUjB3iNYkOGqW7IfoDp9JOCdBqq17K4xxaeySvCn VBD842+wFocUcHjn7F6F46/WQQ/48LOvxA7cKzYaTKTLkzper22FUbGcDagDHUyYjo20Pu/QZWMu /skm/TfJv1176S4vhuSfF3KEeLFOsTLF8QPVQ/SXGmB4Wiy7EJONdsMSkzOkgzcyhehtwo1cMMYP 8VO4i7U6hIB2EdkE/fZ2GYSK74rL0ri23t1Fz8ICzy/tcx3RSKSFBOGg2IuDDSJf6vwNVwweBdnO jHEje2flStCA7lZGvv08l83txlO7KUMQ6zDXzO4hQHrEtV5qp0p3IFerzdaCCYtLHZtSbjElX+er tCJBCgmtLTM+Z0+gdBvfi1QcVaqSUf90wf4HYR0NlapUDY2OD39KJxf9CSceV7IfqVadkBN37wH7 ted16XErAKUsMeFXU/bzqDYpCRjCwwaWtGTnutyk+7JD+ljguwzrmXfgyrfXoz9g6vxvCWbxLEMP BI/r0DiOq4MXAylYgLS5plwNIWwnYxUS3GfuJJEIhK1b+o34LVo07sDn6AmlUllSn8chpe9gwWxO YzYSqTkC3nzKxEDuCkZkvg+4Aa8D5r+1YhfDpP/Am4uMJFtOLjF4LmrUnrnTniAYdwpo6Xz7ZCM3 MqtC7pZpvV1QfA5gBMXZUzyxRfjA0L/UY4zX9Nv27z1snY8Ld6hE2UajZnf1JeJfI+EsiAqS2Vf+ hnlP6tY30WpJJDJ7PrEeh/5eV2oAbWg55tZghVH7BG9j2d6Oo5YK5nys+9IRuajwfUyL58ofJoh3 hkgfyLc93ajJQHiJD7L3+h8OXKMWj11IgsMIv6k/TasS3r0RkHfidWhYVb7gP5ZHBwJfQQmgI4aK elTq3Am32tFjcVDd4/ejPhXUxlNAjlPR5Al5Hzv1Fj5DZ4gGobCH9ml7UzeHNIIsdtJulmVRkQlu n9KPLebGk/l8d/Gbvb/NQP0IHIvpC54rY4anV+hPT2+/B9YIzOiyVXCo6dWBQU/a00EfIrM+Bsy8 /9VFdphE1yU97r6Vk8+Ltr9PZ3KteBiXX+OlffDotOM+sWG03s5bGnF2ZYg99bCgIfTNZV/Bk6LO NVWgLYFTbiw3KKnXcun8dQu44hiHka6521btyLpNwEP+gmjQq891E4hbxtJM0eQfsFPnr5xgvCjY Rr7ztGsSrTOz2b06Z+yxzvnefxLLRVc+vzXXqSQgNus1S5W91VoEOi3hZH8MTru2zvZ3MBY1ch7/ z6sciVRugWqGGziichLc6K0Rj9Zvm9Xb20IZV4N8lTGO/ycyikZ9d7YMBfUvHg4MHFDT9r7Y9uRH GsyhTlSJM5zDsRDrba+Xx9XmKsYBVN2Rm9MWUru8/fBfKHIyd6laJFV6z/8iuRAWW5nFPCzLbUXx 06WfjAIisOv/J0bnSV0PNIyGHdhzIJlhGM4mlaJqs+8e5HYvnE/1hPegILjd1RU7yVerodLB1749 VzpINcN5d8vVLQCSIheBY7ZoVg9t+36eZtdKH3LRlAPO7l5Hg6mLgC/X010OumI35nBNnMAKa4AZ zriF8aRmFT3QhXYu24ys0P36NkkW1XFaCGAMJ1mCN1nAbNbNcQUu4QOf7IWZhXh3ggHuK44TvOw7 FW3oCtnCtVKT+k4FhWkrIP9t7hb9JBpeLPOJBppU+H22jv1tEwD3gqsMqxwsgXlDAd1XKNBjjDAy p2yYGOK/LqegRp+w0gdHN2zMEMHnVmByJ+ZXaXdlXgewWPoRYx3SqHRKg88KEsxtmwaOqQFFoCgX GflwGuuYjv60oN/jP5Af9WIAPTOutjRpimfz50+Lcug+TGLLp/uvNHbLROaPKz1HLp5GyjjZlIy2 PBYXppR3LudjsBsbvE4ET6Bl3GYFDsuAaQr4CRH3djDVZXMyIwwRyeCZ8rwnFDJTp6TtESPf9ZAq I/cqEQsFYUwvf8tMuJl1pgNmYZha+QDNI2f9fNKboDqkv9d77IWq37Lyxd+xY9pq+J9HilC18eSP WqnB25zfkW6SJfi6Fmag5/LW+APeuyA6oQS8O0Hg5S1JhJP1R0jc7hvom24ARcX4l5QlA94qEI79 xQ62msRZXvmJbGZ8TCdhTrqOA2Vp7z6XE1JHt5Kv7SkxYf2NI4JY4Pe16BG7fcZbMoQpe7cyRvPJ LuYtDXQWwqMJfrZObaLIPh4v0vyot0gXingUxc5CR4k6nJ60wN9WCMyOCOtXn5Ll/WTu43HAYJlj Yziew4xQ+GAjuwxaMfdUGFoa/KQElscP9rYK2T6mKC63ztFcsUFNJCKjzHBCcszyKU75ZyS8heSU 0w/r38ZBA0a7yHwsUSjlQU0GWGPyw2d8A1oaJNNMrFcar66BbOwtDbAg7IcJ5FCfd/RvrqCfGpx1 Q0hRY21hvy9ZboQ1UhnU0vcOW1Az9236cKeB5tnAn5/3IuiLGgw9x4UaqglG2RCPtfn5a7RGzvMw dx2N4li4KkXURwjZ8fV0gdR0dnQDZad9KC06lLgSKFaKmuP0GGrV4qtOdIt+aK8tCDY6wsO9H+Ll 8KoeKxIKCUcNp3AGSgy/A/ijMpWsdYnGNhPpd/iQL96X5Uuk/McdsU01g3AyfGYQQMIbTLmKSMl7 GbysLfybb0MHUcyjSYWsM8vI6Dh1cZeuQaT4b6+mJlrDLLHf0Xv6D2TjaunN++NBGD1cM8OZ5EqR MLAIaAi5VzmGKtjaD0Amkc++KCshAgsM1bWmlisNR/jwjslAacHWUk9Lh/FkrzqcGW+Mvr8bx6QI wWqzjZJ0nvj0GalQ4NE+CuNqEC9SbgdQIcu303wQV5OpeZ26uTKHQHT0hrFHf0lmg+veeO7VIMR9 4Kl9fIm8RSplZnq2jUOYaSCMHoA486/DJ7yatFNi534nB2pOa6MMDOdVMl4DhGA3r/vT7d819EAz VZ0JrFOLwULQuhBhQTd8Ih6dc1gm1M2NgGOrNzDnzsJRLrKGpUtSXbXw/TBh88fZangoUR/w3HPN SvZtTq5TA9I1emdtH/dg5+jbDkyuPxUeOHCRz4p/57FboHaW3WYoHzdmMM6O7Ul/OCrFkatZEOpZ xUVkjX9CX48StLtMTGYVF7sH1WrXSdkimi8MOivtPJjAp/MM4AdsvmKVv0SQB/F2me7Gx8zZij23 t8FdRUcbmb+zT5AZUpTV1o0OAG79fzDaIF28lmlicTFxj8Siz1ZpQqMjfVx2aUgg+ezKUck7FG+f sfr2CtUBFJWTOM+6TnwXwHKSt8u1O0OmuHYWn+xouLJZfH2YrqAY+TqFX0gMWt/BUaX2uYSBKhuu lbA3tWRlP1voZknypSQdD+Ev5R5QT49kxiuFQGzjhz2Ru2voZJw6Hoi6S4hQP5A1vqyqk10sBKY5 ZDYO+abCsnbzEPiZpCbRXTolg5Pyo8F9jfiQUd8tuWZ+44Wga/Ffmfn5lNTxqbEb9o0+vbKOUGIM jSixWFimDkVmAKPn3K5AXM3SzCsXOHa1/b4kcmMu3TdyYrTSUzkVFqJrKIpsU2x0ST3QKZSyBUCt jk9creBpTEz8IK+cSLhBnkU8vfNtYyRSc38p1nwizGHoKkYP3BHqr1DDUO80K5OhjglFSNhERZp/ gdifyCLlD3I17fQioHJ+MFx2VksmamZhL+/DrekDiO5MtZlHdX8MLLZm0akBBv7tFmZJTdeIokGG t9tUzPnnyI6/S1YPKrT4YD3kMwm/fwrHGXaKlozWkgI9Yc7a9LgFQgKusehnBDiO96epaVBje47A dsJC2mrMeK/4WWk23PdzmyUR0O9p2eV+LgwkeqSTZn+ReABeFLSyDy0F+fnPI+K3A4OxzBaoJpl5 vCHOB32cSrwQMcXar74iAJMifTDrRSJoSE3bzg763Honf5CO1aeUzvNYCZaAFmESG4ghUIo9qlPO 3SnIwbbSvlsjCfhusGqFz3HyhGBYXNiSCwbJjifA7exPmOO5LbBrVZmgxe7Ya/1H5yU3KnT0X8SP aiQZAaLdpFV6f7aa1cygenoP7lFlRl4wnsJ49cd3KvyMhSkYaxLtXOAgriL3TweScNglmFDeuYmc AgvLQWwhlhMIkhFPhqOhCK3AA8q6dj+xh/MNe0QkcPD9Vs1oWPF4BkljBu1LEaTNecl3FnOBiK9H 4jyFEYkcv7oiKmZces2bQ8aNwBG0BVMZFN8N69ulb7+ckzA6hFKjI2CyZJDCkmNj8kOirzk+f46S Cx095KEEb5XjISgJA1ZvI0MVzzXZQwUEBElFI0dZ9INgkDHiTvIPwwI8o0yrPDysuNccHRmtvhcu 5BbLu76hdwBGxNylc0g/aKJ2iHTN8BTdBUBxTTKwATbkcuyNq33PvHlmegpMmiZ3h7mfhyBhRD3v /0afldGnALW7wHVr9zn4MnKBomj3XaAq6aPNuZco7uj+EzDjN1kei1zIbc0hJd/jXywE46Uv1CGm O9rCwJeg0orOyv1S/tgvsNaoA3oyebr9Kyuxr6LNFrKcdTMFJb+vDxQ51iDPKjB8Kq7iJuVNCOEJ D6pEYjjM7u4oiDqIFSd84rYBP0T7N9LrugAcARo4pOSQ4fQvFav3bOsO8iW2eZxTS7BBACAi3SgQ tCC0os084x9QpMfj25rlL9/OrB90VaF5RqW0anccggVzQhg+7vC8SajEcC0vLTNce7XjzRSPV8eX SmmEsxm60ua/I7CD//CF0f19e4jkWochCIE3Yzr8uS7gbBSZub3EO38ysSqFEkFfsHTKFjPf2lHn 3m7mWyDF8fcrxyOsZ/q0+9b9JYIS8jXInrxiPUtS9gWZs/gFzKtdFJ24jm7MVmdq92Y0uwg0eLPd h7JeiWk70Z8/71PWk6h0gb54ThQbxZuJmF2YwDhIGdN1Uyjp1Fgo3Chxc0Z+kj8dJYRg4s3CMhXy 8/bvFB45uXILeGxKPWWjWRLIRcjZoDpz3My1aYTbLfTXWCLK1kgy19Iyg9PN7t1zIZYUSkp1jyod FNs7ky+/MiqH/INB7w75ODQZSHMHMh4R4QvFEiIH+PtqWITiSI0aHh7iL9mcViXRtWji0RBFuEEf FNsnonc24yBHqOqt753axZiVnR8JlfdE1KGnYTIQMX7GgtGB+XStQl7KBv5cRiACPrlPmOHCvhUU 7PBhADsF9rXXmON74Ob/nKrUvDzybM2Xuu4D3E/f7/7t6kfP6FRVdvNcv1bMMC/4jideCqjtqrQq /lcCq8kOzpA1QJhe3WDD7y1zZG9BgeeowL4EqF/di297ZHV3+Zm2fT+J9WqO0vs5AMi6XXvO3qlr 2Ml2RuVU0hgGZJLO/x0JDFIu7zxwevAfMwYQMHezzgQ3Wh+/ehVNEwV/3U7NmgVCIUxMSpq1AiuM bBb3X9fglb4PSx2aOcD7Vf5Y0c+BZH5vRPr4xVj9+zL17nfHHXrgyAvzkxgxgwuK7RuwSntw6wwm vrijS4okOzofhnYwavk6rbnr62Y2eHqxUL6dWPmOB7LzvZHLmyJ8OIM9f2FMZnYhoSf8H0g2qDhe LVNC7ZtUPitO5VqmG2+RoYnNw8SfWzl9Ami0gjsfc/9olP2cO5mfxlkF76p0N/sp5F6YTWxxuEdJ UWeiXmVd9XlBYH+2PW2Eu0eu3ymm7sBLiCHyKpdoyMcLxZyKzH8JqywScapECcAGcv5fqTfl2U22 jH/1TBpYnTRYABodcDzmCiIBnDoXFiHPGta7aPjylzPatkC46DuYhAgWxfpYRNoI61+Nq1JxP7bm neAdLrIkjk4/t2GKZk+mhqQxupgUJNJOtH6948Qb92pIKJJpQw2LBg/rpKqZtthCKCkC6amLjoFi DhunIj+eLwyYmLcRUFBQY1ljH8EODj7k4GUd61jQcqSfcFqNeHf8MD46nvVyCTpC8/lStwGkp+tm jn4Bp2E0gTH9FYToZ3MpfK0LJfbkXrNUqTR4OrxfM1D05NdiBDcWMI2EM0pavvUv7B2ot/EDewK0 +dkFIS7GGoovu/Ai3ukVcxenAW0vfeqROSa6KUq7cCEBnz+4KmT0gV0u/yF6F+i16NpUH3bmFhlK kNNJLOh8r1X2uWRNZiTtzuT+gAo5VHy9KM5kOvZyjqv4a3iDlwJgL6nbs4d8F290zVwEErCoVyTu E7jU3r6u3mKpNcRxSWGFMylaKJGiU/oe7ZZpdAZ7RI5bwWreD7CWNCohWDYJJUJj5k7GSYWVUINg 7MpmMBalhgnJkR2UTj+R3jlzj5WiyW4rL+8EH5i/o4VFaPwrYON5hehBTmW2d+IItppSfeuWt4ho 4hNtxg28yTkTm6RXVgU6OxBpZK0k1ecvWEzR6tNA+kiRmzLnaniFn2h+268kFth8z6n3lcAcMqDH Y4rBXYsy4+zc/7syqIXkcID0+xRL2t30y4bnmueYL5HGi2BBfPo2FS4jh34m38QYX1t7cf8etM4N Qip4SSjBWQFmGqCLTZFP1qYMF7EQVsxXSqo/Q/H9/mTk+1SA2Y9ZczD7kP+nCTZm4U6SQAMRr+ry RcLlRpPkQpdT4wIogXfwBp919bfza7em55vh56skWs/U7LBggGi+ZkoT5sVHwmXLMLu7OOUwZIhi xEF8l+umEVNa35de5Y1eS/alACa1rS+SBIY4gip1ehZZO5DI54evVXieaTWhm9AgegW0ZKV5YXMo t6j5zyaBRz1WtpBqTkB7DqDNNGvCqKo1XvidN8NNi9Ug4nqfxkiQDA8IVPDDLSHjVWJKjHXmjkWn k0doxXdKmJEsWxGsOkQu/Ai/ahNpHBUzRPnLfnBqpPccujN0xM/vUWTCixFC75SsvxLZyY7DZQTj WNlieh3ZCe9rfKBKIxQR5IQoShECqU53zeMwYGPTJXM3mNqgyf0oPoDZRwNyY8Gtsz8OUla5LECV Ar1n6KbKyrwlmlnX/eXUcfRHhLj/uSbUebfSlm7Ygj3NZn8uLq2l28hZshVnWnAoES5k8slLVejM kFFMWGE6gUzfrQ1MvWtWSrioL/IlT/TR2VCK5pBf85QF2eqKRRh0GyZSAgIp1a0ybCpg9FXp5KWd mJ22vYJ8K/drnzvK7IuAEheSjVRM4+LNplEiLbgUL3+49RhTMfhjXR+3KWhJ9UmvsXG73rl1+qvC 5YKUNTypuk+5wVfdkPlFwlb2wLuiTurfG363amWwLlOYZCCzxUrS7D20Zaz05AQcNdtYUVHz2EaC eQgg8etYDyFhW7YJPUO63d+G/ot5dPIxvYY4x2DlPUmVrhNiFdhPwYwaMMQlB3UtVYo0KWhe1pRh lkk6jbtl+xL17H6b9W9qiMSgmzqJO6Rr4n5PIksWodOtbJ4Y+Hm7nNd2etVhuP5wzsx7fX474Htt UvnAnfaZrm/aHeSuJbPxdQKupR10WZ+WOtDI6MSt+TpPsPzMd7IDz4C6lZR3o0phPPQG3O3Re+dB lHbNN9GdiILsBPkIBTWkPEIED+Vun9nzic1RFHRSY8x0T6ksa/iPZCyp75jaSgFRXlLZccco3n5g vLZqVtF5K7KIxaHpPeNZm6gy8CHJNHDHcJBfawrhlpT8yGcOnQsaLJ8QWn2xjki5qnDMzjynpZ+8 5rXn6PaZgzzBJ6FKFiDalTG0qvNjo7vAYG4jnaPovCtf5CMD1HBxSfCypQtecqHcwy1LhL33I5+K AxGVdkw/GHs9Reeuu99bMRy8JWp1WypsYFZUaV5KbvPRYwRVpFBPn2AVYYiyF8tkzDnNw1v+cP5S c1BG3cWAeG0SPWqfUPjn4vrCLTiKgfQxs9ikknh6HLFNNWLP8qkrvUmG/JUu3SZjZFKQp8QFFA/n /JlQzFTnPn6o61zWF+k6l/yCitKvhu3A4nihfrs67TMD6JLBV0exkkGDdwtZYw5Osws8BzTTajn4 nsopd/RcTOQVz0tO+vGf1Y4To1jp+qwsnKBFONOXErPGpDnlfI0wrgq1t4Rf5WWXS9OwEA3cqYp/ cpfDOBETL/XJ31DwFKdEHLfLS1TvPZZm81wFuczVHJmMZQkQkor81OSC+xs9ZHeKrAvOpotsNpos USql0TC9A12uudOQFnhb63osCqS7yrYkTSYC+SPEawF9Lck0kIpxfDDVtN5y2mgeLMNaySMKG77L kjxc/NUdKIxoHqpH2s9YOPmDKMJleW+0RPQ/m50foI9ssyI9kdYZAnNBUJ11CLwvLjMZN2N+1Iwc 6Juj5IxxTZOWJmQrlbpqK7fXsZqFzX/NvyOA3t60iYBBtSgpp9CrOkOCny96ljyIcsDRxOoGdx+l mv60DmQLNzv8F5NQfbqt1nHxp4YR1gNw4hV6Jkm0x8/9xuU68qjfh2PtIXZXYipcxGICdLr/lP40 SPkAAd/U3vOMKYkT0K5CFJf/ox466708L5nb9KHEySONQEqt43E9xODpX3/aa8UlRY4q2ZRMjt1p zphmEtfqaNJclVKj+cJk6WmbR3f7vuDKOTYC0dL0fp20AyOOZMJZYTsWktQ5iLklJ3PQRLykE1aR JnKWKLiWJTExhYT3XpaepFe1rkILKZ21rMOl8PtbuCliyeUJI1/SXDqBKFjS4ND0A9KZJFXxoZCe LutTNBwTw+Ctoo4LdiUCkR0Y3iREr1t/a4CrEzGWkNNtprQ85r73Y18I1rcIRfbF1qDiLdrR7tTX nIUca2oMfu+2jCQ4kIhhFADD5UWlyxZOxApAajFiEQa4E/uaF5x5ZJ8KsUushp4Jvv9XFZXg3SLd DTEqZZqE4yu9TvqOR1nhmN2lJhgCPIns368WVWKTXXi9PARZjD/8cHaUbi04em9GLscw8FYfGQ9T rNY2mgeia+GzSWcmvr746W97H8B2W/RsjbAeGOBcvraDBvphFtDcvPYclovSTE0phUB94sJ+9WTk VTIs8Gj89vM+NSsLcQ2tp8bk3t+2J0nHM6i/4A7uV03O2vEfK15mBuzXbzFv1KGD6Cj1ZvnbiY/8 LrvAFKHoDHCVnzF8yW0YBOsS8l09VExqrgu4elACL+DBeGhqq6jJ6cPv5RBsoAMDQxW7w0EzDMOw c7B+cE5gv85w6Jx3LELStx18x0nKPPuFOiptIDG0WKd3cqUJfrKMuULABg+y3t9zHlWfQGR+skvZ ++d8qzzFkWSmVk7bhWIyXwDjgVLBypDa7opqaagOQGRkd0BNnB5cLtyIjjxgq2fHiMIY8Mh2rUsX SE/fpK8w1VaAXjl5YB0diLlShsKclfkJ2MXN4FPGSDrgd+6Vo8qMWrUKX+j9Vise+QtFT/JDyYpb y8jaiGlLzR05+QjXZ67/Qla029bhvWi4a61MLfa+CTdlnVBFiV+9gkn8C2Q76twixyf//uBrN9c5 ZYYrwD2GyE94XdM6ZPh/lanoWojhrt7vrYZqkFu86wzfHfaV8e/rTAsrLYtXpWt0DU0XPFB5me0T z7G0rtJZy7rnxZvuXr/JhpPA343lvgZLry+C1q5xkDWehxY3CuoFLDwcJnDmpAXENRTqniqmVOsb iGll5iCJYaY+vt3O2iwO31Fmwfj6HDDM5egO3CItFtOdsv4ogf4j1/IfzbcCdnGZsBStBzmKVSSR 7ZOL0qYdOTA4Qfso7zhzzca1G+zpvTKwft9Sq+zELICEaccSHo5nowNTFBKnRAahJGTAcdKzVwiC ZHi73Vxt+fTYZ9YJqPQDF7XQREuqED+isygL2qr2J6/aED9VwagETLnNNLfwD6I1kDEvSfhoVZM4 ZcVVhj1oSi2UU2oNhVmm3tP8iXAACHpu0s6DNuPUeg8jP0UyEcm9vgFY8azRi2jsBN2fYhZdrQGr QQQ7e2fz8dnkmXiqdZqLRacgxNh0Uqe+ON6OT1RHGQaTZ0miWXc2aRtTCKUWZtYAxAoYPSKNDimF NKJt4gBRv1sFf0shaMOA2eGatmnnwyKzaVjHRSSAM0/Y61Fo3W8nF803Zeccw2saMMSe9cTA4ki+ 2ovpjBX1WebjJbrvEQcacELfq8uJEuKuDbT7mdCo7kqXCYhQ1Ph+NHROW6sJt59WLNfTr8YRTBaV CVvSQmTiOxiIsJZ8nh3K9lLLxEKaWmlinkKtxleJtvi1uuY846gh0NRCXvciqKdIKcIRJ2eVKTEW m6O4K35Wh+igIX9fe4cesL63Mp9sE+gboflEBI2IkDpiAum7+u6w96NvXwjGV8Q7asK5Y2qUcXno QlOiTvj9zV1IRCG9/G9mP8MdTub9Sq0Qe66zRo+aN3kvhAl0mEG4WFQxy3JdlYOCYn3uukBhnLfT 8v+PspeTsqEUtycA9kqmzSsaCH3MJjSAXXlvZGj5OPeyyCD3t8fl/P/sz7t61J6ZpgeD0Gez21dW QabfEdCXD9yzzMDTIsk5wh/Gd8WCnff2eesWLkxlxOXkIw9vDZ24dHtZsij3TMXF/OzQ9vYElzK8 Fo34QOhEx8K9AymI9tz9HQxiagcp6uuXOzKEFVmWG01pZe3REZ+8cXBaedZdt3un7Q+RhnzIgxmf 0P7SaGygr+lmAytLx6CzgQ191C1jAFMixVuDERpaYUuMRto216O7hZz4TVCXLnwmKu/a8STH1U5W mNJPMFlB92R25bc6BnGnseVH00rEGcutePnrcdy/Q5AMOZFbFxpRlGY4R2MnyJs0UBixE6jYZy9R rViA+oicy+cwLEiD0/p/4+9iV/ZgeZZ5Ae4Pr6ZW1Jf/DwSenm91TLYhnE031+kk102XwDuio5BA rH3JiDP0FansnkhPDbqIRpsgdRfSTV8B80ECd5EfHDZmxYjFf5T0tToMMDBmILd85H7Q7aT/VcQs tPlNVfNwaWf+JC4W/D2QnR7Vp0bHwsN5syDBNpxNDPXbuR/ziprm1pw52EzdbHou1B5fMs6wNWYv EFm+YOYl3dBZUzKheyfjFowg+v9mUKc96FfrFNPfX0teIC5+Da1Mmi9E+/YNbYmzwG4TNy4IDUdK yTXVH6ATr5VYnOZymi6p3M/KwFdf2KaAXcMm6HAyvIJz55PHxJBcR0JNbwAnKfaMZnvn68Y3/RV7 LHWqgql5i4LZbi+zG767YxX8RnTW8pNstsTHK4BSpbe6itFiybRaXQwV1idrWo9dF0oYEBrtDHqF ZtvamMFMVVxuU77icFgH/Oa9WTsH2OZ1yJKGYcaZgzRpkHovso/7+nM1KwILzvSyh5if3BKLh0PH v2+mY1XXHPPXKheeGZmqof6kZAdMtCTsGuPwWbfVaqSY5PBvICz8eelTMgTVzBvB2gzhMLAhPlyk cjJpUjgH9KPeJphZUZ60NCWpA6q64NOJhIY0IJ6bQfjkjKGFZA+IoKwP6TQ2GEjFsVUraGnD3Pf5 fM8ff5+YnJgH9/8R/MB7v2NK97dM3OdM/7CVAlQsrwhuL7FJL3PjCUDj+srvs8m6NAJ2sUlm/kwV hvVCfjECSY9aolcCgwXT4gpWFpffOuzyy4A7/mFzqWvHMyzYI51kKYYGKHxVLPP63PxJN5NXeFje 8Pa4GcwgIzfMJTaeL+BovNQmeXaNRK3PhmI4KR91U/5nnwIP4iRpDlW/fCNwSghw4doPPt3tcTB7 4tMP6DNsWLQ8a5IK+exlFGWzMqKT1F6f5uSZsyw7xZheMtgozN4Wg6F6ipjJAYkKypq1wwrMq65Q Y3L16WggG6GN4jvBrwdsOSARDFdBGcovzSEw78ma8xeNUj43AilwHpavCkBLy3Vjd3ytJYtJOChQ 2ybLTjgODhNaKyeDd+IJzjk0XJXxdZtIGprxVhb9Ey16yu3ljV1Xwu/aqgPTGolz1155p8KvbUbV +rfneiua9Pa5x0SazLonnwAJ4VAqSC3KHI8SuaNAT6O+3Spj/DcXqH1esRl2paHIMJnmTzfgxZy+ /eT9hS6x5sZ5M6c8xfBzzvVJ5wVRgC9pSm1rgZUrAmVOkMRr/WMbYb9GG3wfnOYz+9ktmKVDyhVP XDSZQ604G6hiZ54EhQ2PCAXfWJaCglFkjFkoHzAgfR8xD/HtbkRZB29vfL9qEUcxvOn5aAMcvsMQ MaylRoCNVyJcrD4HvqAJMu4HL+T4t3dR4832YnurG4No6gVrBgeXaK97jLeBRCdpu9vzGJ7ZTgYv rZjeJad6bTnN6ngzw7S0NIrmUaL0atdsb7PxF2TAEdj1IhkPsYIU7Qofu7sIUlLGv6oMWtN9S/2B eepZ1vASnkua+kfOoOOHP3WdkGR7wClQkvF0D8dVXpna+p5zDrTD0pSgKP6etpg1wh+pZm3nSqY1 6iqlaCK7eVz75Uzps0MQPQTwyvMsFcfCKTyS1IboilL2tiZyCqdfcFc3kV5si44t50oYcxyMmOD5 e+4mLm9cJVNUp4nkInsWij5Ceu0IE2O/sCmNiUn+wrH/cnLwumzoLLKPjFZxvRjrwUi8nZeDu6Rj FFjsbWfuRn6Gkjt99gsXtGVxudT1HyB/BkJNhYNEZ7V7DpCwe6k94e7MiUdIOb5mPDxXRlW5nMtP dqiUX8oNF9p43tk62SJaYpbi6oTQWxdeIEyVVnkJ2sLydVvhJS1FD01/Pj43At9X7z3JVNtdl3e8 a55yvgRzl8k3Hph0P68obqg4jUtcbHipaTP+4iU+skzzo4JLqnChNZDJFYJDUKpMfUVDIB9eLDSs 6YdGc6r29llIoA9f4XlGFG1Wn6+lDiickTBXMZ5StKHDb4gIF8sM2apB2uRx3drtK2R1uaW+Jg2z gV9IOeFobdVYqWU70bUEdd+4MlfxlaPUz/jEarcfu90NNuY+Tk1RME7hcMQzA8dfz8gG54dH68AX VjRhd40Q7vz1d16uVAMpaAXs5usHykRKZ+einBIB96ZmLsSESJWCMfHQx/W6rhP+xzJWSS3Od8w4 0IupuW0HSZbSJ342muYTrKdCKuSk37YvxwUrvYWR74AFxHoH5yfTW9VnuEFJwRPVdX8nOb6tQy73 73Z7AfVHHJgcuxiKaNzSGfmQDG75Zs3Eo2Q19jxRvF6GQpvjVHWokx3MZBHH1g7Wp89MI3VJParJ zblT0n4sv3xv29Bcq4ND/yOFhv2HKrNlt5natf470rGTxP/GTEyvC1m9GquOi3LPoUkX/nOO9Z6D gPcIwrgt3pNN8x4ZsGmWsm8Z5KZX9sEMxelDXJ0s1Ldx1C9WDtxn/2zR2YaFMKY+PJusG7XX5yB+ fHPWOpqNgPJjRFB8PguKuAnJxpCeWe019EksHwtiIF/BeziVxXvFmWv0djTrORoNuBXU2wAEZs2H 7F1Q37DJRpVs5d7s7sNCpHaGbUSDvCztuxGOerN4stK6kvx1Q1/Xy/nDp1oQzxsma7xMkg4gnAm1 Cc8ziri6aMq/UtU23q4mC/MOgxCFbKeqYYfZ5ago9YfpbBhCkAX8Nd63fR56FG0rJnIqFmk+EY9s b3r5uC8AIHPHaFD0kTMFQA0nHFvyhIcnkjjcvVKPRLF17pK4cwOCUdQRhY2/MRFzDTvbmJBLTC/f ANJjD5abeV58gM9MtY3SYxntm1zV8q/Ukt4tozANoTfDkchk2eHN21epkdl+Pek+Fv2C3o8RxDgK FVkoE6MH2tHJLC/eFg9+vD8H+wMkHDwCDStgn5hxtYBH8lZXab2JIA7MqafwZCjMEih4X6zLaiTM Aoysya5wCIolqb3nVYyFWClv/AXOTTp88PN2sADmiO5fNXy2rS6LgkAHNBxwkl7RqreB3H9sHPsj V+bSI/MHF+4DoyYstml2NNA8B7DUS3jRICtElbkCWsvpstcFs23n16hRv4DXGaLNihREDbeRp2eK VHzFJZc68bq0UhvSEuaBFekDTGCwh+bXQQGUC16DJzzJv4/TAKM7UuMXptQ+6WFnwX8FaZVxKbn8 82JB3dGayuXXkxZTHq7mED+ByW1/9SsuAW0ipEyOB+EzKsELJteCeWGz/vKGzVbuRQEN3ABMuXG8 ZC7e/hnLILVsQ3865yGxWuKKtNMc6gi+4KyJqHVseIoiarj3fWfUyMUffbvIYzNBKCsmKDjrdPui 0hlm1hnEmRKeWlGzazySsErnG5p7KQFt+dXgTMOnYEq8vv8r6ySrPP84p0iAmMPhAeUaU2ObpGmK dQxyJ88jQhrXckUuBQ3iP/xM+rvCUpk7qYfe6Mj8S+RlF7WKC7BU2Yltw/PSpKEyuC0mHpJ0S1nJ /Ses0BmcH+adnLWq/a+zdPcYzfy6cV4jrZvQf9PjNwmMVzF0/xyCEALstoXRSFmrxWogeKv2wo+2 RiKGCF0JDUz89AYoI6cFdoMcc1sZMcNP24AnV+1dahf9cCiHr5jMVMnbzlU9vgpjy+cBA1p2F9L4 2pLX/JAAxEiasurDV1TZ3+MR3LsQErS54q+iCnzEqNn6AMwKMMzqZkOpFwrccU5vgcXfcIVqsE7l GSiiau/grnQ2MAqv+lxdzMZNRK84ZVgVEP0eqgy1qH1ulIU6vnqU1dg6M5iGhElesTg3tWYfk0jH nbjR0c97MWzy7L6ldywz+TBZX7e6vefzLwThG5C37mvCl5lBN8zcL9Bxs+miHX8eqnaCgk85JfCd cnuNgmzMTiyNXw/00UXWWrhEFsxkamTz2h//YvMPS9M+8ferE5t+d2GDXvbfOdZFXhVHTKXiHL/O tJcdrkVLxmac2DpKYnR1JO+tTMllcQ1VgA3QOg4QTuYR9N2wNVTM9enMaaDQ5Vyj1SyQJDMOawm9 klgDxLu2CO1Kfk8MLUET1gl4BXZksJun6oeSuxdNCjDlECzapJA8h9y/Ry1NgM4p7iYevdpia82z 02477O9o2J8SLuzSVJWJ3+CMmypjcsnUK1vsnJ3HAznzoPZZsBeXsk6V7VB8jusffCEQ/zOGUjVu xxqLjXWUoiB8koBYS2SA4Crk3UTUnCDcuQIsflwUMnnh6fYNzBk5OeefPhEFLrJvfCc465RSDbrz ha3+nej3daOlhXkNJla5RzJfOg4BrVklztMs2WdD7z7/sRYJ7Z7+USoZmOs9Fu6KS2VBi7q7kxGL V1356FsjbBtjHHoygbrvGLeM1ppaCbb8se8wWf5d0I2evbPHWK9mWLVXyGgE+mHkuwytshq77dsp lZi951Sw5CEUVMkXr7AiayuHyqwL5Woz3ksdEtOqzzJ7KRJN6C31WhXyGf0nP0wj65/MrwKUo9sk WiUX/tPwJTJUrQwEu5U4aUm4OYdnd67VAP8sI1//xgt80mqMtiil+X4vBCfgnKOM3wGUrWCyWL4o L6S/LVDLOHapWeC0Y68rdx83yQfpy4TE7YtzhJfwbaOVHWZw8Eo7z0P78yVqhXFOOhzzWRkyXiTU py5Vg3TbxxPC7w93mPG8hDlrJHWZNUu7EN71RwTxOVfrr3vzqzOjbeQ0Mcf7bJpeFziYAZE9GWoj +FMcIxdh/kFRKlWnOTEvt7HaUi2142hj0Twx6EaJ0OVTCtFzyHNSAzBrB2DrKfmg5yOUWS1x8f4q cZW/GXbRd+65odhtMsJcruaPrDhGbHaTLczP3YnWkA0XvGUJG+vD6Zgpl19TEOT6nP0jvrIkKVm7 8luRlGHtgzKFrY/oRZgJ9pxrFVMUG7MOHlG+bvOXXkuwy5bfi8sdEc0pjpCdLgCs4gQCfTJU8D8T XnzSJyjt3jUak7WzODbA6JpGnSLfB9O9S3yb9nEl4wv2BnJk/gYDqsV4ZY0mOgPksLocCivS9G5g O0Do6D9KSRPKy88Td1MIbntwh2yxBW/coeguDsbq94rBReTfUbuIcGrxK9WHf6lomFn2eic7552m 9WX4ZFp+pyE9RjRMUOJ8WGPEj7RQYFpjaEXuiW8czVKPMsXeZMu5GPTmFMiC7jnvGnxaHk2WzB4E UivElaKCCZ0WL6PUXfTLMk5lZ+Ge+sBGwYEGhGKLlwkEa+C02xVCnb9Pxz4z/l4iC+dIx0laNJK8 n0kwLuby8uSjjpZekoAGbdmDy+IxQ0EPzJjVtz0MuKrQUASfiF8GZoyAD2cxeKmJ4nClx6tntZke UFjYeuoSWc9fABGkvjPkbdR4m1JIbVUaIcGJoM07pNxYdmeqLTCMcMysBPKKQ0vsdmS6joV2v5IL 05M4Oops5XBgn+eLtDxWNLmmSUSW/h/pUzYYE1b9V7DBRX1Cxv0EnnbgCvhlDrUPsLhsS68JyMSq BZ1vzEUAQkK8LWOIzmGTHAzkVeiAagYFeoW04uGN8sGGm27uH5xUKVTHV2UjlCenCwOQAhAXjiyW etpfJxWwIK1rCYMd67ZRKY7nwwFikXT5ljqqBxTvuPpASQ6DdiCzeQ3hn2pyHIRH0oOdBjZ/oAnz dbzBeN2DLViqOyfPoqijftGMRmGQeBK07C2LXNJ6/G9rgH5gKATRavt+ba1OkO/9GaTWlxoslV4E /coLwXX1wHSpQn7pd/WqWNYL6k1crXa9WTJnONAU9e+e4Y3c0a3T8d8ZOkcDU1vd0vhCXwOl+qd9 h+bj+AoniceNK3xDDD20SD45XwtFAVgFK1ME8xp5YXzjkSZu61KFTg3/s2MKuua0f/tArwzW4VpY 5bZPjLqyHfzlG1gSbUiyU/mqj4nQlwwumItntwyIWFsn3UixjHwhdlMGV5IQ96lWG8BmLF+97ACC AGLN8as51/Y/o4YXkMtUYSBTQ6mrIFlKgnYvVBcrMnFBejUTUenayHDADXtFdH/+hhF/ZAvVZb7h f+rqJxUBODIRNlcE+yJDCbfYm4wmXXitlobKNHdzhar5TdrY7AiSadGJL3JzkZHuIWr4HrUDM81Z xNGtVwFgrjHv34XOMn6iVYjXu+qUVs+8p5LCfbJKQVT3QvJtX6XIEgu/exWJXsmaN806WgXh/nWy CdpaNiM2RPOF2v/E1kQ6wnTMO3EdrVkwrLiUZRxjeZ/vPzAfUCULfjcrXr+9Afotg1ZNx/Ms0Mn6 GGl3NOAwt9+yhrAQBd3zz3LGCsrGgVUGz9O2LgbHEtcq6eUrWJpa9op0dYcwZ6GmwHwWmR71Tj5y Gvl1fpZn7w1J2eS1gOK0lNwKKNKDqZPS2FjdQl+TVVyfPgq2JXrX0lSu0lqWWkN35ZT9jxa6FCds Su2T4klBU44zwwlQ8kgTOfXiS5xiOtZt37auhd6vtTk0QAcIYC4MpUsmT9/ZBG+1dBz/zkWyttcV wB1PWuLmTEyb1JnxmagST69+cJkTzVtnlAMkwrYFPos5wTkiVXwjVPX4GzG6ubPqmtWtIQRtRQIb djo1HCJwF0frMWAYUj2ek547//jUn0sjlzMZr5iGs89EVnTw1EXe2zhRf2Oz9pqKkZKlJhoc6MoN VRnBe+YhtsfX93mAweo5cYnUOb/L+3pOekPb1tdM6aTts/fmzPPtKbtAlrvQ0mw8/c7C+kfLdRmC vo9l7fYFNu6CFOeNq7x5Qi7/rY3yGJWlSE74f7THv5d9n73FBZ74prWCylm0ALHQnwRX3IHhhg9Q jMC7+bY91Xg3ECA3XI67A5OHIUvFBtATf9j9asrqS+zSwqNzp8Aj8zt5s5mX0VW1Gzm+dXdXQrhE 4eXVfJBlzCbhBhCEnsYg4ZHC1Eb9rJNst6OmAWqa/Fs+6KM37K7OP/FsyYhGzCTry6cqOeOqGEkO q6oYkiJIAvCNEy9A6Hy1Gtdj9z0MC1chho9YuOqSvovgASlF4vHIWv8/XO7HmUW8BaJbquR7xAAx qavJc9+V1RQMUI7XnN3nV7/bTU9hNhn3d2j+Mh+EIfKOYqOyE8rhsimD9TzseV0FiFZKgS8vdUlV 81UkuGZ1RCBnKQP8INUNcNwy+6quvCvbiQ/U1FO653TfwOwOqF42CVtRxjJ2oTBUSODnLpcfcnu5 V0dM4ra6zOUc0/KfZ2OBOFwbxdLgzhnJBWJ/LLHPKcC608PzHdvzLB+oFI33kDEJ0/SUdPcIACMt vEOX3jORxpfG+2m0iRpEsJFcS2vjd0P5mgQzEFyUOBygoJ73SQccNmfBIeGlkOV8uDE76VVQeMWr c6/oYCQaxciQDlj2QynlcAA1EqIs/5nt3pV2rNcvkhFW3q678ByKTyINuuUSC1Y+FsR6JnUaMcTS nROEfWbVnq7ahj4do7Qriw4KMbcazJnz2KSqprrtE6eJ3FWkUD/hxtyJJK6Nzk/WVBsXZU3vSjXS 95gUMunDQ1cKvYhDHqoq5vC8txOHoxkSQdHV9f1xEKeEzDlDVREVGoojC0Ub3PpsDJunvFkljtf5 vin37DEe0CRQPgeQ0RUf7a1VIBtOCeIzk+CGOiwxVOfd9fQcVljR0ZH3oo+5QjDT1hcZUEFB8eDN j2RVBDxcJZ0AdrCfAUV6GYaQoNGIEXtrLaefNZnbFid2voMCw85DfVksU6/qEiYqrjBBnYHoWnzg laocywggnE3b+Ufyd/4f22kO6mFm8pIaTA1rVFcSYn2jJMiKxzqEEhswKA1Dz4L5rJWngHHskzU0 yefDfWhInG7Ibe/QnGWqv3PKKKciLShVUHWPPr7/EwS2nVYAeVuBDgOJlzgUNq29/2aJ5Nhh8KqH 3Cneu3dtafjSirMMF5FZzGkoXvA4eTm4mFuAx8vNx0LG4Qv6S3g5x8zL0i2rOpLx9JkmY7HvXuLw aBhSCOrYOBEyyqQIn4RYFQ0UDh67qN65EEolIw0zYjDaLQshVuowQLgIC2bGwSnACcJbhWci37Aa jfy8G4lXbPYvDqJsbj0M/Eje1H/Rv+5O1TduaROSYxBAls37ghtSS+CXX0MnNvOwbbhcQEwqybMH 7ijvjBV6YiCuwQ48noQx8OwopWicgoI7BhZh1OFa8I6pz8Jj2KBPBoMY+4AyV/zKZiKLJ8D0LDeR 39Sl5e4jY8ntR8zXwx17nMdtpVbIPXyu5peNSSYmWgzU8boxJY0+pZ8T83/e21stg4FkW0rfNb2y SvurtW6J+tmbzG+GB5cLCUvwzjqaAXK0st8rve5Tmgyf/RcmdjNcqL0c7LsS0Y1K6gpU/pViBLSa sOIrRfdBtF/276lPs9w0D0RWT1urv/y6bwIcE5vfoTNnYqu/MuqwGvCJNxoUqicB/kMUmzUg+bED oeIEJWZVUlc55aA35KrKNWj3nJXQC75wSgL15MC779HBBIoUHecn8QUWIiNLb6CIIUcS+OhijtC3 Fzx/eDiARMerQF0eY6BVAWqeDzhG5BgCtAQBVHOKQAYoKJjp05zo3ZGOHivhRTp+4A27uf//3ZuL J1tiGaBlIZRmr7PZOBRtMkUf2OzNTroy1Ei8dbUzkl9z7erl8JFsOTMGD2blGi6Z/DyXmkBqhI51 rTG5+XXmqQS3S+qy6yBVg7CPbx9AjRaciZ1A9YDHDbMJx3pcl4SWwei4wgTUh/TeRqWX5AydTQip 6JV7QYx+8USeo6vEgDwnqmpkow1rm2GhUcSw8N7Zca73Lb0bdfXQiztRHgGY48o+pBDiybJsGCsB 1hVnD3aEs9ESDKp+aZzxjs3KRgIjj/Nj3V9jnJoXsf505Ul8UqJVJYwvAMIZZx17YWbXVOqKiyhf pyXVJKEwr4pJvXpt2n8Lp1fP/TXAqC3ZLwH60SXRnr5mKPK+x8U9vUnHQSmztQ4qiLDcawmvAg+P W3KXFBP2DThUZipbavfUNe90I7jjpxY6hBhQ2wkzPa2cBEAT/EFKkjpihUex1gjKB+SMVYtKfd+Y Kqrdtuapft23Q5NpJpmhYwPV+Sk1HozfrI5mKRwIOVE3taIOOzYuOhJ9kwpRjPmXWIptlg7PcOMH MKv19lj94Ldfhxsj1SZuLMEiCxn5jU+JkWrAgH7Mx88GQifbuyH/nIuntkLyZhkmKH45tAO2DNhJ JmGjiXenaBB1cW7Cz3zc35abagjjl8YfTa0oJwjuZvS3lgmp/FJH5tMQLiZlzXnBwAitC9RpcXeM ps1tkR8wnpPyV1sjMlhWdm1/QZ9341eMZ6OcrdJjzOno4okcMYiPcINJM6UGkWyacepWwFnpuSm3 BGokKSNZQo0C+GZ3+jUVEq5esD3gOydHWdUGbv9Y794wn8RLmaqiIbWr3eIKiz+loDv0X/sfRbec 8EpbbEZJdrF/EyyjNmCUz7BnUKHJPliRIxs9SNcZxnij5VbeNQecxcbCsL5oJJAJ+Ew34evtG2UR FwDLhtQhw7DaddnhQfwrJpX2uVI3ua393zP/aQE5JlKwi2QX7mgAaXRa8FmsWJdg4+9ggDbGycOl +sM62QVapDBMu7cVLiEQuLcZ0iXnmF90LnjjJuBBkj9jvAD+qyGOdd7a0D7zZm6vphZNfXY8USo6 N0FAZtSx1Hw8CxQVQ6Vo24W3pQq5apxORUkVAqiSdcjFSNPcnVR//yKFwEP0esoYvv0kdNCGvQX0 NKAzjUVYKUwwKxUK3ixTZmx/HATUKjDfDpYvB1PdtqRGr7TQhArZJK+FJdA8x3QFDj//TQBHa4tr GnXMJ7O49s35H0zEUPRyAqBh5vnXc+JqotvhpsgpUVBkKJWzf0cAUo7Hp8GtMqPRXBsoqmOXQOzh CrNMXGkWTw+EQeUXhKCVj0kUSQ77XSJA4aG0wMv8g8VT6jwfRbxGAxxE67cDBy6JvgJXCX0Zy/uU Kx3S3ZCvSFLnI7QYORjDwfhHkG2iLPXbR3VdOMSB+N2EHHCiQ+l41RVoF8YIQl4kD3fPv7ZdbbvI Q4vrb3Ko+74O3BCCuqCcc5zWXvN1faGMMagJq77XRmu7VDaIoqZiAJun0Zv94kJU1o86EvxNyeR9 3mO3O4f5l9sF5Vfl+EUkGXN33Pr0x2R7PI42uoH6NS4VLm7pbXS8s8W/nWoGidaRAiuC+KAde4XA pgQOsqhLJ7fmznibAv9b+TOb/CVDJQxWtjvMsmC/q9Tw+OB5zO2Ao6/dIxwKlo5Nwv1IBsJdgFNz hfrUoU1mVAQQuS56Ln4WRVaMssON3/E1kalkuTcF8bcdKD6emVE5tG12h9mSJhOFROOUDVOLKr+k di6ttItZP159qxgpBVziyacDcIrgogkZ3BcWFCvL2t6ttfFSVy0ixj2QuBHg1U7WhXyb00Bmmi0N 2duJQeekmMPmIEOfLDhffqMrJxa+S/Pu9RxjYsT9ueS4Hi7OLZt94M6G5knG+ym1MewvO4JlT2a3 9QHNKiVIsGXWdBtAhyXe/Laz+Y2bIjygpRQMLsvFUsGohUVmqc+KMvPeGmPTe71qZR/KY8NgLxAN hwNr7OV/nfLFlzn4Bdz72PR+0yAkK0gTCOYBdz/NxBI9ogEN7nk7YJGaoIcikvIwi9WIBbqn3bi+ M0Wniy1ogiHXWyt2qZjGh6YcIub8kA++dxO4q8kgJkdEmZ3lOWXvs/61x3PbciSTw9H83cFPcBSv obX4HQNqGBTWq77OIplBvGASbyfU9EONUQW3F5cnxm9lsr47cEwHb3Nwe+3J4+hV/AnUWbwgXOf9 HLJyC2KFM7aIhiA/2ebI//d7m4eNIP0Ovg0WtfAeqPwjBpxcWIH7pAjy9uN+L0JIMCvE/ofVKJ5K iosmx/NEuGvMI+FVx+5eZhdqVZ936Gx0c0fGZ0tSzoMcpukYYFcGYaMvovERihqVRCa3HKaNrP5/ 73ttT00lUiAEg7OMezJFHrPC1gYWg//aWckOtiI3/j0gfkNpGonhxvBd/MotTD5QtniKcPOKUrFI hBBgOH7Xgm8v01iYySaa3+XmkjbWRF0hkSI1PqhRaQbCf7wbi5S4093oOoSOnvp3JImF5fpZlUYg eASYBpkYTnQR7xSVT8NaZttEsNZU5rBTFQEVhjLxAsM7tAEJTm0GIScb/NtCG6BfKU7VoCHb3uOu LfrniEF3FIYyX19VRwgNhNbT0pqrc8maR6jJCQyrNIAi9uuTZCWyduY4VI1SF9qK/XZLjdk9jmAp ITdcR4ckwqwrvasWq8kygyUFeE4zZ5Bh6JaZ7UUKi5N6wJvuP25rAFo5gRFLBOqaeJ+XLhW0bx3O 0oMBGKzQ9erl0Zo96O7mjfNbx/mVyKQ9/B61ZXQ/k23YNyNR4i7nBZFxvWMPHLR/a6cCwBCj4q3F DPEMH8Sg95cwz/V3+bni2NYiyv8lMuEZZpigiZ209pfnBG9ft7QJMy0wx/PI7ADKjxz6dmjS8swr EwjmlKQECjeUtnJzZnunS9ZecoXU7pwnPy5Vm6fLeFlxYyFhO3AW688uteeTr5hY0YBDYkutkygC o+4qusn5mYZn02aCCm2WL80OcFneFcuxuUmH1PIw1JR3qQ+G0xGBtKgG7qWe84ztegPMRYJHatKD HwS+og0RdmJqmKkg3fnssvOtfXsPlJldWFrLMDc0N0GJTXJYqJer6Xqj7JkhFFO+awhb40q2Ocet Gwf3N9nVKvKsUJf0pzFS3EZcsF2V/zXaXDsuUrZfwLvcVgVGh66Njpjt9yVbf8w2QwsifXGoxKHv KUeNZbx9cdJZl4AJyGxtkRTe6fRX4j4IK1/FXV0r2rlLt5MBNeEsIpEx5gnPUoSpv0BVH+3AksMb H1f+R5NZjguEJGvXRJ4BjtObd00qSmNjJ1De2mcdD40NVEV9w0aHHPQWwazfjRkMqnWoraFpwmRw OSOxl11zIjlKUqWhNBGTGpX/wkW2icgWzsWJu8VVZoMXNyzITT/kYmqJQY7dE7mpr95tvE2setL3 OubhR5DTEPXhUToYU5ckI+TIOmC3dTOU6kkX46UIJnehye03Ykn6yRKZti1+kWTyDyLiPGmxE7mN XsUo74twECmgoY0zDXAMoSUZHb1IyR7mvOI92UrdgFEKW4ovHRs4KBNEVhXFY1ABxjcn9jc9tfAx lutkxlvGSXwx98+kUv80FcvYJe774rM9mtGhtfRunKVtgCkSuGTeYWEChRzKcVXLgrx2XyUtne0M xI2B9+LBaki3+T4R1I5W+6X55raQLL+zH8J9fYZQp8d3YZ4yOuc+QYGEQYot/rkcpLRRWIREWAM6 kGxGdmT4dKd+vuWXLfYIje2EGReDZLDGCmpSlMRWZ94t4facTHKs6ms84v0Z82uO5tqV11MIT0Rj tV97zeGT5pT/t8VTFIlXkq7shxqoBYc6AuXhWLC3HA2ltWomy/GpX1wf0LAUAO1bddOhqOtjkmo9 dKN2DjIathPSdPf0NC+gew+5mIZnyAmI9OhkPlSjPZ/ub2xTV0qnLN3QUujSx+LUcC1qu+lQwifL MvQolVpOsXtOxbj29NFuUzLkAwdQq2z6RcnJFREXwblNUboWLNvxlWCeIdZ9XMhMMxuUAQ6VdsPo Tj7Zkv5etmFePILpjM3Zam+A5U8OezvL2luLsa3+/hw9jZFLkgfKf3pfWGMnoEzFqpjdaixg/YPO 5kNUrM5CT9IhxExZ0A2V65jDZ5Z0kWYsF8OGekN2ZRkTHXk43vsWQ5rBlgIMbeqPgYl5bmAQm+iD nwhCwE86QvSdZ4+BHsRMiY6Xe0v9cfd5H8Td3Qi0taC2DvvFj6Re5HYRd7iZOo6zmYmuYEumgyob b4GSI85iTShp4PevShZtYdhh/H1RkBwrfeo+KQdhtYrxZzYCiJlJnPm5wo4QOe0zD4i8xs/C3Fvu Sye6nmITirJhjXlp2ispb+Q98HrDKfggB4rVrHgciVvVhHZaEExCMv/WsotMLWZbAd1rMvh6SrGG rsVl1zmWJamTPbuHlKNU0Rw1XMIc6bCsd/7k28r0EVc7MZpux0XbvTvG2xpyT7yPgD8iI/aww/NG so9I+GeYl4Rw2Jj/qhLoe04ukJFv/Tp5IyO/T3gU9W8chI/wOqvmzPY+RDnt3J8RvMmvHMQfx1jS ZwuYclNTBGg7n14M2XBfbqdUq1vjPelenKSF1ZvlIBrsuy550JllGeztL/F/8dPzO52FCFHCTQ72 UWa/ZR4GQqT8qcz3mU8LivKycq14SOkwQpy9cn1EP+UnmtiDalGuW5Vuc3uZ8xAKAvw3UWXkhgXK fiUcvYEQAuNvqOJlI53LwpYHXlj45IyytixlEI+7bcel5A9P1F8fmbU850Z5evLVY+0aboVkVfTx J4lHeOY1EK1aYHZuG/0dCgJMJigknBppMpVjMo1b4p6cI/ACSuLD3k60Lr7TWrSMb8We7h58+Qg7 MeYjcQ2uinyncWzBIicwfGgxpJZDmZIeliVpf4RB7bEtQ83O10K4CL1MR/EAA4Mq1//UEjZ7jfx2 doRF/wuc7u+Q7RcKKQmKIH4lymjuMUhu2ei9+bJ2vy6VvKgcjuN1HZrGlhyyRur9yiPoYnQqLfrv kxKay0W+uK5zDLP9QBpqPTJmdx1cIPCGktNws8G0RvqGL7AE+gEVN9ItKzOsa8s/Nmd8J8Bje8G7 IANdpNkbPvFYMKLuEqH6fXshSypnejzFM7NcZqEAsBudXayWjTZ3K8/8J6f9L3WRwObG+iU9R4bf Ba9hd3yGLeC72MhIQzUJRZlIF9BPqSF5K76U0f6G80v9ATQ2twjfXRAqsOVhiasWycgb7WfnJBIx PT5vWgDcsl9NqDlFcSsSIp3PanA2MSU8K5j9jpJO7A2BbiiWdYgh9jSnnPEkfw6Z78UJ87NC5B+i QiPkYoUM6xzXXPBYAsrtYbD50gTbYuKkgQ9xP61Q9WGf+kIIu7H+HaTpC6sGy3xFCTFSASNbJFxp 80wQuwRwf0wqBlpbdW0vmTOApgvA8JL4hfjLKgiZxkHgVvLgIAvOzjzsce3+f+t/cyOEiGCf4w0u 3a37p7jfZTOHKZW8Al1aDYvV1EPKh9jXRSj1BGPFNB2NkMFMSABM8Jx+THWJnBE0GI8JgM6aPzpp LUaa5iivVtJCybd1/csGirM3slFxMcwUDg4UZzlgj+24nlOSYudas8yM3jMsH0wAuWYpkGvtOriU DYYEgW7QEkXVe2YlpDZMfj9Rpm2bkwCOcYExmf1N/N5jTqd+bIDVAKhxFRzH3IwikL8pfSNYlZWF oHEWox7Y+GdVkDj1pgzT+TqWvllUnFD9kZLCeA6Idq1zRx9H/NyI0ZaWMdvY1l3C2qFcZXTiiwv3 Vvn1dEeaJac/v6f0/qJFv8dJIxDhpB7TqtGfW9Ax2AEWuio7oERusus9HOi2UyzanKDbEQSx51He L/Sa9bl0TtO5jlDkshmULVv6+ttOqsyHIxL67V1LS14zcU4iK+bBhWC9Tp6jGxnkET1gcMloPLy6 Ta66CTwK021dEuWrqPnSjZIFcmxrejMh+mgn2xc3WZipLLTwDuCpJAPiIVy4ARBzLpieRyD5DrXu Y5B/VK5FYyvnWuZh/5J6aMQkvcRATa+YOS79Keic50RpPSuUr2RiLWczVGxN0jO0kq3OipFCBsWc ap4KNcPtpwTgKoZ5MF59+WOF94s+QvG8e68ISYCJg0iubOZMXulKyEksPRxY+D2m6t1kEJMTaV96 hPsfvOuZTjAi1hib2y2WsU3V2/4yNseFeCmzEf8rlLXr21atAu83Uzm0SW3ZoTAPL7x0r2xq71D3 Gd6qrwItFnjHWSI1q+TjLQsCAnjvDhB3+4fy8f+r+A8OMXsmC3NF9XoaJo5ZF5NHq8LbMknSSTnP RCNlS/2GOBtIHepUmcO00NDOESz4PZo0JWWEl/9zMDH5oK1rzEO1/y4Q0KGIY8BvBg1Eweufvjni d5ydLY/gJrpw3tRikmN0W15YsT5cS43s2xSnbIED+EIzZ4P9l5dI2uohXM+ufA/WEyzDbs+DtucW slGfooU7GB7JAWP6n84xfBhtEXi+/Ge7Jw90hRpeXbcX51QOZTANGSu6bP6CvHp/5wy8cyqoMD8n HuGQa0lzaPvbk3c92VMvltfrtZ/p6gYYo+c6GseA/FPzQ2LzavyoKgcbCDyYIdeeKYuS6ifPtrSI b4iOlTnqyQhhOJ9NglCkloVmy/nZTdLEHCwRdvIncMST7XIZxPmMtCAQ+eQenfZwUzerfB9AJLCF PwVAbdaJlcq85rbIEGRmM/zTAccDi1LIEkWddtVNF8x5KkB9VZR/ejX0UVp3B96lgocbp4v0/h4w kMLTVzlWGU0g/9EwTeotJnL/FQxszdwVw/2n+PTicwN5Eo2V6rZbOWFGxvr+wttCQe3/KLtS83O7 9H+jGMbj9xpKKCuifetASe7s9MoH4johvpsXLQ/pWJBXXTRtD0Rh8NHz1Ux7LGbAVTZ8B7iShKaL OrjlfCP35jGtrc0jZPzx7ol6Lyesw+k5m5AGDGCQkm0d+VPr9IFQx0eNsjBtwF2fXZZWOgjleeuw GFLrBQ0BUkAAALcefcHwM9moXMwMYf8qDkIhNiChOqBpDpB/v7CRKjnOF/Ggf1PXNcoJEbC6jvGX OkhSnWdavNhkukDpQhE+pddM+tRQBz+l+InLQRydqZOhiY1U0gC7LAWsFrRmvtQ4JK7W2P1HLlZ9 ZYJf6ggh4FZmaQp7WqbNSaqc1W3CyLf2FqRlWc5xvIWBqqEyi5VOeAWPETgTUv1FXKF4pHIWapRS WXOI56++rtzYRn+TIcyICMd2r0SthrlhkuqncWxCTS/ahM5BLirHQxaCaMccdouaiJk7TRaIYomr v+KuGi12wIBwhRQstfg4kOaHEOkKEupIUZIiVQuG2d/H1gLAounW00wPwLw9ZsGEZ99skayuJurE 4Dx+LQ/C1QVbhmp4ZfD9BSEC2JNbjPBYduUhbAFQPXrxk16lvbIKt37m6V8L2aEkyoR14wYo1TE1 wIBTWfa0gs91ZAEph6NvFK8Hrn0JkSHdl3nCKVoor34xIWQsomOmGPYD4uZI8pnTa03nWD1BlZuJ sXCSZ9ZIIc/AEr+x8VoXA0ykW8yy5wLFIv23MC3K/DcrcewsHbAT0VpqSG0MjH+kK8GX0BnMjAqx zInzQ96PnI8UtbrTsWZGJybGRRXlaNCvWS4g+gxTOZ/mal/XeagKBnMk6U8TNJ5teCYr1nvJTl2I 23hRi3lBlVJF8wB6wvHYHCkqYnPFArIfP659NsNGXtF6ECPi7kth8BwnEywJ6lmX2Tx/+scfYYg7 mnbe3HKCKAy7cIYa934lTAiz6iEsfhCU3QaDDALnETdndrbPMlWsBxAde1SlgF4z7KXl5RUrxwzg 7Yn5zTjgbxl2dgu03xyQhUAcX/YrPdw3m1y9VrA238fP4xG4IyoG1QwVhnCMAjBaD7b0Sz5eTGXg LypuqQ6PGCPP3Aq5Zs6FbnALQr9ksVophsdbFzN4DXPKz0j3baxeh+0qIDcsTKpvGx9lzQuyMfww RBGSx1SAzQesf8+5H175+iv8aT2ZuxrZzxS7qlTbg0EFi4csjbWjVFn7or/mxIitcQE+8aExDO5D SuR401iS0jItHBIs0bxAURjc3xWNkfryBwMooXN25qxO46X5nkHOK5vDdj3mPP5vLTcniDtkmrx6 JtQ1otbQMeTdtAqnb1Nww6FQchK+HTYlcNhNSTC/r2Yk1A4oAt9D06DHEQgRCfQpVfe0WzjCJ8bb Fm42IAMeYTGsnq6aq4PuEE4UsxtEWaOkmzWWiHcz22Qq0k3j6ODolX1AX3GWa91NBeG3FkF35MSp hWB7sAEALX+eCLehmgVJPID9cHPGSK2wJ44Wqya/Vev695NAkIfFxY/8yNtkPQ7zA46J7Ed86AdW uoMoNYrH60jGpb4ELiCJILVhxOsD8daMxDxwOfMSf77F6adbbzPF0e371ZB1YGioPZjiY3pl01K5 tDJ2+8g4Lva9uUDfCSR/lzwSkZSX2ali41KB1cTL0bpYb3aTiwnK4xbJlI1qkZBkruf0BclKIY55 rgJY9v9sMRjZUW/kJuDM8d1rGgx/jTzTfN4BIyUzmJUTwoAP31mpfXbjNYd5O6kcbZhRTLltn4oX nCu+IMGQTUr/N4VxhdRyVr633/JNc9eDjgDR31j4nY57rhZ9QGfNRMkBea1n/eMcbxF41OENByhX fVdOpzR8OtAkQWwKSyT3oWmJBaKZKu2IWRJKC9q4kYIsOpHSjt2ZKjGpZMGZyfNSCKYE9Rcsq1qs tSiWuI9IcmzF47/m7rpu1bHvlgqXu+I+lxpKSkqMyhoZ8j+ozamzdDyvx9QyKZ8KqNcDvngwS+dV mhjLoWLU1lB57uvzSGQ8eCmN20yu1k80W3RWdTG8hQTHcvxcxg8hCRhemZXIYpUopZC+HBXY44wZ v8YyaDSx3K9LUXb4+JfsyfE5XYs2ctVU0/5LXbl/9d3w0mXB7Xyle951Kzzog3bLDwHFtxf6kqnI LSQIemFoqIuaj/keYDMEI7pNrDy/SfH+xdGytA0EmnTlc6rEKXvgq4+Nd1G1ov0ezzy+LRx6vWvp VRe9ZYN2Tz61bGnG/iDKlHBqko4L3ucuuRU0KCcup3tjBxLFrJGtKtazyVci9Y4cQMBj89Q2sfyM iq6j/JkzvOemMWUe4PRTssr6Z+pfVq7Un/fj+GvNhFhGl0AleApWsqfZPbMZNUTG/K9DHGRSjMQr QRLoEsfijIjOFEYzSSetc0/mEY9wj4BJS61JjcPqI0yss5QHztSlZgd7BjiWHB3yHsSbJr4MbxMG nwieMO8SSsd0hQLqXoaqUihg763Zaeo6dB3CpyUbuFmH/OPoT7qEulCmGGccbGqszdzMDhekJeKM l5ZUsgc+bqazptBortBC/apRRRpr01EM2MF7HanlT+XIzDffO9mqd3P7r5nUr8gaLwKEm0+Kly0c t1ZU80CI2CAkAmjqDbmhgQSA91C9m8JsYlkrnWOwszgTWXGIJVb1Dp/kX6e9NiFdz68oLt3l7rqB PcoYq3wA/4qWpRa1lSCHXzoK7ipiICCZUnBYFTCIdoxo0g0SOxCPfahcLfjKOc3fe7oAp4TklxvI DXhJETIwnA9kH5QPjMNCqzr+K2OG8KwNavjPyw/CPMXAsXStvmPYgO/Cpyh4c4/od4vzw0tbitXQ AG4HbsBVSP/ZhU36dyQKJCM6HQzYZytPUzxa1o2uyyemVe5RtdhpVEwvdGeZy7sivuokF3c+ct/O F3nn4Ma7GSeDJbrkTNgQtEJeBHglfUnoVZm9PURKAFLYYVkxk+ZVdV+/5mlwRPCCwBR7H6nF3qhp B//zdFhCVTWWxsuF1+DOTIjmGcu0G8FP3QDJ06h/mNCJGdV9JDc9x9hR5HF6EsDA7mNRQG1RA4xT RRZfsBm+4XGN7s5BD2nw4L3L93lSG8uTM+FNHrwd9aa+YekL6/ivKu3B7zT38AgmIivfuCyk3Uum kJ8JEzC4ar3+EJawv5o4ZDq3eJsDtaw6gROD3jw+euZKOgHHYO6CcDv8/p9tOiMiMEy8dvVZgiAe iThi/LMFdQcOspPE8NgVFWKpLtU67kJsVIWkU8fIhLUrF54OWy82OM4eavPnm9PcpieUIx44Os4G nFukBiKNhcArApabpWVqRJAI0pO9/K0iQkuEZUGuUT4segd7Gw54iEsAvdPd6WS/pvMxBdQcQ/lO 7S0KfzkgjrNw54OV+mN3sg== `protect end_protected
------------------------------------------------------------------------------- -- srl_fifo.vhd ------------------------------------------------------------------------------- -- -- (c) Copyright 2003,2012,2014 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- ------------------------------------------------------------------------------- -- Filename: srl_fifo.vhd -- -- Description: -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- -- Structure: -- srl_fifo.vhd -- ------------------------------------------------------------------------------- -- Author: goran -- -- History: -- goran 2001-06-12 First Version -- stefana 2012-03-16 Added support for 32 processors and external BSCAN -- stefana 2013-11-01 Added support for depth 32 -- ------------------------------------------------------------------------------- -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_com" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port "*_i" -- device pins: "*_pin" -- ports: - Names begin with Uppercase -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC> ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; entity SRL_FIFO is generic ( C_DATA_BITS : natural := 8; C_DEPTH : natural := 16 ); port ( Clk : in std_logic; Reset : in std_logic; FIFO_Write : in std_logic; Data_In : in std_logic_vector(0 to C_DATA_BITS-1); FIFO_Read : in std_logic; Data_Out : out std_logic_vector(0 to C_DATA_BITS-1); FIFO_Full : out std_logic; Data_Exists : out std_logic ); end entity SRL_FIFO; library UNISIM; use UNISIM.VCOMPONENTS.ALL; architecture IMP of SRL_FIFO is constant C_ADDR_BITS : integer := 4 + boolean'pos(C_DEPTH = 32); signal Addr : std_logic_vector(0 to C_ADDR_BITS - 1); signal buffer_Full : std_logic; signal buffer_Empty : std_logic; signal next_Data_Exists : std_logic := '0'; signal data_Exists_I : std_logic := '0'; signal valid_Write : std_logic; signal hsum_A : std_logic_vector(0 to C_ADDR_BITS - 1); signal sum_A : std_logic_vector(0 to C_ADDR_BITS - 1); signal addr_cy : std_logic_vector(0 to C_ADDR_BITS - 1); begin -- architecture IMP assert (C_DEPTH = 16) or (C_DEPTH = 32) report "SRL FIFO: C_DEPTH must be 16 or 32" severity FAILURE; buffer_Full <= '1' when (Addr = (0 to C_ADDR_BITS - 1 => '1')) else '0'; FIFO_Full <= buffer_Full; buffer_Empty <= '1' when (Addr = (0 to C_ADDR_BITS - 1 => '0')) else '0'; next_Data_Exists <= (data_Exists_I and not buffer_Empty) or (buffer_Empty and FIFO_Write) or (data_Exists_I and not FIFO_Read); Data_Exists_DFF : process (Clk) is begin -- process Data_Exists_DFF if Clk'event and Clk = '1' then -- rising clock edge if Reset = '1' then data_Exists_I <= '0'; else data_Exists_I <= next_Data_Exists; end if; end if; end process Data_Exists_DFF; Data_Exists <= data_Exists_I; valid_Write <= FIFO_Write and (FIFO_Read or not buffer_Full); addr_cy(0) <= valid_Write; Addr_Counters : for I in 0 to C_ADDR_BITS - 1 generate begin hsum_A(I) <= (FIFO_Read xor addr(I)) and (FIFO_Write or not buffer_Empty); -- Don't need the last muxcy, addr_cy(C_ADDR_BITS) is not used anywhere Used_MuxCY: if I < C_ADDR_BITS - 1 generate begin MUXCY_L_I : MUXCY_L port map ( DI => addr(I), -- [in std_logic] CI => addr_cy(I), -- [in std_logic] S => hsum_A(I), -- [in std_logic] LO => addr_cy(I+1)); -- [out std_logic] end generate Used_MuxCY; XORCY_I : XORCY port map ( LI => hsum_A(I), -- [in std_logic] CI => addr_cy(I), -- [in std_logic] O => sum_A(I)); -- [out std_logic] FDRE_I : FDRE port map ( Q => addr(I), -- [out std_logic] C => Clk, -- [in std_logic] CE => data_Exists_I, -- [in std_logic] D => sum_A(I), -- [in std_logic] R => Reset); -- [in std_logic] end generate Addr_Counters; FIFO_RAM : for I in 0 to C_DATA_BITS - 1 generate begin D16 : if C_DEPTH = 16 generate begin SRL16E_I : SRL16E -- pragma translate_off generic map ( INIT => x"0000") -- pragma translate_on port map ( CE => valid_Write, -- [in std_logic] D => Data_In(I), -- [in std_logic] Clk => Clk, -- [in std_logic] A0 => Addr(0), -- [in std_logic] A1 => Addr(1), -- [in std_logic] A2 => Addr(2), -- [in std_logic] A3 => Addr(3), -- [in std_logic] Q => Data_Out(I)); -- [out std_logic] end generate D16; D32 : if C_DEPTH = 32 generate begin SRLC32E_I : SRLC32E -- pragma translate_off generic map ( INIT => x"00000000") -- pragma translate_on port map ( CE => valid_Write, -- [in std_logic] D => Data_In(I), -- [in std_logic] CLK => Clk, -- [in std_logic] A(4) => Addr(4), -- [in std_logic] A(3) => Addr(3), -- [in std_logic] A(2) => Addr(2), -- [in std_logic] A(1) => Addr(1), -- [in std_logic] A(0) => Addr(0), -- [in std_logic] Q31 => open, -- [out std_logic] Q => Data_Out(I)); -- [out std_logic] end generate D32; end generate FIFO_RAM; end architecture IMP;
------------------------------------------------------------------------------- -- srl_fifo.vhd ------------------------------------------------------------------------------- -- -- (c) Copyright 2003,2012,2014 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- ------------------------------------------------------------------------------- -- Filename: srl_fifo.vhd -- -- Description: -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- -- Structure: -- srl_fifo.vhd -- ------------------------------------------------------------------------------- -- Author: goran -- -- History: -- goran 2001-06-12 First Version -- stefana 2012-03-16 Added support for 32 processors and external BSCAN -- stefana 2013-11-01 Added support for depth 32 -- ------------------------------------------------------------------------------- -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_com" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port "*_i" -- device pins: "*_pin" -- ports: - Names begin with Uppercase -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC> ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; entity SRL_FIFO is generic ( C_DATA_BITS : natural := 8; C_DEPTH : natural := 16 ); port ( Clk : in std_logic; Reset : in std_logic; FIFO_Write : in std_logic; Data_In : in std_logic_vector(0 to C_DATA_BITS-1); FIFO_Read : in std_logic; Data_Out : out std_logic_vector(0 to C_DATA_BITS-1); FIFO_Full : out std_logic; Data_Exists : out std_logic ); end entity SRL_FIFO; library UNISIM; use UNISIM.VCOMPONENTS.ALL; architecture IMP of SRL_FIFO is constant C_ADDR_BITS : integer := 4 + boolean'pos(C_DEPTH = 32); signal Addr : std_logic_vector(0 to C_ADDR_BITS - 1); signal buffer_Full : std_logic; signal buffer_Empty : std_logic; signal next_Data_Exists : std_logic := '0'; signal data_Exists_I : std_logic := '0'; signal valid_Write : std_logic; signal hsum_A : std_logic_vector(0 to C_ADDR_BITS - 1); signal sum_A : std_logic_vector(0 to C_ADDR_BITS - 1); signal addr_cy : std_logic_vector(0 to C_ADDR_BITS - 1); begin -- architecture IMP assert (C_DEPTH = 16) or (C_DEPTH = 32) report "SRL FIFO: C_DEPTH must be 16 or 32" severity FAILURE; buffer_Full <= '1' when (Addr = (0 to C_ADDR_BITS - 1 => '1')) else '0'; FIFO_Full <= buffer_Full; buffer_Empty <= '1' when (Addr = (0 to C_ADDR_BITS - 1 => '0')) else '0'; next_Data_Exists <= (data_Exists_I and not buffer_Empty) or (buffer_Empty and FIFO_Write) or (data_Exists_I and not FIFO_Read); Data_Exists_DFF : process (Clk) is begin -- process Data_Exists_DFF if Clk'event and Clk = '1' then -- rising clock edge if Reset = '1' then data_Exists_I <= '0'; else data_Exists_I <= next_Data_Exists; end if; end if; end process Data_Exists_DFF; Data_Exists <= data_Exists_I; valid_Write <= FIFO_Write and (FIFO_Read or not buffer_Full); addr_cy(0) <= valid_Write; Addr_Counters : for I in 0 to C_ADDR_BITS - 1 generate begin hsum_A(I) <= (FIFO_Read xor addr(I)) and (FIFO_Write or not buffer_Empty); -- Don't need the last muxcy, addr_cy(C_ADDR_BITS) is not used anywhere Used_MuxCY: if I < C_ADDR_BITS - 1 generate begin MUXCY_L_I : MUXCY_L port map ( DI => addr(I), -- [in std_logic] CI => addr_cy(I), -- [in std_logic] S => hsum_A(I), -- [in std_logic] LO => addr_cy(I+1)); -- [out std_logic] end generate Used_MuxCY; XORCY_I : XORCY port map ( LI => hsum_A(I), -- [in std_logic] CI => addr_cy(I), -- [in std_logic] O => sum_A(I)); -- [out std_logic] FDRE_I : FDRE port map ( Q => addr(I), -- [out std_logic] C => Clk, -- [in std_logic] CE => data_Exists_I, -- [in std_logic] D => sum_A(I), -- [in std_logic] R => Reset); -- [in std_logic] end generate Addr_Counters; FIFO_RAM : for I in 0 to C_DATA_BITS - 1 generate begin D16 : if C_DEPTH = 16 generate begin SRL16E_I : SRL16E -- pragma translate_off generic map ( INIT => x"0000") -- pragma translate_on port map ( CE => valid_Write, -- [in std_logic] D => Data_In(I), -- [in std_logic] Clk => Clk, -- [in std_logic] A0 => Addr(0), -- [in std_logic] A1 => Addr(1), -- [in std_logic] A2 => Addr(2), -- [in std_logic] A3 => Addr(3), -- [in std_logic] Q => Data_Out(I)); -- [out std_logic] end generate D16; D32 : if C_DEPTH = 32 generate begin SRLC32E_I : SRLC32E -- pragma translate_off generic map ( INIT => x"00000000") -- pragma translate_on port map ( CE => valid_Write, -- [in std_logic] D => Data_In(I), -- [in std_logic] CLK => Clk, -- [in std_logic] A(4) => Addr(4), -- [in std_logic] A(3) => Addr(3), -- [in std_logic] A(2) => Addr(2), -- [in std_logic] A(1) => Addr(1), -- [in std_logic] A(0) => Addr(0), -- [in std_logic] Q31 => open, -- [out std_logic] Q => Data_Out(I)); -- [out std_logic] end generate D32; end generate FIFO_RAM; end architecture IMP;
------------------------------------------------------------------------------- -- srl_fifo.vhd ------------------------------------------------------------------------------- -- -- (c) Copyright 2003,2012,2014 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- ------------------------------------------------------------------------------- -- Filename: srl_fifo.vhd -- -- Description: -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- -- Structure: -- srl_fifo.vhd -- ------------------------------------------------------------------------------- -- Author: goran -- -- History: -- goran 2001-06-12 First Version -- stefana 2012-03-16 Added support for 32 processors and external BSCAN -- stefana 2013-11-01 Added support for depth 32 -- ------------------------------------------------------------------------------- -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_com" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port "*_i" -- device pins: "*_pin" -- ports: - Names begin with Uppercase -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC> ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; entity SRL_FIFO is generic ( C_DATA_BITS : natural := 8; C_DEPTH : natural := 16 ); port ( Clk : in std_logic; Reset : in std_logic; FIFO_Write : in std_logic; Data_In : in std_logic_vector(0 to C_DATA_BITS-1); FIFO_Read : in std_logic; Data_Out : out std_logic_vector(0 to C_DATA_BITS-1); FIFO_Full : out std_logic; Data_Exists : out std_logic ); end entity SRL_FIFO; library UNISIM; use UNISIM.VCOMPONENTS.ALL; architecture IMP of SRL_FIFO is constant C_ADDR_BITS : integer := 4 + boolean'pos(C_DEPTH = 32); signal Addr : std_logic_vector(0 to C_ADDR_BITS - 1); signal buffer_Full : std_logic; signal buffer_Empty : std_logic; signal next_Data_Exists : std_logic := '0'; signal data_Exists_I : std_logic := '0'; signal valid_Write : std_logic; signal hsum_A : std_logic_vector(0 to C_ADDR_BITS - 1); signal sum_A : std_logic_vector(0 to C_ADDR_BITS - 1); signal addr_cy : std_logic_vector(0 to C_ADDR_BITS - 1); begin -- architecture IMP assert (C_DEPTH = 16) or (C_DEPTH = 32) report "SRL FIFO: C_DEPTH must be 16 or 32" severity FAILURE; buffer_Full <= '1' when (Addr = (0 to C_ADDR_BITS - 1 => '1')) else '0'; FIFO_Full <= buffer_Full; buffer_Empty <= '1' when (Addr = (0 to C_ADDR_BITS - 1 => '0')) else '0'; next_Data_Exists <= (data_Exists_I and not buffer_Empty) or (buffer_Empty and FIFO_Write) or (data_Exists_I and not FIFO_Read); Data_Exists_DFF : process (Clk) is begin -- process Data_Exists_DFF if Clk'event and Clk = '1' then -- rising clock edge if Reset = '1' then data_Exists_I <= '0'; else data_Exists_I <= next_Data_Exists; end if; end if; end process Data_Exists_DFF; Data_Exists <= data_Exists_I; valid_Write <= FIFO_Write and (FIFO_Read or not buffer_Full); addr_cy(0) <= valid_Write; Addr_Counters : for I in 0 to C_ADDR_BITS - 1 generate begin hsum_A(I) <= (FIFO_Read xor addr(I)) and (FIFO_Write or not buffer_Empty); -- Don't need the last muxcy, addr_cy(C_ADDR_BITS) is not used anywhere Used_MuxCY: if I < C_ADDR_BITS - 1 generate begin MUXCY_L_I : MUXCY_L port map ( DI => addr(I), -- [in std_logic] CI => addr_cy(I), -- [in std_logic] S => hsum_A(I), -- [in std_logic] LO => addr_cy(I+1)); -- [out std_logic] end generate Used_MuxCY; XORCY_I : XORCY port map ( LI => hsum_A(I), -- [in std_logic] CI => addr_cy(I), -- [in std_logic] O => sum_A(I)); -- [out std_logic] FDRE_I : FDRE port map ( Q => addr(I), -- [out std_logic] C => Clk, -- [in std_logic] CE => data_Exists_I, -- [in std_logic] D => sum_A(I), -- [in std_logic] R => Reset); -- [in std_logic] end generate Addr_Counters; FIFO_RAM : for I in 0 to C_DATA_BITS - 1 generate begin D16 : if C_DEPTH = 16 generate begin SRL16E_I : SRL16E -- pragma translate_off generic map ( INIT => x"0000") -- pragma translate_on port map ( CE => valid_Write, -- [in std_logic] D => Data_In(I), -- [in std_logic] Clk => Clk, -- [in std_logic] A0 => Addr(0), -- [in std_logic] A1 => Addr(1), -- [in std_logic] A2 => Addr(2), -- [in std_logic] A3 => Addr(3), -- [in std_logic] Q => Data_Out(I)); -- [out std_logic] end generate D16; D32 : if C_DEPTH = 32 generate begin SRLC32E_I : SRLC32E -- pragma translate_off generic map ( INIT => x"00000000") -- pragma translate_on port map ( CE => valid_Write, -- [in std_logic] D => Data_In(I), -- [in std_logic] CLK => Clk, -- [in std_logic] A(4) => Addr(4), -- [in std_logic] A(3) => Addr(3), -- [in std_logic] A(2) => Addr(2), -- [in std_logic] A(1) => Addr(1), -- [in std_logic] A(0) => Addr(0), -- [in std_logic] Q31 => open, -- [out std_logic] Q => Data_Out(I)); -- [out std_logic] end generate D32; end generate FIFO_RAM; end architecture IMP;
------------------------------------------------------------------------------- -- srl_fifo.vhd ------------------------------------------------------------------------------- -- -- (c) Copyright 2003,2012,2014 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- ------------------------------------------------------------------------------- -- Filename: srl_fifo.vhd -- -- Description: -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- -- Structure: -- srl_fifo.vhd -- ------------------------------------------------------------------------------- -- Author: goran -- -- History: -- goran 2001-06-12 First Version -- stefana 2012-03-16 Added support for 32 processors and external BSCAN -- stefana 2013-11-01 Added support for depth 32 -- ------------------------------------------------------------------------------- -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_com" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port "*_i" -- device pins: "*_pin" -- ports: - Names begin with Uppercase -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC> ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; entity SRL_FIFO is generic ( C_DATA_BITS : natural := 8; C_DEPTH : natural := 16 ); port ( Clk : in std_logic; Reset : in std_logic; FIFO_Write : in std_logic; Data_In : in std_logic_vector(0 to C_DATA_BITS-1); FIFO_Read : in std_logic; Data_Out : out std_logic_vector(0 to C_DATA_BITS-1); FIFO_Full : out std_logic; Data_Exists : out std_logic ); end entity SRL_FIFO; library UNISIM; use UNISIM.VCOMPONENTS.ALL; architecture IMP of SRL_FIFO is constant C_ADDR_BITS : integer := 4 + boolean'pos(C_DEPTH = 32); signal Addr : std_logic_vector(0 to C_ADDR_BITS - 1); signal buffer_Full : std_logic; signal buffer_Empty : std_logic; signal next_Data_Exists : std_logic := '0'; signal data_Exists_I : std_logic := '0'; signal valid_Write : std_logic; signal hsum_A : std_logic_vector(0 to C_ADDR_BITS - 1); signal sum_A : std_logic_vector(0 to C_ADDR_BITS - 1); signal addr_cy : std_logic_vector(0 to C_ADDR_BITS - 1); begin -- architecture IMP assert (C_DEPTH = 16) or (C_DEPTH = 32) report "SRL FIFO: C_DEPTH must be 16 or 32" severity FAILURE; buffer_Full <= '1' when (Addr = (0 to C_ADDR_BITS - 1 => '1')) else '0'; FIFO_Full <= buffer_Full; buffer_Empty <= '1' when (Addr = (0 to C_ADDR_BITS - 1 => '0')) else '0'; next_Data_Exists <= (data_Exists_I and not buffer_Empty) or (buffer_Empty and FIFO_Write) or (data_Exists_I and not FIFO_Read); Data_Exists_DFF : process (Clk) is begin -- process Data_Exists_DFF if Clk'event and Clk = '1' then -- rising clock edge if Reset = '1' then data_Exists_I <= '0'; else data_Exists_I <= next_Data_Exists; end if; end if; end process Data_Exists_DFF; Data_Exists <= data_Exists_I; valid_Write <= FIFO_Write and (FIFO_Read or not buffer_Full); addr_cy(0) <= valid_Write; Addr_Counters : for I in 0 to C_ADDR_BITS - 1 generate begin hsum_A(I) <= (FIFO_Read xor addr(I)) and (FIFO_Write or not buffer_Empty); -- Don't need the last muxcy, addr_cy(C_ADDR_BITS) is not used anywhere Used_MuxCY: if I < C_ADDR_BITS - 1 generate begin MUXCY_L_I : MUXCY_L port map ( DI => addr(I), -- [in std_logic] CI => addr_cy(I), -- [in std_logic] S => hsum_A(I), -- [in std_logic] LO => addr_cy(I+1)); -- [out std_logic] end generate Used_MuxCY; XORCY_I : XORCY port map ( LI => hsum_A(I), -- [in std_logic] CI => addr_cy(I), -- [in std_logic] O => sum_A(I)); -- [out std_logic] FDRE_I : FDRE port map ( Q => addr(I), -- [out std_logic] C => Clk, -- [in std_logic] CE => data_Exists_I, -- [in std_logic] D => sum_A(I), -- [in std_logic] R => Reset); -- [in std_logic] end generate Addr_Counters; FIFO_RAM : for I in 0 to C_DATA_BITS - 1 generate begin D16 : if C_DEPTH = 16 generate begin SRL16E_I : SRL16E -- pragma translate_off generic map ( INIT => x"0000") -- pragma translate_on port map ( CE => valid_Write, -- [in std_logic] D => Data_In(I), -- [in std_logic] Clk => Clk, -- [in std_logic] A0 => Addr(0), -- [in std_logic] A1 => Addr(1), -- [in std_logic] A2 => Addr(2), -- [in std_logic] A3 => Addr(3), -- [in std_logic] Q => Data_Out(I)); -- [out std_logic] end generate D16; D32 : if C_DEPTH = 32 generate begin SRLC32E_I : SRLC32E -- pragma translate_off generic map ( INIT => x"00000000") -- pragma translate_on port map ( CE => valid_Write, -- [in std_logic] D => Data_In(I), -- [in std_logic] CLK => Clk, -- [in std_logic] A(4) => Addr(4), -- [in std_logic] A(3) => Addr(3), -- [in std_logic] A(2) => Addr(2), -- [in std_logic] A(1) => Addr(1), -- [in std_logic] A(0) => Addr(0), -- [in std_logic] Q31 => open, -- [out std_logic] Q => Data_Out(I)); -- [out std_logic] end generate D32; end generate FIFO_RAM; end architecture IMP;
------------------------------------------------------------------------------ -- This file is a part of the GRLIB VHDL IP LIBRARY -- Copyright (C) 2003 - 2008, Gaisler Research -- Copyright (C) 2008 - 2013, Aeroflex Gaisler -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation; either version 2 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ----------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; package spwcomp is component grspwc2 is generic( rmap : integer range 0 to 2 := 0; rmapcrc : integer range 0 to 1 := 0; fifosize1 : integer range 4 to 32 := 32; fifosize2 : integer range 16 to 64 := 64; rxunaligned : integer range 0 to 1 := 0; rmapbufs : integer range 2 to 8 := 4; scantest : integer range 0 to 1 := 0; ports : integer range 1 to 2 := 1; dmachan : integer range 1 to 4 := 1; tech : integer; input_type : integer range 0 to 4 := 0; output_type : integer range 0 to 2 := 0; rxtx_sameclk : integer range 0 to 1 := 0; nodeaddr : integer range 0 to 255 := 254; destkey : integer range 0 to 255 := 0); port( rst : in std_ulogic; clk : in std_ulogic; rxclk0 : in std_ulogic; rxclk1 : in std_ulogic; txclk : in std_ulogic; txclkn : in std_ulogic; --ahb mst in hgrant : in std_ulogic; hready : in std_ulogic; hresp : in std_logic_vector(1 downto 0); hrdata : in std_logic_vector(31 downto 0); --ahb mst out hbusreq : out std_ulogic; hlock : out std_ulogic; htrans : out std_logic_vector(1 downto 0); haddr : out std_logic_vector(31 downto 0); hwrite : out std_ulogic; hsize : out std_logic_vector(2 downto 0); hburst : out std_logic_vector(2 downto 0); hprot : out std_logic_vector(3 downto 0); hwdata : out std_logic_vector(31 downto 0); --apb slv in psel : in std_ulogic; penable : in std_ulogic; paddr : in std_logic_vector(31 downto 0); pwrite : in std_ulogic; pwdata : in std_logic_vector(31 downto 0); --apb slv out prdata : out std_logic_vector(31 downto 0); --spw in d : in std_logic_vector(3 downto 0); dv : in std_logic_vector(3 downto 0); dconnect : in std_logic_vector(3 downto 0); --spw out do : out std_logic_vector(3 downto 0); so : out std_logic_vector(3 downto 0); --time iface tickin : in std_ulogic; tickinraw : in std_ulogic; timein : in std_logic_vector(7 downto 0); tickindone : out std_ulogic; tickout : out std_ulogic; tickoutraw : out std_ulogic; timeout : out std_logic_vector(7 downto 0); --irq irq : out std_logic; --misc clkdiv10 : in std_logic_vector(7 downto 0); --rmapen rmapen : in std_ulogic; rmapnodeaddr : in std_logic_vector(7 downto 0); --rx ahb fifo rxrenable : out std_ulogic; rxraddress : out std_logic_vector(4 downto 0); rxwrite : out std_ulogic; rxwdata : out std_logic_vector(31 downto 0); rxwaddress : out std_logic_vector(4 downto 0); rxrdata : in std_logic_vector(31 downto 0); --tx ahb fifo txrenable : out std_ulogic; txraddress : out std_logic_vector(4 downto 0); txwrite : out std_ulogic; txwdata : out std_logic_vector(31 downto 0); txwaddress : out std_logic_vector(4 downto 0); txrdata : in std_logic_vector(31 downto 0); --nchar fifo ncrenable : out std_ulogic; ncraddress : out std_logic_vector(5 downto 0); ncwrite : out std_ulogic; ncwdata : out std_logic_vector(9 downto 0); ncwaddress : out std_logic_vector(5 downto 0); ncrdata : in std_logic_vector(9 downto 0); --rmap buf rmrenable : out std_ulogic; rmraddress : out std_logic_vector(7 downto 0); rmwrite : out std_ulogic; rmwdata : out std_logic_vector(7 downto 0); rmwaddress : out std_logic_vector(7 downto 0); rmrdata : in std_logic_vector(7 downto 0); linkdis : out std_ulogic; testrst : in std_ulogic := '0'; testen : in std_ulogic := '0'; --parallel rx data out rxdav : out std_ulogic; rxdataout : out std_logic_vector(8 downto 0); loopback : out std_ulogic ); end component; component grspwc is generic( sysfreq : integer := 40000; usegen : integer range 0 to 1 := 1; nsync : integer range 1 to 2 := 1; rmap : integer range 0 to 2 := 0; rmapcrc : integer range 0 to 1 := 0; fifosize1 : integer range 4 to 32 := 32; fifosize2 : integer range 16 to 64 := 64; rxunaligned : integer range 0 to 1 := 0; rmapbufs : integer range 2 to 8 := 4; scantest : integer range 0 to 1 := 0; ports : integer range 1 to 2 := 1; tech : integer; nodeaddr : integer range 0 to 255 := 254; destkey : integer range 0 to 255 := 0 ); port( rst : in std_ulogic; clk : in std_ulogic; txclk : in std_ulogic; --ahb mst in hgrant : in std_ulogic; hready : in std_ulogic; hresp : in std_logic_vector(1 downto 0); hrdata : in std_logic_vector(31 downto 0); --ahb mst out hbusreq : out std_ulogic; hlock : out std_ulogic; htrans : out std_logic_vector(1 downto 0); haddr : out std_logic_vector(31 downto 0); hwrite : out std_ulogic; hsize : out std_logic_vector(2 downto 0); hburst : out std_logic_vector(2 downto 0); hprot : out std_logic_vector(3 downto 0); hwdata : out std_logic_vector(31 downto 0); --apb slv in psel : in std_ulogic; penable : in std_ulogic; paddr : in std_logic_vector(31 downto 0); pwrite : in std_ulogic; pwdata : in std_logic_vector(31 downto 0); --apb slv out prdata : out std_logic_vector(31 downto 0); --spw in d : in std_logic_vector(1 downto 0); nd : in std_logic_vector(9 downto 0); dconnect : in std_logic_vector(3 downto 0); --spw out do : out std_logic_vector(1 downto 0); so : out std_logic_vector(1 downto 0); rxrsto : out std_ulogic; --time iface tickin : in std_ulogic; tickout : out std_ulogic; --irq irq : out std_logic; --misc clkdiv10 : in std_logic_vector(7 downto 0); dcrstval : in std_logic_vector(9 downto 0); timerrstval : in std_logic_vector(11 downto 0); --rmapen rmapen : in std_ulogic; rmapnodeaddr : in std_logic_vector(7 downto 0); --clk bufs rxclki : in std_logic_vector(1 downto 0); --rx ahb fifo rxrenable : out std_ulogic; rxraddress : out std_logic_vector(4 downto 0); rxwrite : out std_ulogic; rxwdata : out std_logic_vector(31 downto 0); rxwaddress : out std_logic_vector(4 downto 0); rxrdata : in std_logic_vector(31 downto 0); --tx ahb fifo txrenable : out std_ulogic; txraddress : out std_logic_vector(4 downto 0); txwrite : out std_ulogic; txwdata : out std_logic_vector(31 downto 0); txwaddress : out std_logic_vector(4 downto 0); txrdata : in std_logic_vector(31 downto 0); --nchar fifo ncrenable : out std_ulogic; ncraddress : out std_logic_vector(5 downto 0); ncwrite : out std_ulogic; ncwdata : out std_logic_vector(8 downto 0); ncwaddress : out std_logic_vector(5 downto 0); ncrdata : in std_logic_vector(8 downto 0); --rmap buf rmrenable : out std_ulogic; rmraddress : out std_logic_vector(7 downto 0); rmwrite : out std_ulogic; rmwdata : out std_logic_vector(7 downto 0); rmwaddress : out std_logic_vector(7 downto 0); rmrdata : in std_logic_vector(7 downto 0); linkdis : out std_ulogic; testclk : in std_ulogic := '0'; testrst : in std_ulogic := '0'; testen : in std_ulogic := '0'; rmapact : out std_ulogic ); end component; component grspwc_axcelerator is port( rst : in std_ulogic; clk : in std_ulogic; txclk : in std_ulogic; --ahb mst in hgrant : in std_ulogic; hready : in std_ulogic; hresp : in std_logic_vector(1 downto 0); hrdata : in std_logic_vector(31 downto 0); --ahb mst out hbusreq : out std_ulogic; hlock : out std_ulogic; htrans : out std_logic_vector(1 downto 0); haddr : out std_logic_vector(31 downto 0); hwrite : out std_ulogic; hsize : out std_logic_vector(2 downto 0); hburst : out std_logic_vector(2 downto 0); hprot : out std_logic_vector(3 downto 0); hwdata : out std_logic_vector(31 downto 0); --apb slv in psel : in std_ulogic; penable : in std_ulogic; paddr : in std_logic_vector(31 downto 0); pwrite : in std_ulogic; pwdata : in std_logic_vector(31 downto 0); --apb slv out prdata : out std_logic_vector(31 downto 0); --spw in d : in std_logic_vector(1 downto 0); nd : in std_logic_vector(1 downto 0); --spw out do : out std_logic_vector(1 downto 0); so : out std_logic_vector(1 downto 0); rxrsto : out std_ulogic; --time iface tickin : in std_ulogic; tickout : out std_ulogic; --irq irq : out std_logic; --misc clkdiv10 : in std_logic_vector(7 downto 0); dcrstval : in std_logic_vector(9 downto 0); timerrstval : in std_logic_vector(11 downto 0); --rmapen rmapen : in std_ulogic; rmapnodeaddr : in std_logic_vector(7 downto 0); --clk bufs rxclki : in std_logic_vector(1 downto 0); --rx ahb fifo rxrenable : out std_ulogic; rxraddress : out std_logic_vector(4 downto 0); rxwrite : out std_ulogic; rxwdata : out std_logic_vector(31 downto 0); rxwaddress : out std_logic_vector(4 downto 0); rxrdata : in std_logic_vector(31 downto 0); --tx ahb fifo txrenable : out std_ulogic; txraddress : out std_logic_vector(4 downto 0); txwrite : out std_ulogic; txwdata : out std_logic_vector(31 downto 0); txwaddress : out std_logic_vector(4 downto 0); txrdata : in std_logic_vector(31 downto 0); --nchar fifo ncrenable : out std_ulogic; ncraddress : out std_logic_vector(5 downto 0); ncwrite : out std_ulogic; ncwdata : out std_logic_vector(8 downto 0); ncwaddress : out std_logic_vector(5 downto 0); ncrdata : in std_logic_vector(8 downto 0); --rmap buf rmrenable : out std_ulogic; rmraddress : out std_logic_vector(7 downto 0); rmwrite : out std_ulogic; rmwdata : out std_logic_vector(7 downto 0); rmwaddress : out std_logic_vector(7 downto 0); rmrdata : in std_logic_vector(7 downto 0); linkdis : out std_ulogic; testclk : in std_ulogic := '0'; testrst : in std_ulogic := '0'; testen : in std_ulogic := '0' ); end component; component grspwc_unisim is port( rst : in std_ulogic; clk : in std_ulogic; txclk : in std_ulogic; --ahb mst in hgrant : in std_ulogic; hready : in std_ulogic; hresp : in std_logic_vector(1 downto 0); hrdata : in std_logic_vector(31 downto 0); --ahb mst out hbusreq : out std_ulogic; hlock : out std_ulogic; htrans : out std_logic_vector(1 downto 0); haddr : out std_logic_vector(31 downto 0); hwrite : out std_ulogic; hsize : out std_logic_vector(2 downto 0); hburst : out std_logic_vector(2 downto 0); hprot : out std_logic_vector(3 downto 0); hwdata : out std_logic_vector(31 downto 0); --apb slv in psel : in std_ulogic; penable : in std_ulogic; paddr : in std_logic_vector(31 downto 0); pwrite : in std_ulogic; pwdata : in std_logic_vector(31 downto 0); --apb slv out prdata : out std_logic_vector(31 downto 0); --spw in d : in std_logic_vector(1 downto 0); nd : in std_logic_vector(1 downto 0); --spw out do : out std_logic_vector(1 downto 0); so : out std_logic_vector(1 downto 0); rxrsto : out std_ulogic; --time iface tickin : in std_ulogic; tickout : out std_ulogic; --irq irq : out std_logic; --misc clkdiv10 : in std_logic_vector(7 downto 0); dcrstval : in std_logic_vector(9 downto 0); timerrstval : in std_logic_vector(11 downto 0); --rmapen rmapen : in std_ulogic; rmapnodeaddr : in std_logic_vector(7 downto 0); --clk bufs rxclki : in std_logic_vector(1 downto 0); --rx ahb fifo rxrenable : out std_ulogic; rxraddress : out std_logic_vector(4 downto 0); rxwrite : out std_ulogic; rxwdata : out std_logic_vector(31 downto 0); rxwaddress : out std_logic_vector(4 downto 0); rxrdata : in std_logic_vector(31 downto 0); --tx ahb fifo txrenable : out std_ulogic; txraddress : out std_logic_vector(4 downto 0); txwrite : out std_ulogic; txwdata : out std_logic_vector(31 downto 0); txwaddress : out std_logic_vector(4 downto 0); txrdata : in std_logic_vector(31 downto 0); --nchar fifo ncrenable : out std_ulogic; ncraddress : out std_logic_vector(5 downto 0); ncwrite : out std_ulogic; ncwdata : out std_logic_vector(8 downto 0); ncwaddress : out std_logic_vector(5 downto 0); ncrdata : in std_logic_vector(8 downto 0); --rmap buf rmrenable : out std_ulogic; rmraddress : out std_logic_vector(7 downto 0); rmwrite : out std_ulogic; rmwdata : out std_logic_vector(7 downto 0); rmwaddress : out std_logic_vector(7 downto 0); rmrdata : in std_logic_vector(7 downto 0); linkdis : out std_ulogic; testclk : in std_ulogic := '0'; testrst : in std_ulogic := '0'; testen : in std_ulogic := '0' ); end component; component grspw_gen is generic( tech : integer := 0; sysfreq : integer := 10000; usegen : integer range 0 to 1 := 1; nsync : integer range 1 to 2 := 1; rmap : integer range 0 to 2 := 0; rmapcrc : integer range 0 to 1 := 0; fifosize1 : integer range 4 to 32 := 32; fifosize2 : integer range 16 to 64 := 64; rxclkbuftype : integer range 0 to 2 := 0; rxunaligned : integer range 0 to 1 := 0; rmapbufs : integer range 2 to 8 := 4; ft : integer range 0 to 2 := 0; scantest : integer range 0 to 1 := 0; techfifo : integer range 0 to 1 := 1; ports : integer range 1 to 2 := 1; memtech : integer := 0; nodeaddr : integer range 0 to 255 := 254; destkey : integer range 0 to 255 := 0 ); port( rst : in std_ulogic; clk : in std_ulogic; txclk : in std_ulogic; rxclk : in std_logic_vector(1 downto 0); --ahb mst in hgrant : in std_ulogic; hready : in std_ulogic; hresp : in std_logic_vector(1 downto 0); hrdata : in std_logic_vector(31 downto 0); --ahb mst out hbusreq : out std_ulogic; hlock : out std_ulogic; htrans : out std_logic_vector(1 downto 0); haddr : out std_logic_vector(31 downto 0); hwrite : out std_ulogic; hsize : out std_logic_vector(2 downto 0); hburst : out std_logic_vector(2 downto 0); hprot : out std_logic_vector(3 downto 0); hwdata : out std_logic_vector(31 downto 0); --apb slv in psel : in std_ulogic; penable : in std_ulogic; paddr : in std_logic_vector(31 downto 0); pwrite : in std_ulogic; pwdata : in std_logic_vector(31 downto 0); --apb slv out prdata : out std_logic_vector(31 downto 0); --spw in d : in std_logic_vector(1 downto 0); nd : in std_logic_vector(9 downto 0); dconnect : in std_logic_vector(3 downto 0); --spw out do : out std_logic_vector(1 downto 0); so : out std_logic_vector(1 downto 0); rxrsto : out std_ulogic; --time iface tickin : in std_ulogic; tickout : out std_ulogic; --irq irq : out std_logic; --misc clkdiv10 : in std_logic_vector(7 downto 0); dcrstval : in std_logic_vector(9 downto 0); timerrstval : in std_logic_vector(11 downto 0); --rmapen rmapen : in std_ulogic; rmapnodeaddr : in std_logic_vector(7 downto 0); linkdis : out std_ulogic; testclk : in std_ulogic := '0'; testrst : in std_ulogic := '0'; testen : in std_ulogic := '0' ); end component; component grspw_codec_core is generic( ports : integer range 1 to 2 := 1; input_type : integer range 0 to 4 := 0; output_type : integer range 0 to 2 := 0; rxtx_sameclk : integer range 0 to 1 := 0; fifosize : integer range 16 to 2048 := 64; tech : integer; scantest : integer range 0 to 1 := 0 ); port( rst : in std_ulogic; clk : in std_ulogic; rxclk0 : in std_ulogic; rxclk1 : in std_ulogic; txclk : in std_ulogic; txclkn : in std_ulogic; testen : in std_ulogic; testrst : in std_ulogic; --spw in d : in std_logic_vector(3 downto 0); dv : in std_logic_vector(3 downto 0); dconnect : in std_logic_vector(3 downto 0); --spw out do : out std_logic_vector(3 downto 0); so : out std_logic_vector(3 downto 0); --link fsm linkdisabled : in std_ulogic; linkstart : in std_ulogic; autostart : in std_ulogic; portsel : in std_ulogic; noportforce : in std_ulogic; rdivisor : in std_logic_vector(7 downto 0); idivisor : in std_logic_vector(7 downto 0); state : out std_logic_vector(2 downto 0); actport : out std_ulogic; dconnecterr : out std_ulogic; crederr : out std_ulogic; escerr : out std_ulogic; parerr : out std_ulogic; --rx fifo signals rxrenable : out std_ulogic; rxraddress : out std_logic_vector(10 downto 0); rxwrite : out std_ulogic; rxwdata : out std_logic_vector(9 downto 0); rxwaddress : out std_logic_vector(10 downto 0); rxrdata : in std_logic_vector(9 downto 0); rxaccess : out std_ulogic; --rx iface rxicharav : out std_ulogic; rxicharcnt : out std_logic_vector(11 downto 0); rxichar : out std_logic_vector(8 downto 0); rxiread : in std_ulogic; rxififorst : in std_ulogic; --tx fifo signals txrenable : out std_ulogic; txraddress : out std_logic_vector(10 downto 0); txwrite : out std_ulogic; txwdata : out std_logic_vector(8 downto 0); txwaddress : out std_logic_vector(10 downto 0); txrdata : in std_logic_vector(8 downto 0); txaccess : out std_ulogic; --tx iface txicharcnt : out std_logic_vector(11 downto 0); txifull : out std_ulogic; txiempty : out std_ulogic; txiwrite : in std_ulogic; txichar : in std_logic_vector(8 downto 0); txififorst : in std_ulogic; txififorstact: out std_ulogic; --time iface tickin : in std_ulogic; timein : in std_logic_vector(7 downto 0); tickin_done : out std_ulogic; tickout : out std_ulogic; timeout : out std_logic_vector(7 downto 0) ); end component; component grspw2_gen is generic( rmap : integer range 0 to 2 := 0; rmapcrc : integer range 0 to 1 := 0; fifosize1 : integer range 4 to 32 := 32; fifosize2 : integer range 16 to 64 := 64; rxunaligned : integer range 0 to 1 := 0; rmapbufs : integer range 2 to 8 := 4; scantest : integer range 0 to 1 := 0; ports : integer range 1 to 2 := 1; dmachan : integer range 1 to 4 := 1; tech : integer; input_type : integer range 0 to 4 := 0; output_type : integer range 0 to 2 := 0; rxtx_sameclk : integer range 0 to 1 := 0; ft : integer range 0 to 2 := 0; techfifo : integer range 0 to 1 := 1; memtech : integer := 0; nodeaddr : integer range 0 to 255 := 254; destkey : integer range 0 to 255 := 0); port( rst : in std_ulogic; clk : in std_ulogic; rxclk0 : in std_ulogic; rxclk1 : in std_ulogic; txclk : in std_ulogic; txclkn : in std_ulogic; --ahb mst in hgrant : in std_ulogic; hready : in std_ulogic; hresp : in std_logic_vector(1 downto 0); hrdata : in std_logic_vector(31 downto 0); --ahb mst out hbusreq : out std_ulogic; hlock : out std_ulogic; htrans : out std_logic_vector(1 downto 0); haddr : out std_logic_vector(31 downto 0); hwrite : out std_ulogic; hsize : out std_logic_vector(2 downto 0); hburst : out std_logic_vector(2 downto 0); hprot : out std_logic_vector(3 downto 0); hwdata : out std_logic_vector(31 downto 0); --apb slv in psel : in std_ulogic; penable : in std_ulogic; paddr : in std_logic_vector(31 downto 0); pwrite : in std_ulogic; pwdata : in std_logic_vector(31 downto 0); --apb slv out prdata : out std_logic_vector(31 downto 0); --spw in d : in std_logic_vector(3 downto 0); dv : in std_logic_vector(3 downto 0); dconnect : in std_logic_vector(3 downto 0); --spw out do : out std_logic_vector(3 downto 0); so : out std_logic_vector(3 downto 0); --time iface tickin : in std_ulogic; tickinraw : in std_ulogic; timein : in std_logic_vector(7 downto 0); tickindone : out std_ulogic; tickout : out std_ulogic; tickoutraw : out std_ulogic; timeout : out std_logic_vector(7 downto 0); --irq irq : out std_logic; --misc clkdiv10 : in std_logic_vector(7 downto 0); linkdis : out std_ulogic; testrst : in std_ulogic := '0'; testen : in std_ulogic := '0'; --rmapen rmapen : in std_ulogic; rmapnodeaddr : in std_logic_vector(7 downto 0); --parallel rx data out rxdav : out std_ulogic; rxdataout : out std_logic_vector(8 downto 0) ); end component; component grspw_codec_gen is generic( ports : integer range 1 to 2 := 1; input_type : integer range 0 to 4 := 0; output_type : integer range 0 to 2 := 0; rxtx_sameclk : integer range 0 to 1 := 0; fifosize : integer range 16 to 2048 := 64; tech : integer; scantest : integer range 0 to 1 := 0; techfifo : integer range 0 to 1 := 0; ft : integer range 0 to 2 := 0 ); port( rst : in std_ulogic; clk : in std_ulogic; rxclk0 : in std_ulogic; rxclk1 : in std_ulogic; txclk : in std_ulogic; txclkn : in std_ulogic; testen : in std_ulogic; testrst : in std_ulogic; --spw in d : in std_logic_vector(3 downto 0); dv : in std_logic_vector(3 downto 0); dconnect : in std_logic_vector(3 downto 0); --spw out do : out std_logic_vector(3 downto 0); so : out std_logic_vector(3 downto 0); --link fsm linkdisabled : in std_ulogic; linkstart : in std_ulogic; autostart : in std_ulogic; portsel : in std_ulogic; noportforce : in std_ulogic; rdivisor : in std_logic_vector(7 downto 0); idivisor : in std_logic_vector(7 downto 0); state : out std_logic_vector(2 downto 0); actport : out std_ulogic; dconnecterr : out std_ulogic; crederr : out std_ulogic; escerr : out std_ulogic; parerr : out std_ulogic; --rx iface rxicharav : out std_ulogic; rxicharcnt : out std_logic_vector(11 downto 0); rxichar : out std_logic_vector(8 downto 0); rxiread : in std_ulogic; rxififorst : in std_ulogic; --tx iface txicharcnt : out std_logic_vector(11 downto 0); txifull : out std_ulogic; txiempty : out std_ulogic; txiwrite : in std_ulogic; txichar : in std_logic_vector(8 downto 0); txififorst : in std_ulogic; txififorstact: out std_ulogic; --time iface tickin : in std_ulogic; timein : in std_logic_vector(7 downto 0); tickin_done : out std_ulogic; tickout : out std_ulogic; timeout : out std_logic_vector(7 downto 0); --misc merror : out std_ulogic ); end component; end package;
-- (c) Copyright 2012 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. ------------------------------------------------------------ ------------------------------------------------------------------------------- -- Filename: axi_dma_mm2s_sg_if.vhd -- Description: This entity is the MM2S Scatter Gather Interface for Descriptor -- Fetches and Updates. -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use ieee.std_logic_misc.all; library unisim; use unisim.vcomponents.all; library axi_dma_v7_1; use axi_dma_v7_1.axi_dma_pkg.all; library proc_common_v4_0; use proc_common_v4_0.srl_fifo_f; ------------------------------------------------------------------------------- entity axi_dma_mm2s_sg_if is generic ( C_PRMRY_IS_ACLK_ASYNC : integer range 0 to 1 := 0 ; -- Primary MM2S/S2MM sync/async mode -- 0 = synchronous mode - all clocks are synchronous -- 1 = asynchronous mode - Any one of the 4 clock inputs is not -- synchronous to the other ----------------------------------------------------------------------- -- Scatter Gather Parameters ----------------------------------------------------------------------- C_SG_INCLUDE_STSCNTRL_STRM : integer range 0 to 1 := 1 ; -- Include or Exclude AXI Status and AXI Control Streams -- 0 = Exclude Status and Control Streams -- 1 = Include Status and Control Streams C_SG_INCLUDE_DESC_QUEUE : integer range 0 to 1 := 0 ; -- Include or Exclude Scatter Gather Descriptor Queuing -- 0 = Exclude SG Descriptor Queuing -- 1 = Include SG Descriptor Queuing C_M_AXIS_SG_TDATA_WIDTH : integer range 32 to 32 := 32 ; -- AXI Master Stream in for descriptor fetch C_S_AXIS_UPDPTR_TDATA_WIDTH : integer range 32 to 32 := 32 ; -- 32 Update Status Bits C_S_AXIS_UPDSTS_TDATA_WIDTH : integer range 33 to 33 := 33 ; -- 1 IOC bit + 32 Update Status Bits C_M_AXI_SG_ADDR_WIDTH : integer range 32 to 64 := 32 ; -- Master AXI Memory Map Data Width for Scatter Gather R/W Port C_M_AXI_MM2S_ADDR_WIDTH : integer range 32 to 64 := 32 ; -- Master AXI Memory Map Address Width for MM2S Read Port C_M_AXIS_MM2S_CNTRL_TDATA_WIDTH : integer range 32 to 32 := 32 ; -- Master AXI Control Stream Data Width C_ENABLE_MULTI_CHANNEL : integer range 0 to 1 := 0 ; C_MICRO_DMA : integer range 0 to 1 := 0; C_FAMILY : string := "virtex5" -- Target FPGA Device Family ); port ( m_axi_sg_aclk : in std_logic ; -- m_axi_sg_aresetn : in std_logic ; -- -- -- SG MM2S Descriptor Fetch AXI Stream In -- m_axis_mm2s_ftch_tdata : in std_logic_vector -- (C_M_AXIS_SG_TDATA_WIDTH-1 downto 0); -- m_axis_mm2s_ftch_tvalid : in std_logic ; -- m_axis_mm2s_ftch_tready : out std_logic ; -- m_axis_mm2s_ftch_tlast : in std_logic ; -- m_axis_mm2s_ftch_tdata_new : in std_logic_vector -- (96 downto 0); -- m_axis_mm2s_ftch_tdata_mcdma_new : in std_logic_vector -- (63 downto 0); -- m_axis_mm2s_ftch_tvalid_new : in std_logic ; -- m_axis_ftch1_desc_available : in std_logic; -- -- -- SG MM2S Descriptor Update AXI Stream Out -- s_axis_mm2s_updtptr_tdata : out std_logic_vector -- (C_S_AXIS_UPDPTR_TDATA_WIDTH-1 downto 0); -- s_axis_mm2s_updtptr_tvalid : out std_logic ; -- s_axis_mm2s_updtptr_tready : in std_logic ; -- s_axis_mm2s_updtptr_tlast : out std_logic ; -- -- s_axis_mm2s_updtsts_tdata : out std_logic_vector -- (C_S_AXIS_UPDSTS_TDATA_WIDTH-1 downto 0); -- s_axis_mm2s_updtsts_tvalid : out std_logic ; -- s_axis_mm2s_updtsts_tready : in std_logic ; -- s_axis_mm2s_updtsts_tlast : out std_logic ; -- -- -- -- MM2S Descriptor Fetch Request (from mm2s_sm) -- desc_available : out std_logic ; -- desc_fetch_req : in std_logic ; -- desc_fetch_done : out std_logic ; -- updt_pending : out std_logic ; packet_in_progress : out std_logic ; -- -- -- MM2S Descriptor Update Request (from mm2s_sm) -- desc_update_done : out std_logic ; -- -- mm2s_sts_received_clr : out std_logic ; -- mm2s_sts_received : in std_logic ; -- mm2s_ftch_stale_desc : in std_logic ; -- mm2s_done : in std_logic ; -- mm2s_interr : in std_logic ; -- mm2s_slverr : in std_logic ; -- mm2s_decerr : in std_logic ; -- mm2s_tag : in std_logic_vector(3 downto 0) ; -- mm2s_halt : in std_logic ; -- -- -- Control Stream Output -- cntrlstrm_fifo_wren : out std_logic ; -- cntrlstrm_fifo_din : out std_logic_vector -- (C_M_AXIS_MM2S_CNTRL_TDATA_WIDTH downto 0); -- cntrlstrm_fifo_full : in std_logic ; -- -- -- -- MM2S Descriptor Field Output -- mm2s_new_curdesc : out std_logic_vector -- (C_M_AXI_SG_ADDR_WIDTH-1 downto 0) ; -- mm2s_new_curdesc_wren : out std_logic ; -- -- mm2s_desc_baddress : out std_logic_vector -- (C_M_AXI_MM2S_ADDR_WIDTH-1 downto 0); -- mm2s_desc_blength : out std_logic_vector -- (BUFFER_LENGTH_WIDTH-1 downto 0) ; -- mm2s_desc_blength_v : out std_logic_vector -- (BUFFER_LENGTH_WIDTH-1 downto 0) ; -- mm2s_desc_blength_s : out std_logic_vector -- (BUFFER_LENGTH_WIDTH-1 downto 0) ; -- mm2s_desc_eof : out std_logic ; -- mm2s_desc_sof : out std_logic ; -- mm2s_desc_cmplt : out std_logic ; -- mm2s_desc_info : out std_logic_vector -- (C_M_AXIS_SG_TDATA_WIDTH-1 downto 0) ; -- mm2s_desc_app0 : out std_logic_vector -- (C_M_AXIS_SG_TDATA_WIDTH-1 downto 0) ; -- mm2s_desc_app1 : out std_logic_vector -- (C_M_AXIS_SG_TDATA_WIDTH-1 downto 0) ; -- mm2s_desc_app2 : out std_logic_vector -- (C_M_AXIS_SG_TDATA_WIDTH-1 downto 0) ; -- mm2s_desc_app3 : out std_logic_vector -- (C_M_AXIS_SG_TDATA_WIDTH-1 downto 0) ; -- mm2s_desc_app4 : out std_logic_vector -- (C_M_AXIS_SG_TDATA_WIDTH-1 downto 0) -- ); end axi_dma_mm2s_sg_if; ------------------------------------------------------------------------------- -- Architecture ------------------------------------------------------------------------------- architecture implementation of axi_dma_mm2s_sg_if is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; ATTRIBUTE async_reg : STRING; ------------------------------------------------------------------------------- -- Functions ------------------------------------------------------------------------------- -- No Functions Declared ------------------------------------------------------------------------------- -- Constants Declarations ------------------------------------------------------------------------------- -- Status reserved bits constant RESERVED_STS : std_logic_vector(4 downto 0) := (others => '0'); -- Used to determine when Control word is coming, in order to check SOF bit. -- This then indicates that the app fields need to be directed towards the -- control stream fifo. -- Word Five Count -- Incrementing these counts by 2 as i am now sending two extra fields from BD --constant SEVEN_COUNT : std_logic_vector(3 downto 0) := "1011"; --"0111"; constant SEVEN_COUNT : std_logic_vector(3 downto 0) := "0001"; -- Word Six Count --constant EIGHT_COUNT : std_logic_vector(3 downto 0) := "0101"; --"1000"; constant EIGHT_COUNT : std_logic_vector(3 downto 0) := "0010"; -- Word Seven Count --constant NINE_COUNT : std_logic_vector(3 downto 0) := "1010"; --"1001"; constant NINE_COUNT : std_logic_vector(3 downto 0) := "0011"; ------------------------------------------------------------------------------- -- Signal / Type Declarations ------------------------------------------------------------------------------- signal ftch_shftenbl : std_logic := '0'; signal ftch_tready : std_logic := '0'; signal desc_fetch_done_i : std_logic := '0'; signal desc_reg12 : std_logic_vector(C_M_AXIS_SG_TDATA_WIDTH - 1 downto 0) := (others => '0'); signal desc_reg11 : std_logic_vector(C_M_AXIS_SG_TDATA_WIDTH - 1 downto 0) := (others => '0'); signal desc_reg10 : std_logic_vector(C_M_AXIS_SG_TDATA_WIDTH - 1 downto 0) := (others => '0'); signal desc_reg9 : std_logic_vector(C_M_AXIS_SG_TDATA_WIDTH - 1 downto 0) := (others => '0'); signal desc_reg8 : std_logic_vector(C_M_AXIS_SG_TDATA_WIDTH - 1 downto 0) := (others => '0'); signal desc_reg7 : std_logic_vector(C_M_AXIS_SG_TDATA_WIDTH - 1 downto 0) := (others => '0'); signal desc_reg6 : std_logic_vector(C_M_AXIS_SG_TDATA_WIDTH - 1 downto 0) := (others => '0'); signal desc_reg5 : std_logic_vector(C_M_AXIS_SG_TDATA_WIDTH - 1 downto 0) := (others => '0'); signal desc_reg4 : std_logic_vector(C_M_AXIS_SG_TDATA_WIDTH - 1 downto 0) := (others => '0'); signal desc_reg3 : std_logic_vector(C_M_AXIS_SG_TDATA_WIDTH - 1 downto 0) := (others => '0'); signal desc_reg2 : std_logic_vector(C_M_AXIS_SG_TDATA_WIDTH - 1 downto 0) := (others => '0'); signal desc_reg1 : std_logic_vector(C_M_AXIS_SG_TDATA_WIDTH - 1 downto 0) := (others => '0'); signal desc_reg0 : std_logic_vector(C_M_AXIS_SG_TDATA_WIDTH - 1 downto 0) := (others => '0'); signal desc_dummy : std_logic_vector(C_M_AXIS_SG_TDATA_WIDTH - 1 downto 0) := (others => '0'); signal desc_dummy1 : std_logic_vector(C_M_AXIS_SG_TDATA_WIDTH - 1 downto 0) := (others => '0'); signal mm2s_desc_curdesc_lsb : std_logic_vector(C_M_AXIS_SG_TDATA_WIDTH - 1 downto 0) := (others => '0'); signal mm2s_desc_curdesc_msb : std_logic_vector(C_M_AXIS_SG_TDATA_WIDTH - 1 downto 0) := (others => '0'); signal mm2s_desc_baddr_lsb : std_logic_vector(C_M_AXIS_SG_TDATA_WIDTH - 1 downto 0) := (others => '0'); signal mm2s_desc_baddr_msb : std_logic_vector(C_M_AXIS_SG_TDATA_WIDTH - 1 downto 0) := (others => '0'); signal mm2s_desc_blength_i : std_logic_vector(BUFFER_LENGTH_WIDTH - 1 downto 0) := (others => '0'); signal mm2s_desc_blength_v_i : std_logic_vector(BUFFER_LENGTH_WIDTH - 1 downto 0) := (others => '0'); signal mm2s_desc_blength_s_i : std_logic_vector(BUFFER_LENGTH_WIDTH - 1 downto 0) := (others => '0'); -- Fetch control signals for driving out control app stream signal analyze_control : std_logic := '0'; signal redirect_app : std_logic := '0'; signal redirect_app_d1 : std_logic := '0'; signal redirect_app_re : std_logic := '0'; signal redirect_app_hold : std_logic := '0'; signal mask_fifo_write : std_logic := '0'; -- Current descriptor control and fetch throttle control signal mm2s_new_curdesc_wren_i : std_logic := '0'; signal mm2s_pending_update : std_logic := '0'; signal mm2s_pending_ptr_updt : std_logic := '0'; -- Descriptor Update Signals signal mm2s_complete : std_logic := '0'; signal mm2s_xferd_bytes : std_logic_vector(BUFFER_LENGTH_WIDTH-1 downto 0) := (others => '0'); signal mm2s_xferd_bytes_int : std_logic_vector(BUFFER_LENGTH_WIDTH-1 downto 0) := (others => '0'); -- Update Descriptor Pointer Holding Registers signal updt_desc_reg0 : std_logic_vector(C_S_AXIS_UPDPTR_TDATA_WIDTH downto 0) := (others => '0'); signal updt_desc_reg1 : std_logic_vector(C_S_AXIS_UPDPTR_TDATA_WIDTH downto 0) := (others => '0'); -- Update Descriptor Status Holding Register signal updt_desc_reg2 : std_logic_vector(C_S_AXIS_UPDSTS_TDATA_WIDTH downto 0) := (others => '0'); -- Pointer shift control signal updt_shftenbl : std_logic := '0'; -- Update pointer stream signal updtptr_tvalid : std_logic := '0'; signal updtptr_tlast : std_logic := '0'; signal updtptr_tdata : std_logic_vector(C_S_AXIS_UPDPTR_TDATA_WIDTH-1 downto 0) := (others => '0'); -- Update status stream signal updtsts_tvalid : std_logic := '0'; signal updtsts_tlast : std_logic := '0'; signal updtsts_tdata : std_logic_vector(C_S_AXIS_UPDSTS_TDATA_WIDTH-1 downto 0) := (others => '0'); -- Status control signal sts_received : std_logic := '0'; signal sts_received_d1 : std_logic := '0'; signal sts_received_re : std_logic := '0'; -- Queued Update signals signal updt_data_clr : std_logic := '0'; signal updt_sts_clr : std_logic := '0'; signal updt_data : std_logic := '0'; signal updt_sts : std_logic := '0'; signal packet_start : std_logic := '0'; signal packet_end : std_logic := '0'; signal mm2s_halt_d1_cdc_tig : std_logic := '0'; signal mm2s_halt_cdc_d2 : std_logic := '0'; signal mm2s_halt_d2 : std_logic := '0'; --ATTRIBUTE async_reg OF mm2s_halt_d1_cdc_tig : SIGNAL IS "true"; --ATTRIBUTE async_reg OF mm2s_halt_cdc_d2 : SIGNAL IS "true"; signal temp : std_logic := '0'; signal m_axis_mm2s_ftch_tlast_new : std_logic := '1'; ------------------------------------------------------------------------------- -- Begin architecture logic ------------------------------------------------------------------------------- begin -- Drive buffer length out mm2s_desc_blength <= mm2s_desc_blength_i; mm2s_desc_blength_v <= mm2s_desc_blength_v_i; mm2s_desc_blength_s <= mm2s_desc_blength_s_i; -- Drive fetch request done on tlast desc_fetch_done_i <= m_axis_mm2s_ftch_tlast_new and m_axis_mm2s_ftch_tvalid_new; -- pass out of module desc_fetch_done <= desc_fetch_done_i; -- Shift in data from SG engine if tvalid and fetch request ftch_shftenbl <= m_axis_mm2s_ftch_tvalid_new and ftch_tready and desc_fetch_req and not mm2s_pending_update; -- Passed curdes write out to register module mm2s_new_curdesc_wren <= desc_fetch_done_i; --mm2s_new_curdesc_wren_i; -- tvalid asserted means descriptor availble desc_available <= m_axis_ftch1_desc_available; --m_axis_mm2s_ftch_tvalid_new; --***************************************************************************-- --** Register DataMover Halt to secondary if needed --***************************************************************************-- GEN_FOR_ASYNC : if C_PRMRY_IS_ACLK_ASYNC = 1 generate begin -- Double register to secondary clock domain. This is sufficient -- because halt will remain asserted until halt_cmplt detected in -- reset module in secondary clock domain. REG_TO_SECONDARY : entity proc_common_v4_0.cdc_sync generic map ( C_CDC_TYPE => 1, C_RESET_STATE => 0, C_SINGLE_BIT => 1, C_VECTOR_WIDTH => 32, C_MTBF_STAGES => MTBF_STAGES ) port map ( prmry_aclk => '0', prmry_resetn => '0', prmry_in => mm2s_halt, prmry_vect_in => (others => '0'), scndry_aclk => m_axi_sg_aclk, scndry_resetn => '0', scndry_out => mm2s_halt_cdc_d2, scndry_vect_out => open ); -- REG_TO_SECONDARY : process(m_axi_sg_aclk) -- begin -- if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then -- -- if(m_axi_sg_aresetn = '0')then -- -- mm2s_halt_d1_cdc_tig <= '0'; -- -- mm2s_halt_d2 <= '0'; -- -- else -- mm2s_halt_d1_cdc_tig <= mm2s_halt; -- mm2s_halt_cdc_d2 <= mm2s_halt_d1_cdc_tig; -- -- end if; -- end if; -- end process REG_TO_SECONDARY; mm2s_halt_d2 <= mm2s_halt_cdc_d2; end generate GEN_FOR_ASYNC; GEN_FOR_SYNC : if C_PRMRY_IS_ACLK_ASYNC = 0 generate begin -- No clock crossing required therefore simple pass through mm2s_halt_d2 <= mm2s_halt; end generate GEN_FOR_SYNC; --***************************************************************************-- --** Descriptor Fetch Logic **-- --***************************************************************************-- packet_start <= '1' when mm2s_new_curdesc_wren_i ='1' and desc_reg6(DESC_SOF_BIT) = '1' else '0'; packet_end <= '1' when mm2s_new_curdesc_wren_i ='1' and desc_reg6(DESC_EOF_BIT) = '1' else '0'; REG_PACKET_PROGRESS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0' or packet_end = '1')then packet_in_progress <= '0'; elsif(packet_start = '1')then packet_in_progress <= '1'; end if; end if; end process REG_PACKET_PROGRESS; -- Status/Control stream enabled therefore APP fields are included GEN_FTCHIF_WITH_APP : if (C_SG_INCLUDE_STSCNTRL_STRM = 1 and C_ENABLE_MULTI_CHANNEL = 0) generate -- Control Stream Ethernet TAG constant ETHERNET_CNTRL_TAG : std_logic_vector (C_M_AXIS_MM2S_CNTRL_TDATA_WIDTH - 1 downto 0) := X"A000_0000"; begin desc_reg7(30 downto 0) <= (others => '0'); desc_reg7 (DESC_STS_CMPLTD_BIT) <= m_axis_mm2s_ftch_tdata_new (64); -- downto 64); desc_reg6 <= m_axis_mm2s_ftch_tdata_new (63 downto 32); desc_reg2 <= m_axis_mm2s_ftch_tdata_new (31 downto 0); desc_reg0 <= m_axis_mm2s_ftch_tdata_new (96 downto 65); mm2s_desc_curdesc_lsb <= desc_reg0; mm2s_desc_curdesc_msb <= (others => '0'); --desc_reg1; mm2s_desc_baddr_lsb <= desc_reg2; mm2s_desc_baddr_msb <= (others => '0'); --desc_reg3; -- desc 5 are reserved and thus don't care -- CR 583779, need to pass on tuser and cache information mm2s_desc_info <= (others => '0'); --desc_reg4; -- this coincides with desc_fetch_done mm2s_desc_blength_i <= desc_reg6(DESC_BLENGTH_MSB_BIT downto DESC_BLENGTH_LSB_BIT); mm2s_desc_blength_v_i <= (others => '0'); mm2s_desc_blength_s_i <= (others => '0'); mm2s_desc_eof <= desc_reg6(DESC_EOF_BIT); mm2s_desc_sof <= desc_reg6(DESC_SOF_BIT); mm2s_desc_cmplt <= desc_reg7(DESC_STS_CMPLTD_BIT); mm2s_desc_app0 <= desc_reg8; mm2s_desc_app1 <= desc_reg9; mm2s_desc_app2 <= desc_reg10; mm2s_desc_app3 <= desc_reg11; mm2s_desc_app4 <= desc_reg12; -- Drive ready if descriptor fetch request is being made -- If not redirecting app fields then drive ready based on sm request -- If redirecting app fields then drive ready based on room in cntrl strm fifo ftch_tready <= desc_fetch_req -- desc fetch request and not mm2s_pending_update; -- no pntr updates pending m_axis_mm2s_ftch_tready <= ftch_tready; redirect_app <= '0'; cntrlstrm_fifo_din <= (others => '0'); cntrlstrm_fifo_wren <= '0'; end generate GEN_FTCHIF_WITH_APP; -- Status/Control stream diabled therefore APP fields are NOT included GEN_FTCHIF_WITHOUT_APP : if C_SG_INCLUDE_STSCNTRL_STRM = 0 generate GEN_NO_MCDMA : if C_ENABLE_MULTI_CHANNEL = 0 generate desc_reg7(30 downto 0) <= (others => '0'); desc_reg7(DESC_STS_CMPLTD_BIT) <= m_axis_mm2s_ftch_tdata_new (64); --95 downto 64); desc_reg6 <= m_axis_mm2s_ftch_tdata_new (63 downto 32); desc_reg2 <= m_axis_mm2s_ftch_tdata_new (31 downto 0); desc_reg0 <= m_axis_mm2s_ftch_tdata_new (96 downto 65); --127 downto 96); mm2s_desc_curdesc_lsb <= desc_reg0; mm2s_desc_curdesc_msb <= (others => '0'); --desc_reg1; mm2s_desc_baddr_lsb <= desc_reg2; mm2s_desc_baddr_msb <= (others => '0'); --desc_reg3; -- desc 4 and desc 5 are reserved and thus don't care -- CR 583779, need to send the user and xchache info mm2s_desc_info <= (others => '0'); --desc_reg4; mm2s_desc_blength_i <= desc_reg6(DESC_BLENGTH_MSB_BIT downto DESC_BLENGTH_LSB_BIT); mm2s_desc_blength_v_i <= (others => '0'); mm2s_desc_blength_s_i <= (others => '0'); mm2s_desc_eof <= desc_reg6(DESC_EOF_BIT); mm2s_desc_sof <= desc_reg6(DESC_SOF_BIT); mm2s_desc_cmplt <= desc_reg7(DESC_STS_CMPLTD_BIT); mm2s_desc_app0 <= (others => '0'); mm2s_desc_app1 <= (others => '0'); mm2s_desc_app2 <= (others => '0'); mm2s_desc_app3 <= (others => '0'); mm2s_desc_app4 <= (others => '0'); end generate GEN_NO_MCDMA; GEN_MCDMA : if C_ENABLE_MULTI_CHANNEL = 1 generate desc_reg7(30 downto 0) <= (others => '0'); desc_reg7 (DESC_STS_CMPLTD_BIT) <= m_axis_mm2s_ftch_tdata_new (64); --95 downto 64); desc_reg6 <= m_axis_mm2s_ftch_tdata_new (63 downto 32); desc_reg2 <= m_axis_mm2s_ftch_tdata_new (31 downto 0); desc_reg0 <= m_axis_mm2s_ftch_tdata_new (96 downto 65); --127 downto 96); desc_reg4 <= m_axis_mm2s_ftch_tdata_mcdma_new (31 downto 0); --63 downto 32); desc_reg5 <= m_axis_mm2s_ftch_tdata_mcdma_new (63 downto 32); mm2s_desc_curdesc_lsb <= desc_reg0; mm2s_desc_curdesc_msb <= (others => '0'); --desc_reg1; mm2s_desc_baddr_lsb <= desc_reg2; mm2s_desc_baddr_msb <= (others => '0'); --desc_reg3; -- As per new MCDMA descriptor mm2s_desc_info <= desc_reg4; -- (31 downto 24) & desc_reg7 (23 downto 0); mm2s_desc_blength_s_i <= "0000000" & desc_reg5(15 downto 0); mm2s_desc_blength_v_i <= "0000000000" & desc_reg5(31 downto 19); mm2s_desc_blength_i <= "0000000" & desc_reg6(15 downto 0); mm2s_desc_eof <= desc_reg6(DESC_EOF_BIT); mm2s_desc_sof <= desc_reg6(DESC_SOF_BIT); mm2s_desc_cmplt <= '0' ; --desc_reg7(DESC_STS_CMPLTD_BIT); -- we are not considering the completed bit mm2s_desc_app0 <= (others => '0'); mm2s_desc_app1 <= (others => '0'); mm2s_desc_app2 <= (others => '0'); mm2s_desc_app3 <= (others => '0'); mm2s_desc_app4 <= (others => '0'); end generate GEN_MCDMA; -- Drive ready if descriptor fetch request is being made ftch_tready <= desc_fetch_req -- desc fetch request and not mm2s_pending_update; -- no pntr updates pending m_axis_mm2s_ftch_tready <= ftch_tready; cntrlstrm_fifo_wren <= '0'; cntrlstrm_fifo_din <= (others => '0'); end generate GEN_FTCHIF_WITHOUT_APP; ------------------------------------------------------------------------------- -- BUFFER ADDRESS ------------------------------------------------------------------------------- -- If 64 bit addressing then concatinate msb to lsb GEN_NEW_64BIT_BUFADDR : if C_M_AXI_MM2S_ADDR_WIDTH = 64 generate mm2s_desc_baddress <= mm2s_desc_baddr_msb & mm2s_desc_baddr_lsb; end generate GEN_NEW_64BIT_BUFADDR; -- If 32 bit addressing then simply pass lsb out GEN_NEW_32BIT_BUFADDR : if C_M_AXI_MM2S_ADDR_WIDTH = 32 generate mm2s_desc_baddress <= mm2s_desc_baddr_lsb; end generate GEN_NEW_32BIT_BUFADDR; ------------------------------------------------------------------------------- -- NEW CURRENT DESCRIPTOR ------------------------------------------------------------------------------- -- If 64 bit addressing then concatinate msb to lsb GEN_NEW_64BIT_CURDESC : if C_M_AXI_SG_ADDR_WIDTH = 64 generate mm2s_new_curdesc <= mm2s_desc_curdesc_msb & mm2s_desc_curdesc_lsb; end generate GEN_NEW_64BIT_CURDESC; -- If 32 bit addressing then simply pass lsb out GEN_NEW_32BIT_CURDESC : if C_M_AXI_SG_ADDR_WIDTH = 32 generate mm2s_new_curdesc <= mm2s_desc_curdesc_lsb; end generate GEN_NEW_32BIT_CURDESC; mm2s_new_curdesc_wren_i <= desc_fetch_done_i; --***************************************************************************-- --** Descriptor Update Logic **-- --***************************************************************************-- --***************************************************************************** --** Pointer Update Logic --***************************************************************************** ----------------------------------------------------------------------- -- Capture LSB cur descriptor on write for use on descriptor update. -- This will be the address the descriptor is updated to ----------------------------------------------------------------------- UPDT_DESC_WRD0: process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then updt_desc_reg0 <= (others => '0'); elsif(mm2s_new_curdesc_wren_i = '1')then updt_desc_reg0 <= DESC_LAST & mm2s_desc_curdesc_lsb; end if; end if; end process UPDT_DESC_WRD0; ----------------------------------------------------------------------- -- Capture MSB cur descriptor on write for use on descriptor update. -- This will be the address the descriptor is updated to ----------------------------------------------------------------------- UPDT_DESC_WRD1: process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then updt_desc_reg1 <= (others => '0'); elsif(mm2s_new_curdesc_wren_i = '1')then updt_desc_reg1 <= DESC_LAST & mm2s_desc_curdesc_msb; -- Shift data out on shift enable elsif(updt_shftenbl = '1')then updt_desc_reg1 <= (others => '0'); end if; end if; end process UPDT_DESC_WRD1; -- Shift in data from SG engine if tvalid, tready, and not on last word updt_shftenbl <= updt_data and updtptr_tvalid and s_axis_mm2s_updtptr_tready; -- Update data done when updating data and tlast received and target -- (i.e. SG Engine) is ready updt_data_clr <= '1' when updtptr_tvalid = '1' and updtptr_tlast = '1' and s_axis_mm2s_updtptr_tready = '1' else '0'; -- When desc data ready for update set and hold flag until -- data can be updated to queue. Note it may -- be held off due to update of status UPDT_DATA_PROCESS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0' or updt_data_clr = '1')then updt_data <= '0'; -- clear flag when data update complete -- elsif(updt_data_clr = '1')then -- updt_data <= '0'; -- -- set flag when desc fetched as indicated -- -- by curdesc wren elsif(mm2s_new_curdesc_wren_i = '1')then updt_data <= '1'; end if; end if; end process UPDT_DATA_PROCESS; updtptr_tvalid <= updt_data; updtptr_tlast <= updt_desc_reg0(C_S_AXIS_UPDPTR_TDATA_WIDTH); updtptr_tdata <= updt_desc_reg0(C_S_AXIS_UPDPTR_TDATA_WIDTH-1 downto 0); --***************************************************************************** --** Status Update Logic --***************************************************************************** mm2s_complete <= '1'; -- Fixed at '1' --------------------------------------------------------------------------- -- Descriptor queuing turned on in sg engine therefore need to instantiate -- fifo to hold fetch buffer lengths. Also need to throttle fetches -- if pointer has not been updated yet or length fifo is full --------------------------------------------------------------------------- GEN_UPDT_FOR_QUEUE : if C_SG_INCLUDE_DESC_QUEUE = 1 generate signal xb_fifo_reset : std_logic; -- xfer'ed bytes fifo reset signal xb_fifo_full : std_logic; -- xfer'ed bytes fifo full begin ----------------------------------------------------------------------- -- Need to flag a pending pointer update to prevent subsequent fetch of -- descriptor from stepping on the stored pointer, and buffer length ----------------------------------------------------------------------- REG_PENDING_UPDT : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0' or updt_data_clr = '1')then mm2s_pending_ptr_updt <= '0'; elsif (desc_fetch_done_i = '1') then --(mm2s_new_curdesc_wren_i = '1')then mm2s_pending_ptr_updt <= '1'; end if; end if; end process REG_PENDING_UPDT; -- Pointer pending update or xferred bytes fifo full mm2s_pending_update <= mm2s_pending_ptr_updt or xb_fifo_full; updt_pending <= mm2s_pending_update; ----------------------------------------------------------------------- -- On MM2S transferred bytes equals buffer length. Capture length -- on curdesc write. ----------------------------------------------------------------------- GEN_MICRO_DMA : if C_MICRO_DMA = 1 generate mm2s_xferd_bytes <= (others => '0'); xb_fifo_full <= '0'; end generate GEN_MICRO_DMA; GEN_NO_MICRO_DMA : if C_MICRO_DMA = 0 generate XFERRED_BYTE_FIFO : entity proc_common_v4_0.srl_fifo_f generic map( C_DWIDTH => BUFFER_LENGTH_WIDTH , C_DEPTH => 16 , C_FAMILY => C_FAMILY ) port map( Clk => m_axi_sg_aclk , Reset => xb_fifo_reset , FIFO_Write => desc_fetch_done_i, --mm2s_new_curdesc_wren_i , Data_In => mm2s_desc_blength_i , FIFO_Read => sts_received_re , Data_Out => mm2s_xferd_bytes , FIFO_Empty => open , FIFO_Full => xb_fifo_full , Addr => open ); end generate GEN_NO_MICRO_DMA; xb_fifo_reset <= not m_axi_sg_aresetn; -- clear status received flag in cmdsts_if to -- allow more status to be received from datamover mm2s_sts_received_clr <= updt_sts_clr; -- Generate a rising edge off status received in order to -- flag status update REG_STATUS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then sts_received_d1 <= '0'; else sts_received_d1 <= mm2s_sts_received; end if; end if; end process REG_STATUS; -- CR566306 - status invalid during halt --sts_received_re <= mm2s_sts_received and not sts_received_d1; sts_received_re <= mm2s_sts_received and not sts_received_d1 and not mm2s_halt_d2; end generate GEN_UPDT_FOR_QUEUE; --------------------------------------------------------------------------- -- If no queue in sg engine then do not need to instantiate a -- fifo to hold buffer lengths. Also do not need to hold off -- fetch based on if status has been updated or not because -- descriptors are only processed one at a time --------------------------------------------------------------------------- GEN_UPDT_FOR_NO_QUEUE : if C_SG_INCLUDE_DESC_QUEUE = 0 generate begin mm2s_sts_received_clr <= '1'; -- Not needed for the No Queue configuration mm2s_pending_update <= '0'; -- Not needed for the No Queue configuration ----------------------------------------------------------------------- -- On MM2S transferred bytes equals buffer length. Capture length -- on curdesc write. ----------------------------------------------------------------------- REG_XFERRED_BYTES : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then mm2s_xferd_bytes <= (others => '0'); elsif(mm2s_new_curdesc_wren_i = '1')then mm2s_xferd_bytes <= mm2s_desc_blength_i; end if; end if; end process REG_XFERRED_BYTES; -- Status received based on a DONE or an ERROR from DataMover sts_received <= mm2s_done or mm2s_interr or mm2s_decerr or mm2s_slverr; -- Generate a rising edge off status received in order to -- flag status update REG_STATUS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then sts_received_d1 <= '0'; else sts_received_d1 <= sts_received; end if; end if; end process REG_STATUS; -- CR566306 - status invalid during halt --sts_received_re <= mm2s_sts_received and not sts_received_d1; sts_received_re <= sts_received and not sts_received_d1 and not mm2s_halt_d2; end generate GEN_UPDT_FOR_NO_QUEUE; ----------------------------------------------------------------------- -- Receive Status SG Update Logic ----------------------------------------------------------------------- -- clear flag when updating status and see a tlast and target -- (i.e. sg engine) is ready updt_sts_clr <= '1' when updt_sts = '1' and updtsts_tlast = '1' and updtsts_tvalid = '1' and s_axis_mm2s_updtsts_tready = '1' else '0'; -- When status received set and hold flag until -- status can be updated to queue. Note it may -- be held off due to update of data UPDT_STS_PROCESS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0' or updt_sts_clr = '1')then updt_sts <= '0'; -- clear flag when status update done -- or datamover halted -- elsif(updt_sts_clr = '1')then -- updt_sts <= '0'; -- -- set flag when status received elsif(sts_received_re = '1')then updt_sts <= '1'; end if; end if; end process UPDT_STS_PROCESS; ----------------------------------------------------------------------- -- Catpure Status. Status is built from status word from DataMover -- and from transferred bytes value. ----------------------------------------------------------------------- UPDT_DESC_WRD2 : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then updt_desc_reg2 <= (others => '0'); elsif(sts_received_re = '1')then updt_desc_reg2 <= DESC_LAST & mm2s_tag(DATAMOVER_STS_TAGLSB_BIT) -- Desc_IOC & mm2s_complete & mm2s_decerr & mm2s_slverr & mm2s_interr & RESERVED_STS & mm2s_xferd_bytes; end if; end if; end process UPDT_DESC_WRD2; updtsts_tdata <= updt_desc_reg2(C_S_AXIS_UPDSTS_TDATA_WIDTH-1 downto 0); -- MSB asserts last on last word of update stream updtsts_tlast <= updt_desc_reg2(C_S_AXIS_UPDSTS_TDATA_WIDTH); -- Drive tvalid updtsts_tvalid <= updt_sts; -- Drive update done to mm2s sm for the no queue case to indicate -- readyd to fetch next descriptor UPDT_DONE_PROCESS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then desc_update_done <= '0'; else desc_update_done <= updt_sts_clr; end if; end if; end process UPDT_DONE_PROCESS; -- Update Pointer Stream s_axis_mm2s_updtptr_tvalid <= updtptr_tvalid; s_axis_mm2s_updtptr_tlast <= updtptr_tlast and updtptr_tvalid; s_axis_mm2s_updtptr_tdata <= updtptr_tdata ; -- Update Status Stream s_axis_mm2s_updtsts_tvalid <= updtsts_tvalid; s_axis_mm2s_updtsts_tlast <= updtsts_tlast and updtsts_tvalid; s_axis_mm2s_updtsts_tdata <= updtsts_tdata ; ----------------------------------------------------------------------- end implementation;
constant SPIFSMLength : integer := 1295; constant SPIFSMCfg : std_logic_vector(SPIFSMLength-1 downto 0) := "00001001000000000000010100001000101000000000000000000110001000000000001000011111000000000000000000001111100000000000000000000000000000000101000000000000001000000000000000001100001100000000001000000100000000010100000000000000100001001000000000110000110000000000100010010100000010010000100000000000110000101000000101000101000000000000000111110000000000000000000000000000001111100000000000000000000000000000011111000000000000000000000000000000111110000000000000000000000000000001111100000000000000000000000000000000111110000000000000000000000000000000011111000000000000000000000000000000001111100000000000000000000000000000000111110000000000000000000000000000000011111000000000000000000000000000000001111100000000000000000000000000000000111110000000000000000000000000000000011111000000000000000000000000000000001111100000000000000000000000000000000111110000000000000000000000000000000000001111100000000000000000000000000000000000011111000000000000000000000000000000000000111110000000000000000000000000000000000001111100000000000000000000000000000000000011111000000000000000000000000000000000000000000001111100000000000000000000000000000000000000000000111110000000000000000000000000000000000000000000011111000000000000000000000000000000000000000000001111100000000000000000000000000000000000000000000";
-- libraries -------------------------------------------------------------------------------------------{{{ library ieee; use ieee.std_logic_1164.all; use ieee.float_pkg.all; use ieee.numeric_std.ALL; use ieee.math_real.all; use ieee.math_complex.all; library work; use work.all; use work.FGPU_definitions.all; use work.FGPU_simulation_pkg.all; use ieee.std_logic_textio.all; use std.textio.all; ---------------------------------------------------------------------------------------------------------}}} entity global_mem is -- generics & ports {{{ generic( MEM_PHY_ADDR_W : natural := 17; ADDR_OFFSET : unsigned := X"1000_0000"; MAX_NDRANGE_SIZE : natural := 64*1024 ); port( new_kernel : in std_logic; finished_kernel : in std_logic; size_0 : in natural; size_1 : in natural; target_offset_addr : in natural := 2**(N+L+M-1+2); problemSize : in natural; -- AXI Slave Interfaces -- common signals mx_arlen_awlen : in std_logic_vector(7 downto 0):= (others=>'0'); -- interface 0 {{{ -- ar channel m0_araddr : in std_logic_vector(GMEM_ADDR_W-1 downto 0):= (others=>'0'); m0_arvalid : in std_logic := '0'; m0_arready : buffer std_logic := '0'; m0_arid : in std_logic_vector(ID_WIDTH-1 downto 0) := (others=>'0'); -- r channel m0_rdata : out std_logic_vector(GMEM_DATA_W-1 downto 0):= (others=>'0'); m0_rlast : out std_logic := '0'; m0_rvalid : buffer std_logic := '0'; m0_rready : in std_logic; m0_rid : out std_logic_vector(ID_WIDTH-1 downto 0) := (others=>'0'); -- aw channel m0_awaddr : in std_logic_vector(GMEM_ADDR_W-1 downto 0) := (others=>'0'); m0_awvalid : in std_logic := '0'; m0_awready : buffer std_logic := '0'; m0_awid : in std_logic_vector(ID_WIDTH-1 downto 0) := (others=>'0'); -- w channel m0_wdata : in std_logic_vector(GMEM_DATA_W-1 downto 0):= (others=>'0'); m0_wstrb : in std_logic_vector(GMEM_DATA_W/8-1 downto 0):= (others=>'0'); m0_wlast : in std_logic := '0'; m0_wvalid : in std_logic := '0'; m0_wready : buffer std_logic := '0'; -- b channel m0_bvalid : out std_logic := '0'; m0_bready : in std_logic := '0'; m0_bid : out std_logic_vector(ID_WIDTH-1 downto 0) := (others=>'0'); -- }}} -- interface 1 {{{ -- ar channel m1_araddr : in std_logic_vector(GMEM_ADDR_W-1 downto 0):= (others=>'0'); m1_arvalid : in std_logic := '0'; m1_arready : buffer std_logic := '0'; m1_arid : in std_logic_vector(ID_WIDTH-1 downto 0) := (others=>'0'); -- r channel m1_rdata : out std_logic_vector(GMEM_DATA_W-1 downto 0):= (others=>'0'); m1_rlast : out std_logic := '0'; m1_rvalid : buffer std_logic := '0'; m1_rready : in std_logic; m1_rid : out std_logic_vector(ID_WIDTH-1 downto 0) := (others=>'0'); -- aw channel m1_awaddr : in std_logic_vector(GMEM_ADDR_W-1 downto 0) := (others=>'0'); m1_awvalid : in std_logic := '0'; m1_awready : buffer std_logic := '0'; m1_awid : in std_logic_vector(ID_WIDTH-1 downto 0) := (others=>'0'); -- w channel m1_wdata : in std_logic_vector(GMEM_DATA_W-1 downto 0):= (others=>'0'); m1_wstrb : in std_logic_vector(GMEM_DATA_W/8-1 downto 0):= (others=>'0'); m1_wlast : in std_logic := '0'; m1_wvalid : in std_logic := '0'; m1_wready : buffer std_logic := '0'; -- b channel m1_bvalid : out std_logic := '0'; m1_bready : in std_logic := '0'; m1_bid : out std_logic_vector(ID_WIDTH-1 downto 0) := (others=>'0'); -- }}} -- interface 2 {{{ -- ar channel m2_araddr : in std_logic_vector(GMEM_ADDR_W-1 downto 0):= (others=>'0'); m2_arvalid : in std_logic := '0'; m2_arready : buffer std_logic := '0'; m2_arid : in std_logic_vector(ID_WIDTH-1 downto 0) := (others=>'0'); -- r channel m2_rdata : out std_logic_vector(GMEM_DATA_W-1 downto 0):= (others=>'0'); m2_rlast : out std_logic := '0'; m2_rvalid : buffer std_logic := '0'; m2_rready : in std_logic; m2_rid : out std_logic_vector(ID_WIDTH-1 downto 0) := (others=>'0'); -- aw channel m2_awaddr : in std_logic_vector(GMEM_ADDR_W-1 downto 0) := (others=>'0'); m2_awvalid : in std_logic := '0'; m2_awready : buffer std_logic := '0'; m2_awid : in std_logic_vector(ID_WIDTH-1 downto 0) := (others=>'0'); -- w channel m2_wdata : in std_logic_vector(GMEM_DATA_W-1 downto 0):= (others=>'0'); m2_wstrb : in std_logic_vector(GMEM_DATA_W/8-1 downto 0):= (others=>'0'); m2_wlast : in std_logic := '0'; m2_wvalid : in std_logic := '0'; m2_wready : buffer std_logic := '0'; -- b channel m2_bvalid : out std_logic := '0'; m2_bready : in std_logic := '0'; m2_bid : out std_logic_vector(ID_WIDTH-1 downto 0) := (others=>'0'); -- }}} -- interface 3 {{{ -- ar channel m3_araddr : in std_logic_vector(GMEM_ADDR_W-1 downto 0):= (others=>'0'); m3_arvalid : in std_logic := '0'; m3_arready : buffer std_logic := '0'; m3_arid : in std_logic_vector(ID_WIDTH-1 downto 0) := (others=>'0'); -- r channel m3_rdata : out std_logic_vector(GMEM_DATA_W-1 downto 0):= (others=>'0'); m3_rlast : out std_logic := '0'; m3_rvalid : buffer std_logic := '0'; m3_rready : in std_logic; m3_rid : out std_logic_vector(ID_WIDTH-1 downto 0) := (others=>'0'); -- aw channel m3_awaddr : in std_logic_vector(GMEM_ADDR_W-1 downto 0) := (others=>'0'); m3_awvalid : in std_logic := '0'; m3_awready : buffer std_logic := '0'; m3_awid : in std_logic_vector(ID_WIDTH-1 downto 0) := (others=>'0'); -- w channel m3_wdata : in std_logic_vector(GMEM_DATA_W-1 downto 0):= (others=>'0'); m3_wstrb : in std_logic_vector(GMEM_DATA_W/8-1 downto 0):= (others=>'0'); m3_wlast : in std_logic := '0'; m3_wvalid : in std_logic := '0'; m3_wready : buffer std_logic := '0'; -- b channel m3_bvalid : out std_logic := '0'; m3_bready : in std_logic := '0'; m3_bid : out std_logic_vector(ID_WIDTH-1 downto 0) := (others=>'0'); -- }}} clk, nrst : in std_logic ); -- }}} end global_mem; architecture Behavioral of global_mem is -- constants & functions {{{ constant C_MEM_SIZE : integer := 2**MEM_PHY_ADDR_W; CONSTANT MAX_DELAY : real := 20.0; CONSTANT MIN_DELAY : integer := 10; -- delay = min + rand*max CONSTANT IMPLEMENT_DELAY : boolean := false; CONSTANT MAX_STEAM_PAUSE : real := 15.0; CONSTANT IMPLEMENT_NO_STREAM_READ : boolean := false; CONSTANT FILL_MODULO : natural := 49; CONSTANT BVALID_DELAY_W : natural := 2; type gmem_type is array (C_MEM_SIZE-1 downto 0) of std_logic_vector(GMEM_DATA_W-1 downto 0); -- function called clogb2 that returns an integer which has the --value of the ceiling of the log base 2 function clogb2 (bit_depth : integer) return integer is -- {{{ variable depth : integer := bit_depth; variable count : integer := 1; begin for clogb2 in 1 to bit_depth loop -- Works for up to 32 bit integers if (bit_depth <= 2) then count := 1; else if(depth <= 1) then count := count; else depth := depth / 2; count := count + 1; end if; end if; end loop; return(count); end; -- }}} function init_me_with_modulu(len: in integer; fill_modulo: in natural) return gmem_type is -- {{{ variable i : integer := 0; variable res : gmem_type := (others=>(others=>'0')); begin for i in 0 to len-1 loop --len-1 loop for j in 0 to GMEM_DATA_W/32-1 loop res(i)((j+1)*32-1 downto j*32) := std_logic_vector(to_unsigned((i*2+j) mod fill_modulo, 32)); end loop; -- res(i)(31 downto 0) := std_logic_vector(to_unsigned(i, 32) ); -- res(i)(63 downto 32) := std_logic_vector(to_signed(-i, 32)); end loop; return(res); end; -- }}} impure function init_mem_fft (size_0: in integer ) return gmem_type is -- {{{ variable res : gmem_type := (others=>(others=>'0')); variable seed1, seed2 : positive := 1; variable rand : real; variable tmp_unsigned : unsigned(DATA_W-1 downto 0) := (others=>'0'); variable nStages, tmp_integer : integer; variable tmp_std_logic : std_logic := '0'; variable li : line; begin nStages := 1; tmp_integer := 1; while tmp_integer < size_0 loop tmp_integer := tmp_integer * 2; nStages := nStages + 1; end loop; assert DATA_W*2 = GMEM_DATA_W; -- write data with bit reverse for i in 0 to 2*size_0-1 loop tmp_unsigned := to_unsigned(i, 32); for m in 0 to nStages/2 loop tmp_std_logic := tmp_unsigned(nStages-1-m); tmp_unsigned(nStages-1-m) := tmp_unsigned(m); tmp_unsigned(m) := tmp_std_logic; end loop; res(to_integer(tmp_unsigned))(DATA_W-1 downto 0) := to_slv(to_float(i mod 4)); -- real part res(to_integer(tmp_unsigned))(2*DATA_W-1 downto DATA_W) := (others=>'0'); -- imaginary part end loop; -- for i in 0 to 7 loop -- write(li, to_real(to_float(res(i)(DATA_W-1 downto 0)))); -- swrite(li, " +j "); -- write(li, to_real(to_float(res(i)(2*DATA_W-1 downto DATA_W)))); -- write(li, LF); -- end loop; -- writeline(OUTPUT, li); -- write twiddles for i in 0 to 2*size_0-1 loop -- res(C_MEM_SIZE/2 + i)(DATA_W-1 downto 0) := to_slv(to_float(cos(to_real(MATH_PI*i/to_real(size_0))))); res(C_MEM_SIZE/4 + i)(DATA_W-1 downto 0) := to_slv(to_float(cos(real(MATH_PI*real(i)/real(size_0))))); res(C_MEM_SIZE/4 + i)(2*DATA_W-1 downto DATA_W) := to_slv(-to_float(sin(real(MATH_PI*real(i)/real(size_0))))); end loop; -- for i in 0 to 7 loop -- write(li, to_real(to_float(res(C_MEM_SIZE/4+i)(DATA_W-1 downto 0)))); -- swrite(li, " +j "); -- write(li, to_real(to_float(res(C_MEM_SIZE/4+i)(2*DATA_W-1 downto DATA_W)))); -- write(li, LF); -- end loop; -- writeline(OUTPUT, li); return(res); end; -- }}} function init_mem_floydwarshall (len: in integer) return gmem_type is -- {{{ variable i : integer := 0; variable res : gmem_type := (others=>(others=>'0')); variable seed1, seed2 : positive := 1; variable rand : real; begin for i in 0 to len-1 loop --len-1 loop for j in 0 to GMEM_DATA_W/DATA_W-1 loop uniform(seed1, seed2, rand); res(i)((j+1)*DATA_W-1 downto j*DATA_W) := to_slv(to_float(rand*10.0)); if i = j then res(i)((j+1)*DATA_W-1 downto j*DATA_W) := (others=>'0'); end if; end loop; end loop; return(res); end; -- }}} function init_mem_rand_float (len: in integer; data_width: in integer) return gmem_type is -- {{{ variable i : integer := 0; variable res : gmem_type := (others=>(others=>'0')); variable seed1, seed2 : positive := 1; variable rand : real; begin for i in 0 to len-1 loop --len-1 loop for j in 0 to GMEM_DATA_W/data_width-1 loop uniform(seed1, seed2, rand); res(i)((j+1)*data_width-1 downto j*data_width) := to_slv(to_float(rand)); end loop; end loop; return(res); end; -- }}} function init_mem_rand (len: in integer; data_width: in integer) return gmem_type is -- {{{ variable i : integer := 0; variable res : gmem_type := (others=>(others=>'0')); variable tmp_integer : integer; variable tmp_unsigned : unsigned(DATA_W-1 downto 0) := (others=>'0'); variable seed1, seed2 : positive := 1; variable rand : real; begin for i in 0 to len-1 loop --len-1 loop for j in 0 to GMEM_DATA_W/data_width-1 loop uniform(seed1, seed2, rand); rand := rand * 1024.0 * 1024.0 * 1024.0 * 2.0; tmp_integer := integer(rand); tmp_unsigned := to_unsigned(tmp_integer, DATA_W); res(i)((j+1)*data_width-1 downto j*data_width) := std_logic_vector(tmp_unsigned(data_width-1 downto 0)); end loop; end loop; return(res); end; -- }}} function init_mem_float (len: in integer) return gmem_type is -- {{{ variable i : integer := 0; variable res : gmem_type := (others=>(others=>'0')); variable tmp_unsigned : unsigned(DATA_W-1 downto 0) := (others=>'0'); begin for i in 0 to len-1 loop --len-1 loop for j in 0 to GMEM_DATA_W/DATA_W-1 loop tmp_unsigned := to_unsigned(GMEM_DATA_W/DATA_W*i+j, DATA_W); res(i)((j+1)*DATA_W-1 downto j*DATA_W) := std_logic_vector(to_float(tmp_unsigned)); end loop; end loop; return(res); end; --}}} function init_mem (len: in integer; data_width: in integer) return gmem_type is -- {{{ variable i : integer := 0; variable res : gmem_type := (others=>(others=>'0')); variable tmp_unsigned : unsigned(DATA_W-1 downto 0) := (others=>'0'); begin for i in 0 to len-1 loop --len-1 loop for j in 0 to GMEM_DATA_W/data_width-1 loop tmp_unsigned := to_unsigned(GMEM_DATA_W/data_width*i+j, DATA_W); res(i)((j+1)*data_width-1 downto j*data_width) := std_logic_vector(tmp_unsigned(data_width-1 downto 0)); end loop; end loop; return(res); end; --}}} --}}} -- read & write addresses {{{ signal gmem: gmem_type := init_mem(C_MEM_SIZE/2, DATA_W); signal tmp_gmem : SLV32_ARRAY(0 to 2**16-1) := (others=>(others=>'0')); type mem_phy_addr_array is array(natural range <>) of unsigned(MEM_PHY_ADDR_W-1 downto 0); signal wr_addr : gmem_addr_array(N_AXI-1 downto 0) := (others=>(others=>'0')); type gmem_addr_2d_array is array(natural range <>, natural range <>) of unsigned(GMEM_ADDR_W-1 downto 0); signal wr_addr_offset : mem_phy_addr_array(N_AXI-1 downto 0) := (others=>(others=>'0')); signal written_count : integer := 0; signal written_addrs : std_logic_vector(MAX_NDRANGE_SIZE-1 downto 0) := (others=>'0'); signal new_kernel_d0, new_kernel_d1 : std_logic := '0'; -- }}} -- other signals {{{ signal delay : nat_2d_array(N_AXI-1 downto 0, N_WR_FIFOS_AXI-1 downto 0) := (others=>(others=>0)); -- }}} -- alias signals {{{ signal wvalid, wready : std_logic_vector(N_AXI-1 downto 0) := (others=>'0'); signal wdata, rdata : gmem_word_array(N_AXI-1 downto 0) := (others=>(others=>'0')); signal wstrb : gmem_be_array(N_AXI-1 downto 0) := (others=>(others=>'0')); signal awready, awvalid : std_logic_vector(N_AXI-1 downto 0) := (others=>'0'); signal arready, arvalid : std_logic_vector(N_AXI-1 downto 0) := (others=>'0'); signal rready, rvalid, rlast : std_logic_vector(N_AXI-1 downto 0) := (others=>'0'); signal bvalid, bready : std_logic_vector(N_AXI-1 downto 0) := (others=>'0'); signal araddr, awaddr : gmem_addr_array(N_AXI-1 downto 0) := (others=>(others=>'0')); signal arid, rid, awid, bid : id_array(N_AXI-1 downto 0) := (others=>(others=>'0')); signal wlast : std_logic_vector(N_AXI-1 downto 0) := (others=>'0'); -- }}} -- read multiplexing {{{ type st_reader_type is (idle, delay_before_read, send_data); type st_reader_array is array (natural range <>, natural range<>) of st_reader_type; -- }}} -- write signals {{{ constant c_awaddr_fifo_capacity_w : natural := 3; constant c_awaddr_fifo_capacity : natural := 2**c_awaddr_fifo_capacity_w; -- awaddr fifo type awaddr_fifo_array is array(natural range <>) of gmem_addr_array(c_awaddr_fifo_capacity-1 downto 0); signal awaddr_fifo : awaddr_fifo_array(N_AXI-1 downto 0) := (others=>(others=>(others=>'0'))); type awaddr_fifo_addr_vec is array(natural range <>) of unsigned(c_awaddr_fifo_capacity_w-1 downto 0); signal awaddr_fifo_wrAddr : awaddr_fifo_addr_vec(N_AXI-1 downto 0) := (others=>(others=>'0')); signal awaddr_fifo_rdAddr : awaddr_fifo_addr_vec(N_AXI-1 downto 0) := (others=>(others=>'0')); signal awaddr_fifo_nempty : std_logic_vector(N_AXI-1 downto 0) := (others=>'0'); signal awaddr_fifo_full : std_logic_vector(N_AXI-1 downto 0) := (others=>'0'); signal awaddr_fifo_pop, awaddr_fifo_push: std_logic_vector(N_AXI-1 downto 0) := (others=>'0'); -- awid fifo type awid_fifo_array is array(natural range <>) of id_array(max(1, 2**BVALID_DELAY_W/2**BURST_W)*c_awaddr_fifo_capacity-1 downto 0); signal awid_fifo : awid_fifo_array(N_AXI-1 downto 0) := (others=>(others=>(others=>'0'))); type awid_fifo_addr_array is array( natural range <>) of unsigned(c_awaddr_fifo_capacity_w+max(BVALID_DELAY_W-BURST_W, 0)-1 downto 0); signal awid_fifo_rdAddr : awid_fifo_addr_array(N_AXI-1 downto 0) := (others=>(others=>'0')); signal awid_fifo_wrAddr : awid_fifo_addr_array(N_AXI-1 downto 0) := (others=>(others=>'0')); type st_write_type is (get_address, write); type st_write_array is array (natural range <>) of st_write_type; signal st_write : st_write_array(N_AXI-1 downto 0) := (others=>get_address); -- write pipe for delaying bvalid type wdata_vec_type is array (natural range <>) of gmem_word_array(N_AXI-1 downto 0); signal wdata_vec : wdata_vec_type(2**BVALID_DELAY_W-1 downto 0) := (others=>(others=>(others=>'0'))); type wstrb_vec_type is array(natural range <>) of gmem_be_array(N_AXI-1 downto 0); signal wstrb_vec : wstrb_vec_type(2**BVALID_DELAY_W-1 downto 0) := (others=>(others=>(others=>'0'))); type wlast_vec_type is array(natural range <>) of std_logic_vector(N_AXI-1 downto 0); signal wlast_vec, wvalid_vec : wlast_vec_type(2**BVALID_DELAY_W-1 downto 0) := (others=>(others=>'0')); type wr_addr_offset_vec_type is array(natural range <>) of mem_phy_addr_array(N_AXI-1 downto 0); signal wr_addr_offset_vec : wr_addr_offset_vec_type(2**BVALID_DELAY_W-1 downto 0) := (others=>(others=>(others=>'0'))); --}}} begin -- alias signals ---------------------------------------------------------------------------------------{{{ wvalid(0) <= m0_wvalid; wdata(0) <= m0_wdata; wstrb(0) <= m0_wstrb; wlast(0) <= m0_wlast; m0_wready <= wready(0); m0_awready <= awready(0); awvalid(0) <= m0_awvalid; awaddr(0) <= unsigned(m0_awaddr); araddr(0) <= unsigned(m0_araddr); m0_arready <= arready(0); arvalid(0) <= m0_arvalid; arid(0) <= m0_arid; rready(0) <= m0_rready; m0_rvalid <= rvalid(0); m0_rid <= rid(0); awid(0) <= m0_awid; m0_bid <= bid(0); m0_rdata <= rdata(0); m0_rlast <= rlast(0); m0_bvalid <= bvalid(0); bready(0) <= m0_bready; MORE_THAN_1_W_AXI : if N_AXI > 1 generate begin wvalid(1) <= m1_wvalid; wdata(1) <= m1_wdata; wstrb(1) <= m1_wstrb; wlast(1) <= m1_wlast; m1_wready <= wready(1); m1_awready <= awready(1); awaddr(1) <= unsigned(m1_awaddr); araddr(1) <= unsigned(m1_araddr); awvalid(1) <= m1_awvalid; m1_arready <= arready(1); arvalid(1) <= m1_arvalid; arid(1) <= m1_arid; rready(1) <= m1_rready; m1_rvalid <= rvalid(1); m1_rid <= rid(1); awid(1) <= m1_awid; m1_bid <= bid(1); m1_rdata <= rdata(1); m1_rlast <= rlast(1); m1_bvalid <= bvalid(1); bready(1) <= m1_bready; end generate; MORE_THAN_2_W_AXI: if N_AXI > 2 generate begin wvalid(2) <= m2_wvalid; wdata(2) <= m2_wdata; wstrb(2) <= m2_wstrb; wlast(2) <= m2_wlast; m2_wready <= wready(2); m2_awready <= awready(2); awvalid(2) <= m2_awvalid; awaddr(2) <= unsigned(m2_awaddr); araddr(2) <= unsigned(m2_araddr); m2_arready <= arready(2); arvalid(2) <= m2_arvalid; arid(2) <= m2_arid; awid(2) <= m2_awid; m2_bid <= bid(2); rready(2) <= m2_rready; m2_rvalid <= rvalid(2); m2_rid <= rid(2); m2_rdata <= rdata(2); m2_rlast <= rlast(2); m2_bvalid <= bvalid(2); bready(2) <= m2_bready; end generate; MORE_THAN_3_W_AXI : if N_AXI > 3 generate begin wvalid(3) <= m3_wvalid; wdata(3) <= m3_wdata; wstrb(3) <= m3_wstrb; wlast(3) <= m3_wlast; m3_wready <= wready(3); m3_awready <= awready(3); awvalid(3) <= m3_awvalid; awaddr(3) <= unsigned(m3_awaddr); araddr(3) <= unsigned(m3_araddr); m3_arready <= arready(3); arvalid(3) <= m3_arvalid; arid(3) <= m3_arid; awid(3) <= m3_awid; m3_bid <= bid(3); rready(3) <= m3_rready; m3_rvalid <= rvalid(3); m3_rid <= rid(3); m3_rdata <= rdata(3); m3_rlast <= rlast(3); m3_bvalid <= bvalid(3); bready(3) <= m3_bready; end generate; ---------------------------------------------------------------------------------------------------------}}} -- mem module -------------------------------------------------------------------------------------------{{{ process(clk) begin if rising_edge(clk) then for j in 0 to N_AXI-1 loop if wvalid_vec(0)(j) = '1' and wready(j) = '1' then for i in 0 to GMEM_DATA_W/8-1 loop if wstrb_vec(0)(j)(i) = '1' then gmem(to_integer(wr_addr_offset_vec(0)(j)))((i+1)*8-1 downto i*8) <= wdata_vec(0)(j)((i+1)*8-1 downto i*8); end if; end loop; end if; end loop; if new_kernel = '1' then if kernel_name = mat_mul or kernel_name = xcorr then gmem <= init_me_with_modulu(C_MEM_SIZE/2, FILL_MODULO); elsif kernel_name = fadd or kernel_name = add_float or kernel_name = mul_float or kernel_name = median or kernel_name = max_half_atomic then gmem <= init_mem_rand(C_MEM_SIZE/2, 32); elsif kernel_name = floydwarshall then gmem <= init_mem_floydwarshall(C_MEM_SIZE/2); elsif kernel_name = fft_hard then gmem <= init_mem_fft(size_0); elsif kernel_name = fir_char4 then gmem <= init_mem(C_MEM_SIZE/2, 8); elsif kernel_name = parallelSelection then gmem <= init_mem_float(C_MEM_SIZE/2); -- elsif kernel_name = ludecompose then -- gmem <= init_mem_rand(C_MEM_SIZE/2, 32); -- -- gmem(0)(DATA_W-1 downto 0) <= to_slv(to_float(121)); -- -- gmem(0)(2*DATA_W-1 downto DATA_W) <= to_slv(to_float(68)); -- -- gmem(1)(DATA_W-1 downto 0) <= to_slv(to_float(30)); -- -- gmem(1)(2*DATA_W-1 downto DATA_W) <= to_slv(to_float(73)); -- -- gmem(2)(DATA_W-1 downto 0) <= to_slv(to_float(109)); -- -- gmem(2)(2*DATA_W-1 downto DATA_W) <= to_slv(to_float(94)); -- -- gmem(3)(DATA_W-1 downto 0) <= to_slv(to_float(62)); -- -- gmem(3)(2*DATA_W-1 downto DATA_W) <= to_slv(to_float(31)); -- -- gmem(4)(DATA_W-1 downto 0) <= to_slv(to_float(113)); -- -- gmem(4)(2*DATA_W-1 downto DATA_W) <= to_slv(to_float(5)); -- -- gmem(5)(DATA_W-1 downto 0) <= to_slv(to_float(27)); -- -- gmem(5)(2*DATA_W-1 downto DATA_W) <= to_slv(to_float(106)); -- -- gmem(6)(DATA_W-1 downto 0) <= to_slv(to_float(33)); -- -- gmem(6)(2*DATA_W-1 downto DATA_W) <= to_slv(to_float(6)); -- -- gmem(7)(DATA_W-1 downto 0) <= to_slv(to_float(86)); -- -- gmem(7)(2*DATA_W-1 downto DATA_W) <= to_slv(to_float(92)); else gmem <= init_mem(C_MEM_SIZE/2, 32); end if; end if; end if; end process; ---------------------------------------------------------------------------------------------------------}}} -- read control -------------------------------------------------------------------------------------------{{{ read_fsms: process(clk) variable seed1, seed2 : positive := 1; variable rand : real; variable rdAddr, wrAddr : gmem_addr_2d_array(N_AXI-1 downto 0, N_WR_FIFOS_AXI-1 downto 0) := (others=>(others=>(others=>'0'))); variable st_reader : st_reader_array(N_AXI-1 downto 0, N_WR_FIFOS_AXI-1 downto 0) := (others=>(others=>idle)); variable rlen : nat_2d_array(N_AXI-1 downto 0, N_WR_FIFOS_AXI-1 downto 0) := (others=>(others=>0)); begin if rising_edge(clk) then if nrst = '0' then else for i in 0 to N_AXI-1 loop arready(i) <= '0'; rvalid(i) <= '0'; rlast(i) <= '0'; -- id readers for j in 0 to N_WR_FIFOS_AXI-1 loop case st_reader(i, j) is when idle => if arvalid(i) = '1' and arready(i) = '0' and to_integer(unsigned(arid(i))) = j then arready(i) <= '1'; rdAddr(i, j) := unsigned(araddr(i)) - ADDR_OFFSET; rlen(i, j) := to_integer(unsigned(mx_arlen_awlen)); if IMPLEMENT_DELAY then st_reader(i, j) := delay_before_read; uniform(seed1, seed2, rand); delay(i, j) <= MIN_DELAY + integer(rand*MAX_DELAY); else st_reader(i, j) := send_data; end if; end if; when delay_before_read => if delay(i,j) /= 0 then delay(i, j) <= delay(i, j) - 1; else st_reader(i, j) := send_data; end if; when send_data => if to_integer(unsigned(rid(i))) = j and rvalid(i) = '1' and rready(i) = '1' then rdAddr(i, j) := rdAddr(i, j) + 8; if rlen(i, j) = 0 then st_reader(i, j) := idle; else rlen(i, j) := rlen(i, j) - 1; if IMPLEMENT_NO_STREAM_READ then uniform(seed1, seed2, rand); if rand < 0.5 then uniform(seed1, seed2, rand); delay(i, j) <= integer(rand*MAX_STEAM_PAUSE); st_reader(i, j) := delay_before_read; end if; end if; end if; end if; end case; end loop; for j in 0 to N_WR_FIFOS_AXI-1 loop if st_reader(i, j) = send_data then rvalid(i) <= '1'; rdata(i) <= gmem(to_integer(rdAddr(i, j)(MEM_PHY_ADDR_W+2+GMEM_N_BANK_W-1 downto 2+GMEM_N_BANK_W))); rid(i) <= std_logic_vector(to_unsigned(j, ID_WIDTH)); if rlen(i, j) = 0 then rlast(i) <= '1'; end if; exit; end if; end loop; end loop; end if; end if; end process; ---------------------------------------------------------------------------------------------------------}}} -- write control -------------------------------------------------------------------------------------------{{{ wr_addr_offset_alias: for i in 0 to N_AXI-1 generate begin wr_addr_offset(i) <= wr_addr(i)(MEM_PHY_ADDR_W+2+GMEM_N_BANK_W-1 downto 2+GMEM_N_BANK_W); end generate; awready <= not awaddr_fifo_full; awaddr_fifo_push <= awvalid and awready; process(clk) variable pop_awaddr: std_logic_vector(N_AXI-1 downto 0) := (others=>'0'); variable seed1, seed2 : positive := 1; variable rand : real; variable bid_wait_cycles : natural := 0; begin if rising_edge(clk) then if nrst = '0' then awaddr_fifo_wrAddr <= (others=>(others=>'0')); awaddr_fifo_rdAddr <= (others=>(others=>'0')); st_write <= (others=> get_address); awaddr_fifo_nempty <= (others=>'0'); awaddr_fifo_full <= (others=>'0'); awaddr_fifo_pop <= (others=>'0'); awid_fifo_rdAddr <= (others=>(others=>'0')); awid_fifo_wrAddr <= (others=>(others=>'0')); else wready <= (others=>'1'); wdata_vec(wdata_vec'high) <= wdata; wdata_vec(wdata_vec'high-1 downto 0) <= wdata_vec(wdata_vec'high downto 1); wlast_vec(wlast_vec'high-1 downto 0) <= wlast_vec(wlast_vec'high downto 1); for i in 0 to N_AXI-1 loop wlast_vec(wlast_vec'high)(i) <= '0'; if wlast(i) = '1' then while true loop uniform(seed1, seed2, rand); bid_wait_cycles := integer(rand*real(2**BVALID_DELAY_W)); if bid_wait_cycles > 2**BVALID_DELAY_W-2 then bid_wait_cycles := 2**BVALID_DELAY_W-2; end if; -- if bid_wait_cycles = 0 then -- bid_wait_cycles := 1; -- end if; -- report "bid_wait_cycles = " & integer'image(bid_wait_cycles); if wlast_vec(bid_wait_cycles+1)(i) = '0' then wlast_vec(bid_wait_cycles)(i) <= '1'; exit; else -- report "setting wlast failed"; end if; end loop; end if; end loop; wvalid_vec(wvalid_vec'high) <= wvalid; wvalid_vec(wvalid_vec'high-1 downto 0) <= wvalid_vec(wvalid_vec'high downto 1); wstrb_vec(wstrb_vec'high) <= wstrb; wstrb_vec(wstrb_vec'high-1 downto 0) <= wstrb_vec(wstrb_vec'high downto 1); wr_addr_offset_vec(wr_addr_offset_vec'high) <= wr_addr_offset; wr_addr_offset_vec(wr_addr_offset_vec'high-1 downto 0) <= wr_addr_offset_vec(wr_addr_offset_vec'high downto 1); for i in 0 to N_AXI-1 loop if wlast_vec(0)(i) = '1' then bvalid(i) <= '1'; bid(i) <= awid_fifo(i)(to_integer(awid_fifo_rdAddr(i))); awid_fifo_rdAddr(i) <= awid_fifo_rdAddr(i) + 1; elsif bready(i) = '1' then bvalid(i) <= '0'; end if; pop_awaddr(i) := '0'; awaddr_fifo_pop(i) <= '0'; case st_write(i) is when get_address => if awaddr_fifo_nempty(i) = '1' then awaddr_fifo_pop(i) <= '1'; pop_awaddr(i) := '1'; wr_addr(i) <= awaddr_fifo(i)(to_integer(awaddr_fifo_rdAddr(i))) - ADDR_OFFSET; awaddr_fifo_rdAddr(i) <= awaddr_fifo_rdAddr(i) + 1; st_write(i) <= write; end if; when write => if wvalid(i) = '1' and wready(i) = '1' then wr_addr(i) <= wr_addr(i) + 8; if wlast(i) = '1' then if awaddr_fifo_nempty(i) = '1' then awaddr_fifo_pop(i) <= '1'; pop_awaddr(i) := '1'; wr_addr(i) <= awaddr_fifo(i)(to_integer(awaddr_fifo_rdAddr(i))) - ADDR_OFFSET; awaddr_fifo_rdAddr(i) <= awaddr_fifo_rdAddr(i) + 1; st_write(i) <= write; else st_write(i) <= get_address; end if; end if; end if; end case; if awaddr_fifo_push(i) = '1' then -- if to_integer(unsigned(awaddr(i)(17 downto 0))) = 3712 then -- report "heeere"; -- end if; awaddr_fifo(i)(to_integer(awaddr_fifo_wrAddr(i))) <= unsigned(awaddr(i)); awaddr_fifo_wrAddr(i) <= awaddr_fifo_wrAddr(i) + 1; awid_fifo(i)(to_integer(awid_fifo_wrAddr(i))) <= awid(i); awid_fifo_wrAddr(i) <= awid_fifo_wrAddr(i) + 1; end if; if awaddr_fifo_push(i) = '1' and pop_awaddr(i) = '0' and awaddr_fifo_wrAddr(i)+1 = awaddr_fifo_rdAddr(i) then awaddr_fifo_full(i) <= '1'; elsif awaddr_fifo_push(i) = '0' and pop_awaddr(i) = '1' then awaddr_fifo_full(i) <= '0'; end if; if awaddr_fifo_push(i) = '1' and pop_awaddr(i) = '0' then awaddr_fifo_nempty(i) <= '1'; elsif awaddr_fifo_push(i) = '0' and pop_awaddr(i) = '1' and awaddr_fifo_rdAddr(i)+1 = awaddr_fifo_wrAddr(i) then awaddr_fifo_nempty(i) <= '0'; end if; end loop; end if; end if; end process; --------------------------------------------------------------------------------------------------------}}} -- test process -------------------------------------------------------------------------------------------{{{ test_process: process(clk) -- test procedures {{{ -- variables {{{ variable wr_addr_int : integer := 0; variable li : line; variable offset : integer := 16#0008_0000#; variable stride : natural := 65; variable written_count_tmp : integer := 0; type SLV16_ARRAY is array (natural range <>) of std_logic_vector(15 downto 0); type SLV8_ARRAY is array(natural range <>) of std_logic_vector(7 downto 0); variable must_data_word : SLV32_ARRAY(1 downto 0) := (others=>(others=>'0')); variable must_data_half : SLV16_ARRAY(3 downto 0) := (others=>(others=>'0')); variable must_data_byte : SLV8_ARRAY(7 downto 0) := (others=>(others=>'0')); variable must_data : std_logic_vector(GMEM_DATA_W-1 downto 0) := (others=>'0'); variable word_addr, second_word_addr : natural := 0; variable byte_addr : natural := 0; variable half_addr : natural := 0; variable tmp_signed : signed(DATA_W-1 downto 0) := (others=>'0'); variable tmp_unsigned_64 : unsigned(GMEM_DATA_W-1 downto 0) := (others=>'0'); variable tmp_unsigned : unsigned(DATA_W-1 downto 0) := (others=>'0'); variable tmp_integer : integer; variable tmp_float : float32 := to_float(0); variable tmp_std_logic : std_logic := '0'; variable rowIndx, colIndx, res, k : natural := 0; variable p00, p01, p02, p10, p11, p12, p20, p21, p22 : unsigned(DATA_W-1 downto 0) := (others=>'0'); variable nStages, stageIndx, pairDistance, blockWidth, leftIndx, rightIndx: integer := 0; variable leftElement, rightElement, greater, lesser : unsigned(DATA_W-1 downto 0) := (others=>'0'); variable leftElement_float, rightElement_float, greater_float, lesser_float : float32 := to_float(0); variable twiddle, a, b, res_a, res_b : complex; variable passIndx, sameDirectionBlock : integer := 0; variable nGroups, groupOffset : integer := 0; variable x1, y1, z1, m1, x2, y2, z2, m2 : float32 := to_float(0); variable xdiff, ydiff, zdiff, distSquared : float32 := to_float(0); variable accx, accy, accz, invDist, invDistCube, s : float32 := to_float(0); variable oldVelx, oldVely, oldVelz, newVelx, newVely, newVelz : float32 := to_float(0); variable softeningFactor : float32 := to_float(500); -- don't change (fixed in sch_ram.xml) variable deltaTime : float32 := to_float(0.005); -- don't change (fixed in sch_ram.xml) -- }}} procedure ludecompose_round is begin end procedure; procedure fft_round is -- {{{ begin for i in 0 to size_0-1 loop pairDistance := 2**stageIndx; blockWidth := 2 * pairDistance; nGroups := size_0/pairDistance; groupOffset := to_integer(to_unsigned(i, 32) and to_unsigned(pairDistance-1, 32)); leftIndx := groupOffset + (i/pairDistance)*blockWidth; rightIndx := leftIndx + pairDistance; a.re := to_real(to_float(tmp_gmem(2*leftIndx))); a.im := to_real(to_float(tmp_gmem(2*leftIndx+1))); b.re := to_real(to_float(tmp_gmem(2*rightIndx))); b.im := to_real(to_float(tmp_gmem(2*rightIndx+1))); -- swrite(li, "a = "); -- write(li, a.re); -- swrite(li, " +j "); -- write(li, a.im); -- swrite(li, ", b = "); -- write(li, b.re); -- swrite(li, " +j "); -- write(li, b.im); -- write(li, LF); twiddle.re := to_real(to_float(gmem(C_MEM_SIZE/4+nGroups*groupOffset)(DATA_W-1 downto 0))); twiddle.im := to_real(to_float(gmem(C_MEM_SIZE/4+nGroups*groupOffset)(2*DATA_W-1 downto DATA_W))); res_a := a+twiddle*b; res_b := a-twiddle*b; -- swrite(li, "res_a = "); -- write(li, res_a.re); -- swrite(li, " +j "); -- write(li, res_a.im); -- swrite(li, ", res_b = "); -- write(li, res_b.re); -- swrite(li, " +j "); -- write(li, res_b.im); -- write(li, LF); tmp_gmem(2*leftIndx) <= to_slv(to_float(res_a.re)); tmp_gmem(2*leftIndx+1) <= to_slv(to_float(res_a.im)); tmp_gmem(2*rightIndx) <= to_slv(to_float(res_b.re)); tmp_gmem(2*rightIndx+1) <= to_slv(to_float(res_b.im)); end loop; -- report "fft round is executed"; -- for i in 0 to 7 loop -- write(li, to_real(to_float(tmp_gmem(2*i)))); -- swrite(li, " +j "); -- write(li, to_real(to_float(tmp_gmem(2*i+1)))); -- write(li, LF); -- end loop; -- writeline(OUTPUT, li); end procedure; -- }}} procedure bitonic_float_round is -- {{{ begin sameDirectionBlock := 2**(stageIndx); -- report "bitonic round excutes with stageIndx = " & integer'image(stageIndx) & " and passIndx = " & integer'image(passIndx); for i in 0 to size_0-1 loop pairDistance := 2**(stageIndx-passIndx); blockWidth := 2 * pairDistance; leftIndx := (i mod pairDistance) + (i/pairDistance)*blockWidth; rightIndx := leftIndx + pairDistance; leftElement_float := to_float(tmp_gmem(leftIndx)); rightElement_float := to_float(tmp_gmem(rightIndx)); if gt(leftElement_float, rightElement_float) then greater_float := leftElement_float; lesser_float := rightElement_float; else greater_float := rightElement_float; lesser_float := leftElement_float; end if; if (i/sameDirectionBlock) mod 2 /= 1 then leftElement_float := greater_float; rightElement_float := lesser_float; else leftElement_float := lesser_float; rightElement_float := greater_float; end if; tmp_gmem(leftIndx) <= to_slv(leftElement_float); tmp_gmem(rightIndx) <= to_slv(rightElement_float); end loop; -- report "bitonic round is executed"; end procedure; -- }}} procedure bitonic_round is -- {{{ begin sameDirectionBlock := 2**(stageIndx); -- report "bitonic round excutes with stageIndx = " & integer'image(stageIndx) & " and passIndx = " & integer'image(passIndx); for i in 0 to size_0-1 loop pairDistance := 2**(stageIndx-passIndx); blockWidth := 2 * pairDistance; leftIndx := (i mod pairDistance) + (i/pairDistance)*blockWidth; rightIndx := leftIndx + pairDistance; leftElement := unsigned(tmp_gmem(leftIndx)); rightElement := unsigned(tmp_gmem(rightIndx)); if leftElement > rightElement then greater := leftElement; lesser := rightElement; else greater := rightElement; lesser := leftElement; end if; if (i/sameDirectionBlock) mod 2 /= 1 then leftElement := greater; rightElement := lesser; else leftElement := lesser; rightElement := greater; end if; tmp_gmem(leftIndx) <= std_logic_vector(leftElement); tmp_gmem(rightIndx) <= std_logic_vector(rightElement); end loop; -- report "bitonic round is executed"; end procedure; -- }}} function canonicalize_float(f: std_logic_vector(31 downto 0)) return std_logic_vector is -- {{{ variable res : std_logic_vector(31 downto 0) := (others=>'0'); begin res := f; if f(30 downto 23) = X"FF" then --NaN or infinity if f(22 downto 0) /= (0 to 22 => '0') then --NaN res(22 downto 0) := (0=>'1', others=>'0'); res(31) := '0'; end if; end if; return res; end function; -- }}} function pixel_value(i, j, stride: natural) return integer is -- {{{ variable res : integer := 0; variable tmp, addr : natural := 0; variable word_addr : unsigned(DATA_W-1 downto 0) := (others=>'0'); begin addr := i*stride+j; tmp := addr mod 4; word_addr := to_unsigned(addr/ 4, DATA_W); if tmp = 0 then res := to_integer(word_addr(7 downto 0)); elsif tmp = 1 then res := to_integer(word_addr(15 downto 8)); elsif tmp = 2 then res := to_integer(word_addr(23 downto 16)); else res := to_integer(word_addr(31 downto 24)); end if; return res; end function; -- }}} procedure sort3(x, y, z: inout integer) is -- {{{ variable tmp_integer : integer := 0; begin -- sort in ascending order if x > y then tmp_integer := x; x := y; y := tmp_integer; end if; if x > z then tmp_integer := x; x := z; z := tmp_integer; end if; if y > z then tmp_integer := y; y := z; z := tmp_integer; end if; end procedure; --}}} procedure compute_max_half_atomic is -- {{{ variable res : integer; begin res := to_integer(signed(gmem(0)(DATA_W/2-1 downto 0))); for i in 0 to problemSize/4-1 loop -- 4 half words in one gmem address res := max(res, to_integer(signed(gmem(i)(DATA_W/2-1 downto 0)))); res := max(res, to_integer(signed(gmem(i)(DATA_W-1 downto DATA_W/2)))); res := max(res, to_integer(signed(gmem(i)(DATA_W+DATA_W/2-1 downto DATA_W)))); res := max(res, to_integer(signed(gmem(i)(2*DATA_W-1 downto DATA_W+DATA_W/2)))); end loop; tmp_gmem(0) <= std_logic_vector(to_signed(res, DATA_W)); end procedure; -- }}} procedure compute_median is -- {{{ variable pixel_align : integer := 0; variable p00x, p01x, p02x, p10x, p11x, p12x, p20x, p21x, p22x : integer := 0; variable res : unsigned(DATA_W-1 downto 0) := (others=>'0'); begin -- print image -- for i in 0 to size_1-1 loop -- for j in 0 to size_0/2-1 loop -- tmp_integer := (i*size_0 +2*j)/GMEM_N_BANK; -- write(li, to_hstring(gmem(tmp_integer)(DATA_W-1 downto 0))); -- swrite(li, " "); -- write(li, to_hstring(gmem(tmp_integer)(2*DATA_W-1 downto DATA_W))); -- swrite(li, " "); -- end loop; -- write(li, LF); -- writeline(output, li); -- end loop; for i in 1 to size_1-2 loop for j in 1 to size_0-2 loop pixel_align := (j+1) mod 2; if pixel_align = 0 then tmp_integer := ((i-1)*size_0 +j)/GMEM_N_BANK; p00 := unsigned(gmem(tmp_integer)(DATA_W-1 downto 0)); p01 := unsigned(gmem(tmp_integer)(2*DATA_W-1 downto DATA_W)); p02 := unsigned(gmem(tmp_integer+1)(DATA_W-1 downto 0)); tmp_integer := ((i+0)*size_0 +j)/GMEM_N_BANK; p10 := unsigned(gmem(tmp_integer)(DATA_W-1 downto 0)); p11 := unsigned(gmem(tmp_integer)(2*DATA_W-1 downto DATA_W)); p12 := unsigned(gmem(tmp_integer+1)(DATA_W-1 downto 0)); tmp_integer := ((i+1)*size_0 +j)/GMEM_N_BANK; p20 := unsigned(gmem(tmp_integer)(DATA_W-1 downto 0)); p21 := unsigned(gmem(tmp_integer)(2*DATA_W-1 downto DATA_W)); p22 := unsigned(gmem(tmp_integer+1)(DATA_W-1 downto 0)); else tmp_integer := ((i-1)*size_0 +j)/GMEM_N_BANK; p00 := unsigned(gmem(tmp_integer-1)(2*DATA_W-1 downto DATA_W)); p01 := unsigned(gmem(tmp_integer)(DATA_W-1 downto 0)); p02 := unsigned(gmem(tmp_integer)(2*DATA_W-1 downto DATA_W)); tmp_integer := ((i+0)*size_0 +j)/GMEM_N_BANK; p10 := unsigned(gmem(tmp_integer-1)(2*DATA_W-1 downto DATA_W)); p11 := unsigned(gmem(tmp_integer)(DATA_W-1 downto 0)); p12 := unsigned(gmem(tmp_integer)(2*DATA_W-1 downto DATA_W)); tmp_integer := ((i+1)*size_0 +j)/GMEM_N_BANK; p20 := unsigned(gmem(tmp_integer-1)(2*DATA_W-1 downto DATA_W)); p21 := unsigned(gmem(tmp_integer)(DATA_W-1 downto 0)); p22 := unsigned(gmem(tmp_integer)(2*DATA_W-1 downto DATA_W)); end if; -- show stencil -- write(li, to_hstring(p00)); swrite(li, " "); write(li, to_hstring(p01)); swrite(li, " "); write(li, to_hstring(p02)&LF); -- write(li, to_hstring(p10)); swrite(li, " "); write(li, to_hstring(p11)); swrite(li, " "); write(li, to_hstring(p12)&LF); -- write(li, to_hstring(p20)); swrite(li, " "); write(li, to_hstring(p21)); swrite(li, " "); write(li, to_hstring(p22)&LF&LF); -- writeline(output, li); for k in 0 to 2 loop -- rgb -- get color values p00x := to_integer(p00((k+1)*8-1 downto k*8)); p01x := to_integer(p01((k+1)*8-1 downto k*8)); p02x := to_integer(p02((k+1)*8-1 downto k*8)); p10x := to_integer(p10((k+1)*8-1 downto k*8)); p11x := to_integer(p11((k+1)*8-1 downto k*8)); p12x := to_integer(p12((k+1)*8-1 downto k*8)); p20x := to_integer(p20((k+1)*8-1 downto k*8)); p21x := to_integer(p21((k+1)*8-1 downto k*8)); p22x := to_integer(p22((k+1)*8-1 downto k*8)); -- sort rows sort3(p00x, p01x, p02x); sort3(p10x, p11x, p12x); sort3(p20x, p21x, p22x); -- sort columns sort3(p00x, p10x, p20x); sort3(p01x, p11x, p21x); sort3(p02x, p12x, p22x); -- sort diagonal sort3(p00x, p11x, p22x); -- set resulting byte value res((k+1)*8-1 downto 8*k) := to_unsigned(p11x, 8); end loop; tmp_gmem(i*size_1+j) <= std_logic_vector(res); end loop; end loop; end procedure; --}}} procedure check_kernel is -- {{{ begin for i in 0 to N_AXI-1 loop if wvalid(i) = '1' and wready(i) = '1' then wr_addr_int := to_integer(unsigned(wr_addr_offset(i))); -- assert wr_addr_int /= 16#1b9b8# and wr_addr_int /= 16#1b9b9# and wr_addr_int /= 16#1b9ba# and wr_addr_int /= 16#1b9bb# and wr_addr_int /= 16#1b9bc# and wr_addr_int /= 16#1b9bd# and wr_addr_int /= 16#1b9be# and wr_addr_int /= 16#1b9bf#; -- write(output, "0x" & to_hstring(to_signed(word_addr, 32)) & LF); if kernel_name = bitonic or kernel_name = fft_hard or kernel_name = floydwarshall then word_addr := wr_addr_int*2; -- index of first parameter value else word_addr := wr_addr_int*2-(offset+target_offset_addr)/4; -- index of first parameter value end if; second_word_addr := word_addr + 64*1024; -- index of the second parameter -- assert word_addr < 64*1024 severity failure; assert word_addr >= 0 report integer'image(word_addr) severity failure ; byte_addr := word_addr * 4; half_addr := word_addr * 2; case kernel_name is when copy => for k in 0 to 1 loop must_data((k+1)*DATA_W-1 downto k*DATA_W) := std_logic_vector(to_unsigned(word_addr+k, DATA_W)); end loop; when parallelSelection => for k in 0 to 1 loop -- must_data((k+1)*DATA_W-1 downto k*DATA_W) := std_logic_vector(to_unsigned(word_addr+k, DATA_W)); must_data((k+1)*DATA_W-1 downto k*DATA_W) := std_logic_vector(to_float(word_addr+k)); end loop; when max_half_atomic => must_data(DATA_W-1 downto 0) := tmp_gmem(0); when sobel => when bitonic | fft_hard | median => must_data(DATA_W-1 downto 0) := tmp_gmem(word_addr); -- report integer'image(word_addr); -- report integer'image(to_integer(unsigned(tmp_gmem(word_addr)))); -- report integer'image(to_integer(unsigned(tmp_gmem(word_addr+1)))); must_data(2*DATA_W-1 downto DATA_W) := tmp_gmem(word_addr+1); when fir_char4 => for k in 0 to GMEM_DATA_W/8-1 loop res := 0; tmp_integer := (byte_addr+k) mod 256; for p in 0 to 12-1 loop res := res + (tmp_integer+p)*p; end loop; tmp_unsigned := to_unsigned(res, DATA_W); must_data((k+1)*GMEM_DATA_W/8-1 downto k*GMEM_DATA_W/8) := std_logic_vector(tmp_unsigned(7 downto 0)); end loop; when fadd => -- {{{ must_data(DATA_W-1 downto 0) := to_slv( to_float(gmem(word_addr/GMEM_N_BANK)(DATA_W-1 downto 0)) + to_float(gmem(second_word_addr/GMEM_N_BANK)(DATA_W-1 downto 0)) ); must_data(2*DATA_W-1 downto DATA_W) := to_slv(to_float(gmem(word_addr/GMEM_N_BANK)(2*DATA_W-1 downto DATA_W)) + to_float(gmem(second_word_addr/GMEM_N_BANK)(2*DATA_W-1 downto DATA_W)) ); -- }}} when floydwarshall => -- {{{ -- }}} when add_float => -- {{{ must_data(DATA_W-1 downto 0) := to_slv(to_float(gmem(word_addr/GMEM_N_BANK)(DATA_W-1 downto 0)) + to_float(1)); must_data(2*DATA_W-1 downto DATA_W) := to_slv(to_float(gmem(word_addr/GMEM_N_BANK)(2*DATA_W-1 downto DATA_W)) + to_float(1)); -- }}} when mul_float => -- {{{ must_data(DATA_W-1 downto 0) := to_slv( to_float(gmem(word_addr/GMEM_N_BANK)(DATA_W-1 downto 0)) * to_float(gmem(second_word_addr/GMEM_N_BANK)(DATA_W-1 downto 0)) ); must_data(2*DATA_W-1 downto DATA_W) := to_slv(to_float(gmem(word_addr/GMEM_N_BANK)(2*DATA_W-1 downto DATA_W)) * to_float(gmem(second_word_addr/GMEM_N_BANK)(2*DATA_W-1 downto DATA_W)) ); -- }}} when mat_mul => -- {{{ colIndx := word_addr mod size_0; rowIndx := word_addr / size_0; res := 0; for k in 0 to size_0-1 loop res := res + ((rowIndx*size_0+k) mod FILL_MODULO) * ((colIndx+k*size_0)mod FILL_MODULO); end loop; -- res := size_0*size_0*rowIndx*colIndx + (size_0*size_0*rowIndx+colIndx)*(size_0-1)*size_0/2 + size_0*(size_0-1)*size_0*(2*size_0-1)/6; must_data(DATA_W-1 downto 0) := std_logic_vector(to_unsigned(res, DATA_W)); colIndx := (word_addr+1) mod size_0; rowIndx := (word_addr+1) / size_0; res := 0; for k in 0 to size_0-1 loop res := res + ((rowIndx*size_0+k) mod FILL_MODULO) * ((colIndx+k*size_0)mod FILL_MODULO); end loop; must_data(2*DATA_W-1 downto DATA_W) := std_logic_vector(to_unsigned(res, DATA_W)); -- }}} when fir => -- {{{ res := 0; for p in 0 to 5-1 loop res := res + (word_addr+p)*p; end loop; must_data(DATA_W-1 downto 0) := std_logic_vector(to_unsigned(res, DATA_W)); res := 0; for p in 0 to 5-1 loop res := res + (word_addr+p+1); end loop; must_data(2*DATA_W-1 downto DATA_W) := std_logic_vector(to_unsigned(res, DATA_W)); -- }}} when xcorr => -- {{{ res := 0; for k in 0 to size_0-1 loop res := res + (k mod FILL_MODULO) * ((word_addr+k) mod FILL_MODULO); end loop; must_data(DATA_W-1 downto 0) := std_logic_vector(to_unsigned(res, DATA_W)); res := 0; for k in 0 to size_0-1 loop res := res + (k mod FILL_MODULO) * ((word_addr+1+k) mod FILL_MODULO); end loop; must_data(2*DATA_W-1 downto DATA_W) := std_logic_vector(to_unsigned(res, DATA_W)); -- }}} when sum_atomic => -- {{{ must_data(DATA_W-1 downto 0) := std_logic_vector(to_unsigned((size_0-1)*size_0/2, DATA_W)); when others => report "undifined program index!" severity failure; end case; --- }}} if wvalid(i) = '1' and wready(i) = '1' then case COMP_TYPE is when 0 => -- byte {{{ for k in 0 to 7 loop if wstrb(i)(k) = '1' and must_data((k+1)*8-1 downto k*8) /= wdata(i)((k+1)*8-1 downto k*8) then report "wdata byte " & integer'image(k) & " on AXI " & integer'image(i) & " data is " & integer'image(to_integer(unsigned(wdata(i)((k+1)*8-1 downto k*8)))) & " must be " & integer'image(to_integer(unsigned(must_data((k+1)*8-1 downto k*8)))) & " on byte Nr. " & integer'image(byte_addr) severity failure; end if; if wstrb(i)(k) = '1' then if written_addrs(byte_addr+k) = '0' then written_count_tmp := written_count_tmp + 1; else -- report "double write"; end if; written_addrs(byte_addr+k) <= '1'; end if; end loop; --}}} when 1 => -- half word {{{ for k in 0 to 3 loop assert wstrb(i)((k+1)*2-1 downto k*2) = "00" or must_data((k+1)*16-1 downto k*16) = wdata(i)((k+1)*16-1 downto k*16) report "wdata half word " & integer'image(k) & " on AXI " & integer'image(i) severity failure; if wstrb(i)(k*2) = '1' then if written_addrs(half_addr+k) = '0' then written_count_tmp := written_count_tmp + 1; else -- report "double write"; end if; written_addrs(half_addr+k) <= '1'; end if; end loop; -- }}} when 2 => -- word {{{ for k in 0 to 1 loop if kernel_name = add_float or kernel_name = mul_float or kernel_name = fadd then if wstrb(i)((k+1)*DATA_W/8-1 downto k*DATA_W) = X"F" then if canonicalize_float(must_data((k+1)*DATA_W-1 downto k*DATA_W)) /= canonicalize_float(wdata(i)((k+1)*DATA_W-1 downto k*DATA_W)) then write(output, "wdata word " & integer'image(k) & " on AXI " & integer'image(i) & " is " & "0x" & to_hstring(unsigned(wdata(i)((k+1)*DATA_W-1 downto k*DATA_W))) & " (should be " & "0x" & to_hstring(unsigned(must_data((k+1)*DATA_W-1 downto k*DATA_W))) & ") for word_addr = " & integer'image(word_addr+k) & LF); if kernel_name = fadd then write(li, to_real(to_float(gmem(word_addr/GMEM_N_BANK)((k+1)*DATA_W-1 downto k*DATA_W)))); write(li, LF); write(li, to_real(to_float(must_data((k+1)*DATA_W-1 downto k*DATA_W)))); writeline(output, li); else write(output, to_hstring(gmem(word_addr/GMEM_N_BANK)((k+1)*DATA_W-1 downto k*DATA_W))&LF); write(output, to_hstring(gmem(second_word_addr/GMEM_N_BANK)((k+1)*DATA_W-1 downto k*DATA_W))&LF); end if; assert false ; -- assert false severity failure; end if; end if; elsif kernel_name = fft_hard then if wstrb(i)((k+1)*DATA_W/8-1 downto k*DATA_W) = X"F" then if must_data((k+1)*DATA_W-1 downto k*DATA_W) /= wdata(i)((k+1)*DATA_W-1 downto k*DATA_W) then write(output, "wdata word " & integer'image(k) & " on AXI " & integer'image(i) & " is " & "0x" & to_hstring(unsigned(wdata(i)((k+1)*DATA_W-1 downto k*DATA_W))) & " (should be " & "0x" & to_hstring(unsigned(must_data((k+1)*DATA_W-1 downto k*DATA_W))) & ") for word_addr = " & integer'image(word_addr+k) & LF); write(li, to_real(to_float(wdata(i)((k+1)*DATA_W-1 downto k*DATA_W)))); write(li, LF); write(li, to_real(to_float(must_data((k+1)*DATA_W-1 downto k*DATA_W)))); writeline(output, li); -- for i in 0 to 7 loop -- swrite(li, "x= "); -- write(li, to_real(to_float(tmp_gmem(4*i)))); -- swrite(li, ",y= "); -- write(li, to_real(to_float(tmp_gmem(4*i+1)))); -- swrite(li, ",z= "); -- write(li, to_real(to_float(tmp_gmem(4*i+2)))); -- swrite(li, ",m= "); -- write(li, to_real(to_float(tmp_gmem(4*i+3)))); -- write(li, LF); -- end loop; -- writeline(OUTPUT, li); -- for i in 0 to 7 loop -- write(li, to_real(to_float(tmp_gmem(2*i)))); -- swrite(li, " +j "); -- write(li, to_real(to_float(tmp_gmem(2*i+1)))); -- write(li, LF); -- end loop; -- writeline(OUTPUT, li); if must_data((k+1)*DATA_W-1 downto k*DATA_W+18) /= wdata(i)((k+1)*DATA_W-1 downto k*DATA_W+18) then -- ignore some lsbs assert false severity failure; end if; end if; end if; elsif kernel_name /= sum_atomic then if wstrb(i)((k+1)*DATA_W/8-1 downto k*DATA_W) = X"F" and must_data((k+1)*DATA_W-1 downto k*DATA_W) /= wdata(i)((k+1)*DATA_W-1 downto k*DATA_W) then write(output, "wdata word " & integer'image(k) & " on AXI " & integer'image(i) & " is " & integer'image(to_integer(unsigned(wdata(i)((k+1)*DATA_W-1 downto k*DATA_W)))) & " (should be " & integer'image(to_integer(unsigned(must_data((k+1)*DATA_W-1 downto k*DATA_W)))) & ") for word_addr = " & integer'image(word_addr+k) & LF); assert false severity failure; end if; end if; if wstrb(i)(k*DATA_W/8) = '1' then if written_addrs(word_addr+k) = '0' then written_count_tmp := written_count_tmp + 1; else -- report "double write"; end if; written_addrs(word_addr+k) <= '1'; end if; end loop; -- }}} when others => report "undefined computation type!" severity failure; end case; end if; end if; end loop; end procedure; procedure check_written_count(num: integer) is begin if written_count = num then if STAT = 0 then report "Kernel finished successfully! Size was :"&integer'image(num); end if; else report "XXXXXXXXXXXXXXXXXXXX NOT ALL RESULTS ARE WRITTEN XXXXXXXXXXXXXXXXXX ! Size was :"&integer'image(num)& " written are: "&integer'image(written_count); for i in 0 to num-1 loop assert written_addrs(i) = '1' report "The address "&integer'image(i)&" is not written" severity failure; end loop; assert false severity failure; end if; end procedure; -- }}} begin if rising_edge(clk) then written_count_tmp := 0; check_kernel; new_kernel_d0 <= new_kernel; new_kernel_d1 <= new_kernel_d0; if new_kernel = '1' then written_count <= 0; written_addrs <= (others=>'0'); if kernel_name = bitonic then for i in 0 to 2**16-1 loop tmp_gmem(i) <= std_logic_vector(to_unsigned(i, 32)); end loop; nStages := 1; tmp_integer := 1; while tmp_integer < size_0 loop tmp_integer := tmp_integer * 2; nStages := nStages + 1; end loop; stageIndx := 0; passIndx := 0; elsif kernel_name = fft_hard then nStages := 1; tmp_integer := 1; while tmp_integer < size_0 loop tmp_integer := tmp_integer * 2; nStages := nStages + 1; end loop; stageIndx := 0; -- with bit reverse for i in 0 to size_0*2-1 loop tmp_unsigned := to_unsigned(i, 32); for j in 0 to nStages/2 loop tmp_std_logic := tmp_unsigned(nStages-1-j); tmp_unsigned(nStages-1-j) := tmp_unsigned(j); tmp_unsigned(j) := tmp_std_logic; end loop; tmp_gmem(2*to_integer(tmp_unsigned)) <= to_slv(to_float(i mod 4)); -- real part tmp_gmem(2*to_integer(tmp_unsigned)+1) <= (others=>'0'); -- imaginary part end loop; end if; else written_count <= written_count + written_count_tmp; if new_kernel_d0 = '1' then if kernel_name = bitonic then bitonic_round; if passIndx = stageIndx then passIndx := 0; stageIndx := stageIndx + 1; else passIndx := passIndx + 1; end if; elsif kernel_name = fft_hard then -- report "tmp_gmem = "; -- for i in 0 to 7 loop -- write(li, to_real(to_float(tmp_gmem(2*i)))); -- swrite(li, " +j "); -- write(li, to_real(to_float(tmp_gmem(2*i+1)))); -- write(li, LF); -- end loop; -- writeline(OUTPUT, li); fft_round; stageIndx := stageIndx + 1; elsif kernel_name = median then compute_median; elsif kernel_name = max_half_atomic then compute_max_half_atomic; end if; end if; end if; if finished_kernel = '1' then if kernel_name = bitonic then -- if passIndx /= 1 then if kernel_name = bitonic then bitonic_round; else bitonic_float_round; end if; -- end if; if passIndx = stageIndx then passIndx := 0; stageIndx := stageIndx + 1; else passIndx := passIndx + 1; end if; elsif kernel_name = fft_hard then fft_round; stageIndx := stageIndx + 1; elsif kernel_name = sum_atomic or kernel_name = max_half_atomic then assert must_data(DATA_W-1 downto 0) = gmem(65536)(DATA_W-1 downto 0) report "result is " & integer'image(to_integer(unsigned(gmem(65536)(DATA_W-1 downto 0))))& " (must be " & integer'image(to_integer(unsigned(must_data(DATA_W-1 downto 0)))) & ")" severity failure; -- report "wdata word " & integer'image(k) & " on AXI " & integer'image(i) & " is " & -- integer'image(to_integer(unsigned(wdata(i)((k+1)*DATA_W-1 downto k*DATA_W)))) & -- " (should be " & integer'image(to_integer(unsigned(must_data((k+1)*DATA_W-1 downto k*DATA_W)))) & ") for word_addr = " & -- integer'image(word_addr+k) severity failure; -- report integer'image(to_integer(unsigned(must_data(DATA_W-1 downto 0)))); check_written_count(1); else if COMP_TYPE = 0 then -- byte mode check_written_count(size_0*size_1*4); elsif kernel_name = median then check_written_count(size_0*size_1-2*(size_0-1)-2*(size_1-1)); -- no write for edge pixels else check_written_count(size_0*size_1); end if; end if; end if; -- write(li, std_logic_vector(wr_addr_offset(0))); -- writeline(OUTPUT, li); -- report "written addr: " & integer'image(2*(wr_addr_int-16#400#)); end if; end process; ---------------------------------------------------------------------------------------------------------}}} -- performance measurements ------------------------------------------------------------------------------{{{ perf_count: if STAT = 1 generate process(clk) -- variable n_empty_bytes, n_written_bytes : natural := 0; -- variable empty_bytes_percentage: real := 0.0; variable min_n_bursts, n_wr_increase, n_rd_increase : real := 0.0; variable min_n_read_bursts, min_n_write_bursts : real := 0.0; variable n_wr_bursts, n_rd_bursts : natural := 0; variable size, data_size_word : natural := 0; begin if rising_edge(clk) then if finished_kernel = '1' then if kernel_name = sum_atomic or kernel_name = max_half_atomic then data_size_word := problemSize; -- empty_bytes_percentage := real(n_empty_bytes)/real(n_written_bytes); -- report "# of written empty bytes = " & integer'image(n_empty_bytes); -- report "# of written bytes = " & integer'image(n_written_bytes); min_n_read_bursts := ceil(real(data_size_word)/real(GMEM_N_BANK)/real(to_integer(unsigned(mx_arlen_awlen)+1))); -- smallest number of bursts need ti finish the task min_n_write_bursts := ceil(real(1)/real(GMEM_N_BANK)/real(to_integer(unsigned(mx_arlen_awlen)+1))); n_wr_increase := real(n_wr_bursts)/min_n_write_bursts*100.0 - 100.0; n_rd_increase := real(n_rd_bursts)/min_n_read_bursts*100.0 - 100.0; report "Problem size= "&integer'image(data_size_word) & ", # WR Bursts= " & integer'image(n_wr_bursts) & " (+" & integer'image(integer(n_wr_increase)) &"%)" & ", # RD Bursts= " & integer'image(n_rd_bursts) & " (+" & integer'image(integer(n_rd_increase)) &"%)"; -- n_empty_bytes := 0; -- n_written_bytes := 0; n_wr_bursts := 0; n_rd_bursts := 0; elsif kernel_name /= bitonic and kernel_name /= fft_hard then size := size_0*size_1; if COMP_TYPE = 0 then -- byte data_size_word := size_0*size_1 / 4; elsif COMP_TYPE = 1 then -- half word data_size_word := size_0*size_1 / 2; else -- word data_size_word := size_0*size_1; end if; -- empty_bytes_percentage := real(n_empty_bytes)/real(n_written_bytes); -- report "# of written empty bytes = " & integer'image(n_empty_bytes); -- report "# of written bytes = " & integer'image(n_written_bytes); min_n_bursts := ceil(real(data_size_word)/real(GMEM_N_BANK)/real(to_integer(unsigned(mx_arlen_awlen)+1))); -- smallest number of bursts need ti finish the task n_wr_increase := real(n_wr_bursts)/min_n_bursts*100.0 - 100.0; n_rd_increase := real(n_rd_bursts)/min_n_bursts*100.0 - 100.0; -- report "Size= "&integer'image(data_size_word) &", Empty written bytes = " & integer'image(integer(empty_bytes_percentage)) & " %"&", # Bursts= " & -- integer'image(n_wr_bursts) & " (+" & integer'image(integer(n_wr_increase)) &" %)"; report "Size= "&integer'image(size) & ", # WR Bursts= " & integer'image(n_wr_bursts) & " (+" & integer'image(integer(n_wr_increase)) &"%)" & ", # RD Bursts= " & integer'image(n_rd_bursts) & " (+" & integer'image(integer(n_rd_increase)) &"%)"; -- n_empty_bytes := 0; -- n_written_bytes := 0; n_wr_bursts := 0; n_rd_bursts := 0; end if; else for i in 0 to N_AXI-1 loop if awvalid(i) = '1' and awready(i) = '1' then n_wr_bursts := n_wr_bursts + 1; end if; if arvalid(i) = '1' and arready(i) = '1' then n_rd_bursts := n_rd_bursts + 1; end if; -- if wvalid(i) = '1' then -- for j in 0 to GMEM_DATA_W/8-1 loop -- if wstrb(i)(j) = '1' then -- -- n_written_bytes := n_written_bytes + 1; -- else -- -- n_empty_bytes := n_empty_bytes + 1; -- end if; -- end loop; -- end if; end loop; end if; end if; end process; end generate; ---------------------------------------------------------------------------------------------------------}}} ---------------------------------------------------------------------------------------------------------- }}} end Behavioral;
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library grlib; use grlib.amba.all; use grlib.stdlib.all; use grlib.devices.all; library techmap; use techmap.gencomp.all; use techmap.netcomp.all; library gaisler; use gaisler.pci.all; use work.pcilib2.all; entity grpci2_phy_wrapper is generic( tech : integer := DEFMEMTECH; oepol : integer := 0; bypass : integer range 0 to 1 := 1; netlist : integer := 0; scantest: integer := 0; iotest : integer := 0 ); port( pciclk : in std_logic; pcii : in pci_in_type; phyi : in grpci2_phy_in_type; pcio : out pci_out_type; phyo : out grpci2_phy_out_type; iotmact : in std_ulogic; iotmoe : in std_ulogic; iotdout : in std_logic_vector(44 downto 0); iotdin : out std_logic_vector(45 downto 0) ); end; architecture wrapper of grpci2_phy_wrapper is attribute dont_touch : boolean; attribute dont_touch of net : label is true; begin rtl : if netlist = 0 generate phy0 : grpci2_phy generic map( tech => tech, oepol => oepol, bypass => bypass, netlist => netlist, scantest => scantest, iotest => iotest) port map( pciclk => pciclk, pcii => pcii, phyi => phyi, pcio => pcio, phyo => phyo, iotmact => iotmact, iotmoe => iotmoe, iotdout => iotdout, iotdin => iotdin ); end generate; net : if netlist /= 0 generate phy0 : grpci2_phy_net generic map( tech => tech, oepol => oepol, bypass => bypass, netlist => netlist) port map( pciclk => pciclk, --pcii : in pci_in_type, pcii_rst => pcii.rst, pcii_gnt => pcii.gnt, pcii_idsel => pcii.idsel, pcii_ad => pcii.ad, pcii_cbe => pcii.cbe, pcii_frame => pcii.frame, pcii_irdy => pcii.irdy, pcii_trdy => pcii.trdy, pcii_devsel => pcii.devsel, pcii_stop => pcii.stop, pcii_lock => pcii.lock, pcii_perr => pcii.perr, pcii_serr => pcii.serr, pcii_par => pcii.par, pcii_host => pcii.host, pcii_pci66 => pcii.pci66, pcii_pme_status => pcii.pme_status, pcii_int => pcii.int, --phyi : in grpci2_phy_in_type, phyi_pcirstout => phyi.pcirstout, phyi_pciasyncrst => phyi.pciasyncrst, phyi_pcisoftrst => phyi.pcisoftrst, phyi_pciinten => phyi.pciinten, phyi_m_request => phyi.m_request, phyi_m_mabort => phyi.m_mabort, phyi_pr_m_fstate => phyi.pr_m_fstate, phyi_pr_m_cfifo_0_data => phyi.pr_m_cfifo(0).data, phyi_pr_m_cfifo_0_last => phyi.pr_m_cfifo(0).last, phyi_pr_m_cfifo_0_stlast => phyi.pr_m_cfifo(0).stlast, phyi_pr_m_cfifo_0_hold => phyi.pr_m_cfifo(0).hold, phyi_pr_m_cfifo_0_valid => phyi.pr_m_cfifo(0).valid, phyi_pr_m_cfifo_0_err => phyi.pr_m_cfifo(0).err, phyi_pr_m_cfifo_1_data => phyi.pr_m_cfifo(1).data, phyi_pr_m_cfifo_1_last => phyi.pr_m_cfifo(1).last, phyi_pr_m_cfifo_1_stlast => phyi.pr_m_cfifo(1).stlast, phyi_pr_m_cfifo_1_hold => phyi.pr_m_cfifo(1).hold, phyi_pr_m_cfifo_1_valid => phyi.pr_m_cfifo(1).valid, phyi_pr_m_cfifo_1_err => phyi.pr_m_cfifo(1).err, phyi_pr_m_cfifo_2_data => phyi.pr_m_cfifo(2).data, phyi_pr_m_cfifo_2_last => phyi.pr_m_cfifo(2).last, phyi_pr_m_cfifo_2_stlast => phyi.pr_m_cfifo(2).stlast, phyi_pr_m_cfifo_2_hold => phyi.pr_m_cfifo(2).hold, phyi_pr_m_cfifo_2_valid => phyi.pr_m_cfifo(2).valid, phyi_pr_m_cfifo_2_err => phyi.pr_m_cfifo(2).err, phyi_pv_m_cfifo_0_data => phyi.pv_m_cfifo(0).data, phyi_pv_m_cfifo_0_last => phyi.pv_m_cfifo(0).last, phyi_pv_m_cfifo_0_stlast => phyi.pv_m_cfifo(0).stlast, phyi_pv_m_cfifo_0_hold => phyi.pv_m_cfifo(0).hold, phyi_pv_m_cfifo_0_valid => phyi.pv_m_cfifo(0).valid, phyi_pv_m_cfifo_0_err => phyi.pv_m_cfifo(0).err, phyi_pv_m_cfifo_1_data => phyi.pv_m_cfifo(1).data, phyi_pv_m_cfifo_1_last => phyi.pv_m_cfifo(1).last, phyi_pv_m_cfifo_1_stlast => phyi.pv_m_cfifo(1).stlast, phyi_pv_m_cfifo_1_hold => phyi.pv_m_cfifo(1).hold, phyi_pv_m_cfifo_1_valid => phyi.pv_m_cfifo(1).valid, phyi_pv_m_cfifo_1_err => phyi.pv_m_cfifo(1).err, phyi_pv_m_cfifo_2_data => phyi.pv_m_cfifo(2).data, phyi_pv_m_cfifo_2_last => phyi.pv_m_cfifo(2).last, phyi_pv_m_cfifo_2_stlast => phyi.pv_m_cfifo(2).stlast, phyi_pv_m_cfifo_2_hold => phyi.pv_m_cfifo(2).hold, phyi_pv_m_cfifo_2_valid => phyi.pv_m_cfifo(2).valid, phyi_pv_m_cfifo_2_err => phyi.pv_m_cfifo(2).err, phyi_pr_m_addr => phyi.pr_m_addr, phyi_pr_m_cbe_data => phyi.pr_m_cbe_data, phyi_pr_m_cbe_cmd => phyi.pr_m_cbe_cmd, phyi_pr_m_first => phyi.pr_m_first, phyi_pv_m_term => phyi.pv_m_term, phyi_pr_m_ltimer => phyi.pr_m_ltimer, phyi_pr_m_burst => phyi.pr_m_burst, phyi_pr_m_abort => phyi.pr_m_abort, phyi_pr_m_perren => phyi.pr_m_perren, phyi_pr_m_done_fifo => phyi.pr_m_done_fifo, phyi_t_abort => phyi.t_abort, phyi_t_ready => phyi.t_ready, phyi_t_retry => phyi.t_retry, phyi_pr_t_state => phyi.pr_t_state, phyi_pv_t_state => phyi.pv_t_state, phyi_pr_t_fstate => phyi.pr_t_fstate, phyi_pr_t_cfifo_0_data => phyi.pr_t_cfifo(0).data, phyi_pr_t_cfifo_0_last => phyi.pr_t_cfifo(0).last, phyi_pr_t_cfifo_0_stlast => phyi.pr_t_cfifo(0).stlast, phyi_pr_t_cfifo_0_hold => phyi.pr_t_cfifo(0).hold, phyi_pr_t_cfifo_0_valid => phyi.pr_t_cfifo(0).valid, phyi_pr_t_cfifo_0_err => phyi.pr_t_cfifo(0).err, phyi_pr_t_cfifo_1_data => phyi.pr_t_cfifo(1).data, phyi_pr_t_cfifo_1_last => phyi.pr_t_cfifo(1).last, phyi_pr_t_cfifo_1_stlast => phyi.pr_t_cfifo(1).stlast, phyi_pr_t_cfifo_1_hold => phyi.pr_t_cfifo(1).hold, phyi_pr_t_cfifo_1_valid => phyi.pr_t_cfifo(1).valid, phyi_pr_t_cfifo_1_err => phyi.pr_t_cfifo(1).err, phyi_pr_t_cfifo_2_data => phyi.pr_t_cfifo(2).data, phyi_pr_t_cfifo_2_last => phyi.pr_t_cfifo(2).last, phyi_pr_t_cfifo_2_stlast => phyi.pr_t_cfifo(2).stlast, phyi_pr_t_cfifo_2_hold => phyi.pr_t_cfifo(2).hold, phyi_pr_t_cfifo_2_valid => phyi.pr_t_cfifo(2).valid, phyi_pr_t_cfifo_2_err => phyi.pr_t_cfifo(2).err, phyi_pv_t_diswithout => phyi.pv_t_diswithout, phyi_pr_t_stoped => phyi.pr_t_stoped, phyi_pr_t_lcount => phyi.pr_t_lcount, phyi_pr_t_first_word => phyi.pr_t_first_word, phyi_pr_t_cur_acc_0_read => phyi.pr_t_cur_acc_0_read, phyi_pv_t_hold_write => phyi.pv_t_hold_write, phyi_pv_t_hold_reset => phyi.pv_t_hold_reset, phyi_pr_conf_comm_perren => phyi.pr_conf_comm_perren, phyi_pr_conf_comm_serren => phyi.pr_conf_comm_serren, --pcio : out pci_out_type, pcio_aden => pcio.aden, pcio_vaden => pcio.vaden, pcio_cbeen => pcio.cbeen, pcio_frameen => pcio.frameen, pcio_irdyen => pcio.irdyen, pcio_trdyen => pcio.trdyen, pcio_devselen => pcio.devselen, pcio_stopen => pcio.stopen, pcio_ctrlen => pcio.ctrlen, pcio_perren => pcio.perren, pcio_paren => pcio.paren, pcio_reqen => pcio.reqen, pcio_locken => pcio.locken, pcio_serren => pcio.serren, pcio_inten => pcio.inten, pcio_vinten => pcio.vinten, pcio_req => pcio.req, pcio_ad => pcio.ad, pcio_cbe => pcio.cbe, pcio_frame => pcio.frame, pcio_irdy => pcio.irdy, pcio_trdy => pcio.trdy, pcio_devsel => pcio.devsel, pcio_stop => pcio.stop, pcio_perr => pcio.perr, pcio_serr => pcio.serr, pcio_par => pcio.par, pcio_lock => pcio.lock, pcio_power_state => pcio.power_state, pcio_pme_enable => pcio.pme_enable, pcio_pme_clear => pcio.pme_clear, pcio_int => pcio.int, pcio_rst => pcio.rst, --phyo : out grpci2_phy_out_type phyo_pciv_rst => phyo.pciv.rst, phyo_pciv_gnt => phyo.pciv.gnt, phyo_pciv_idsel => phyo.pciv.idsel, phyo_pciv_ad => phyo.pciv.ad, phyo_pciv_cbe => phyo.pciv.cbe, phyo_pciv_frame => phyo.pciv.frame, phyo_pciv_irdy => phyo.pciv.irdy, phyo_pciv_trdy => phyo.pciv.trdy, phyo_pciv_devsel => phyo.pciv.devsel, phyo_pciv_stop => phyo.pciv.stop, phyo_pciv_lock => phyo.pciv.lock, phyo_pciv_perr => phyo.pciv.perr, phyo_pciv_serr => phyo.pciv.serr, phyo_pciv_par => phyo.pciv.par, phyo_pciv_host => phyo.pciv.host, phyo_pciv_pci66 => phyo.pciv.pci66, phyo_pciv_pme_status => phyo.pciv.pme_status, phyo_pciv_int => phyo.pciv.int, phyo_pr_m_state => phyo.pr_m_state, phyo_pr_m_last => phyo.pr_m_last, phyo_pr_m_hold => phyo.pr_m_hold, phyo_pr_m_term => phyo.pr_m_term, phyo_pr_t_hold => phyo.pr_t_hold, phyo_pr_t_stop => phyo.pr_t_stop, phyo_pr_t_abort => phyo.pr_t_abort, phyo_pr_t_diswithout => phyo.pr_t_diswithout, phyo_pr_t_addr_perr => phyo.pr_t_addr_perr, phyo_pcirsto => phyo.pcirsto, phyo_pr_po_ad => phyo.pr_po.ad, phyo_pr_po_aden => phyo.pr_po.aden, phyo_pr_po_cbe => phyo.pr_po.cbe, phyo_pr_po_cbeen => phyo.pr_po.cbeen, phyo_pr_po_frame => phyo.pr_po.frame, phyo_pr_po_frameen => phyo.pr_po.frameen, phyo_pr_po_irdy => phyo.pr_po.irdy, phyo_pr_po_irdyen => phyo.pr_po.irdyen, phyo_pr_po_trdy => phyo.pr_po.trdy, phyo_pr_po_trdyen => phyo.pr_po.trdyen, phyo_pr_po_stop => phyo.pr_po.stop, phyo_pr_po_stopen => phyo.pr_po.stopen, phyo_pr_po_devsel => phyo.pr_po.devsel, phyo_pr_po_devselen => phyo.pr_po.devselen, phyo_pr_po_par => phyo.pr_po.par, phyo_pr_po_paren => phyo.pr_po.paren, phyo_pr_po_perr => phyo.pr_po.perr, phyo_pr_po_perren => phyo.pr_po.perren, phyo_pr_po_lock => phyo.pr_po.lock, phyo_pr_po_locken => phyo.pr_po.locken, phyo_pr_po_req => phyo.pr_po.req, phyo_pr_po_reqen => phyo.pr_po.reqen, phyo_pr_po_serren => phyo.pr_po.serren, phyo_pr_po_inten => phyo.pr_po.inten, phyo_pr_po_vinten => phyo.pr_po.vinten, phyo_pio_rst => phyo.pio.rst, phyo_pio_gnt => phyo.pio.gnt, phyo_pio_idsel => phyo.pio.idsel, phyo_pio_ad => phyo.pio.ad, phyo_pio_cbe => phyo.pio.cbe, phyo_pio_frame => phyo.pio.frame, phyo_pio_irdy => phyo.pio.irdy, phyo_pio_trdy => phyo.pio.trdy, phyo_pio_devsel => phyo.pio.devsel, phyo_pio_stop => phyo.pio.stop, phyo_pio_lock => phyo.pio.lock, phyo_pio_perr => phyo.pio.perr, phyo_pio_serr => phyo.pio.serr, phyo_pio_par => phyo.pio.par, phyo_pio_host => phyo.pio.host, phyo_pio_pci66 => phyo.pio.pci66, phyo_pio_pme_status => phyo.pio.pme_status, phyo_pio_int => phyo.pio.int, phyo_poo_ad => phyo.poo.ad, phyo_poo_aden => phyo.poo.aden, phyo_poo_cbe => phyo.poo.cbe, phyo_poo_cbeen => phyo.poo.cbeen, phyo_poo_frame => phyo.poo.frame, phyo_poo_frameen => phyo.poo.frameen, phyo_poo_irdy => phyo.poo.irdy, phyo_poo_irdyen => phyo.poo.irdyen, phyo_poo_trdy => phyo.poo.trdy, phyo_poo_trdyen => phyo.poo.trdyen, phyo_poo_stop => phyo.poo.stop, phyo_poo_stopen => phyo.poo.stopen, phyo_poo_devsel => phyo.poo.devsel, phyo_poo_devselen => phyo.poo.devselen, phyo_poo_par => phyo.poo.par, phyo_poo_paren => phyo.poo.paren, phyo_poo_perr => phyo.poo.perr, phyo_poo_perren => phyo.poo.perren, phyo_poo_lock => phyo.poo.lock, phyo_poo_locken => phyo.poo.locken, phyo_poo_req => phyo.poo.req, phyo_poo_reqen => phyo.poo.reqen, phyo_poo_serren => phyo.poo.serren, phyo_poo_inten => phyo.poo.inten, phyo_poo_vinten => phyo.poo.vinten ); end generate; end;
----------------------------------------------------------------------------- -- LEON3 Demonstration design test bench configuration -- Copyright (C) 2009 Aeroflex Gaisler ------------------------------------------------------------------------------ library techmap; use techmap.gencomp.all; package config is -- Technology and synthesis options constant CFG_FABTECH : integer := spartan3; constant CFG_MEMTECH : integer := spartan3; constant CFG_PADTECH : integer := spartan3; constant CFG_NOASYNC : integer := 0; constant CFG_SCAN : integer := 0; -- Clock generator constant CFG_CLKTECH : integer := spartan3; constant CFG_CLKMUL : integer := (4); constant CFG_CLKDIV : integer := (5); constant CFG_OCLKDIV : integer := 1; constant CFG_OCLKBDIV : integer := 0; constant CFG_OCLKCDIV : integer := 0; constant CFG_PCIDLL : integer := 0; constant CFG_PCISYSCLK: integer := 0; constant CFG_CLK_NOFB : integer := 0; -- LEON3 processor core constant CFG_LEON3 : integer := 1; constant CFG_NCPU : integer := (1); constant CFG_NWIN : integer := (8); constant CFG_V8 : integer := 2 + 4*0; constant CFG_MAC : integer := 0; constant CFG_BP : integer := 0; constant CFG_SVT : integer := 1; constant CFG_RSTADDR : integer := 16#00000#; constant CFG_LDDEL : integer := (1); constant CFG_NOTAG : integer := 0; constant CFG_NWP : integer := (0); constant CFG_PWD : integer := 0*2; constant CFG_FPU : integer := 0 + 16*0 + 32*0; constant CFG_GRFPUSH : integer := 0; constant CFG_ICEN : integer := 1; constant CFG_ISETS : integer := 1; constant CFG_ISETSZ : integer := 8; constant CFG_ILINE : integer := 8; constant CFG_IREPL : integer := 0; constant CFG_ILOCK : integer := 0; constant CFG_ILRAMEN : integer := 0; constant CFG_ILRAMADDR: integer := 16#8E#; constant CFG_ILRAMSZ : integer := 1; constant CFG_DCEN : integer := 1; constant CFG_DSETS : integer := 1; constant CFG_DSETSZ : integer := 8; constant CFG_DLINE : integer := 8; constant CFG_DREPL : integer := 0; constant CFG_DLOCK : integer := 0; constant CFG_DSNOOP : integer := 0 + 0 + 4*0; constant CFG_DFIXED : integer := 16#0#; constant CFG_DLRAMEN : integer := 0; constant CFG_DLRAMADDR: integer := 16#8F#; constant CFG_DLRAMSZ : integer := 1; constant CFG_MMUEN : integer := 0; constant CFG_ITLBNUM : integer := 2; constant CFG_DTLBNUM : integer := 2; constant CFG_TLB_TYPE : integer := 1 + 0*2; constant CFG_TLB_REP : integer := 1; constant CFG_MMU_PAGE : integer := 0; constant CFG_DSU : integer := 1; constant CFG_ITBSZ : integer := 2; constant CFG_ATBSZ : integer := 2; constant CFG_LEON3FT_EN : integer := 0; constant CFG_IUFT_EN : integer := 0; constant CFG_FPUFT_EN : integer := 0; constant CFG_RF_ERRINJ : integer := 0; constant CFG_CACHE_FT_EN : integer := 0; constant CFG_CACHE_ERRINJ : integer := 0; constant CFG_LEON3_NETLIST: integer := 0; constant CFG_DISAS : integer := 0 + 0; constant CFG_PCLOW : integer := 2; -- AMBA settings constant CFG_DEFMST : integer := (0); constant CFG_RROBIN : integer := 1; constant CFG_SPLIT : integer := 0; constant CFG_FPNPEN : integer := 0; constant CFG_AHBIO : integer := 16#FFF#; constant CFG_APBADDR : integer := 16#800#; constant CFG_AHB_MON : integer := 0; constant CFG_AHB_MONERR : integer := 0; constant CFG_AHB_MONWAR : integer := 0; constant CFG_AHB_DTRACE : integer := 0; -- JTAG based DSU interface constant CFG_AHB_JTAG : integer := 1; -- LEON2 memory controller constant CFG_MCTRL_LEON2 : integer := 1; constant CFG_MCTRL_RAM8BIT : integer := 0; constant CFG_MCTRL_RAM16BIT : integer := 0; constant CFG_MCTRL_5CS : integer := 0; constant CFG_MCTRL_SDEN : integer := 0; constant CFG_MCTRL_SEPBUS : integer := 0; constant CFG_MCTRL_INVCLK : integer := 0; constant CFG_MCTRL_SD64 : integer := 0; constant CFG_MCTRL_PAGE : integer := 0 + 0; -- AHB ROM constant CFG_AHBROMEN : integer := 1; constant CFG_AHBROPIP : integer := 1; constant CFG_AHBRODDR : integer := 16#000#; constant CFG_ROMADDR : integer := 16#100#; constant CFG_ROMMASK : integer := 16#E00# + 16#100#; -- AHB RAM constant CFG_AHBRAMEN : integer := 0; constant CFG_AHBRSZ : integer := 1; constant CFG_AHBRADDR : integer := 16#A00#; constant CFG_AHBRPIPE : integer := 0; -- UART 1 constant CFG_UART1_ENABLE : integer := 1; constant CFG_UART1_FIFO : integer := 4; -- LEON3 interrupt controller constant CFG_IRQ3_ENABLE : integer := 1; constant CFG_IRQ3_NSEC : integer := 0; -- Modular timer constant CFG_GPT_ENABLE : integer := 1; constant CFG_GPT_NTIM : integer := (2); constant CFG_GPT_SW : integer := (8); constant CFG_GPT_TW : integer := (32); constant CFG_GPT_IRQ : integer := (8); constant CFG_GPT_SEPIRQ : integer := 1; constant CFG_GPT_WDOGEN : integer := 0; constant CFG_GPT_WDOG : integer := 16#0#; -- GPIO port constant CFG_GRGPIO_ENABLE : integer := 1; constant CFG_GRGPIO_IMASK : integer := 16#00F0#; constant CFG_GRGPIO_WIDTH : integer := (18); -- VGA and PS2/ interface constant CFG_KBD_ENABLE : integer := 1; constant CFG_VGA_ENABLE : integer := 1; constant CFG_SVGA_ENABLE : integer := 0; -- GRLIB debugging constant CFG_DUART : integer := 0; end;
--this is the COBS encoder library IEEE; use IEEE.STD_LOGIC_1164.ALL; use ieee.numeric_std.all; library work; use work.myDeclare.all; entity cobs_encoder is Port ( bus_clk : in std_logic; reset : in std_logic; --cobs inputs pre_cobs_data_in : in async_stream_type; data_in_length : in std_logic_vector(4 downto 0); cobs_conv_begin : in std_logic; --cobs outputs cobs_data_out : out cobs_stream_types; data_out_length : out std_logic_vector(4 downto 0); cobs_conv_rdy : out std_logic ); end cobs_encoder; architecture Behavioral of cobs_encoder is type cobs_sm_type is (IDLE, CONV); signal cobs_sm : cobs_sm_type; signal vec_cnt : unsigned(4 downto 0); signal idxreg : unsigned(4 downto 0); signal cobs_data : cobs_stream_types; signal pre_cobs_data : cobs_stream_types; --this is put into cobs_data format with the padding for consistency. begin cobs_data_out <= cobs_data; --cobs process cobs_proc: process(bus_clk, reset, cobs_conv_begin, idxreg, vec_cnt) begin if (reset = '1') then cobs_sm <= IDLE; vec_cnt <= (others=>'0'); idxreg <= to_unsigned(24,5); --always initialized to 254, last position of the COBS data. cobs_conv_rdy <= '0'; for i in 0 to 25 loop cobs_data(i) <= (others=>'0'); pre_cobs_data(i) <= (others=>'0'); end loop; elsif (rising_edge(bus_clk)) then case cobs_sm is when IDLE => --idle state if cobs_conv_begin = '1' then cobs_sm <= CONV; vec_cnt <= unsigned(data_in_length); --initilize the vector count to data_in_length idxreg <= unsigned(data_in_length)+1; --initlize reg1 to data_in_length for i in 0 to 23 loop pre_cobs_data(i+1) <= pre_cobs_data_in(i); end loop; pre_cobs_data(25) <= (others=>'0'); --always fill the 255 position with 0. pre_cobs_data(0) <= (others=>'1'); data_out_length <= (others=>'0'); end if; cobs_conv_rdy <= '0'; --lower the conv flag when CONV => if vec_cnt >= 1 then vec_cnt <= vec_cnt - 1; if pre_cobs_data(to_integer(vec_cnt)) = "00000000" then cobs_data(to_integer(vec_cnt)) <= "000" & std_logic_vector(idxreg - vec_cnt); idxreg <= vec_cnt; else cobs_data(to_integer(vec_cnt)) <= pre_cobs_data(to_integer(vec_cnt)); end if; else cobs_sm <= IDLE; cobs_data(0) <= "000" & std_logic_vector(idxreg); cobs_conv_rdy <= '1'; data_out_length <= std_logic_vector(unsigned(data_in_length) + 2); end if; end case; end if; end process; end Behavioral;
-- tracking_camera_system_jtag_uart_0_avalon_jtag_slave_translator.vhd -- Generated using ACDS version 12.1sp1 243 at 2015.02.13.13:59:38 library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; entity tracking_camera_system_jtag_uart_0_avalon_jtag_slave_translator is generic ( AV_ADDRESS_W : integer := 1; AV_DATA_W : integer := 32; UAV_DATA_W : integer := 32; AV_BURSTCOUNT_W : integer := 1; AV_BYTEENABLE_W : integer := 1; UAV_BYTEENABLE_W : integer := 4; UAV_ADDRESS_W : integer := 25; UAV_BURSTCOUNT_W : integer := 3; AV_READLATENCY : integer := 0; USE_READDATAVALID : integer := 0; USE_WAITREQUEST : integer := 1; USE_UAV_CLKEN : integer := 0; AV_SYMBOLS_PER_WORD : integer := 4; AV_ADDRESS_SYMBOLS : integer := 0; AV_BURSTCOUNT_SYMBOLS : integer := 0; AV_CONSTANT_BURST_BEHAVIOR : integer := 0; UAV_CONSTANT_BURST_BEHAVIOR : integer := 0; AV_REQUIRE_UNALIGNED_ADDRESSES : integer := 0; CHIPSELECT_THROUGH_READLATENCY : integer := 0; AV_READ_WAIT_CYCLES : integer := 1; AV_WRITE_WAIT_CYCLES : integer := 0; AV_SETUP_WAIT_CYCLES : integer := 0; AV_DATA_HOLD_CYCLES : integer := 0 ); port ( clk : in std_logic := '0'; -- clk.clk reset : in std_logic := '0'; -- reset.reset uav_address : in std_logic_vector(24 downto 0) := (others => '0'); -- avalon_universal_slave_0.address uav_burstcount : in std_logic_vector(2 downto 0) := (others => '0'); -- .burstcount uav_read : in std_logic := '0'; -- .read uav_write : in std_logic := '0'; -- .write uav_waitrequest : out std_logic; -- .waitrequest uav_readdatavalid : out std_logic; -- .readdatavalid uav_byteenable : in std_logic_vector(3 downto 0) := (others => '0'); -- .byteenable uav_readdata : out std_logic_vector(31 downto 0); -- .readdata uav_writedata : in std_logic_vector(31 downto 0) := (others => '0'); -- .writedata uav_lock : in std_logic := '0'; -- .lock uav_debugaccess : in std_logic := '0'; -- .debugaccess av_address : out std_logic_vector(0 downto 0); -- avalon_anti_slave_0.address av_write : out std_logic; -- .write av_read : out std_logic; -- .read av_readdata : in std_logic_vector(31 downto 0) := (others => '0'); -- .readdata av_writedata : out std_logic_vector(31 downto 0); -- .writedata av_waitrequest : in std_logic := '0'; -- .waitrequest av_chipselect : out std_logic; -- .chipselect av_beginbursttransfer : out std_logic; av_begintransfer : out std_logic; av_burstcount : out std_logic_vector(0 downto 0); av_byteenable : out std_logic_vector(0 downto 0); av_clken : out std_logic; av_debugaccess : out std_logic; av_lock : out std_logic; av_outputenable : out std_logic; av_readdatavalid : in std_logic := '0'; av_writebyteenable : out std_logic_vector(0 downto 0); uav_clken : in std_logic := '0' ); end entity tracking_camera_system_jtag_uart_0_avalon_jtag_slave_translator; architecture rtl of tracking_camera_system_jtag_uart_0_avalon_jtag_slave_translator is component altera_merlin_slave_translator is generic ( AV_ADDRESS_W : integer := 30; AV_DATA_W : integer := 32; UAV_DATA_W : integer := 32; AV_BURSTCOUNT_W : integer := 4; AV_BYTEENABLE_W : integer := 4; UAV_BYTEENABLE_W : integer := 4; UAV_ADDRESS_W : integer := 32; UAV_BURSTCOUNT_W : integer := 4; AV_READLATENCY : integer := 0; USE_READDATAVALID : integer := 1; USE_WAITREQUEST : integer := 1; USE_UAV_CLKEN : integer := 0; AV_SYMBOLS_PER_WORD : integer := 4; AV_ADDRESS_SYMBOLS : integer := 0; AV_BURSTCOUNT_SYMBOLS : integer := 0; AV_CONSTANT_BURST_BEHAVIOR : integer := 0; UAV_CONSTANT_BURST_BEHAVIOR : integer := 0; AV_REQUIRE_UNALIGNED_ADDRESSES : integer := 0; CHIPSELECT_THROUGH_READLATENCY : integer := 0; AV_READ_WAIT_CYCLES : integer := 0; AV_WRITE_WAIT_CYCLES : integer := 0; AV_SETUP_WAIT_CYCLES : integer := 0; AV_DATA_HOLD_CYCLES : integer := 0 ); port ( clk : in std_logic := 'X'; -- clk reset : in std_logic := 'X'; -- reset uav_address : in std_logic_vector(24 downto 0) := (others => 'X'); -- address uav_burstcount : in std_logic_vector(2 downto 0) := (others => 'X'); -- burstcount uav_read : in std_logic := 'X'; -- read uav_write : in std_logic := 'X'; -- write uav_waitrequest : out std_logic; -- waitrequest uav_readdatavalid : out std_logic; -- readdatavalid uav_byteenable : in std_logic_vector(3 downto 0) := (others => 'X'); -- byteenable uav_readdata : out std_logic_vector(31 downto 0); -- readdata uav_writedata : in std_logic_vector(31 downto 0) := (others => 'X'); -- writedata uav_lock : in std_logic := 'X'; -- lock uav_debugaccess : in std_logic := 'X'; -- debugaccess av_address : out std_logic_vector(0 downto 0); -- address av_write : out std_logic; -- write av_read : out std_logic; -- read av_readdata : in std_logic_vector(31 downto 0) := (others => 'X'); -- readdata av_writedata : out std_logic_vector(31 downto 0); -- writedata av_waitrequest : in std_logic := 'X'; -- waitrequest av_chipselect : out std_logic; -- chipselect av_begintransfer : out std_logic; -- begintransfer av_beginbursttransfer : out std_logic; -- beginbursttransfer av_burstcount : out std_logic_vector(0 downto 0); -- burstcount av_byteenable : out std_logic_vector(0 downto 0); -- byteenable av_readdatavalid : in std_logic := 'X'; -- readdatavalid av_writebyteenable : out std_logic_vector(0 downto 0); -- writebyteenable av_lock : out std_logic; -- lock av_clken : out std_logic; -- clken uav_clken : in std_logic := 'X'; -- clken av_debugaccess : out std_logic; -- debugaccess av_outputenable : out std_logic -- outputenable ); end component altera_merlin_slave_translator; begin jtag_uart_0_avalon_jtag_slave_translator : component altera_merlin_slave_translator generic map ( AV_ADDRESS_W => AV_ADDRESS_W, AV_DATA_W => AV_DATA_W, UAV_DATA_W => UAV_DATA_W, AV_BURSTCOUNT_W => AV_BURSTCOUNT_W, AV_BYTEENABLE_W => AV_BYTEENABLE_W, UAV_BYTEENABLE_W => UAV_BYTEENABLE_W, UAV_ADDRESS_W => UAV_ADDRESS_W, UAV_BURSTCOUNT_W => UAV_BURSTCOUNT_W, AV_READLATENCY => AV_READLATENCY, USE_READDATAVALID => USE_READDATAVALID, USE_WAITREQUEST => USE_WAITREQUEST, USE_UAV_CLKEN => USE_UAV_CLKEN, AV_SYMBOLS_PER_WORD => AV_SYMBOLS_PER_WORD, AV_ADDRESS_SYMBOLS => AV_ADDRESS_SYMBOLS, AV_BURSTCOUNT_SYMBOLS => AV_BURSTCOUNT_SYMBOLS, AV_CONSTANT_BURST_BEHAVIOR => AV_CONSTANT_BURST_BEHAVIOR, UAV_CONSTANT_BURST_BEHAVIOR => UAV_CONSTANT_BURST_BEHAVIOR, AV_REQUIRE_UNALIGNED_ADDRESSES => AV_REQUIRE_UNALIGNED_ADDRESSES, CHIPSELECT_THROUGH_READLATENCY => CHIPSELECT_THROUGH_READLATENCY, AV_READ_WAIT_CYCLES => AV_READ_WAIT_CYCLES, AV_WRITE_WAIT_CYCLES => AV_WRITE_WAIT_CYCLES, AV_SETUP_WAIT_CYCLES => AV_SETUP_WAIT_CYCLES, AV_DATA_HOLD_CYCLES => AV_DATA_HOLD_CYCLES ) port map ( clk => clk, -- clk.clk reset => reset, -- reset.reset uav_address => uav_address, -- avalon_universal_slave_0.address uav_burstcount => uav_burstcount, -- .burstcount uav_read => uav_read, -- .read uav_write => uav_write, -- .write uav_waitrequest => uav_waitrequest, -- .waitrequest uav_readdatavalid => uav_readdatavalid, -- .readdatavalid uav_byteenable => uav_byteenable, -- .byteenable uav_readdata => uav_readdata, -- .readdata uav_writedata => uav_writedata, -- .writedata uav_lock => uav_lock, -- .lock uav_debugaccess => uav_debugaccess, -- .debugaccess av_address => av_address, -- avalon_anti_slave_0.address av_write => av_write, -- .write av_read => av_read, -- .read av_readdata => av_readdata, -- .readdata av_writedata => av_writedata, -- .writedata av_waitrequest => av_waitrequest, -- .waitrequest av_chipselect => av_chipselect, -- .chipselect av_begintransfer => open, -- (terminated) av_beginbursttransfer => open, -- (terminated) av_burstcount => open, -- (terminated) av_byteenable => open, -- (terminated) av_readdatavalid => '0', -- (terminated) av_writebyteenable => open, -- (terminated) av_lock => open, -- (terminated) av_clken => open, -- (terminated) uav_clken => '0', -- (terminated) av_debugaccess => open, -- (terminated) av_outputenable => open -- (terminated) ); end architecture rtl; -- of tracking_camera_system_jtag_uart_0_avalon_jtag_slave_translator
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc2914.vhd,v 1.2 2001-10-26 16:30:24 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c02s01b01x02p03n01i02914ent IS END c02s01b01x02p03n01i02914ent; ARCHITECTURE c02s01b01x02p03n01i02914arch OF c02s01b01x02p03n01i02914ent IS procedure proc1 (signal S1: out bit) is variable V1 : bit; begin -- Failure_here : attribute DELAYED may not be read within a procedure V1 := S1'DELAYED; end proc1; BEGIN TESTING: PROCESS BEGIN assert FALSE report "***FAILED TEST: c02s01b01x02p03n01i02914 - The attribute DELAYED of formal signal parameters can not be read." severity ERROR; wait; END PROCESS TESTING; END c02s01b01x02p03n01i02914arch;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc2914.vhd,v 1.2 2001-10-26 16:30:24 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c02s01b01x02p03n01i02914ent IS END c02s01b01x02p03n01i02914ent; ARCHITECTURE c02s01b01x02p03n01i02914arch OF c02s01b01x02p03n01i02914ent IS procedure proc1 (signal S1: out bit) is variable V1 : bit; begin -- Failure_here : attribute DELAYED may not be read within a procedure V1 := S1'DELAYED; end proc1; BEGIN TESTING: PROCESS BEGIN assert FALSE report "***FAILED TEST: c02s01b01x02p03n01i02914 - The attribute DELAYED of formal signal parameters can not be read." severity ERROR; wait; END PROCESS TESTING; END c02s01b01x02p03n01i02914arch;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc2914.vhd,v 1.2 2001-10-26 16:30:24 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c02s01b01x02p03n01i02914ent IS END c02s01b01x02p03n01i02914ent; ARCHITECTURE c02s01b01x02p03n01i02914arch OF c02s01b01x02p03n01i02914ent IS procedure proc1 (signal S1: out bit) is variable V1 : bit; begin -- Failure_here : attribute DELAYED may not be read within a procedure V1 := S1'DELAYED; end proc1; BEGIN TESTING: PROCESS BEGIN assert FALSE report "***FAILED TEST: c02s01b01x02p03n01i02914 - The attribute DELAYED of formal signal parameters can not be read." severity ERROR; wait; END PROCESS TESTING; END c02s01b01x02p03n01i02914arch;
-------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 19:46:37 02/13/2017 -- Design Name: -- Module Name: C:/Xilinx/__testbecher/testbencher/a_tb.vhd -- Project Name: testbencher -- Target Device: -- Tool versions: -- Description: -- -- VHDL Test Bench Created by ISE for module: ABus2AXI4Lite -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- -- Notes: -- This testbench has been automatically generated using types std_logic and -- std_logic_vector for the ports of the unit under test. Xilinx recommends -- that these types always be used for the top-level I/O of a design in order -- to guarantee that the testbench will bind correctly to the post-implementation -- simulation model. -------------------------------------------------------------------------------- LIBRARY ieee; USE ieee.std_logic_1164.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --USE ieee.numeric_std.ALL; ENTITY a_tb IS generic ( -- Users to add parameters here -- User parameters ends -- Do not modify the parameters beyond this line -- Parameters of Axi Master Bus Interface M00_AXI C_MASTER_AXI_TARGET_SLAVE_BASE_ADDR : std_logic_vector := x"00000000"; C_MASTER_AXI_ADDR_WIDTH : integer := 32; C_MASTER_AXI_DATA_WIDTH : integer := 32; C_SLAVE_AXI_ADDR_WIDTH : integer := 32; C_SLAVE_AXI_DATA_WIDTH : integer := 32; C_FILESYS_AXI_ADDR_WIDTH : integer := 32; C_FILESYS_AXI_DATA_WIDTH : integer := 32 ); END a_tb; ARCHITECTURE behavior OF a_tb IS -- Component Declaration for the Unit Under Test (UUT) COMPONENT ABus2AXI4Lite PORT( -- abus ports abus_address : in std_logic_vector(25 downto 0) := (others => '0'); -- abus.address abus_data_in : in std_logic_vector(15 downto 0) := (others => '0'); -- abus.addressdata abus_data_out : out std_logic_vector(15 downto 0) := (others => '0'); -- abus.addressdata abus_data_direction : out std_logic := '0'; -- .direction abus_chipselect : in std_logic_vector(2 downto 0) := (others => '0'); -- .chipselect abus_read : in std_logic := '0'; -- .read abus_write : in std_logic_vector(1 downto 0) := (others => '0'); -- .write abus_wait : out std_logic := '1'; -- .waitrequest abus_wait_direction : out std_logic := '0'; -- .direction abus_irq : out std_logic := '0'; -- .interrupt abus_irq_direction : out std_logic := '0'; -- .direction abus_reset : in std_logic := '0'; -- .saturn_reset -- Ports of Axi Master Bus Interface master_axi_aclk : in std_logic; master_axi_aresetn : in std_logic; master_axi_awaddr : out std_logic_vector(C_MASTER_AXI_ADDR_WIDTH-1 downto 0); master_axi_awprot : out std_logic_vector(2 downto 0); master_axi_awvalid : out std_logic; master_axi_awready : in std_logic; master_axi_wdata : out std_logic_vector(C_MASTER_AXI_DATA_WIDTH-1 downto 0); master_axi_wstrb : out std_logic_vector(C_MASTER_AXI_DATA_WIDTH/8-1 downto 0); master_axi_wvalid : out std_logic; master_axi_wready : in std_logic; master_axi_bresp : in std_logic_vector(1 downto 0); master_axi_bvalid : in std_logic; master_axi_bready : out std_logic; master_axi_araddr : out std_logic_vector(C_MASTER_AXI_ADDR_WIDTH-1 downto 0); master_axi_arprot : out std_logic_vector(2 downto 0); master_axi_arvalid : out std_logic; master_axi_arready : in std_logic; master_axi_rdata : in std_logic_vector(C_MASTER_AXI_DATA_WIDTH-1 downto 0); master_axi_rresp : in std_logic_vector(1 downto 0); master_axi_rvalid : in std_logic; master_axi_rready : out std_logic; -- Ports of Slave Bus Interface slave_axi_aclk : in std_logic; slave_axi_aresetn : in std_logic; slave_axi_awaddr : in std_logic_vector(C_SLAVE_AXI_ADDR_WIDTH-1 downto 0); slave_axi_awprot : in std_logic_vector(2 downto 0); slave_axi_awvalid : in std_logic; slave_axi_awready : out std_logic; slave_axi_wdata : in std_logic_vector(C_SLAVE_AXI_DATA_WIDTH-1 downto 0); slave_axi_wstrb : in std_logic_vector(C_SLAVE_AXI_DATA_WIDTH/8-1 downto 0); slave_axi_wvalid : in std_logic; slave_axi_wready : out std_logic; slave_axi_bresp : out std_logic_vector(1 downto 0); slave_axi_bvalid : out std_logic; slave_axi_bready : in std_logic; slave_axi_araddr : in std_logic_vector(C_SLAVE_AXI_ADDR_WIDTH-1 downto 0); slave_axi_arprot : in std_logic_vector(2 downto 0); slave_axi_arvalid : in std_logic; slave_axi_arready : out std_logic; slave_axi_rdata : out std_logic_vector(C_SLAVE_AXI_DATA_WIDTH-1 downto 0); slave_axi_rresp : out std_logic_vector(1 downto 0); slave_axi_rvalid : out std_logic; slave_axi_rready : in std_logic ); END COMPONENT; COMPONENT test_mem PORT( s_aclk : IN std_logic; s_aresetn : IN std_logic; s_axi_awaddr : in std_logic_vector(31 downto 0); s_axi_awvalid : in std_logic; s_axi_awready : out std_logic; s_axi_wdata : in std_logic_vector(31 downto 0); s_axi_wstrb : in std_logic_vector(3 downto 0); s_axi_wvalid : in std_logic; s_axi_wready : out std_logic; s_axi_bresp : out std_logic_vector(1 downto 0); s_axi_bvalid : out std_logic; s_axi_bready : in std_logic; s_axi_araddr : in std_logic_vector(31 downto 0); s_axi_arvalid : in std_logic; s_axi_arready : out std_logic; s_axi_rdata : out std_logic_vector(31 downto 0); s_axi_rresp : out std_logic_vector(1 downto 0); s_axi_rvalid : out std_logic; s_axi_rready : in std_logic ); END COMPONENT; --Inputs signal abus_address : std_logic_vector(25 downto 0) := (others => '0'); signal abus_data_in : std_logic_vector(15 downto 0) := (others => '0'); signal abus_chipselect : std_logic_vector(2 downto 0) := (others => '0'); signal abus_read : std_logic := '0'; signal abus_write : std_logic_vector(1 downto 0) := (others => '0'); signal abus_reset : std_logic := '0'; signal master_axi_init_axi_txn : std_logic := '0'; signal master_axi_aclk : std_logic := '0'; signal master_axi_aresetn : std_logic := '0'; signal master_axi_awready : std_logic := '0'; signal master_axi_wready : std_logic := '0'; signal master_axi_bresp : std_logic_vector(1 downto 0) := (others => '0'); signal master_axi_bvalid : std_logic := '0'; signal master_axi_arready : std_logic := '0'; signal master_axi_rdata : std_logic_vector(31 downto 0) := (others => '0'); signal master_axi_rresp : std_logic_vector(1 downto 0) := (others => '0'); signal master_axi_rvalid : std_logic := '0'; signal slave_axi_awaddr : std_logic_vector(31 downto 0) := (others => '0'); signal slave_axi_awprot : std_logic_vector(2 downto 0) := (others => '0'); signal slave_axi_awvalid : std_logic := '0'; signal slave_axi_wdata : std_logic_vector(31 downto 0) := (others => '0'); signal slave_axi_wstrb : std_logic_vector(3 downto 0) := (others => '0'); signal slave_axi_wvalid : std_logic := '0'; signal slave_axi_bready : std_logic := '0'; signal slave_axi_araddr : std_logic_vector(31 downto 0) := (others => '0'); signal slave_axi_arprot : std_logic_vector(2 downto 0) := (others => '0'); signal slave_axi_arvalid : std_logic := '0'; signal slave_axi_rready : std_logic := '0'; --Outputs signal abus_data_out : std_logic_vector(15 downto 0); signal abus_data_direction : std_logic := '0'; signal abus_wait : std_logic := '0'; signal abus_wait_direction : std_logic := '0'; signal abus_irq : std_logic := '0'; signal abus_irq_direction : std_logic := '0'; signal master_axi_error : std_logic := '0'; signal master_axi_txn_done : std_logic := '0'; signal master_axi_awaddr : std_logic_vector(31 downto 0) := (others => '0'); signal master_axi_awprot : std_logic_vector(2 downto 0) := (others => '0'); signal master_axi_awvalid : std_logic := '0'; signal master_axi_wdata : std_logic_vector(31 downto 0) := (others => '0'); signal master_axi_wstrb : std_logic_vector(3 downto 0) := (others => '0'); signal master_axi_wvalid : std_logic := '0'; signal master_axi_bready : std_logic := '0'; signal master_axi_araddr : std_logic_vector(31 downto 0) := (others => '0'); signal master_axi_arprot : std_logic_vector(2 downto 0) := (others => '0'); signal master_axi_arvalid : std_logic := '0'; signal master_axi_rready : std_logic := '0'; signal slave_axi_aclk : std_logic := '0'; signal slave_axi_aresetn : std_logic := '0'; signal slave_axi_awready : std_logic := '0'; signal slave_axi_wready : std_logic := '0'; signal slave_axi_bresp : std_logic_vector(1 downto 0) := (others => '0'); signal slave_axi_bvalid : std_logic := '0'; signal slave_axi_arready : std_logic := '0'; signal slave_axi_rdata : std_logic_vector(31 downto 0) := (others => '0'); signal slave_axi_rresp : std_logic_vector(1 downto 0) := (others => '0'); signal slave_axi_rvalid : std_logic := '0'; -- Clock period definitions constant master_axi_aclk_period : time := 10 ns; procedure abus_write_proc (addr : in std_logic_vector(25 downto 0); data : in std_logic_vector(15 downto 0); chipselect : in std_logic_vector(2 downto 0); signal ABus_Ad : out std_logic_vector(25 downto 0); signal ABus_Da : out std_logic_vector(15 downto 0); signal ABus_CS : out std_logic_vector(2 downto 0); signal ABus_Wr : out std_logic_vector(1 downto 0) ) is begin --set quantizer 25mhz ABus_Ad <= addr; ABus_Da <= data; wait for 100ns; ABus_CS <= chipselect; wait for 100ns; ABus_Wr <= "00"; wait for 1000ns; ABus_Wr <= "11"; wait for 100ns; ABus_CS <= "111"; ABus_Da <= (others => 'Z'); wait for 100ns; end abus_write_proc; procedure abus_read_proc (addr : in std_logic_vector(25 downto 0); chipselect : in std_logic_vector(2 downto 0); signal ABus_Ad : out std_logic_vector(25 downto 0); signal ABus_CS : out std_logic_vector(2 downto 0); signal ABus_Re : out std_logic ) is begin --set quantizer 25mhz ABus_Ad <= addr; wait for 100ns; ABus_CS <= chipselect; wait for 100ns; ABus_Re <= '0'; wait for 1000ns; ABus_Re <= '1'; wait for 100ns; ABus_CS <= "111"; wait for 100ns; end abus_read_proc; BEGIN -- Instantiate the Unit Under Test (UUT) uut: ABus2AXI4Lite PORT MAP ( abus_address => abus_address, abus_data_in => abus_data_in, abus_data_out => abus_data_out, abus_data_direction => abus_data_direction, abus_chipselect => abus_chipselect, abus_read => abus_read, abus_write => abus_write, abus_wait => abus_wait, abus_wait_direction => abus_wait_direction, abus_irq => abus_irq, abus_irq_direction => abus_irq_direction, abus_reset => abus_reset, master_axi_aclk => master_axi_aclk, master_axi_aresetn => master_axi_aresetn, master_axi_awaddr => master_axi_awaddr, master_axi_awprot => master_axi_awprot, master_axi_awvalid => master_axi_awvalid, master_axi_awready => master_axi_awready, master_axi_wdata => master_axi_wdata, master_axi_wstrb => master_axi_wstrb, master_axi_wvalid => master_axi_wvalid, master_axi_wready => master_axi_wready, master_axi_bresp => master_axi_bresp, master_axi_bvalid => master_axi_bvalid, master_axi_bready => master_axi_bready, master_axi_araddr => master_axi_araddr, master_axi_arprot => master_axi_arprot, master_axi_arvalid => master_axi_arvalid, master_axi_arready => master_axi_arready, master_axi_rdata => master_axi_rdata, master_axi_rresp => master_axi_rresp, master_axi_rvalid => master_axi_rvalid, master_axi_rready => master_axi_rready, slave_axi_aclk => slave_axi_aclk, slave_axi_aresetn => slave_axi_aresetn, slave_axi_awaddr => slave_axi_awaddr, slave_axi_awprot => slave_axi_awprot, slave_axi_awvalid => slave_axi_awvalid, slave_axi_awready => slave_axi_awready, slave_axi_wdata => slave_axi_wdata, slave_axi_wstrb => slave_axi_wstrb, slave_axi_wvalid => slave_axi_wvalid, slave_axi_wready => slave_axi_wready, slave_axi_bresp => slave_axi_bresp, slave_axi_bvalid => slave_axi_bvalid, slave_axi_bready => slave_axi_bready, slave_axi_araddr => slave_axi_araddr, slave_axi_arprot => slave_axi_arprot, slave_axi_arvalid => slave_axi_arvalid, slave_axi_arready => slave_axi_arready, slave_axi_rdata => slave_axi_rdata, slave_axi_rresp => slave_axi_rresp, slave_axi_rvalid => slave_axi_rvalid, slave_axi_rready => slave_axi_rready ); das_mem: test_mem PORT MAP ( s_aclk => master_axi_aclk, s_aresetn => master_axi_aresetn, s_axi_awaddr => master_axi_awaddr, s_axi_awvalid => master_axi_awvalid, s_axi_awready => master_axi_awready, s_axi_wdata => master_axi_wdata, s_axi_wstrb => master_axi_wstrb, s_axi_wvalid => master_axi_wvalid, s_axi_wready => master_axi_wready, s_axi_bresp => master_axi_bresp, s_axi_bvalid => master_axi_bvalid, s_axi_bready => master_axi_bready, s_axi_araddr => master_axi_araddr, s_axi_arvalid => master_axi_arvalid, s_axi_arready => master_axi_arready, s_axi_rdata => master_axi_rdata, s_axi_rresp => master_axi_rresp, s_axi_rvalid => master_axi_rvalid, s_axi_rready => master_axi_rready ); -- Clock process definitions master_axi_aclk_process :process begin master_axi_aclk <= '0'; wait for master_axi_aclk_period/2; master_axi_aclk <= '1'; wait for master_axi_aclk_period/2; end process; -- Stimulus process stim_proc: process begin abus_write <= "11"; abus_read <= '1'; abus_chipselect <= "111"; master_axi_aresetn <= '0'; -- hold reset state for 100 ns. wait for 100 ns; wait for master_axi_aclk_period*10; master_axi_aresetn <= '1'; -- insert stimulus here --abus read transaction wait for 1000 ns; abus_write_proc("00"&X"000000",X"FADE","101",abus_address,abus_data_in,abus_chipselect,abus_write); abus_write_proc("00"&X"000002",X"1193","101",abus_address,abus_data_in,abus_chipselect,abus_write); abus_write_proc("00"&X"000004",X"0003","101",abus_address,abus_data_in,abus_chipselect,abus_write); abus_write_proc("00"&X"000006",X"FACE","101",abus_address,abus_data_in,abus_chipselect,abus_write); abus_read_proc("00"&X"000000","101",abus_address,abus_chipselect,abus_read); abus_read_proc("00"&X"000002","101",abus_address,abus_chipselect,abus_read); abus_read_proc("00"&X"000004","101",abus_address,abus_chipselect,abus_read); abus_read_proc("00"&X"000006","101",abus_address,abus_chipselect,abus_read); --wasca system regs write and read wait for 1000 ns; abus_write_proc("11"&X"FFFFF4",X"ACBD","110",abus_address,abus_data_in,abus_chipselect,abus_write); --mode abus_read_proc("11"&X"FFFFF0","110",abus_address,abus_chipselect,abus_read); abus_read_proc("11"&X"FFFFF2","110",abus_address,abus_chipselect,abus_read); abus_read_proc("11"&X"FFFFF4","110",abus_address,abus_chipselect,abus_read); abus_read_proc("11"&X"FFFFF8","110",abus_address,abus_chipselect,abus_read); abus_read_proc("11"&X"FFFFFA","110",abus_address,abus_chipselect,abus_read); abus_read_proc("11"&X"FFFFFC","110",abus_address,abus_chipselect,abus_read); abus_read_proc("11"&X"FFFFFE","110",abus_address,abus_chipselect,abus_read); --wasca filesystem regs write and read wait for 1000 ns; abus_write_proc("11"&X"FFEFF0",X"FADE","110",abus_address,abus_data_in,abus_chipselect,abus_write); --lock abus_write_proc("11"&X"FFEFF2",X"0001","110",abus_address,abus_data_in,abus_chipselect,abus_write); --cmd abus_read_proc("11"&X"FFFFF4","110",abus_address,abus_chipselect,abus_read);--status abus_write_proc("11"&X"FFE000",X"DADA","110",abus_address,abus_data_in,abus_chipselect,abus_write); --data buf abus_write_proc("11"&X"FFE002",X"DADA","110",abus_address,abus_data_in,abus_chipselect,abus_write); --data buf abus_write_proc("11"&X"FFE7FC",X"DADA","110",abus_address,abus_data_in,abus_chipselect,abus_write); --data buf abus_write_proc("11"&X"FFE7FE",X"DADA","110",abus_address,abus_data_in,abus_chipselect,abus_write); --data buf abus_write_proc("11"&X"FFE800",X"CDCD","110",abus_address,abus_data_in,abus_chipselect,abus_write); --data buf abus_write_proc("11"&X"FFE802",X"CDCD","110",abus_address,abus_data_in,abus_chipselect,abus_write); --data buf abus_write_proc("11"&X"FFEFEC",X"CDCD","110",abus_address,abus_data_in,abus_chipselect,abus_write); --data buf abus_write_proc("11"&X"FFEFEE",X"CDCD","110",abus_address,abus_data_in,abus_chipselect,abus_write); --data buf abus_read_proc("11"&X"FFF000","110",abus_address,abus_chipselect,abus_read); abus_read_proc("11"&X"FFF002","110",abus_address,abus_chipselect,abus_read); abus_read_proc("11"&X"FFF7FC","110",abus_address,abus_chipselect,abus_read); abus_read_proc("11"&X"FFF7FE","110",abus_address,abus_chipselect,abus_read); abus_read_proc("11"&X"FFF800","110",abus_address,abus_chipselect,abus_read); abus_read_proc("11"&X"FFF802","110",abus_address,abus_chipselect,abus_read); abus_read_proc("11"&X"FFFFEC","110",abus_address,abus_chipselect,abus_read); abus_read_proc("11"&X"FFFFEE","110",abus_address,abus_chipselect,abus_read); wait; end process; END;
-------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 19:46:37 02/13/2017 -- Design Name: -- Module Name: C:/Xilinx/__testbecher/testbencher/a_tb.vhd -- Project Name: testbencher -- Target Device: -- Tool versions: -- Description: -- -- VHDL Test Bench Created by ISE for module: ABus2AXI4Lite -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- -- Notes: -- This testbench has been automatically generated using types std_logic and -- std_logic_vector for the ports of the unit under test. Xilinx recommends -- that these types always be used for the top-level I/O of a design in order -- to guarantee that the testbench will bind correctly to the post-implementation -- simulation model. -------------------------------------------------------------------------------- LIBRARY ieee; USE ieee.std_logic_1164.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --USE ieee.numeric_std.ALL; ENTITY a_tb IS generic ( -- Users to add parameters here -- User parameters ends -- Do not modify the parameters beyond this line -- Parameters of Axi Master Bus Interface M00_AXI C_MASTER_AXI_TARGET_SLAVE_BASE_ADDR : std_logic_vector := x"00000000"; C_MASTER_AXI_ADDR_WIDTH : integer := 32; C_MASTER_AXI_DATA_WIDTH : integer := 32; C_SLAVE_AXI_ADDR_WIDTH : integer := 32; C_SLAVE_AXI_DATA_WIDTH : integer := 32; C_FILESYS_AXI_ADDR_WIDTH : integer := 32; C_FILESYS_AXI_DATA_WIDTH : integer := 32 ); END a_tb; ARCHITECTURE behavior OF a_tb IS -- Component Declaration for the Unit Under Test (UUT) COMPONENT ABus2AXI4Lite PORT( -- abus ports abus_address : in std_logic_vector(25 downto 0) := (others => '0'); -- abus.address abus_data_in : in std_logic_vector(15 downto 0) := (others => '0'); -- abus.addressdata abus_data_out : out std_logic_vector(15 downto 0) := (others => '0'); -- abus.addressdata abus_data_direction : out std_logic := '0'; -- .direction abus_chipselect : in std_logic_vector(2 downto 0) := (others => '0'); -- .chipselect abus_read : in std_logic := '0'; -- .read abus_write : in std_logic_vector(1 downto 0) := (others => '0'); -- .write abus_wait : out std_logic := '1'; -- .waitrequest abus_wait_direction : out std_logic := '0'; -- .direction abus_irq : out std_logic := '0'; -- .interrupt abus_irq_direction : out std_logic := '0'; -- .direction abus_reset : in std_logic := '0'; -- .saturn_reset -- Ports of Axi Master Bus Interface master_axi_aclk : in std_logic; master_axi_aresetn : in std_logic; master_axi_awaddr : out std_logic_vector(C_MASTER_AXI_ADDR_WIDTH-1 downto 0); master_axi_awprot : out std_logic_vector(2 downto 0); master_axi_awvalid : out std_logic; master_axi_awready : in std_logic; master_axi_wdata : out std_logic_vector(C_MASTER_AXI_DATA_WIDTH-1 downto 0); master_axi_wstrb : out std_logic_vector(C_MASTER_AXI_DATA_WIDTH/8-1 downto 0); master_axi_wvalid : out std_logic; master_axi_wready : in std_logic; master_axi_bresp : in std_logic_vector(1 downto 0); master_axi_bvalid : in std_logic; master_axi_bready : out std_logic; master_axi_araddr : out std_logic_vector(C_MASTER_AXI_ADDR_WIDTH-1 downto 0); master_axi_arprot : out std_logic_vector(2 downto 0); master_axi_arvalid : out std_logic; master_axi_arready : in std_logic; master_axi_rdata : in std_logic_vector(C_MASTER_AXI_DATA_WIDTH-1 downto 0); master_axi_rresp : in std_logic_vector(1 downto 0); master_axi_rvalid : in std_logic; master_axi_rready : out std_logic; -- Ports of Slave Bus Interface slave_axi_aclk : in std_logic; slave_axi_aresetn : in std_logic; slave_axi_awaddr : in std_logic_vector(C_SLAVE_AXI_ADDR_WIDTH-1 downto 0); slave_axi_awprot : in std_logic_vector(2 downto 0); slave_axi_awvalid : in std_logic; slave_axi_awready : out std_logic; slave_axi_wdata : in std_logic_vector(C_SLAVE_AXI_DATA_WIDTH-1 downto 0); slave_axi_wstrb : in std_logic_vector(C_SLAVE_AXI_DATA_WIDTH/8-1 downto 0); slave_axi_wvalid : in std_logic; slave_axi_wready : out std_logic; slave_axi_bresp : out std_logic_vector(1 downto 0); slave_axi_bvalid : out std_logic; slave_axi_bready : in std_logic; slave_axi_araddr : in std_logic_vector(C_SLAVE_AXI_ADDR_WIDTH-1 downto 0); slave_axi_arprot : in std_logic_vector(2 downto 0); slave_axi_arvalid : in std_logic; slave_axi_arready : out std_logic; slave_axi_rdata : out std_logic_vector(C_SLAVE_AXI_DATA_WIDTH-1 downto 0); slave_axi_rresp : out std_logic_vector(1 downto 0); slave_axi_rvalid : out std_logic; slave_axi_rready : in std_logic ); END COMPONENT; COMPONENT test_mem PORT( s_aclk : IN std_logic; s_aresetn : IN std_logic; s_axi_awaddr : in std_logic_vector(31 downto 0); s_axi_awvalid : in std_logic; s_axi_awready : out std_logic; s_axi_wdata : in std_logic_vector(31 downto 0); s_axi_wstrb : in std_logic_vector(3 downto 0); s_axi_wvalid : in std_logic; s_axi_wready : out std_logic; s_axi_bresp : out std_logic_vector(1 downto 0); s_axi_bvalid : out std_logic; s_axi_bready : in std_logic; s_axi_araddr : in std_logic_vector(31 downto 0); s_axi_arvalid : in std_logic; s_axi_arready : out std_logic; s_axi_rdata : out std_logic_vector(31 downto 0); s_axi_rresp : out std_logic_vector(1 downto 0); s_axi_rvalid : out std_logic; s_axi_rready : in std_logic ); END COMPONENT; --Inputs signal abus_address : std_logic_vector(25 downto 0) := (others => '0'); signal abus_data_in : std_logic_vector(15 downto 0) := (others => '0'); signal abus_chipselect : std_logic_vector(2 downto 0) := (others => '0'); signal abus_read : std_logic := '0'; signal abus_write : std_logic_vector(1 downto 0) := (others => '0'); signal abus_reset : std_logic := '0'; signal master_axi_init_axi_txn : std_logic := '0'; signal master_axi_aclk : std_logic := '0'; signal master_axi_aresetn : std_logic := '0'; signal master_axi_awready : std_logic := '0'; signal master_axi_wready : std_logic := '0'; signal master_axi_bresp : std_logic_vector(1 downto 0) := (others => '0'); signal master_axi_bvalid : std_logic := '0'; signal master_axi_arready : std_logic := '0'; signal master_axi_rdata : std_logic_vector(31 downto 0) := (others => '0'); signal master_axi_rresp : std_logic_vector(1 downto 0) := (others => '0'); signal master_axi_rvalid : std_logic := '0'; signal slave_axi_awaddr : std_logic_vector(31 downto 0) := (others => '0'); signal slave_axi_awprot : std_logic_vector(2 downto 0) := (others => '0'); signal slave_axi_awvalid : std_logic := '0'; signal slave_axi_wdata : std_logic_vector(31 downto 0) := (others => '0'); signal slave_axi_wstrb : std_logic_vector(3 downto 0) := (others => '0'); signal slave_axi_wvalid : std_logic := '0'; signal slave_axi_bready : std_logic := '0'; signal slave_axi_araddr : std_logic_vector(31 downto 0) := (others => '0'); signal slave_axi_arprot : std_logic_vector(2 downto 0) := (others => '0'); signal slave_axi_arvalid : std_logic := '0'; signal slave_axi_rready : std_logic := '0'; --Outputs signal abus_data_out : std_logic_vector(15 downto 0); signal abus_data_direction : std_logic := '0'; signal abus_wait : std_logic := '0'; signal abus_wait_direction : std_logic := '0'; signal abus_irq : std_logic := '0'; signal abus_irq_direction : std_logic := '0'; signal master_axi_error : std_logic := '0'; signal master_axi_txn_done : std_logic := '0'; signal master_axi_awaddr : std_logic_vector(31 downto 0) := (others => '0'); signal master_axi_awprot : std_logic_vector(2 downto 0) := (others => '0'); signal master_axi_awvalid : std_logic := '0'; signal master_axi_wdata : std_logic_vector(31 downto 0) := (others => '0'); signal master_axi_wstrb : std_logic_vector(3 downto 0) := (others => '0'); signal master_axi_wvalid : std_logic := '0'; signal master_axi_bready : std_logic := '0'; signal master_axi_araddr : std_logic_vector(31 downto 0) := (others => '0'); signal master_axi_arprot : std_logic_vector(2 downto 0) := (others => '0'); signal master_axi_arvalid : std_logic := '0'; signal master_axi_rready : std_logic := '0'; signal slave_axi_aclk : std_logic := '0'; signal slave_axi_aresetn : std_logic := '0'; signal slave_axi_awready : std_logic := '0'; signal slave_axi_wready : std_logic := '0'; signal slave_axi_bresp : std_logic_vector(1 downto 0) := (others => '0'); signal slave_axi_bvalid : std_logic := '0'; signal slave_axi_arready : std_logic := '0'; signal slave_axi_rdata : std_logic_vector(31 downto 0) := (others => '0'); signal slave_axi_rresp : std_logic_vector(1 downto 0) := (others => '0'); signal slave_axi_rvalid : std_logic := '0'; -- Clock period definitions constant master_axi_aclk_period : time := 10 ns; procedure abus_write_proc (addr : in std_logic_vector(25 downto 0); data : in std_logic_vector(15 downto 0); chipselect : in std_logic_vector(2 downto 0); signal ABus_Ad : out std_logic_vector(25 downto 0); signal ABus_Da : out std_logic_vector(15 downto 0); signal ABus_CS : out std_logic_vector(2 downto 0); signal ABus_Wr : out std_logic_vector(1 downto 0) ) is begin --set quantizer 25mhz ABus_Ad <= addr; ABus_Da <= data; wait for 100ns; ABus_CS <= chipselect; wait for 100ns; ABus_Wr <= "00"; wait for 1000ns; ABus_Wr <= "11"; wait for 100ns; ABus_CS <= "111"; ABus_Da <= (others => 'Z'); wait for 100ns; end abus_write_proc; procedure abus_read_proc (addr : in std_logic_vector(25 downto 0); chipselect : in std_logic_vector(2 downto 0); signal ABus_Ad : out std_logic_vector(25 downto 0); signal ABus_CS : out std_logic_vector(2 downto 0); signal ABus_Re : out std_logic ) is begin --set quantizer 25mhz ABus_Ad <= addr; wait for 100ns; ABus_CS <= chipselect; wait for 100ns; ABus_Re <= '0'; wait for 1000ns; ABus_Re <= '1'; wait for 100ns; ABus_CS <= "111"; wait for 100ns; end abus_read_proc; BEGIN -- Instantiate the Unit Under Test (UUT) uut: ABus2AXI4Lite PORT MAP ( abus_address => abus_address, abus_data_in => abus_data_in, abus_data_out => abus_data_out, abus_data_direction => abus_data_direction, abus_chipselect => abus_chipselect, abus_read => abus_read, abus_write => abus_write, abus_wait => abus_wait, abus_wait_direction => abus_wait_direction, abus_irq => abus_irq, abus_irq_direction => abus_irq_direction, abus_reset => abus_reset, master_axi_aclk => master_axi_aclk, master_axi_aresetn => master_axi_aresetn, master_axi_awaddr => master_axi_awaddr, master_axi_awprot => master_axi_awprot, master_axi_awvalid => master_axi_awvalid, master_axi_awready => master_axi_awready, master_axi_wdata => master_axi_wdata, master_axi_wstrb => master_axi_wstrb, master_axi_wvalid => master_axi_wvalid, master_axi_wready => master_axi_wready, master_axi_bresp => master_axi_bresp, master_axi_bvalid => master_axi_bvalid, master_axi_bready => master_axi_bready, master_axi_araddr => master_axi_araddr, master_axi_arprot => master_axi_arprot, master_axi_arvalid => master_axi_arvalid, master_axi_arready => master_axi_arready, master_axi_rdata => master_axi_rdata, master_axi_rresp => master_axi_rresp, master_axi_rvalid => master_axi_rvalid, master_axi_rready => master_axi_rready, slave_axi_aclk => slave_axi_aclk, slave_axi_aresetn => slave_axi_aresetn, slave_axi_awaddr => slave_axi_awaddr, slave_axi_awprot => slave_axi_awprot, slave_axi_awvalid => slave_axi_awvalid, slave_axi_awready => slave_axi_awready, slave_axi_wdata => slave_axi_wdata, slave_axi_wstrb => slave_axi_wstrb, slave_axi_wvalid => slave_axi_wvalid, slave_axi_wready => slave_axi_wready, slave_axi_bresp => slave_axi_bresp, slave_axi_bvalid => slave_axi_bvalid, slave_axi_bready => slave_axi_bready, slave_axi_araddr => slave_axi_araddr, slave_axi_arprot => slave_axi_arprot, slave_axi_arvalid => slave_axi_arvalid, slave_axi_arready => slave_axi_arready, slave_axi_rdata => slave_axi_rdata, slave_axi_rresp => slave_axi_rresp, slave_axi_rvalid => slave_axi_rvalid, slave_axi_rready => slave_axi_rready ); das_mem: test_mem PORT MAP ( s_aclk => master_axi_aclk, s_aresetn => master_axi_aresetn, s_axi_awaddr => master_axi_awaddr, s_axi_awvalid => master_axi_awvalid, s_axi_awready => master_axi_awready, s_axi_wdata => master_axi_wdata, s_axi_wstrb => master_axi_wstrb, s_axi_wvalid => master_axi_wvalid, s_axi_wready => master_axi_wready, s_axi_bresp => master_axi_bresp, s_axi_bvalid => master_axi_bvalid, s_axi_bready => master_axi_bready, s_axi_araddr => master_axi_araddr, s_axi_arvalid => master_axi_arvalid, s_axi_arready => master_axi_arready, s_axi_rdata => master_axi_rdata, s_axi_rresp => master_axi_rresp, s_axi_rvalid => master_axi_rvalid, s_axi_rready => master_axi_rready ); -- Clock process definitions master_axi_aclk_process :process begin master_axi_aclk <= '0'; wait for master_axi_aclk_period/2; master_axi_aclk <= '1'; wait for master_axi_aclk_period/2; end process; -- Stimulus process stim_proc: process begin abus_write <= "11"; abus_read <= '1'; abus_chipselect <= "111"; master_axi_aresetn <= '0'; -- hold reset state for 100 ns. wait for 100 ns; wait for master_axi_aclk_period*10; master_axi_aresetn <= '1'; -- insert stimulus here --abus read transaction wait for 1000 ns; abus_write_proc("00"&X"000000",X"FADE","101",abus_address,abus_data_in,abus_chipselect,abus_write); abus_write_proc("00"&X"000002",X"1193","101",abus_address,abus_data_in,abus_chipselect,abus_write); abus_write_proc("00"&X"000004",X"0003","101",abus_address,abus_data_in,abus_chipselect,abus_write); abus_write_proc("00"&X"000006",X"FACE","101",abus_address,abus_data_in,abus_chipselect,abus_write); abus_read_proc("00"&X"000000","101",abus_address,abus_chipselect,abus_read); abus_read_proc("00"&X"000002","101",abus_address,abus_chipselect,abus_read); abus_read_proc("00"&X"000004","101",abus_address,abus_chipselect,abus_read); abus_read_proc("00"&X"000006","101",abus_address,abus_chipselect,abus_read); --wasca system regs write and read wait for 1000 ns; abus_write_proc("11"&X"FFFFF4",X"ACBD","110",abus_address,abus_data_in,abus_chipselect,abus_write); --mode abus_read_proc("11"&X"FFFFF0","110",abus_address,abus_chipselect,abus_read); abus_read_proc("11"&X"FFFFF2","110",abus_address,abus_chipselect,abus_read); abus_read_proc("11"&X"FFFFF4","110",abus_address,abus_chipselect,abus_read); abus_read_proc("11"&X"FFFFF8","110",abus_address,abus_chipselect,abus_read); abus_read_proc("11"&X"FFFFFA","110",abus_address,abus_chipselect,abus_read); abus_read_proc("11"&X"FFFFFC","110",abus_address,abus_chipselect,abus_read); abus_read_proc("11"&X"FFFFFE","110",abus_address,abus_chipselect,abus_read); --wasca filesystem regs write and read wait for 1000 ns; abus_write_proc("11"&X"FFEFF0",X"FADE","110",abus_address,abus_data_in,abus_chipselect,abus_write); --lock abus_write_proc("11"&X"FFEFF2",X"0001","110",abus_address,abus_data_in,abus_chipselect,abus_write); --cmd abus_read_proc("11"&X"FFFFF4","110",abus_address,abus_chipselect,abus_read);--status abus_write_proc("11"&X"FFE000",X"DADA","110",abus_address,abus_data_in,abus_chipselect,abus_write); --data buf abus_write_proc("11"&X"FFE002",X"DADA","110",abus_address,abus_data_in,abus_chipselect,abus_write); --data buf abus_write_proc("11"&X"FFE7FC",X"DADA","110",abus_address,abus_data_in,abus_chipselect,abus_write); --data buf abus_write_proc("11"&X"FFE7FE",X"DADA","110",abus_address,abus_data_in,abus_chipselect,abus_write); --data buf abus_write_proc("11"&X"FFE800",X"CDCD","110",abus_address,abus_data_in,abus_chipselect,abus_write); --data buf abus_write_proc("11"&X"FFE802",X"CDCD","110",abus_address,abus_data_in,abus_chipselect,abus_write); --data buf abus_write_proc("11"&X"FFEFEC",X"CDCD","110",abus_address,abus_data_in,abus_chipselect,abus_write); --data buf abus_write_proc("11"&X"FFEFEE",X"CDCD","110",abus_address,abus_data_in,abus_chipselect,abus_write); --data buf abus_read_proc("11"&X"FFF000","110",abus_address,abus_chipselect,abus_read); abus_read_proc("11"&X"FFF002","110",abus_address,abus_chipselect,abus_read); abus_read_proc("11"&X"FFF7FC","110",abus_address,abus_chipselect,abus_read); abus_read_proc("11"&X"FFF7FE","110",abus_address,abus_chipselect,abus_read); abus_read_proc("11"&X"FFF800","110",abus_address,abus_chipselect,abus_read); abus_read_proc("11"&X"FFF802","110",abus_address,abus_chipselect,abus_read); abus_read_proc("11"&X"FFFFEC","110",abus_address,abus_chipselect,abus_read); abus_read_proc("11"&X"FFFFEE","110",abus_address,abus_chipselect,abus_read); wait; end process; END;
-------------------------------------------------------------------------------- -- Designer: Paolo Fulgoni <[email protected]> -- -- Create Date: 09/14/2007 -- Last Update: 04/14/2008 -- Project Name: camellia-vhdl -- Description: Dual-port SBOX4 -- -- Copyright (C) 2007 Paolo Fulgoni -- This file is part of camellia-vhdl. -- camellia-vhdl is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation; either version 3 of the License, or -- (at your option) any later version. -- camellia-vhdl is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- You should have received a copy of the GNU General Public License -- along with this program. If not, see <http://www.gnu.org/licenses/>. -- -- The Camellia cipher algorithm is 128 bit cipher developed by NTT and -- Mitsubishi Electric researchers. -- http://info.isl.ntt.co.jp/crypt/eng/camellia/ -------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; entity SBOX4 is port ( clk : IN STD_LOGIC; addra : IN STD_LOGIC_VECTOR(0 to 7); addrb : IN STD_LOGIC_VECTOR(0 to 7); douta : OUT STD_LOGIC_VECTOR(0 to 7); doutb : OUT STD_LOGIC_VECTOR(0 to 7) ); end SBOX4; architecture RTL of SBOX4 is component SBOX1 is port ( clk : IN STD_LOGIC; addra : IN STD_LOGIC_VECTOR(0 to 7); addrb : IN STD_LOGIC_VECTOR(0 to 7); douta : OUT STD_LOGIC_VECTOR(0 to 7); doutb : OUT STD_LOGIC_VECTOR(0 to 7) ); end component; -- SBOX1 signals signal s1_addra : STD_LOGIC_VECTOR(0 to 7); signal s1_addrb : STD_LOGIC_VECTOR(0 to 7); signal s1_clk : STD_LOGIC; signal s1_douta : STD_LOGIC_VECTOR(0 to 7); signal s1_doutb : STD_LOGIC_VECTOR(0 to 7); begin S1 : SBOX1 port map(s1_clk, s1_addra, s1_addrb, s1_douta, s1_doutb); s1_clk <= clk; s1_addra <= addra(1 to 7) & addra(0); s1_addrb <= addrb(1 to 7) & addrb(0); douta <= s1_douta; doutb <= s1_doutb; end RTL;
-- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:ip:axi_bram_ctrl:4.0 -- IP Revision: 11 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; LIBRARY axi_bram_ctrl_v4_0_11; USE axi_bram_ctrl_v4_0_11.axi_bram_ctrl; ENTITY zynq_design_1_axi_bram_ctrl_0_0 IS PORT ( s_axi_aclk : IN STD_LOGIC; s_axi_aresetn : IN STD_LOGIC; s_axi_awid : IN STD_LOGIC_VECTOR(11 DOWNTO 0); s_axi_awaddr : IN STD_LOGIC_VECTOR(15 DOWNTO 0); s_axi_awlen : IN STD_LOGIC_VECTOR(7 DOWNTO 0); s_axi_awsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0); s_axi_awburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_awlock : IN STD_LOGIC; s_axi_awcache : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_awprot : IN STD_LOGIC_VECTOR(2 DOWNTO 0); s_axi_awvalid : IN STD_LOGIC; s_axi_awready : OUT STD_LOGIC; s_axi_wdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_wstrb : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_wlast : IN STD_LOGIC; s_axi_wvalid : IN STD_LOGIC; s_axi_wready : OUT STD_LOGIC; s_axi_bid : OUT STD_LOGIC_VECTOR(11 DOWNTO 0); s_axi_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_bvalid : OUT STD_LOGIC; s_axi_bready : IN STD_LOGIC; s_axi_arid : IN STD_LOGIC_VECTOR(11 DOWNTO 0); s_axi_araddr : IN STD_LOGIC_VECTOR(15 DOWNTO 0); s_axi_arlen : IN STD_LOGIC_VECTOR(7 DOWNTO 0); s_axi_arsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0); s_axi_arburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_arlock : IN STD_LOGIC; s_axi_arcache : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_arprot : IN STD_LOGIC_VECTOR(2 DOWNTO 0); s_axi_arvalid : IN STD_LOGIC; s_axi_arready : OUT STD_LOGIC; s_axi_rid : OUT STD_LOGIC_VECTOR(11 DOWNTO 0); s_axi_rdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_rlast : OUT STD_LOGIC; s_axi_rvalid : OUT STD_LOGIC; s_axi_rready : IN STD_LOGIC; bram_rst_a : OUT STD_LOGIC; bram_clk_a : OUT STD_LOGIC; bram_en_a : OUT STD_LOGIC; bram_we_a : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); bram_addr_a : OUT STD_LOGIC_VECTOR(15 DOWNTO 0); bram_wrdata_a : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); bram_rddata_a : IN STD_LOGIC_VECTOR(31 DOWNTO 0); bram_rst_b : OUT STD_LOGIC; bram_clk_b : OUT STD_LOGIC; bram_en_b : OUT STD_LOGIC; bram_we_b : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); bram_addr_b : OUT STD_LOGIC_VECTOR(15 DOWNTO 0); bram_wrdata_b : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); bram_rddata_b : IN STD_LOGIC_VECTOR(31 DOWNTO 0) ); END zynq_design_1_axi_bram_ctrl_0_0; ARCHITECTURE zynq_design_1_axi_bram_ctrl_0_0_arch OF zynq_design_1_axi_bram_ctrl_0_0 IS ATTRIBUTE DowngradeIPIdentifiedWarnings : STRING; ATTRIBUTE DowngradeIPIdentifiedWarnings OF zynq_design_1_axi_bram_ctrl_0_0_arch: ARCHITECTURE IS "yes"; COMPONENT axi_bram_ctrl IS GENERIC ( C_BRAM_INST_MODE : STRING; C_MEMORY_DEPTH : INTEGER; C_BRAM_ADDR_WIDTH : INTEGER; C_S_AXI_ADDR_WIDTH : INTEGER; C_S_AXI_DATA_WIDTH : INTEGER; C_S_AXI_ID_WIDTH : INTEGER; C_S_AXI_PROTOCOL : STRING; C_S_AXI_SUPPORTS_NARROW_BURST : INTEGER; C_SINGLE_PORT_BRAM : INTEGER; C_FAMILY : STRING; C_SELECT_XPM : INTEGER; C_S_AXI_CTRL_ADDR_WIDTH : INTEGER; C_S_AXI_CTRL_DATA_WIDTH : INTEGER; C_ECC : INTEGER; C_ECC_TYPE : INTEGER; C_FAULT_INJECT : INTEGER; C_ECC_ONOFF_RESET_VALUE : INTEGER ); PORT ( s_axi_aclk : IN STD_LOGIC; s_axi_aresetn : IN STD_LOGIC; ecc_interrupt : OUT STD_LOGIC; ecc_ue : OUT STD_LOGIC; s_axi_awid : IN STD_LOGIC_VECTOR(11 DOWNTO 0); s_axi_awaddr : IN STD_LOGIC_VECTOR(15 DOWNTO 0); s_axi_awlen : IN STD_LOGIC_VECTOR(7 DOWNTO 0); s_axi_awsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0); s_axi_awburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_awlock : IN STD_LOGIC; s_axi_awcache : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_awprot : IN STD_LOGIC_VECTOR(2 DOWNTO 0); s_axi_awvalid : IN STD_LOGIC; s_axi_awready : OUT STD_LOGIC; s_axi_wdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_wstrb : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_wlast : IN STD_LOGIC; s_axi_wvalid : IN STD_LOGIC; s_axi_wready : OUT STD_LOGIC; s_axi_bid : OUT STD_LOGIC_VECTOR(11 DOWNTO 0); s_axi_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_bvalid : OUT STD_LOGIC; s_axi_bready : IN STD_LOGIC; s_axi_arid : IN STD_LOGIC_VECTOR(11 DOWNTO 0); s_axi_araddr : IN STD_LOGIC_VECTOR(15 DOWNTO 0); s_axi_arlen : IN STD_LOGIC_VECTOR(7 DOWNTO 0); s_axi_arsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0); s_axi_arburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_arlock : IN STD_LOGIC; s_axi_arcache : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_arprot : IN STD_LOGIC_VECTOR(2 DOWNTO 0); s_axi_arvalid : IN STD_LOGIC; s_axi_arready : OUT STD_LOGIC; s_axi_rid : OUT STD_LOGIC_VECTOR(11 DOWNTO 0); s_axi_rdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_rlast : OUT STD_LOGIC; s_axi_rvalid : OUT STD_LOGIC; s_axi_rready : IN STD_LOGIC; s_axi_ctrl_awvalid : IN STD_LOGIC; s_axi_ctrl_awready : OUT STD_LOGIC; s_axi_ctrl_awaddr : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_ctrl_wdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_ctrl_wvalid : IN STD_LOGIC; s_axi_ctrl_wready : OUT STD_LOGIC; s_axi_ctrl_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_ctrl_bvalid : OUT STD_LOGIC; s_axi_ctrl_bready : IN STD_LOGIC; s_axi_ctrl_araddr : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_ctrl_arvalid : IN STD_LOGIC; s_axi_ctrl_arready : OUT STD_LOGIC; s_axi_ctrl_rdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_ctrl_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_ctrl_rvalid : OUT STD_LOGIC; s_axi_ctrl_rready : IN STD_LOGIC; bram_rst_a : OUT STD_LOGIC; bram_clk_a : OUT STD_LOGIC; bram_en_a : OUT STD_LOGIC; bram_we_a : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); bram_addr_a : OUT STD_LOGIC_VECTOR(15 DOWNTO 0); bram_wrdata_a : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); bram_rddata_a : IN STD_LOGIC_VECTOR(31 DOWNTO 0); bram_rst_b : OUT STD_LOGIC; bram_clk_b : OUT STD_LOGIC; bram_en_b : OUT STD_LOGIC; bram_we_b : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); bram_addr_b : OUT STD_LOGIC_VECTOR(15 DOWNTO 0); bram_wrdata_b : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); bram_rddata_b : IN STD_LOGIC_VECTOR(31 DOWNTO 0) ); END COMPONENT axi_bram_ctrl; ATTRIBUTE X_CORE_INFO : STRING; ATTRIBUTE X_CORE_INFO OF zynq_design_1_axi_bram_ctrl_0_0_arch: ARCHITECTURE IS "axi_bram_ctrl,Vivado 2017.2"; ATTRIBUTE CHECK_LICENSE_TYPE : STRING; ATTRIBUTE CHECK_LICENSE_TYPE OF zynq_design_1_axi_bram_ctrl_0_0_arch : ARCHITECTURE IS "zynq_design_1_axi_bram_ctrl_0_0,axi_bram_ctrl,{}"; ATTRIBUTE CORE_GENERATION_INFO : STRING; ATTRIBUTE CORE_GENERATION_INFO OF zynq_design_1_axi_bram_ctrl_0_0_arch: ARCHITECTURE IS "zynq_design_1_axi_bram_ctrl_0_0,axi_bram_ctrl,{x_ipProduct=Vivado 2017.2,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=axi_bram_ctrl,x_ipVersion=4.0,x_ipCoreRevision=11,x_ipLanguage=VERILOG,x_ipSimLanguage=MIXED,C_BRAM_INST_MODE=EXTERNAL,C_MEMORY_DEPTH=16384,C_BRAM_ADDR_WIDTH=14,C_S_AXI_ADDR_WIDTH=16,C_S_AXI_DATA_WIDTH=32,C_S_AXI_ID_WIDTH=12,C_S_AXI_PROTOCOL=AXI4,C_S_AXI_SUPPORTS_NARROW_BURST=0,C_SINGLE_PORT_BRAM=0,C_FAMILY=zynq,C_SELECT_XPM=0,C_S_AXI_CTRL_ADDR_WIDTH=32,C_S_AXI_CTRL_DATA_WIDTH=3" & "2,C_ECC=0,C_ECC_TYPE=0,C_FAULT_INJECT=0,C_ECC_ONOFF_RESET_VALUE=0}"; ATTRIBUTE X_INTERFACE_INFO : STRING; ATTRIBUTE X_INTERFACE_INFO OF s_axi_aclk: SIGNAL IS "xilinx.com:signal:clock:1.0 CLKIF CLK"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_aresetn: SIGNAL IS "xilinx.com:signal:reset:1.0 RSTIF RST"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_awid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI AWID"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_awaddr: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI AWADDR"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_awlen: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI AWLEN"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_awsize: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI AWSIZE"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_awburst: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI AWBURST"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_awlock: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI AWLOCK"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_awcache: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI AWCACHE"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_awprot: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI AWPROT"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_awvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI AWVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_awready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI AWREADY"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_wdata: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI WDATA"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_wstrb: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI WSTRB"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_wlast: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI WLAST"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_wvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI WVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_wready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI WREADY"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_bid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI BID"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_bresp: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI BRESP"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_bvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI BVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_bready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI BREADY"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_arid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI ARID"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_araddr: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI ARADDR"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_arlen: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI ARLEN"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_arsize: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI ARSIZE"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_arburst: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI ARBURST"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_arlock: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI ARLOCK"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_arcache: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI ARCACHE"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_arprot: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI ARPROT"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_arvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI ARVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_arready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI ARREADY"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_rid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI RID"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_rdata: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI RDATA"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_rresp: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI RRESP"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_rlast: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI RLAST"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_rvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI RVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_rready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI RREADY"; ATTRIBUTE X_INTERFACE_INFO OF bram_rst_a: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA RST"; ATTRIBUTE X_INTERFACE_INFO OF bram_clk_a: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA CLK"; ATTRIBUTE X_INTERFACE_INFO OF bram_en_a: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA EN"; ATTRIBUTE X_INTERFACE_INFO OF bram_we_a: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA WE"; ATTRIBUTE X_INTERFACE_INFO OF bram_addr_a: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA ADDR"; ATTRIBUTE X_INTERFACE_INFO OF bram_wrdata_a: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA DIN"; ATTRIBUTE X_INTERFACE_INFO OF bram_rddata_a: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA DOUT"; ATTRIBUTE X_INTERFACE_INFO OF bram_rst_b: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTB RST"; ATTRIBUTE X_INTERFACE_INFO OF bram_clk_b: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTB CLK"; ATTRIBUTE X_INTERFACE_INFO OF bram_en_b: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTB EN"; ATTRIBUTE X_INTERFACE_INFO OF bram_we_b: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTB WE"; ATTRIBUTE X_INTERFACE_INFO OF bram_addr_b: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTB ADDR"; ATTRIBUTE X_INTERFACE_INFO OF bram_wrdata_b: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTB DIN"; ATTRIBUTE X_INTERFACE_INFO OF bram_rddata_b: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTB DOUT"; BEGIN U0 : axi_bram_ctrl GENERIC MAP ( C_BRAM_INST_MODE => "EXTERNAL", C_MEMORY_DEPTH => 16384, C_BRAM_ADDR_WIDTH => 14, C_S_AXI_ADDR_WIDTH => 16, C_S_AXI_DATA_WIDTH => 32, C_S_AXI_ID_WIDTH => 12, C_S_AXI_PROTOCOL => "AXI4", C_S_AXI_SUPPORTS_NARROW_BURST => 0, C_SINGLE_PORT_BRAM => 0, C_FAMILY => "zynq", C_SELECT_XPM => 0, C_S_AXI_CTRL_ADDR_WIDTH => 32, C_S_AXI_CTRL_DATA_WIDTH => 32, C_ECC => 0, C_ECC_TYPE => 0, C_FAULT_INJECT => 0, C_ECC_ONOFF_RESET_VALUE => 0 ) PORT MAP ( s_axi_aclk => s_axi_aclk, s_axi_aresetn => s_axi_aresetn, s_axi_awid => s_axi_awid, s_axi_awaddr => s_axi_awaddr, s_axi_awlen => s_axi_awlen, s_axi_awsize => s_axi_awsize, s_axi_awburst => s_axi_awburst, s_axi_awlock => s_axi_awlock, s_axi_awcache => s_axi_awcache, s_axi_awprot => s_axi_awprot, s_axi_awvalid => s_axi_awvalid, s_axi_awready => s_axi_awready, s_axi_wdata => s_axi_wdata, s_axi_wstrb => s_axi_wstrb, s_axi_wlast => s_axi_wlast, s_axi_wvalid => s_axi_wvalid, s_axi_wready => s_axi_wready, s_axi_bid => s_axi_bid, s_axi_bresp => s_axi_bresp, s_axi_bvalid => s_axi_bvalid, s_axi_bready => s_axi_bready, s_axi_arid => s_axi_arid, s_axi_araddr => s_axi_araddr, s_axi_arlen => s_axi_arlen, s_axi_arsize => s_axi_arsize, s_axi_arburst => s_axi_arburst, s_axi_arlock => s_axi_arlock, s_axi_arcache => s_axi_arcache, s_axi_arprot => s_axi_arprot, s_axi_arvalid => s_axi_arvalid, s_axi_arready => s_axi_arready, s_axi_rid => s_axi_rid, s_axi_rdata => s_axi_rdata, s_axi_rresp => s_axi_rresp, s_axi_rlast => s_axi_rlast, s_axi_rvalid => s_axi_rvalid, s_axi_rready => s_axi_rready, s_axi_ctrl_awvalid => '0', s_axi_ctrl_awaddr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)), s_axi_ctrl_wdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)), s_axi_ctrl_wvalid => '0', s_axi_ctrl_bready => '0', s_axi_ctrl_araddr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)), s_axi_ctrl_arvalid => '0', s_axi_ctrl_rready => '0', bram_rst_a => bram_rst_a, bram_clk_a => bram_clk_a, bram_en_a => bram_en_a, bram_we_a => bram_we_a, bram_addr_a => bram_addr_a, bram_wrdata_a => bram_wrdata_a, bram_rddata_a => bram_rddata_a, bram_rst_b => bram_rst_b, bram_clk_b => bram_clk_b, bram_en_b => bram_en_b, bram_we_b => bram_we_b, bram_addr_b => bram_addr_b, bram_wrdata_b => bram_wrdata_b, bram_rddata_b => bram_rddata_b ); END zynq_design_1_axi_bram_ctrl_0_0_arch;
----------------------------------------------------------------------------- --! @file --! @copyright Copyright 2015 GNSS Sensor Ltd. All right reserved. --! @author Sergey Khabarov - [email protected] --! @brief Technology specific dual-port RAM. ------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; library commonlib; use commonlib.types_common.all; library techmap; use techmap.gencomp.all; use techmap.types_mem.all; entity syncram_2p_tech is generic ( tech : integer := 0; abits : integer := 6; dbits : integer := 8; sepclk : integer := 0; wrfst : integer := 0; testen : integer := 0; words : integer := 0; custombits : integer := 1 ); port ( rclk : in std_ulogic; renable : in std_ulogic; raddress : in std_logic_vector((abits -1) downto 0); dataout : out std_logic_vector((dbits -1) downto 0); wclk : in std_ulogic; write : in std_ulogic; waddress : in std_logic_vector((abits -1) downto 0); datain : in std_logic_vector((dbits -1) downto 0) ); end; architecture rtl of syncram_2p_tech is component syncram_2p_inferred is generic ( abits : integer := 8; dbits : integer := 32; sepclk: integer := 0 ); port ( rclk : in std_ulogic; wclk : in std_ulogic; rdaddress: in std_logic_vector (abits -1 downto 0); wraddress: in std_logic_vector (abits -1 downto 0); data: in std_logic_vector (dbits -1 downto 0); wren : in std_ulogic; q: out std_logic_vector (dbits -1 downto 0) ); end component; begin inf : if tech = inferred generate x0 : syncram_2p_inferred generic map (abits, dbits, sepclk) port map (rclk, wclk, raddress, waddress, datain, write, dataout); end generate; xilinx6 : if tech = virtex6 or tech = kintex7 or tech = artix7 generate x0 : syncram_2p_inferred generic map (abits, dbits, sepclk) port map (rclk, wclk, raddress, waddress, datain, write, dataout); end generate; end;
----------------------------------------------------------------------------- --! @file --! @copyright Copyright 2015 GNSS Sensor Ltd. All right reserved. --! @author Sergey Khabarov - [email protected] --! @brief Technology specific dual-port RAM. ------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; library commonlib; use commonlib.types_common.all; library techmap; use techmap.gencomp.all; use techmap.types_mem.all; entity syncram_2p_tech is generic ( tech : integer := 0; abits : integer := 6; dbits : integer := 8; sepclk : integer := 0; wrfst : integer := 0; testen : integer := 0; words : integer := 0; custombits : integer := 1 ); port ( rclk : in std_ulogic; renable : in std_ulogic; raddress : in std_logic_vector((abits -1) downto 0); dataout : out std_logic_vector((dbits -1) downto 0); wclk : in std_ulogic; write : in std_ulogic; waddress : in std_logic_vector((abits -1) downto 0); datain : in std_logic_vector((dbits -1) downto 0) ); end; architecture rtl of syncram_2p_tech is component syncram_2p_inferred is generic ( abits : integer := 8; dbits : integer := 32; sepclk: integer := 0 ); port ( rclk : in std_ulogic; wclk : in std_ulogic; rdaddress: in std_logic_vector (abits -1 downto 0); wraddress: in std_logic_vector (abits -1 downto 0); data: in std_logic_vector (dbits -1 downto 0); wren : in std_ulogic; q: out std_logic_vector (dbits -1 downto 0) ); end component; begin inf : if tech = inferred generate x0 : syncram_2p_inferred generic map (abits, dbits, sepclk) port map (rclk, wclk, raddress, waddress, datain, write, dataout); end generate; xilinx6 : if tech = virtex6 or tech = kintex7 or tech = artix7 generate x0 : syncram_2p_inferred generic map (abits, dbits, sepclk) port map (rclk, wclk, raddress, waddress, datain, write, dataout); end generate; end;
-- LEON2 memory controller constant CFG_MCTRL_LEON2 : integer := CONFIG_MCTRL_LEON2; constant CFG_MCTRL_RAM8BIT : integer := CONFIG_MCTRL_8BIT; constant CFG_MCTRL_RAM16BIT : integer := CONFIG_MCTRL_16BIT; constant CFG_MCTRL_5CS : integer := CONFIG_MCTRL_5CS; constant CFG_MCTRL_SDEN : integer := CONFIG_MCTRL_SDRAM; constant CFG_MCTRL_SEPBUS : integer := CONFIG_MCTRL_SDRAM_SEPBUS; constant CFG_MCTRL_INVCLK : integer := CONFIG_MCTRL_SDRAM_INVCLK; constant CFG_MCTRL_SD64 : integer := CONFIG_MCTRL_SDRAM_BUS64; constant CFG_MCTRL_PAGE : integer := CONFIG_MCTRL_PAGE + CONFIG_MCTRL_PROGPAGE;
-- LEON2 memory controller constant CFG_MCTRL_LEON2 : integer := CONFIG_MCTRL_LEON2; constant CFG_MCTRL_RAM8BIT : integer := CONFIG_MCTRL_8BIT; constant CFG_MCTRL_RAM16BIT : integer := CONFIG_MCTRL_16BIT; constant CFG_MCTRL_5CS : integer := CONFIG_MCTRL_5CS; constant CFG_MCTRL_SDEN : integer := CONFIG_MCTRL_SDRAM; constant CFG_MCTRL_SEPBUS : integer := CONFIG_MCTRL_SDRAM_SEPBUS; constant CFG_MCTRL_INVCLK : integer := CONFIG_MCTRL_SDRAM_INVCLK; constant CFG_MCTRL_SD64 : integer := CONFIG_MCTRL_SDRAM_BUS64; constant CFG_MCTRL_PAGE : integer := CONFIG_MCTRL_PAGE + CONFIG_MCTRL_PROGPAGE;
-- LEON2 memory controller constant CFG_MCTRL_LEON2 : integer := CONFIG_MCTRL_LEON2; constant CFG_MCTRL_RAM8BIT : integer := CONFIG_MCTRL_8BIT; constant CFG_MCTRL_RAM16BIT : integer := CONFIG_MCTRL_16BIT; constant CFG_MCTRL_5CS : integer := CONFIG_MCTRL_5CS; constant CFG_MCTRL_SDEN : integer := CONFIG_MCTRL_SDRAM; constant CFG_MCTRL_SEPBUS : integer := CONFIG_MCTRL_SDRAM_SEPBUS; constant CFG_MCTRL_INVCLK : integer := CONFIG_MCTRL_SDRAM_INVCLK; constant CFG_MCTRL_SD64 : integer := CONFIG_MCTRL_SDRAM_BUS64; constant CFG_MCTRL_PAGE : integer := CONFIG_MCTRL_PAGE + CONFIG_MCTRL_PROGPAGE;
-- LEON2 memory controller constant CFG_MCTRL_LEON2 : integer := CONFIG_MCTRL_LEON2; constant CFG_MCTRL_RAM8BIT : integer := CONFIG_MCTRL_8BIT; constant CFG_MCTRL_RAM16BIT : integer := CONFIG_MCTRL_16BIT; constant CFG_MCTRL_5CS : integer := CONFIG_MCTRL_5CS; constant CFG_MCTRL_SDEN : integer := CONFIG_MCTRL_SDRAM; constant CFG_MCTRL_SEPBUS : integer := CONFIG_MCTRL_SDRAM_SEPBUS; constant CFG_MCTRL_INVCLK : integer := CONFIG_MCTRL_SDRAM_INVCLK; constant CFG_MCTRL_SD64 : integer := CONFIG_MCTRL_SDRAM_BUS64; constant CFG_MCTRL_PAGE : integer := CONFIG_MCTRL_PAGE + CONFIG_MCTRL_PROGPAGE;
-- LEON2 memory controller constant CFG_MCTRL_LEON2 : integer := CONFIG_MCTRL_LEON2; constant CFG_MCTRL_RAM8BIT : integer := CONFIG_MCTRL_8BIT; constant CFG_MCTRL_RAM16BIT : integer := CONFIG_MCTRL_16BIT; constant CFG_MCTRL_5CS : integer := CONFIG_MCTRL_5CS; constant CFG_MCTRL_SDEN : integer := CONFIG_MCTRL_SDRAM; constant CFG_MCTRL_SEPBUS : integer := CONFIG_MCTRL_SDRAM_SEPBUS; constant CFG_MCTRL_INVCLK : integer := CONFIG_MCTRL_SDRAM_INVCLK; constant CFG_MCTRL_SD64 : integer := CONFIG_MCTRL_SDRAM_BUS64; constant CFG_MCTRL_PAGE : integer := CONFIG_MCTRL_PAGE + CONFIG_MCTRL_PROGPAGE;
-- LEON2 memory controller constant CFG_MCTRL_LEON2 : integer := CONFIG_MCTRL_LEON2; constant CFG_MCTRL_RAM8BIT : integer := CONFIG_MCTRL_8BIT; constant CFG_MCTRL_RAM16BIT : integer := CONFIG_MCTRL_16BIT; constant CFG_MCTRL_5CS : integer := CONFIG_MCTRL_5CS; constant CFG_MCTRL_SDEN : integer := CONFIG_MCTRL_SDRAM; constant CFG_MCTRL_SEPBUS : integer := CONFIG_MCTRL_SDRAM_SEPBUS; constant CFG_MCTRL_INVCLK : integer := CONFIG_MCTRL_SDRAM_INVCLK; constant CFG_MCTRL_SD64 : integer := CONFIG_MCTRL_SDRAM_BUS64; constant CFG_MCTRL_PAGE : integer := CONFIG_MCTRL_PAGE + CONFIG_MCTRL_PROGPAGE;
---------------------------------------------------------------------------------- --MIPS Register File Test Bench --By: Kevin Mottler --Camel Clarkson 32 Bit MIPS Design Group ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --use IEEE.NUMERIC_STD.ALL; -- Uncomment the following library declaration if instantiating -- any Xilinx leaf cells in this code. --library UNISIM; --use UNISIM.VComponents.all; entity Decoder is Port ( i_w_Addr : in std_logic_vector(4 downto 0); o_w_Addr : out std_logic_vector(31 downto 0) ); end Decoder; architecture Behavioral of Decoder is begin process(i_w_Addr) begin case i_w_Addr is when "00000" => o_w_Addr <= "00000000000000000000000000000001"; when "00001" => o_w_Addr <= "00000000000000000000000000000010"; when "00010" => o_w_Addr <= "00000000000000000000000000000100"; when "00011" => o_w_Addr <= "00000000000000000000000000001000"; when "00100" => o_w_Addr <= "00000000000000000000000000010000"; when "00101" => o_w_Addr <= "00000000000000000000000000100000"; when "00110" => o_w_Addr <= "00000000000000000000000001000000"; when "00111" => o_w_Addr <= "00000000000000000000000010000000"; when "01000" => o_w_Addr <= "00000000000000000000000100000000"; when "01001" => o_w_Addr <= "00000000000000000000001000000000"; when "01010" => o_w_Addr <= "00000000000000000000010000000000"; when "01011" => o_w_Addr <= "00000000000000000000100000000000"; when "01100" => o_w_Addr <= "00000000000000000001000000000000"; when "01101" => o_w_Addr <= "00000000000000000010000000000000"; when "01110" => o_w_Addr <= "00000000000000000100000000000000"; when "01111" => o_w_Addr <= "00000000000000001000000000000000"; when "10000" => o_w_Addr <= "00000000000000010000000000000000"; when "10001" => o_w_Addr <= "00000000000000100000000000000000"; when "10010" => o_w_Addr <= "00000000000001000000000000000000"; when "10011" => o_w_Addr <= "00000000000010000000000000000000"; when "10100" => o_w_Addr <= "00000000000100000000000000000000"; when "10101" => o_w_Addr <= "00000000001000000000000000000000"; when "10110" => o_w_Addr <= "00000000010000000000000000000000"; when "10111" => o_w_Addr <= "00000000100000000000000000000000"; when "11000" => o_w_Addr <= "00000001000000000000000000000000"; when "11001" => o_w_Addr <= "00000010000000000000000000000000"; when "11010" => o_w_Addr <= "00000100000000000000000000000000"; when "11011" => o_w_Addr <= "00001000000000000000000000000000"; when "11100" => o_w_Addr <= "00010000000000000000000000000000"; when "11101" => o_w_Addr <= "00100000000000000000000000000000"; when "11110" => o_w_Addr <= "01000000000000000000000000000000"; when "11111" => o_w_Addr <= "10000000000000000000000000000000"; when others => o_w_Addr <= "00000000000000000000000000000000"; end case; end process; end Behavioral;
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use work.rec06_pkg.all; entity rec06 is port (inp : std_logic; o : out myrec); end rec06; architecture behav of rec06 is begin o.b <= not inp; o.a.c <= 2 when inp = '1' else 3; o.a.d <= "0000" when inp = '0' else "1000"; end behav;
-- This file is part of the ethernet_mac_test project. -- -- For the full copyright and license information, please read the -- LICENSE.md file that was distributed with this source code. library ieee; use ieee.std_logic_1164.all; library unisim; use unisim.vcomponents.all; entity clock_generator is port( reset_i : in std_ulogic; clock_125_i : in std_ulogic; clock_125_o : out std_ulogic; clock_125_inv_o : out std_ulogic; clock_125_unbuffered_o : out std_ulogic; clock_50_o : out std_ulogic; locked_o : out std_ulogic ); end entity; architecture spartan of clock_generator is signal int_clock_125 : std_ulogic; signal int_clock_125_buffered : std_ulogic; signal int_clock_125_inv : std_ulogic; signal int_clock_50 : std_ulogic; signal clock_feedback : std_ulogic; begin clock_125_unbuffered_o <= int_clock_125; clock_125_o <= int_clock_125_buffered; BUFIO2FB_inst : BUFIO2FB generic map( DIVIDE_BYPASS => TRUE -- Bypass divider (TRUE/FALSE) ) port map( O => clock_feedback, -- 1-bit output: Output feedback clock (connect to feedback input of DCM/PLL) I => int_clock_125_buffered -- 1-bit input: Feedback clock input (connect to input port) ); clock_125_BUFG_inst : BUFG port map( O => int_clock_125_buffered, -- 1-bit output: Clock buffer output I => int_clock_125 -- 1-bit input: Clock buffer input ); clock_125_inv_BUFG_inst : BUFG port map( O => clock_125_inv_o, -- 1-bit output: Clock buffer output I => int_clock_125_inv -- 1-bit input: Clock buffer input ); clock_50_BUFG_inst : BUFG port map( O => clock_50_o, I => int_clock_50 ); -- TODO Remove BUFIO2FB DCM_SP_inst : DCM_SP generic map( CLKDV_DIVIDE => 5.0, -- CLKDV divide value -- (1.5,2,2.5,3,3.5,4,4.5,5,5.5,6,6.5,7,7.5,8,9,10,11,12,13,14,15,16). CLKFX_DIVIDE => 5, -- Divide value on CLKFX outputs - D - (1-32) CLKFX_MULTIPLY => 2, -- Multiply value on CLKFX outputs - M - (2-32) CLKIN_DIVIDE_BY_2 => FALSE, -- CLKIN divide by two (TRUE/FALSE) CLKIN_PERIOD => 8.0, -- Input clock period specified in nS CLKOUT_PHASE_SHIFT => "NONE", -- Output phase shift (NONE, FIXED, VARIABLE) CLK_FEEDBACK => "1X", -- Feedback source (NONE, 1X, 2X) DESKEW_ADJUST => "SYSTEM_SYNCHRONOUS", -- SYSTEM_SYNCHRNOUS or SOURCE_SYNCHRONOUS DFS_FREQUENCY_MODE => "LOW", -- Unsupported - Do not change value DLL_FREQUENCY_MODE => "LOW", -- Unsupported - Do not change value DSS_MODE => "NONE", -- Unsupported - Do not change value DUTY_CYCLE_CORRECTION => TRUE, -- Unsupported - Do not change value FACTORY_JF => X"c080", -- Unsupported - Do not change value PHASE_SHIFT => 0, -- Amount of fixed phase shift (-255 to 255) STARTUP_WAIT => FALSE -- Delay config DONE until DCM_SP LOCKED (TRUE/FALSE) ) port map( CLK0 => int_clock_125, -- 1-bit output: 0 degree clock output CLK180 => int_clock_125_inv, -- 1-bit output: 180 degree clock output CLK270 => open, -- 1-bit output: 270 degree clock output CLK2X => open, -- 1-bit output: 2X clock frequency clock output CLK2X180 => open, -- 1-bit output: 2X clock frequency, 180 degree clock output CLK90 => open, -- 1-bit output: 90 degree clock output CLKDV => open, -- 1-bit output: Divided clock output CLKFX => int_clock_50, -- 1-bit output: Digital Frequency Synthesizer output (DFS) CLKFX180 => open, -- 1-bit output: 180 degree CLKFX output LOCKED => locked_o, -- 1-bit output: DCM_SP Lock Output PSDONE => open, -- 1-bit output: Phase shift done output STATUS => open, -- 8-bit output: DCM_SP status output CLKFB => clock_feedback, -- 1-bit input: Clock feedback input CLKIN => clock_125_i, -- 1-bit input: Clock input DSSEN => '0', -- 1-bit input: Unsupported, specify to GND. PSCLK => '0', -- 1-bit input: Phase shift clock input PSEN => '0', -- 1-bit input: Phase shift enable PSINCDEC => '0', -- 1-bit input: Phase shift increment/decrement input RST => '0' -- 1-bit input: Active high reset input ); end architecture;
LIBRARY IEEE; USE IEEE.std_logic_1164.ALL; USE IEEE.numeric_std.ALL; -- -- .. hwt-autodoc:: -- ENTITY IfStatementPartiallyEnclosed IS PORT( a : OUT STD_LOGIC; b : OUT STD_LOGIC; c : IN STD_LOGIC; clk : IN STD_LOGIC; d : IN STD_LOGIC ); END ENTITY; ARCHITECTURE rtl OF IfStatementPartiallyEnclosed IS SIGNAL a_reg : STD_LOGIC; SIGNAL a_reg_next : STD_LOGIC; SIGNAL b_reg : STD_LOGIC; SIGNAL b_reg_next : STD_LOGIC; BEGIN a <= a_reg; assig_process_a_reg_next: PROCESS(b_reg, c, d) BEGIN IF c = '1' THEN a_reg_next <= '1'; b_reg_next <= '1'; ELSIF d = '1' THEN a_reg_next <= '0'; b_reg_next <= b_reg; ELSE a_reg_next <= '1'; b_reg_next <= '1'; END IF; END PROCESS; b <= b_reg; assig_process_b_reg: PROCESS(clk) BEGIN IF RISING_EDGE(clk) THEN b_reg <= b_reg_next; a_reg <= a_reg_next; END IF; END PROCESS; END ARCHITECTURE;
----------------------------------------------------------------------------- -- LEON3 Demonstration design test bench configuration -- Copyright (C) 2009 Aeroflex Gaisler ------------------------------------------------------------------------------ library techmap; use techmap.gencomp.all; package config is -- Technology and synthesis options constant CFG_FABTECH : integer := virtex2; constant CFG_MEMTECH : integer := virtex2; constant CFG_PADTECH : integer := virtex2; constant CFG_NOASYNC : integer := 0; constant CFG_SCAN : integer := 0; -- Clock generator constant CFG_CLKTECH : integer := virtex2; constant CFG_CLKMUL : integer := (4); constant CFG_CLKDIV : integer := (4); constant CFG_OCLKDIV : integer := 1; constant CFG_OCLKBDIV : integer := 0; constant CFG_OCLKCDIV : integer := 0; constant CFG_PCIDLL : integer := 0; constant CFG_PCISYSCLK: integer := 0; constant CFG_CLK_NOFB : integer := 0; -- LEON3 processor core constant CFG_LEON3 : integer := 1; constant CFG_NCPU : integer := (2); constant CFG_NWIN : integer := (8); constant CFG_V8 : integer := 16#32# + 4*0; constant CFG_MAC : integer := 0; constant CFG_BP : integer := 0; constant CFG_SVT : integer := 1; constant CFG_RSTADDR : integer := 16#00000#; constant CFG_LDDEL : integer := (1); constant CFG_NOTAG : integer := 0; constant CFG_NWP : integer := (4); constant CFG_PWD : integer := 1*2; constant CFG_FPU : integer := 0 + 16*0 + 32*0; constant CFG_GRFPUSH : integer := 0; constant CFG_ICEN : integer := 1; constant CFG_ISETS : integer := 4; constant CFG_ISETSZ : integer := 4; constant CFG_ILINE : integer := 8; constant CFG_IREPL : integer := 0; constant CFG_ILOCK : integer := 0; constant CFG_ILRAMEN : integer := 0; constant CFG_ILRAMADDR: integer := 16#8E#; constant CFG_ILRAMSZ : integer := 1; constant CFG_DCEN : integer := 1; constant CFG_DSETS : integer := 4; constant CFG_DSETSZ : integer := 4; constant CFG_DLINE : integer := 4; constant CFG_DREPL : integer := 0; constant CFG_DLOCK : integer := 0; constant CFG_DSNOOP : integer := 1 + 1 + 4*1; constant CFG_DFIXED : integer := 16#0#; constant CFG_DLRAMEN : integer := 0; constant CFG_DLRAMADDR: integer := 16#8F#; constant CFG_DLRAMSZ : integer := 1; constant CFG_MMUEN : integer := 1; constant CFG_ITLBNUM : integer := 8; constant CFG_DTLBNUM : integer := 8; constant CFG_TLB_TYPE : integer := 0 + 1*2; constant CFG_TLB_REP : integer := 0; constant CFG_MMU_PAGE : integer := 0; constant CFG_DSU : integer := 1; constant CFG_ITBSZ : integer := 2; constant CFG_ATBSZ : integer := 2; constant CFG_LEON3FT_EN : integer := 0; constant CFG_IUFT_EN : integer := 0; constant CFG_FPUFT_EN : integer := 0; constant CFG_RF_ERRINJ : integer := 0; constant CFG_CACHE_FT_EN : integer := 0; constant CFG_CACHE_ERRINJ : integer := 0; constant CFG_LEON3_NETLIST: integer := 0; constant CFG_DISAS : integer := 0 + 0; constant CFG_PCLOW : integer := 2; -- AMBA settings constant CFG_DEFMST : integer := (0); constant CFG_RROBIN : integer := 1; constant CFG_SPLIT : integer := 0; constant CFG_FPNPEN : integer := 0; constant CFG_AHBIO : integer := 16#FFF#; constant CFG_APBADDR : integer := 16#800#; constant CFG_AHB_MON : integer := 0; constant CFG_AHB_MONERR : integer := 0; constant CFG_AHB_MONWAR : integer := 0; constant CFG_AHB_DTRACE : integer := 0; -- DSU UART constant CFG_AHB_UART : integer := 0; -- JTAG based DSU interface constant CFG_AHB_JTAG : integer := 1; -- Ethernet DSU constant CFG_DSU_ETH : integer := 1 + 0 + 0; constant CFG_ETH_BUF : integer := 2; constant CFG_ETH_IPM : integer := 16#C0A8#; constant CFG_ETH_IPL : integer := 16#0033#; constant CFG_ETH_ENM : integer := 16#020000#; constant CFG_ETH_ENL : integer := 16#000004#; -- PROM/SRAM controller constant CFG_SRCTRL : integer := 0; constant CFG_SRCTRL_PROMWS : integer := 0; constant CFG_SRCTRL_RAMWS : integer := 0; constant CFG_SRCTRL_IOWS : integer := 0; constant CFG_SRCTRL_RMW : integer := 0; constant CFG_SRCTRL_8BIT : integer := 0; constant CFG_SRCTRL_SRBANKS : integer := 1; constant CFG_SRCTRL_BANKSZ : integer := 0; constant CFG_SRCTRL_ROMASEL : integer := 0; -- LEON2 memory controller constant CFG_MCTRL_LEON2 : integer := 1; constant CFG_MCTRL_RAM8BIT : integer := 0; constant CFG_MCTRL_RAM16BIT : integer := 0; constant CFG_MCTRL_5CS : integer := 0; constant CFG_MCTRL_SDEN : integer := 1; constant CFG_MCTRL_SEPBUS : integer := 1; constant CFG_MCTRL_INVCLK : integer := 0; constant CFG_MCTRL_SD64 : integer := 1; constant CFG_MCTRL_PAGE : integer := 0 + 0; -- SDRAM controller constant CFG_SDCTRL : integer := 0; constant CFG_SDCTRL_INVCLK : integer := 0; constant CFG_SDCTRL_SD64 : integer := 0; constant CFG_SDCTRL_PAGE : integer := 0 + 0; -- AHB ROM constant CFG_AHBROMEN : integer := 0; constant CFG_AHBROPIP : integer := 0; constant CFG_AHBRODDR : integer := 16#000#; constant CFG_ROMADDR : integer := 16#000#; constant CFG_ROMMASK : integer := 16#E00# + 16#000#; -- AHB RAM constant CFG_AHBRAMEN : integer := 0; constant CFG_AHBRSZ : integer := 1; constant CFG_AHBRADDR : integer := 16#A00#; constant CFG_AHBRPIPE : integer := 0; -- Gaisler Ethernet core constant CFG_GRETH : integer := 1; constant CFG_GRETH1G : integer := 0; constant CFG_ETH_FIFO : integer := 32; -- CAN 2.0 interface constant CFG_CAN : integer := 0; constant CFG_CANIO : integer := 16#0#; constant CFG_CANIRQ : integer := 0; constant CFG_CANLOOP : integer := 0; constant CFG_CAN_SYNCRST : integer := 0; constant CFG_CANFT : integer := 0; -- Spacewire interface constant CFG_SPW_EN : integer := 0; constant CFG_SPW_NUM : integer := 1; constant CFG_SPW_AHBFIFO : integer := 4; constant CFG_SPW_RXFIFO : integer := 16; constant CFG_SPW_RMAP : integer := 0; constant CFG_SPW_RMAPBUF : integer := 4; constant CFG_SPW_RMAPCRC : integer := 0; constant CFG_SPW_NETLIST : integer := 0; constant CFG_SPW_FT : integer := 0; constant CFG_SPW_GRSPW : integer := 2; constant CFG_SPW_RXUNAL : integer := 0; constant CFG_SPW_DMACHAN : integer := 1; constant CFG_SPW_PORTS : integer := 1; constant CFG_SPW_INPUT : integer := 2; constant CFG_SPW_OUTPUT : integer := 0; constant CFG_SPW_RTSAME : integer := 0; -- PCI interface constant CFG_PCI : integer := 0; constant CFG_PCIVID : integer := 16#0#; constant CFG_PCIDID : integer := 16#0#; constant CFG_PCIDEPTH : integer := 8; constant CFG_PCI_MTF : integer := 1; -- PCI arbiter constant CFG_PCI_ARB : integer := 0; constant CFG_PCI_ARBAPB : integer := 0; constant CFG_PCI_ARB_NGNT : integer := 4; -- PCI trace buffer constant CFG_PCITBUFEN: integer := 0; constant CFG_PCITBUF : integer := 256; -- UART 1 constant CFG_UART1_ENABLE : integer := 1; constant CFG_UART1_FIFO : integer := 4; -- UART 2 constant CFG_UART2_ENABLE : integer := 0; constant CFG_UART2_FIFO : integer := 1; -- LEON3 interrupt controller constant CFG_IRQ3_ENABLE : integer := 1; constant CFG_IRQ3_NSEC : integer := 0; -- Modular timer constant CFG_GPT_ENABLE : integer := 1; constant CFG_GPT_NTIM : integer := (2); constant CFG_GPT_SW : integer := (8); constant CFG_GPT_TW : integer := (32); constant CFG_GPT_IRQ : integer := (8); constant CFG_GPT_SEPIRQ : integer := 1; constant CFG_GPT_WDOGEN : integer := 0; constant CFG_GPT_WDOG : integer := 16#0#; -- GPIO port constant CFG_GRGPIO_ENABLE : integer := 1; constant CFG_GRGPIO_IMASK : integer := 16#0000#; constant CFG_GRGPIO_WIDTH : integer := (8); -- GRLIB debugging constant CFG_DUART : integer := 0; constant CFG_SDEN : integer := CFG_MCTRL_SDEN + CFG_SDCTRL; constant CFG_INVCLK : integer := CFG_MCTRL_INVCLK + CFG_SDCTRL_INVCLK; constant CFG_SEPBUS : integer := CFG_MCTRL_SEPBUS + CFG_SDCTRL; constant CFG_SD64 : integer := CFG_MCTRL_SD64 + CFG_SDCTRL_SD64; end;
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity FSqr is port ( clk : in std_logic; flt_in : in std_logic_vector(31 downto 0); flt_out : out std_logic_vector(31 downto 0)); end FSqr; architecture twoproc_pipeline of FSqr is component FSqrTable is port ( clk : in std_logic; k : in std_logic_vector(9 downto 0); v : out std_logic_vector(35 downto 0) := (others => '0')); end component; signal k : std_logic_vector(9 downto 0) := (others => '0'); signal v : std_logic_vector(35 downto 0); signal rest : unsigned(13 downto 0) := (others => '0'); signal sgn_in, sgn_in_p : std_logic := '0'; signal exp_in, exp_in_p : unsigned(7 downto 0) := (others => '0'); signal a0, a0_p : unsigned(22 downto 0) := (others => '0'); signal t1, t1_p : unsigned(22 downto 0) := (others => '0'); begin conbinatorial1 : process(flt_in) begin k <= flt_in(23 downto 14); end process; table_map : FSqrTable port map (clk => clk, k => k, v => v); sequential2 : process(clk) begin if rising_edge(clk) then sgn_in <= flt_in(31); exp_in <= unsigned(flt_in(30 downto 23)); rest <= unsigned(flt_in(13 downto 0)); end if; end process; conbinatorial2 : process(v, rest) variable a1 : unsigned(12 downto 0); variable tmp : unsigned(26 downto 0); begin a0 <= unsigned(v(35 downto 13)); a1 := unsigned(v(12 downto 0)); tmp := a1 * rest; t1 <= "000000000" & tmp(26 downto 13); end process; sequential3 : process(clk) begin if rising_edge(clk) then sgn_in_p <= sgn_in; exp_in_p <= exp_in; a0_p <= a0; t1_p <= t1; end if; end process; conbinatorial3 : process(sgn_in_p, exp_in_p, a0_p, t1_p) variable exp_out : unsigned(7 downto 0); variable frc_out : unsigned(22 downto 0); begin if exp_in_p = x"00" then exp_out := x"00"; frc_out := (others => '0'); elsif sgn_in_p = '1' then exp_out := x"FF"; frc_out := x"00000" & "00" & '1'; else exp_out := x"3F" + ("0" & exp_in_p(7 downto 1)) + unsigned'(0 => exp_in_p(0)); frc_out := a0_p + t1_p; end if; flt_out <= std_logic_vector(sgn_in_p & exp_out & frc_out); end process; end twoproc_pipeline;
-- ************************************************************************* -- -- (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: axi_sg_mm2s_basic_wrap.vhd -- -- Description: -- This file implements the DataMover MM2S Basic Wrapper. -- -- -- -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; -- axi_sg Library Modules library axi_sg_v4_1_2; use axi_sg_v4_1_2.axi_sg_reset; use axi_sg_v4_1_2.axi_sg_cmd_status; use axi_sg_v4_1_2.axi_sg_scc; use axi_sg_v4_1_2.axi_sg_addr_cntl; use axi_sg_v4_1_2.axi_sg_rddata_cntl; use axi_sg_v4_1_2.axi_sg_rd_status_cntl; use axi_sg_v4_1_2.axi_sg_skid_buf; ------------------------------------------------------------------------------- entity axi_sg_mm2s_basic_wrap is generic ( C_INCLUDE_MM2S : Integer range 0 to 2 := 2; -- Specifies the type of MM2S function to include -- 0 = Omit MM2S functionality -- 1 = Full MM2S Functionality -- 2 = Basic MM2S functionality C_MM2S_ARID : Integer range 0 to 255 := 8; -- Specifies the constant value to output on -- the ARID output port C_MM2S_ID_WIDTH : Integer range 1 to 8 := 4; -- Specifies the width of the MM2S ID port C_MM2S_ADDR_WIDTH : Integer range 32 to 64 := 32; -- Specifies the width of the MMap Read Address Channel -- Address bus C_MM2S_MDATA_WIDTH : Integer range 32 to 64 := 32; -- Specifies the width of the MMap Read Data Channel -- data bus C_MM2S_SDATA_WIDTH : Integer range 8 to 64 := 32; -- Specifies the width of the MM2S Master Stream Data -- Channel data bus C_INCLUDE_MM2S_STSFIFO : Integer range 0 to 1 := 1; -- Specifies if a Status FIFO is to be implemented -- 0 = Omit MM2S Status FIFO -- 1 = Include MM2S Status FIFO C_MM2S_STSCMD_FIFO_DEPTH : Integer range 1 to 16 := 1; -- Specifies the depth of the MM2S Command FIFO and the -- optional Status FIFO -- Valid values are 1,4,8,16 C_MM2S_STSCMD_IS_ASYNC : Integer range 0 to 1 := 0; -- Specifies if the Status and Command interfaces need to -- be asynchronous to the primary data path clocking -- 0 = Use same clocking as data path -- 1 = Use special Status/Command clock for the interfaces C_INCLUDE_MM2S_DRE : Integer range 0 to 1 := 0; -- Specifies if DRE is to be included in the MM2S function -- 0 = Omit DRE -- 1 = Include DRE C_MM2S_BURST_SIZE : Integer range 16 to 64 := 16; -- Specifies the max number of databeats to use for MMap -- burst transfers by the MM2S function C_MM2S_BTT_USED : Integer range 8 to 23 := 16; -- Specifies the number of bits used from the BTT field -- of the input Command Word of the MM2S Command Interface C_MM2S_ADDR_PIPE_DEPTH : Integer range 1 to 30 := 1; -- This parameter specifies the depth of the MM2S internal -- child command queues in the Read Address Controller and -- the Read Data Controller. Increasing this value will -- allow more Read Addresses to be issued to the AXI4 Read -- Address Channel before receipt of the associated read -- data on the Read Data Channel. C_ENABLE_MULTI_CHANNEL : Integer range 0 to 1 := 1; C_ENABLE_EXTRA_FIELD : integer range 0 to 1 := 0; C_TAG_WIDTH : Integer range 1 to 8 := 4 ; -- Width of the TAG field C_FAMILY : String := "virtex7" -- Specifies the target FPGA family type ); port ( -- MM2S Primary Clock and Reset inputs ----------------------- mm2s_aclk : in std_logic; -- -- Primary synchronization clock for the Master side -- -- interface and internal logic. It is also used -- -- for the User interface synchronization when -- -- C_STSCMD_IS_ASYNC = 0. -- -- -- MM2S Primary Reset input -- mm2s_aresetn : in std_logic; -- -- Reset used for the internal master logic -- -------------------------------------------------------------- sg_ctl : in std_logic_vector (7 downto 0); -- MM2S Halt request input control --------------------------- mm2s_halt : in std_logic; -- -- Active high soft shutdown request -- -- -- MM2S Halt Complete status flag -- mm2s_halt_cmplt : Out std_logic; -- -- Active high soft shutdown complete status -- -------------------------------------------------------------- -- Error discrete output ------------------------------------- mm2s_err : Out std_logic; -- -- Composite Error indication -- -------------------------------------------------------------- -- Optional MM2S Command and Status Clock and Reset ---------- -- These are used when C_MM2S_STSCMD_IS_ASYNC = 1 -- mm2s_cmdsts_awclk : in std_logic; -- -- Secondary Clock input for async CMD/Status interface -- -- mm2s_cmdsts_aresetn : in std_logic; -- -- Secondary Reset input for async CMD/Status interface -- -------------------------------------------------------------- -- User Command Interface Ports (AXI Stream) ------------------------------------------------- mm2s_cmd_wvalid : in std_logic; -- mm2s_cmd_wready : out std_logic; -- mm2s_cmd_wdata : in std_logic_vector((C_TAG_WIDTH+(1+C_ENABLE_MULTI_CHANNEL)*C_MM2S_ADDR_WIDTH+36)-1 downto 0); -- ---------------------------------------------------------------------------------------------- -- User Status Interface Ports (AXI Stream) ----------------- mm2s_sts_wvalid : out std_logic; -- mm2s_sts_wready : in std_logic; -- mm2s_sts_wdata : out std_logic_vector(7 downto 0); -- mm2s_sts_wstrb : out std_logic_vector(0 downto 0); -- mm2s_sts_wlast : out std_logic; -- ------------------------------------------------------------- -- Address Posting contols ---------------------------------- mm2s_allow_addr_req : in std_logic; -- mm2s_addr_req_posted : out std_logic; -- mm2s_rd_xfer_cmplt : out std_logic; -- ------------------------------------------------------------- -- MM2S AXI Address Channel I/O -------------------------------------- mm2s_arid : out std_logic_vector(C_MM2S_ID_WIDTH-1 downto 0); -- -- AXI Address Channel ID output -- -- mm2s_araddr : out std_logic_vector(C_MM2S_ADDR_WIDTH-1 downto 0); -- -- AXI Address Channel Address output -- -- mm2s_arlen : out std_logic_vector(7 downto 0); -- -- AXI Address Channel LEN output -- -- Sized to support 256 data beat bursts -- -- mm2s_arsize : out std_logic_vector(2 downto 0); -- -- AXI Address Channel SIZE output -- -- mm2s_arburst : out std_logic_vector(1 downto 0); -- -- AXI Address Channel BURST output -- -- mm2s_arprot : out std_logic_vector(2 downto 0); -- -- AXI Address Channel PROT output -- -- mm2s_arcache : out std_logic_vector(3 downto 0); -- -- AXI Address Channel CACHE output -- mm2s_aruser : out std_logic_vector(3 downto 0); -- -- AXI Address Channel USER output -- -- mm2s_arvalid : out std_logic; -- -- AXI Address Channel VALID output -- -- mm2s_arready : in std_logic; -- -- AXI Address Channel READY input -- ----------------------------------------------------------------------- -- Currently unsupported AXI Address Channel output signals ------- -- addr2axi_alock : out std_logic_vector(2 downto 0); -- -- addr2axi_acache : out std_logic_vector(4 downto 0); -- -- addr2axi_aqos : out std_logic_vector(3 downto 0); -- -- addr2axi_aregion : out std_logic_vector(3 downto 0); -- ------------------------------------------------------------------- -- MM2S AXI MMap Read Data Channel I/O ------------------------------------------ mm2s_rdata : In std_logic_vector(C_MM2S_MDATA_WIDTH-1 downto 0); -- mm2s_rresp : In std_logic_vector(1 downto 0); -- mm2s_rlast : In std_logic; -- mm2s_rvalid : In std_logic; -- mm2s_rready : Out std_logic; -- ---------------------------------------------------------------------------------- -- MM2S AXI Master Stream Channel I/O ----------------------------------------------- mm2s_strm_wdata : Out std_logic_vector(C_MM2S_SDATA_WIDTH-1 downto 0); -- mm2s_strm_wstrb : Out std_logic_vector((C_MM2S_SDATA_WIDTH/8)-1 downto 0); -- mm2s_strm_wlast : Out std_logic; -- mm2s_strm_wvalid : Out std_logic; -- mm2s_strm_wready : In std_logic; -- -------------------------------------------------------------------------------------- -- Testing Support I/O -------------------------------------------- mm2s_dbg_sel : in std_logic_vector( 3 downto 0); -- mm2s_dbg_data : out std_logic_vector(31 downto 0) -- ------------------------------------------------------------------- ); end entity axi_sg_mm2s_basic_wrap; architecture implementation of axi_sg_mm2s_basic_wrap is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; -- Function Declarations ---------------------------------------- ------------------------------------------------------------------- -- Function -- -- Function Name: func_calc_rdmux_sel_bits -- -- Function Description: -- This function calculates the number of address bits needed for -- the Read data mux select control. -- ------------------------------------------------------------------- function func_calc_rdmux_sel_bits (mmap_dwidth_value : integer) return integer is Variable num_addr_bits_needed : Integer range 1 to 5 := 1; begin case mmap_dwidth_value is when 32 => num_addr_bits_needed := 2; -- coverage off when 64 => num_addr_bits_needed := 3; when 128 => num_addr_bits_needed := 4; when others => -- 256 bits num_addr_bits_needed := 5; -- coverage on end case; Return (num_addr_bits_needed); end function func_calc_rdmux_sel_bits; -- Constant Declarations ---------------------------------------- Constant LOGIC_LOW : std_logic := '0'; Constant LOGIC_HIGH : std_logic := '1'; Constant INCLUDE_MM2S : integer range 0 to 2 := 2; Constant MM2S_ARID_VALUE : integer range 0 to 255 := C_MM2S_ARID; Constant MM2S_ARID_WIDTH : integer range 1 to 8 := C_MM2S_ID_WIDTH; Constant MM2S_ADDR_WIDTH : integer range 32 to 64 := C_MM2S_ADDR_WIDTH; Constant MM2S_MDATA_WIDTH : integer range 32 to 256 := C_MM2S_MDATA_WIDTH; Constant MM2S_SDATA_WIDTH : integer range 8 to 256 := C_MM2S_SDATA_WIDTH; Constant MM2S_CMD_WIDTH : integer := (C_TAG_WIDTH+C_MM2S_ADDR_WIDTH+32); Constant MM2S_STS_WIDTH : integer := 8; -- always 8 for MM2S Constant INCLUDE_MM2S_STSFIFO : integer range 0 to 1 := 1; Constant MM2S_STSCMD_FIFO_DEPTH : integer range 1 to 64 := 1; Constant MM2S_STSCMD_IS_ASYNC : integer range 0 to 1 := 0; Constant INCLUDE_MM2S_DRE : integer range 0 to 1 := 0; Constant DRE_ALIGN_WIDTH : integer range 1 to 3 := 2; Constant MM2S_BURST_SIZE : integer range 16 to 256 := 16; Constant RD_ADDR_CNTL_FIFO_DEPTH : integer range 1 to 30 := C_MM2S_ADDR_PIPE_DEPTH; Constant RD_DATA_CNTL_FIFO_DEPTH : integer range 1 to 30 := C_MM2S_ADDR_PIPE_DEPTH; Constant SEL_ADDR_WIDTH : integer := func_calc_rdmux_sel_bits(MM2S_MDATA_WIDTH); Constant DRE_ALIGN_ZEROS : std_logic_vector(DRE_ALIGN_WIDTH-1 downto 0) := (others => '0'); -- obsoleted Constant DISABLE_WAIT_FOR_DATA : integer := 0; -- Signal Declarations ------------------------------------------ signal sig_cmd_stat_rst_user : std_logic := '0'; signal sig_cmd_stat_rst_int : std_logic := '0'; signal sig_mmap_rst : std_logic := '0'; signal sig_stream_rst : std_logic := '0'; signal sig_mm2s_cmd_wdata : std_logic_vector(MM2S_CMD_WIDTH-1 downto 0); signal sig_mm2s_cache_data : std_logic_vector(7 downto 0); signal sig_cmd2mstr_command : std_logic_vector(MM2S_CMD_WIDTH-1 downto 0) := (others => '0'); signal sig_cmd2mstr_cmd_valid : std_logic := '0'; signal sig_mst2cmd_cmd_ready : std_logic := '0'; signal sig_mstr2addr_addr : std_logic_vector(MM2S_ADDR_WIDTH-1 downto 0) := (others => '0'); signal sig_mstr2addr_len : std_logic_vector(7 downto 0) := (others => '0'); signal sig_mstr2addr_size : std_logic_vector(2 downto 0) := (others => '0'); signal sig_mstr2addr_burst : std_logic_vector(1 downto 0) := (others => '0'); signal sig_mstr2addr_cache : std_logic_vector(3 downto 0) := (others => '0'); signal sig_mstr2addr_user : std_logic_vector(3 downto 0) := (others => '0'); signal sig_mstr2addr_cmd_cmplt : std_logic := '0'; signal sig_mstr2addr_calc_error : std_logic := '0'; signal sig_mstr2addr_cmd_valid : std_logic := '0'; signal sig_addr2mstr_cmd_ready : std_logic := '0'; signal sig_mstr2data_saddr_lsb : std_logic_vector(SEL_ADDR_WIDTH-1 downto 0) := (others => '0'); signal sig_mstr2data_len : std_logic_vector(7 downto 0) := (others => '0'); signal sig_mstr2data_strt_strb : std_logic_vector((MM2S_SDATA_WIDTH/8)-1 downto 0) := (others => '0'); signal sig_mstr2data_last_strb : std_logic_vector((MM2S_SDATA_WIDTH/8)-1 downto 0) := (others => '0'); signal sig_mstr2data_drr : std_logic := '0'; signal sig_mstr2data_eof : std_logic := '0'; signal sig_mstr2data_sequential : std_logic := '0'; signal sig_mstr2data_calc_error : std_logic := '0'; signal sig_mstr2data_cmd_cmplt : std_logic := '0'; signal sig_mstr2data_cmd_valid : std_logic := '0'; signal sig_data2mstr_cmd_ready : std_logic := '0'; signal sig_addr2data_addr_posted : std_logic := '0'; signal sig_data2all_dcntlr_halted : std_logic := '0'; signal sig_addr2rsc_calc_error : std_logic := '0'; signal sig_addr2rsc_cmd_fifo_empty : std_logic := '0'; signal sig_data2rsc_tag : std_logic_vector(C_TAG_WIDTH-1 downto 0) := (others => '0'); signal sig_data2rsc_calc_err : std_logic := '0'; signal sig_data2rsc_okay : std_logic := '0'; signal sig_data2rsc_decerr : std_logic := '0'; signal sig_data2rsc_slverr : std_logic := '0'; signal sig_data2rsc_cmd_cmplt : std_logic := '0'; signal sig_rsc2data_ready : std_logic := '0'; signal sig_data2rsc_valid : std_logic := '0'; signal sig_calc2dm_calc_err : std_logic := '0'; signal sig_data2skid_wvalid : std_logic := '0'; signal sig_data2skid_wready : std_logic := '0'; signal sig_data2skid_wdata : std_logic_vector(MM2S_SDATA_WIDTH-1 downto 0) := (others => '0'); signal sig_data2skid_wstrb : std_logic_vector((MM2S_SDATA_WIDTH/8)-1 downto 0) := (others => '0'); signal sig_data2skid_wlast : std_logic := '0'; signal sig_rsc2stat_status : std_logic_vector(MM2S_STS_WIDTH-1 downto 0) := (others => '0'); signal sig_stat2rsc_status_ready : std_logic := '0'; signal sig_rsc2stat_status_valid : std_logic := '0'; signal sig_rsc2mstr_halt_pipe : std_logic := '0'; signal sig_mstr2data_tag : std_logic_vector(C_TAG_WIDTH-1 downto 0) := (others => '0'); signal sig_mstr2addr_tag : std_logic_vector(C_TAG_WIDTH-1 downto 0) := (others => '0'); signal sig_dbg_data_mux_out : std_logic_vector(31 downto 0) := (others => '0'); signal sig_dbg_data_0 : std_logic_vector(31 downto 0) := (others => '0'); signal sig_dbg_data_1 : std_logic_vector(31 downto 0) := (others => '0'); signal sig_rst2all_stop_request : std_logic := '0'; signal sig_data2rst_stop_cmplt : std_logic := '0'; signal sig_addr2rst_stop_cmplt : std_logic := '0'; signal sig_data2addr_stop_req : std_logic := '0'; signal sig_data2skid_halt : std_logic := '0'; signal sig_cache2mstr_command : std_logic_vector (7 downto 0) := (others => '0'); signal mm2s_arcache_int : std_logic_vector (3 downto 0); begin --(architecture implementation) -- Debug Support ------------------------------------------ mm2s_dbg_data <= sig_dbg_data_mux_out; -- Note that only the mm2s_dbg_sel(0) is used at this time sig_dbg_data_mux_out <= sig_dbg_data_1 When (mm2s_dbg_sel(0) = '1') else sig_dbg_data_0 ; sig_dbg_data_0 <= X"BEEF2222" ; -- 32 bit Constant indicating MM2S Basic type sig_dbg_data_1(0) <= sig_cmd_stat_rst_user ; sig_dbg_data_1(1) <= sig_cmd_stat_rst_int ; sig_dbg_data_1(2) <= sig_mmap_rst ; sig_dbg_data_1(3) <= sig_stream_rst ; sig_dbg_data_1(4) <= sig_cmd2mstr_cmd_valid ; sig_dbg_data_1(5) <= sig_mst2cmd_cmd_ready ; sig_dbg_data_1(6) <= sig_stat2rsc_status_ready; sig_dbg_data_1(7) <= sig_rsc2stat_status_valid; sig_dbg_data_1(11 downto 8) <= sig_data2rsc_tag ; -- Current TAG of active data transfer sig_dbg_data_1(15 downto 12) <= sig_rsc2stat_status(3 downto 0); -- Internal status tag field sig_dbg_data_1(16) <= sig_rsc2stat_status(4) ; -- Internal error sig_dbg_data_1(17) <= sig_rsc2stat_status(5) ; -- Decode Error sig_dbg_data_1(18) <= sig_rsc2stat_status(6) ; -- Slave Error sig_dbg_data_1(19) <= sig_rsc2stat_status(7) ; -- OKAY sig_dbg_data_1(20) <= sig_stat2rsc_status_ready ; -- Status Ready Handshake sig_dbg_data_1(21) <= sig_rsc2stat_status_valid ; -- Status Valid Handshake -- Spare bits in debug1 sig_dbg_data_1(31 downto 22) <= (others => '0') ; -- spare bits GEN_CACHE : if (C_ENABLE_MULTI_CHANNEL = 0) generate begin -- Cache signal tie-off mm2s_arcache <= "0011"; -- Per Interface-X guidelines for Masters mm2s_aruser <= "0000"; -- Per Interface-X guidelines for Masters sig_mm2s_cache_data <= (others => '0'); --mm2s_cmd_wdata(103 downto 96); end generate GEN_CACHE; GEN_CACHE2 : if (C_ENABLE_MULTI_CHANNEL = 1) generate begin -- Cache signal tie-off mm2s_arcache <= sg_ctl (3 downto 0); -- SG Cache from register mm2s_aruser <= sg_ctl (7 downto 4); -- Per Interface-X guidelines for Masters sig_mm2s_cache_data <= mm2s_cmd_wdata(103 downto 96); end generate GEN_CACHE2; -- Cache signal tie-off -- Internal error output discrete ------------------------------ mm2s_err <= sig_calc2dm_calc_err; -- Rip the used portion of the Command Interface Command Data -- and throw away the padding sig_mm2s_cmd_wdata <= mm2s_cmd_wdata(MM2S_CMD_WIDTH-1 downto 0); ------------------------------------------------------------ -- Instance: I_RESET -- -- Description: -- Reset Block -- ------------------------------------------------------------ I_RESET : entity axi_sg_v4_1_2.axi_sg_reset generic map ( C_STSCMD_IS_ASYNC => MM2S_STSCMD_IS_ASYNC ) port map ( primary_aclk => mm2s_aclk , primary_aresetn => mm2s_aresetn , secondary_awclk => mm2s_cmdsts_awclk , secondary_aresetn => mm2s_cmdsts_aresetn , halt_req => mm2s_halt , halt_cmplt => mm2s_halt_cmplt , flush_stop_request => sig_rst2all_stop_request , data_cntlr_stopped => sig_data2rst_stop_cmplt , addr_cntlr_stopped => sig_addr2rst_stop_cmplt , aux1_stopped => LOGIC_HIGH , aux2_stopped => LOGIC_HIGH , cmd_stat_rst_user => sig_cmd_stat_rst_user , cmd_stat_rst_int => sig_cmd_stat_rst_int , mmap_rst => sig_mmap_rst , stream_rst => sig_stream_rst ); ------------------------------------------------------------ -- Instance: I_CMD_STATUS -- -- Description: -- Command and Status Interface Block -- ------------------------------------------------------------ I_CMD_STATUS : entity axi_sg_v4_1_2.axi_sg_cmd_status generic map ( C_ADDR_WIDTH => MM2S_ADDR_WIDTH , C_INCLUDE_STSFIFO => INCLUDE_MM2S_STSFIFO , C_STSCMD_FIFO_DEPTH => MM2S_STSCMD_FIFO_DEPTH , C_STSCMD_IS_ASYNC => MM2S_STSCMD_IS_ASYNC , C_CMD_WIDTH => MM2S_CMD_WIDTH , C_STS_WIDTH => MM2S_STS_WIDTH , C_FAMILY => C_FAMILY ) port map ( primary_aclk => mm2s_aclk , secondary_awclk => mm2s_cmdsts_awclk , user_reset => sig_cmd_stat_rst_user , internal_reset => sig_cmd_stat_rst_int , cmd_wvalid => mm2s_cmd_wvalid , cmd_wready => mm2s_cmd_wready , cmd_wdata => sig_mm2s_cmd_wdata , cache_data => sig_mm2s_cache_data , sts_wvalid => mm2s_sts_wvalid , sts_wready => mm2s_sts_wready , sts_wdata => mm2s_sts_wdata , sts_wstrb => mm2s_sts_wstrb , sts_wlast => mm2s_sts_wlast , cmd2mstr_command => sig_cmd2mstr_command , mst2cmd_cmd_valid => sig_cmd2mstr_cmd_valid , cmd2mstr_cmd_ready => sig_mst2cmd_cmd_ready , mstr2stat_status => sig_rsc2stat_status , stat2mstr_status_ready => sig_stat2rsc_status_ready , mst2stst_status_valid => sig_rsc2stat_status_valid ); ------------------------------------------------------------ -- Instance: I_RD_STATUS_CNTLR -- -- Description: -- Read Status Controller Block -- ------------------------------------------------------------ I_RD_STATUS_CNTLR : entity axi_sg_v4_1_2.axi_sg_rd_status_cntl generic map ( C_STS_WIDTH => MM2S_STS_WIDTH , C_TAG_WIDTH => C_TAG_WIDTH ) port map ( primary_aclk => mm2s_aclk , mmap_reset => sig_mmap_rst , calc2rsc_calc_error => sig_calc2dm_calc_err , addr2rsc_calc_error => sig_addr2rsc_calc_error , addr2rsc_fifo_empty => sig_addr2rsc_cmd_fifo_empty , data2rsc_tag => sig_data2rsc_tag , data2rsc_calc_error => sig_data2rsc_calc_err , data2rsc_okay => sig_data2rsc_okay , data2rsc_decerr => sig_data2rsc_decerr , data2rsc_slverr => sig_data2rsc_slverr , data2rsc_cmd_cmplt => sig_data2rsc_cmd_cmplt , rsc2data_ready => sig_rsc2data_ready , data2rsc_valid => sig_data2rsc_valid , rsc2stat_status => sig_rsc2stat_status , stat2rsc_status_ready => sig_stat2rsc_status_ready , rsc2stat_status_valid => sig_rsc2stat_status_valid , rsc2mstr_halt_pipe => sig_rsc2mstr_halt_pipe ); ------------------------------------------------------------ -- Instance: I_MSTR_SCC -- -- Description: -- Simple Command Calculator Block -- ------------------------------------------------------------ I_MSTR_SCC : entity axi_sg_v4_1_2.axi_sg_scc generic map ( C_SEL_ADDR_WIDTH => SEL_ADDR_WIDTH , C_ADDR_WIDTH => MM2S_ADDR_WIDTH , C_STREAM_DWIDTH => MM2S_SDATA_WIDTH , C_MAX_BURST_LEN => C_MM2S_BURST_SIZE , C_CMD_WIDTH => MM2S_CMD_WIDTH , C_ENABLE_EXTRA_FIELD => C_ENABLE_EXTRA_FIELD , C_TAG_WIDTH => C_TAG_WIDTH ) port map ( -- Clock input primary_aclk => mm2s_aclk , mmap_reset => sig_mmap_rst , cmd2mstr_command => sig_cmd2mstr_command , cache2mstr_command => sig_cache2mstr_command , cmd2mstr_cmd_valid => sig_cmd2mstr_cmd_valid , mst2cmd_cmd_ready => sig_mst2cmd_cmd_ready , mstr2addr_tag => sig_mstr2addr_tag , mstr2addr_addr => sig_mstr2addr_addr , mstr2addr_len => sig_mstr2addr_len , mstr2addr_size => sig_mstr2addr_size , mstr2addr_burst => sig_mstr2addr_burst , mstr2addr_calc_error => sig_mstr2addr_calc_error , mstr2addr_cmd_cmplt => sig_mstr2addr_cmd_cmplt , mstr2addr_cmd_valid => sig_mstr2addr_cmd_valid , addr2mstr_cmd_ready => sig_addr2mstr_cmd_ready , mstr2data_tag => sig_mstr2data_tag , mstr2data_saddr_lsb => sig_mstr2data_saddr_lsb , mstr2data_len => sig_mstr2data_len , mstr2data_strt_strb => sig_mstr2data_strt_strb , mstr2data_last_strb => sig_mstr2data_last_strb , mstr2data_sof => sig_mstr2data_drr , mstr2data_eof => sig_mstr2data_eof , mstr2data_calc_error => sig_mstr2data_calc_error , mstr2data_cmd_cmplt => sig_mstr2data_cmd_cmplt , mstr2data_cmd_valid => sig_mstr2data_cmd_valid , data2mstr_cmd_ready => sig_data2mstr_cmd_ready , calc_error => sig_calc2dm_calc_err ); ------------------------------------------------------------ -- Instance: I_ADDR_CNTL -- -- Description: -- Address Controller Block -- ------------------------------------------------------------ I_ADDR_CNTL : entity axi_sg_v4_1_2.axi_sg_addr_cntl generic map ( -- obsoleted C_ENABlE_WAIT_FOR_DATA => DISABLE_WAIT_FOR_DATA , --C_ADDR_FIFO_DEPTH => MM2S_STSCMD_FIFO_DEPTH , C_ADDR_FIFO_DEPTH => RD_ADDR_CNTL_FIFO_DEPTH , C_ADDR_WIDTH => MM2S_ADDR_WIDTH , C_ADDR_ID => MM2S_ARID_VALUE , C_ADDR_ID_WIDTH => MM2S_ARID_WIDTH , C_TAG_WIDTH => C_TAG_WIDTH ) port map ( primary_aclk => mm2s_aclk , mmap_reset => sig_mmap_rst , addr2axi_aid => mm2s_arid , addr2axi_aaddr => mm2s_araddr , addr2axi_alen => mm2s_arlen , addr2axi_asize => mm2s_arsize , addr2axi_aburst => mm2s_arburst , addr2axi_aprot => mm2s_arprot , addr2axi_avalid => mm2s_arvalid , addr2axi_acache => open , addr2axi_auser => open , axi2addr_aready => mm2s_arready , mstr2addr_tag => sig_mstr2addr_tag , mstr2addr_addr => sig_mstr2addr_addr , mstr2addr_len => sig_mstr2addr_len , mstr2addr_size => sig_mstr2addr_size , mstr2addr_burst => sig_mstr2addr_burst , mstr2addr_cache => sig_mstr2addr_cache , mstr2addr_user => sig_mstr2addr_user , mstr2addr_cmd_cmplt => sig_mstr2addr_cmd_cmplt , mstr2addr_calc_error => sig_mstr2addr_calc_error , mstr2addr_cmd_valid => sig_mstr2addr_cmd_valid , addr2mstr_cmd_ready => sig_addr2mstr_cmd_ready , addr2rst_stop_cmplt => sig_addr2rst_stop_cmplt , allow_addr_req => mm2s_allow_addr_req , addr_req_posted => mm2s_addr_req_posted , addr2data_addr_posted => sig_addr2data_addr_posted , data2addr_data_rdy => LOGIC_LOW , data2addr_stop_req => sig_data2addr_stop_req , addr2stat_calc_error => sig_addr2rsc_calc_error , addr2stat_cmd_fifo_empty => sig_addr2rsc_cmd_fifo_empty ); ------------------------------------------------------------ -- Instance: I_RD_DATA_CNTL -- -- Description: -- Read Data Controller Block -- ------------------------------------------------------------ I_RD_DATA_CNTL : entity axi_sg_v4_1_2.axi_sg_rddata_cntl generic map ( C_INCLUDE_DRE => INCLUDE_MM2S_DRE , C_ALIGN_WIDTH => DRE_ALIGN_WIDTH , C_SEL_ADDR_WIDTH => SEL_ADDR_WIDTH , C_DATA_CNTL_FIFO_DEPTH => RD_DATA_CNTL_FIFO_DEPTH , C_MMAP_DWIDTH => MM2S_MDATA_WIDTH , C_STREAM_DWIDTH => MM2S_SDATA_WIDTH , C_TAG_WIDTH => C_TAG_WIDTH , C_FAMILY => C_FAMILY ) port map ( -- Clock and Reset ----------------------------------- primary_aclk => mm2s_aclk , mmap_reset => sig_mmap_rst , -- Soft Shutdown Interface ----------------------------- rst2data_stop_request => sig_rst2all_stop_request , data2addr_stop_req => sig_data2addr_stop_req , data2rst_stop_cmplt => sig_data2rst_stop_cmplt , -- External Address Pipelining Contol support mm2s_rd_xfer_cmplt => mm2s_rd_xfer_cmplt , -- AXI Read Data Channel I/O ------------------------------- mm2s_rdata => mm2s_rdata , mm2s_rresp => mm2s_rresp , mm2s_rlast => mm2s_rlast , mm2s_rvalid => mm2s_rvalid , mm2s_rready => mm2s_rready , -- MM2S DRE Control ----------------------------------- mm2s_dre_new_align => open , mm2s_dre_use_autodest => open , mm2s_dre_src_align => open , mm2s_dre_dest_align => open , mm2s_dre_flush => open , -- AXI Master Stream ----------------------------------- mm2s_strm_wvalid => mm2s_strm_wvalid , mm2s_strm_wready => mm2s_strm_wready , mm2s_strm_wdata => mm2s_strm_wdata , mm2s_strm_wstrb => mm2s_strm_wstrb , mm2s_strm_wlast => mm2s_strm_wlast , -- MM2S Store and Forward Supplimental Control ----------- mm2s_data2sf_cmd_cmplt => open , -- Command Calculator Interface -------------------------- mstr2data_tag => sig_mstr2data_tag , mstr2data_saddr_lsb => sig_mstr2data_saddr_lsb , mstr2data_len => sig_mstr2data_len , mstr2data_strt_strb => sig_mstr2data_strt_strb , mstr2data_last_strb => sig_mstr2data_last_strb , mstr2data_drr => sig_mstr2data_drr , mstr2data_eof => sig_mstr2data_eof , mstr2data_sequential => LOGIC_LOW , mstr2data_calc_error => sig_mstr2data_calc_error , mstr2data_cmd_cmplt => sig_mstr2data_cmd_cmplt , mstr2data_cmd_valid => sig_mstr2data_cmd_valid , data2mstr_cmd_ready => sig_data2mstr_cmd_ready , mstr2data_dre_src_align => DRE_ALIGN_ZEROS , mstr2data_dre_dest_align => DRE_ALIGN_ZEROS , -- Address Controller Interface -------------------------- addr2data_addr_posted => sig_addr2data_addr_posted , -- Data Controller Halted Status data2all_dcntlr_halted => sig_data2all_dcntlr_halted, -- Output Stream Skid Buffer Halt control data2skid_halt => sig_data2skid_halt , -- Read Status Controller Interface -------------------------- data2rsc_tag => sig_data2rsc_tag , data2rsc_calc_err => sig_data2rsc_calc_err , data2rsc_okay => sig_data2rsc_okay , data2rsc_decerr => sig_data2rsc_decerr , data2rsc_slverr => sig_data2rsc_slverr , data2rsc_cmd_cmplt => sig_data2rsc_cmd_cmplt , rsc2data_ready => sig_rsc2data_ready , data2rsc_valid => sig_data2rsc_valid , rsc2mstr_halt_pipe => sig_rsc2mstr_halt_pipe ); ------------------------------------------------------------ -- Instance: I_MM2S_SKID_BUF -- -- Description: -- Instance for the MM2S Skid Buffer which provides for -- registerd Master Stream outputs and supports bi-dir -- throttling. -- ------------------------------------------------------------ -- I_MM2S_SKID_BUF : entity axi_sg_v4_1_2.axi_sg_skid_buf -- generic map ( -- -- C_WDATA_WIDTH => MM2S_SDATA_WIDTH -- -- ) -- port map ( -- -- -- System Ports -- aclk => mm2s_aclk , -- arst => sig_stream_rst , -- -- -- Shutdown control (assert for 1 clk pulse) -- skid_stop => sig_data2skid_halt , -- -- -- Slave Side (Stream Data Input) -- s_valid => sig_data2skid_wvalid , -- s_ready => sig_data2skid_wready , -- s_data => sig_data2skid_wdata , -- s_strb => sig_data2skid_wstrb , -- s_last => sig_data2skid_wlast , -- -- -- Master Side (Stream Data Output -- m_valid => mm2s_strm_wvalid , -- m_ready => mm2s_strm_wready , -- m_data => mm2s_strm_wdata , -- m_strb => mm2s_strm_wstrb , -- m_last => mm2s_strm_wlast -- -- ); -- end implementation;
-- ************************************************************************* -- -- (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: axi_sg_mm2s_basic_wrap.vhd -- -- Description: -- This file implements the DataMover MM2S Basic Wrapper. -- -- -- -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; -- axi_sg Library Modules library axi_sg_v4_1_2; use axi_sg_v4_1_2.axi_sg_reset; use axi_sg_v4_1_2.axi_sg_cmd_status; use axi_sg_v4_1_2.axi_sg_scc; use axi_sg_v4_1_2.axi_sg_addr_cntl; use axi_sg_v4_1_2.axi_sg_rddata_cntl; use axi_sg_v4_1_2.axi_sg_rd_status_cntl; use axi_sg_v4_1_2.axi_sg_skid_buf; ------------------------------------------------------------------------------- entity axi_sg_mm2s_basic_wrap is generic ( C_INCLUDE_MM2S : Integer range 0 to 2 := 2; -- Specifies the type of MM2S function to include -- 0 = Omit MM2S functionality -- 1 = Full MM2S Functionality -- 2 = Basic MM2S functionality C_MM2S_ARID : Integer range 0 to 255 := 8; -- Specifies the constant value to output on -- the ARID output port C_MM2S_ID_WIDTH : Integer range 1 to 8 := 4; -- Specifies the width of the MM2S ID port C_MM2S_ADDR_WIDTH : Integer range 32 to 64 := 32; -- Specifies the width of the MMap Read Address Channel -- Address bus C_MM2S_MDATA_WIDTH : Integer range 32 to 64 := 32; -- Specifies the width of the MMap Read Data Channel -- data bus C_MM2S_SDATA_WIDTH : Integer range 8 to 64 := 32; -- Specifies the width of the MM2S Master Stream Data -- Channel data bus C_INCLUDE_MM2S_STSFIFO : Integer range 0 to 1 := 1; -- Specifies if a Status FIFO is to be implemented -- 0 = Omit MM2S Status FIFO -- 1 = Include MM2S Status FIFO C_MM2S_STSCMD_FIFO_DEPTH : Integer range 1 to 16 := 1; -- Specifies the depth of the MM2S Command FIFO and the -- optional Status FIFO -- Valid values are 1,4,8,16 C_MM2S_STSCMD_IS_ASYNC : Integer range 0 to 1 := 0; -- Specifies if the Status and Command interfaces need to -- be asynchronous to the primary data path clocking -- 0 = Use same clocking as data path -- 1 = Use special Status/Command clock for the interfaces C_INCLUDE_MM2S_DRE : Integer range 0 to 1 := 0; -- Specifies if DRE is to be included in the MM2S function -- 0 = Omit DRE -- 1 = Include DRE C_MM2S_BURST_SIZE : Integer range 16 to 64 := 16; -- Specifies the max number of databeats to use for MMap -- burst transfers by the MM2S function C_MM2S_BTT_USED : Integer range 8 to 23 := 16; -- Specifies the number of bits used from the BTT field -- of the input Command Word of the MM2S Command Interface C_MM2S_ADDR_PIPE_DEPTH : Integer range 1 to 30 := 1; -- This parameter specifies the depth of the MM2S internal -- child command queues in the Read Address Controller and -- the Read Data Controller. Increasing this value will -- allow more Read Addresses to be issued to the AXI4 Read -- Address Channel before receipt of the associated read -- data on the Read Data Channel. C_ENABLE_MULTI_CHANNEL : Integer range 0 to 1 := 1; C_ENABLE_EXTRA_FIELD : integer range 0 to 1 := 0; C_TAG_WIDTH : Integer range 1 to 8 := 4 ; -- Width of the TAG field C_FAMILY : String := "virtex7" -- Specifies the target FPGA family type ); port ( -- MM2S Primary Clock and Reset inputs ----------------------- mm2s_aclk : in std_logic; -- -- Primary synchronization clock for the Master side -- -- interface and internal logic. It is also used -- -- for the User interface synchronization when -- -- C_STSCMD_IS_ASYNC = 0. -- -- -- MM2S Primary Reset input -- mm2s_aresetn : in std_logic; -- -- Reset used for the internal master logic -- -------------------------------------------------------------- sg_ctl : in std_logic_vector (7 downto 0); -- MM2S Halt request input control --------------------------- mm2s_halt : in std_logic; -- -- Active high soft shutdown request -- -- -- MM2S Halt Complete status flag -- mm2s_halt_cmplt : Out std_logic; -- -- Active high soft shutdown complete status -- -------------------------------------------------------------- -- Error discrete output ------------------------------------- mm2s_err : Out std_logic; -- -- Composite Error indication -- -------------------------------------------------------------- -- Optional MM2S Command and Status Clock and Reset ---------- -- These are used when C_MM2S_STSCMD_IS_ASYNC = 1 -- mm2s_cmdsts_awclk : in std_logic; -- -- Secondary Clock input for async CMD/Status interface -- -- mm2s_cmdsts_aresetn : in std_logic; -- -- Secondary Reset input for async CMD/Status interface -- -------------------------------------------------------------- -- User Command Interface Ports (AXI Stream) ------------------------------------------------- mm2s_cmd_wvalid : in std_logic; -- mm2s_cmd_wready : out std_logic; -- mm2s_cmd_wdata : in std_logic_vector((C_TAG_WIDTH+(1+C_ENABLE_MULTI_CHANNEL)*C_MM2S_ADDR_WIDTH+36)-1 downto 0); -- ---------------------------------------------------------------------------------------------- -- User Status Interface Ports (AXI Stream) ----------------- mm2s_sts_wvalid : out std_logic; -- mm2s_sts_wready : in std_logic; -- mm2s_sts_wdata : out std_logic_vector(7 downto 0); -- mm2s_sts_wstrb : out std_logic_vector(0 downto 0); -- mm2s_sts_wlast : out std_logic; -- ------------------------------------------------------------- -- Address Posting contols ---------------------------------- mm2s_allow_addr_req : in std_logic; -- mm2s_addr_req_posted : out std_logic; -- mm2s_rd_xfer_cmplt : out std_logic; -- ------------------------------------------------------------- -- MM2S AXI Address Channel I/O -------------------------------------- mm2s_arid : out std_logic_vector(C_MM2S_ID_WIDTH-1 downto 0); -- -- AXI Address Channel ID output -- -- mm2s_araddr : out std_logic_vector(C_MM2S_ADDR_WIDTH-1 downto 0); -- -- AXI Address Channel Address output -- -- mm2s_arlen : out std_logic_vector(7 downto 0); -- -- AXI Address Channel LEN output -- -- Sized to support 256 data beat bursts -- -- mm2s_arsize : out std_logic_vector(2 downto 0); -- -- AXI Address Channel SIZE output -- -- mm2s_arburst : out std_logic_vector(1 downto 0); -- -- AXI Address Channel BURST output -- -- mm2s_arprot : out std_logic_vector(2 downto 0); -- -- AXI Address Channel PROT output -- -- mm2s_arcache : out std_logic_vector(3 downto 0); -- -- AXI Address Channel CACHE output -- mm2s_aruser : out std_logic_vector(3 downto 0); -- -- AXI Address Channel USER output -- -- mm2s_arvalid : out std_logic; -- -- AXI Address Channel VALID output -- -- mm2s_arready : in std_logic; -- -- AXI Address Channel READY input -- ----------------------------------------------------------------------- -- Currently unsupported AXI Address Channel output signals ------- -- addr2axi_alock : out std_logic_vector(2 downto 0); -- -- addr2axi_acache : out std_logic_vector(4 downto 0); -- -- addr2axi_aqos : out std_logic_vector(3 downto 0); -- -- addr2axi_aregion : out std_logic_vector(3 downto 0); -- ------------------------------------------------------------------- -- MM2S AXI MMap Read Data Channel I/O ------------------------------------------ mm2s_rdata : In std_logic_vector(C_MM2S_MDATA_WIDTH-1 downto 0); -- mm2s_rresp : In std_logic_vector(1 downto 0); -- mm2s_rlast : In std_logic; -- mm2s_rvalid : In std_logic; -- mm2s_rready : Out std_logic; -- ---------------------------------------------------------------------------------- -- MM2S AXI Master Stream Channel I/O ----------------------------------------------- mm2s_strm_wdata : Out std_logic_vector(C_MM2S_SDATA_WIDTH-1 downto 0); -- mm2s_strm_wstrb : Out std_logic_vector((C_MM2S_SDATA_WIDTH/8)-1 downto 0); -- mm2s_strm_wlast : Out std_logic; -- mm2s_strm_wvalid : Out std_logic; -- mm2s_strm_wready : In std_logic; -- -------------------------------------------------------------------------------------- -- Testing Support I/O -------------------------------------------- mm2s_dbg_sel : in std_logic_vector( 3 downto 0); -- mm2s_dbg_data : out std_logic_vector(31 downto 0) -- ------------------------------------------------------------------- ); end entity axi_sg_mm2s_basic_wrap; architecture implementation of axi_sg_mm2s_basic_wrap is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; -- Function Declarations ---------------------------------------- ------------------------------------------------------------------- -- Function -- -- Function Name: func_calc_rdmux_sel_bits -- -- Function Description: -- This function calculates the number of address bits needed for -- the Read data mux select control. -- ------------------------------------------------------------------- function func_calc_rdmux_sel_bits (mmap_dwidth_value : integer) return integer is Variable num_addr_bits_needed : Integer range 1 to 5 := 1; begin case mmap_dwidth_value is when 32 => num_addr_bits_needed := 2; -- coverage off when 64 => num_addr_bits_needed := 3; when 128 => num_addr_bits_needed := 4; when others => -- 256 bits num_addr_bits_needed := 5; -- coverage on end case; Return (num_addr_bits_needed); end function func_calc_rdmux_sel_bits; -- Constant Declarations ---------------------------------------- Constant LOGIC_LOW : std_logic := '0'; Constant LOGIC_HIGH : std_logic := '1'; Constant INCLUDE_MM2S : integer range 0 to 2 := 2; Constant MM2S_ARID_VALUE : integer range 0 to 255 := C_MM2S_ARID; Constant MM2S_ARID_WIDTH : integer range 1 to 8 := C_MM2S_ID_WIDTH; Constant MM2S_ADDR_WIDTH : integer range 32 to 64 := C_MM2S_ADDR_WIDTH; Constant MM2S_MDATA_WIDTH : integer range 32 to 256 := C_MM2S_MDATA_WIDTH; Constant MM2S_SDATA_WIDTH : integer range 8 to 256 := C_MM2S_SDATA_WIDTH; Constant MM2S_CMD_WIDTH : integer := (C_TAG_WIDTH+C_MM2S_ADDR_WIDTH+32); Constant MM2S_STS_WIDTH : integer := 8; -- always 8 for MM2S Constant INCLUDE_MM2S_STSFIFO : integer range 0 to 1 := 1; Constant MM2S_STSCMD_FIFO_DEPTH : integer range 1 to 64 := 1; Constant MM2S_STSCMD_IS_ASYNC : integer range 0 to 1 := 0; Constant INCLUDE_MM2S_DRE : integer range 0 to 1 := 0; Constant DRE_ALIGN_WIDTH : integer range 1 to 3 := 2; Constant MM2S_BURST_SIZE : integer range 16 to 256 := 16; Constant RD_ADDR_CNTL_FIFO_DEPTH : integer range 1 to 30 := C_MM2S_ADDR_PIPE_DEPTH; Constant RD_DATA_CNTL_FIFO_DEPTH : integer range 1 to 30 := C_MM2S_ADDR_PIPE_DEPTH; Constant SEL_ADDR_WIDTH : integer := func_calc_rdmux_sel_bits(MM2S_MDATA_WIDTH); Constant DRE_ALIGN_ZEROS : std_logic_vector(DRE_ALIGN_WIDTH-1 downto 0) := (others => '0'); -- obsoleted Constant DISABLE_WAIT_FOR_DATA : integer := 0; -- Signal Declarations ------------------------------------------ signal sig_cmd_stat_rst_user : std_logic := '0'; signal sig_cmd_stat_rst_int : std_logic := '0'; signal sig_mmap_rst : std_logic := '0'; signal sig_stream_rst : std_logic := '0'; signal sig_mm2s_cmd_wdata : std_logic_vector(MM2S_CMD_WIDTH-1 downto 0); signal sig_mm2s_cache_data : std_logic_vector(7 downto 0); signal sig_cmd2mstr_command : std_logic_vector(MM2S_CMD_WIDTH-1 downto 0) := (others => '0'); signal sig_cmd2mstr_cmd_valid : std_logic := '0'; signal sig_mst2cmd_cmd_ready : std_logic := '0'; signal sig_mstr2addr_addr : std_logic_vector(MM2S_ADDR_WIDTH-1 downto 0) := (others => '0'); signal sig_mstr2addr_len : std_logic_vector(7 downto 0) := (others => '0'); signal sig_mstr2addr_size : std_logic_vector(2 downto 0) := (others => '0'); signal sig_mstr2addr_burst : std_logic_vector(1 downto 0) := (others => '0'); signal sig_mstr2addr_cache : std_logic_vector(3 downto 0) := (others => '0'); signal sig_mstr2addr_user : std_logic_vector(3 downto 0) := (others => '0'); signal sig_mstr2addr_cmd_cmplt : std_logic := '0'; signal sig_mstr2addr_calc_error : std_logic := '0'; signal sig_mstr2addr_cmd_valid : std_logic := '0'; signal sig_addr2mstr_cmd_ready : std_logic := '0'; signal sig_mstr2data_saddr_lsb : std_logic_vector(SEL_ADDR_WIDTH-1 downto 0) := (others => '0'); signal sig_mstr2data_len : std_logic_vector(7 downto 0) := (others => '0'); signal sig_mstr2data_strt_strb : std_logic_vector((MM2S_SDATA_WIDTH/8)-1 downto 0) := (others => '0'); signal sig_mstr2data_last_strb : std_logic_vector((MM2S_SDATA_WIDTH/8)-1 downto 0) := (others => '0'); signal sig_mstr2data_drr : std_logic := '0'; signal sig_mstr2data_eof : std_logic := '0'; signal sig_mstr2data_sequential : std_logic := '0'; signal sig_mstr2data_calc_error : std_logic := '0'; signal sig_mstr2data_cmd_cmplt : std_logic := '0'; signal sig_mstr2data_cmd_valid : std_logic := '0'; signal sig_data2mstr_cmd_ready : std_logic := '0'; signal sig_addr2data_addr_posted : std_logic := '0'; signal sig_data2all_dcntlr_halted : std_logic := '0'; signal sig_addr2rsc_calc_error : std_logic := '0'; signal sig_addr2rsc_cmd_fifo_empty : std_logic := '0'; signal sig_data2rsc_tag : std_logic_vector(C_TAG_WIDTH-1 downto 0) := (others => '0'); signal sig_data2rsc_calc_err : std_logic := '0'; signal sig_data2rsc_okay : std_logic := '0'; signal sig_data2rsc_decerr : std_logic := '0'; signal sig_data2rsc_slverr : std_logic := '0'; signal sig_data2rsc_cmd_cmplt : std_logic := '0'; signal sig_rsc2data_ready : std_logic := '0'; signal sig_data2rsc_valid : std_logic := '0'; signal sig_calc2dm_calc_err : std_logic := '0'; signal sig_data2skid_wvalid : std_logic := '0'; signal sig_data2skid_wready : std_logic := '0'; signal sig_data2skid_wdata : std_logic_vector(MM2S_SDATA_WIDTH-1 downto 0) := (others => '0'); signal sig_data2skid_wstrb : std_logic_vector((MM2S_SDATA_WIDTH/8)-1 downto 0) := (others => '0'); signal sig_data2skid_wlast : std_logic := '0'; signal sig_rsc2stat_status : std_logic_vector(MM2S_STS_WIDTH-1 downto 0) := (others => '0'); signal sig_stat2rsc_status_ready : std_logic := '0'; signal sig_rsc2stat_status_valid : std_logic := '0'; signal sig_rsc2mstr_halt_pipe : std_logic := '0'; signal sig_mstr2data_tag : std_logic_vector(C_TAG_WIDTH-1 downto 0) := (others => '0'); signal sig_mstr2addr_tag : std_logic_vector(C_TAG_WIDTH-1 downto 0) := (others => '0'); signal sig_dbg_data_mux_out : std_logic_vector(31 downto 0) := (others => '0'); signal sig_dbg_data_0 : std_logic_vector(31 downto 0) := (others => '0'); signal sig_dbg_data_1 : std_logic_vector(31 downto 0) := (others => '0'); signal sig_rst2all_stop_request : std_logic := '0'; signal sig_data2rst_stop_cmplt : std_logic := '0'; signal sig_addr2rst_stop_cmplt : std_logic := '0'; signal sig_data2addr_stop_req : std_logic := '0'; signal sig_data2skid_halt : std_logic := '0'; signal sig_cache2mstr_command : std_logic_vector (7 downto 0) := (others => '0'); signal mm2s_arcache_int : std_logic_vector (3 downto 0); begin --(architecture implementation) -- Debug Support ------------------------------------------ mm2s_dbg_data <= sig_dbg_data_mux_out; -- Note that only the mm2s_dbg_sel(0) is used at this time sig_dbg_data_mux_out <= sig_dbg_data_1 When (mm2s_dbg_sel(0) = '1') else sig_dbg_data_0 ; sig_dbg_data_0 <= X"BEEF2222" ; -- 32 bit Constant indicating MM2S Basic type sig_dbg_data_1(0) <= sig_cmd_stat_rst_user ; sig_dbg_data_1(1) <= sig_cmd_stat_rst_int ; sig_dbg_data_1(2) <= sig_mmap_rst ; sig_dbg_data_1(3) <= sig_stream_rst ; sig_dbg_data_1(4) <= sig_cmd2mstr_cmd_valid ; sig_dbg_data_1(5) <= sig_mst2cmd_cmd_ready ; sig_dbg_data_1(6) <= sig_stat2rsc_status_ready; sig_dbg_data_1(7) <= sig_rsc2stat_status_valid; sig_dbg_data_1(11 downto 8) <= sig_data2rsc_tag ; -- Current TAG of active data transfer sig_dbg_data_1(15 downto 12) <= sig_rsc2stat_status(3 downto 0); -- Internal status tag field sig_dbg_data_1(16) <= sig_rsc2stat_status(4) ; -- Internal error sig_dbg_data_1(17) <= sig_rsc2stat_status(5) ; -- Decode Error sig_dbg_data_1(18) <= sig_rsc2stat_status(6) ; -- Slave Error sig_dbg_data_1(19) <= sig_rsc2stat_status(7) ; -- OKAY sig_dbg_data_1(20) <= sig_stat2rsc_status_ready ; -- Status Ready Handshake sig_dbg_data_1(21) <= sig_rsc2stat_status_valid ; -- Status Valid Handshake -- Spare bits in debug1 sig_dbg_data_1(31 downto 22) <= (others => '0') ; -- spare bits GEN_CACHE : if (C_ENABLE_MULTI_CHANNEL = 0) generate begin -- Cache signal tie-off mm2s_arcache <= "0011"; -- Per Interface-X guidelines for Masters mm2s_aruser <= "0000"; -- Per Interface-X guidelines for Masters sig_mm2s_cache_data <= (others => '0'); --mm2s_cmd_wdata(103 downto 96); end generate GEN_CACHE; GEN_CACHE2 : if (C_ENABLE_MULTI_CHANNEL = 1) generate begin -- Cache signal tie-off mm2s_arcache <= sg_ctl (3 downto 0); -- SG Cache from register mm2s_aruser <= sg_ctl (7 downto 4); -- Per Interface-X guidelines for Masters sig_mm2s_cache_data <= mm2s_cmd_wdata(103 downto 96); end generate GEN_CACHE2; -- Cache signal tie-off -- Internal error output discrete ------------------------------ mm2s_err <= sig_calc2dm_calc_err; -- Rip the used portion of the Command Interface Command Data -- and throw away the padding sig_mm2s_cmd_wdata <= mm2s_cmd_wdata(MM2S_CMD_WIDTH-1 downto 0); ------------------------------------------------------------ -- Instance: I_RESET -- -- Description: -- Reset Block -- ------------------------------------------------------------ I_RESET : entity axi_sg_v4_1_2.axi_sg_reset generic map ( C_STSCMD_IS_ASYNC => MM2S_STSCMD_IS_ASYNC ) port map ( primary_aclk => mm2s_aclk , primary_aresetn => mm2s_aresetn , secondary_awclk => mm2s_cmdsts_awclk , secondary_aresetn => mm2s_cmdsts_aresetn , halt_req => mm2s_halt , halt_cmplt => mm2s_halt_cmplt , flush_stop_request => sig_rst2all_stop_request , data_cntlr_stopped => sig_data2rst_stop_cmplt , addr_cntlr_stopped => sig_addr2rst_stop_cmplt , aux1_stopped => LOGIC_HIGH , aux2_stopped => LOGIC_HIGH , cmd_stat_rst_user => sig_cmd_stat_rst_user , cmd_stat_rst_int => sig_cmd_stat_rst_int , mmap_rst => sig_mmap_rst , stream_rst => sig_stream_rst ); ------------------------------------------------------------ -- Instance: I_CMD_STATUS -- -- Description: -- Command and Status Interface Block -- ------------------------------------------------------------ I_CMD_STATUS : entity axi_sg_v4_1_2.axi_sg_cmd_status generic map ( C_ADDR_WIDTH => MM2S_ADDR_WIDTH , C_INCLUDE_STSFIFO => INCLUDE_MM2S_STSFIFO , C_STSCMD_FIFO_DEPTH => MM2S_STSCMD_FIFO_DEPTH , C_STSCMD_IS_ASYNC => MM2S_STSCMD_IS_ASYNC , C_CMD_WIDTH => MM2S_CMD_WIDTH , C_STS_WIDTH => MM2S_STS_WIDTH , C_FAMILY => C_FAMILY ) port map ( primary_aclk => mm2s_aclk , secondary_awclk => mm2s_cmdsts_awclk , user_reset => sig_cmd_stat_rst_user , internal_reset => sig_cmd_stat_rst_int , cmd_wvalid => mm2s_cmd_wvalid , cmd_wready => mm2s_cmd_wready , cmd_wdata => sig_mm2s_cmd_wdata , cache_data => sig_mm2s_cache_data , sts_wvalid => mm2s_sts_wvalid , sts_wready => mm2s_sts_wready , sts_wdata => mm2s_sts_wdata , sts_wstrb => mm2s_sts_wstrb , sts_wlast => mm2s_sts_wlast , cmd2mstr_command => sig_cmd2mstr_command , mst2cmd_cmd_valid => sig_cmd2mstr_cmd_valid , cmd2mstr_cmd_ready => sig_mst2cmd_cmd_ready , mstr2stat_status => sig_rsc2stat_status , stat2mstr_status_ready => sig_stat2rsc_status_ready , mst2stst_status_valid => sig_rsc2stat_status_valid ); ------------------------------------------------------------ -- Instance: I_RD_STATUS_CNTLR -- -- Description: -- Read Status Controller Block -- ------------------------------------------------------------ I_RD_STATUS_CNTLR : entity axi_sg_v4_1_2.axi_sg_rd_status_cntl generic map ( C_STS_WIDTH => MM2S_STS_WIDTH , C_TAG_WIDTH => C_TAG_WIDTH ) port map ( primary_aclk => mm2s_aclk , mmap_reset => sig_mmap_rst , calc2rsc_calc_error => sig_calc2dm_calc_err , addr2rsc_calc_error => sig_addr2rsc_calc_error , addr2rsc_fifo_empty => sig_addr2rsc_cmd_fifo_empty , data2rsc_tag => sig_data2rsc_tag , data2rsc_calc_error => sig_data2rsc_calc_err , data2rsc_okay => sig_data2rsc_okay , data2rsc_decerr => sig_data2rsc_decerr , data2rsc_slverr => sig_data2rsc_slverr , data2rsc_cmd_cmplt => sig_data2rsc_cmd_cmplt , rsc2data_ready => sig_rsc2data_ready , data2rsc_valid => sig_data2rsc_valid , rsc2stat_status => sig_rsc2stat_status , stat2rsc_status_ready => sig_stat2rsc_status_ready , rsc2stat_status_valid => sig_rsc2stat_status_valid , rsc2mstr_halt_pipe => sig_rsc2mstr_halt_pipe ); ------------------------------------------------------------ -- Instance: I_MSTR_SCC -- -- Description: -- Simple Command Calculator Block -- ------------------------------------------------------------ I_MSTR_SCC : entity axi_sg_v4_1_2.axi_sg_scc generic map ( C_SEL_ADDR_WIDTH => SEL_ADDR_WIDTH , C_ADDR_WIDTH => MM2S_ADDR_WIDTH , C_STREAM_DWIDTH => MM2S_SDATA_WIDTH , C_MAX_BURST_LEN => C_MM2S_BURST_SIZE , C_CMD_WIDTH => MM2S_CMD_WIDTH , C_ENABLE_EXTRA_FIELD => C_ENABLE_EXTRA_FIELD , C_TAG_WIDTH => C_TAG_WIDTH ) port map ( -- Clock input primary_aclk => mm2s_aclk , mmap_reset => sig_mmap_rst , cmd2mstr_command => sig_cmd2mstr_command , cache2mstr_command => sig_cache2mstr_command , cmd2mstr_cmd_valid => sig_cmd2mstr_cmd_valid , mst2cmd_cmd_ready => sig_mst2cmd_cmd_ready , mstr2addr_tag => sig_mstr2addr_tag , mstr2addr_addr => sig_mstr2addr_addr , mstr2addr_len => sig_mstr2addr_len , mstr2addr_size => sig_mstr2addr_size , mstr2addr_burst => sig_mstr2addr_burst , mstr2addr_calc_error => sig_mstr2addr_calc_error , mstr2addr_cmd_cmplt => sig_mstr2addr_cmd_cmplt , mstr2addr_cmd_valid => sig_mstr2addr_cmd_valid , addr2mstr_cmd_ready => sig_addr2mstr_cmd_ready , mstr2data_tag => sig_mstr2data_tag , mstr2data_saddr_lsb => sig_mstr2data_saddr_lsb , mstr2data_len => sig_mstr2data_len , mstr2data_strt_strb => sig_mstr2data_strt_strb , mstr2data_last_strb => sig_mstr2data_last_strb , mstr2data_sof => sig_mstr2data_drr , mstr2data_eof => sig_mstr2data_eof , mstr2data_calc_error => sig_mstr2data_calc_error , mstr2data_cmd_cmplt => sig_mstr2data_cmd_cmplt , mstr2data_cmd_valid => sig_mstr2data_cmd_valid , data2mstr_cmd_ready => sig_data2mstr_cmd_ready , calc_error => sig_calc2dm_calc_err ); ------------------------------------------------------------ -- Instance: I_ADDR_CNTL -- -- Description: -- Address Controller Block -- ------------------------------------------------------------ I_ADDR_CNTL : entity axi_sg_v4_1_2.axi_sg_addr_cntl generic map ( -- obsoleted C_ENABlE_WAIT_FOR_DATA => DISABLE_WAIT_FOR_DATA , --C_ADDR_FIFO_DEPTH => MM2S_STSCMD_FIFO_DEPTH , C_ADDR_FIFO_DEPTH => RD_ADDR_CNTL_FIFO_DEPTH , C_ADDR_WIDTH => MM2S_ADDR_WIDTH , C_ADDR_ID => MM2S_ARID_VALUE , C_ADDR_ID_WIDTH => MM2S_ARID_WIDTH , C_TAG_WIDTH => C_TAG_WIDTH ) port map ( primary_aclk => mm2s_aclk , mmap_reset => sig_mmap_rst , addr2axi_aid => mm2s_arid , addr2axi_aaddr => mm2s_araddr , addr2axi_alen => mm2s_arlen , addr2axi_asize => mm2s_arsize , addr2axi_aburst => mm2s_arburst , addr2axi_aprot => mm2s_arprot , addr2axi_avalid => mm2s_arvalid , addr2axi_acache => open , addr2axi_auser => open , axi2addr_aready => mm2s_arready , mstr2addr_tag => sig_mstr2addr_tag , mstr2addr_addr => sig_mstr2addr_addr , mstr2addr_len => sig_mstr2addr_len , mstr2addr_size => sig_mstr2addr_size , mstr2addr_burst => sig_mstr2addr_burst , mstr2addr_cache => sig_mstr2addr_cache , mstr2addr_user => sig_mstr2addr_user , mstr2addr_cmd_cmplt => sig_mstr2addr_cmd_cmplt , mstr2addr_calc_error => sig_mstr2addr_calc_error , mstr2addr_cmd_valid => sig_mstr2addr_cmd_valid , addr2mstr_cmd_ready => sig_addr2mstr_cmd_ready , addr2rst_stop_cmplt => sig_addr2rst_stop_cmplt , allow_addr_req => mm2s_allow_addr_req , addr_req_posted => mm2s_addr_req_posted , addr2data_addr_posted => sig_addr2data_addr_posted , data2addr_data_rdy => LOGIC_LOW , data2addr_stop_req => sig_data2addr_stop_req , addr2stat_calc_error => sig_addr2rsc_calc_error , addr2stat_cmd_fifo_empty => sig_addr2rsc_cmd_fifo_empty ); ------------------------------------------------------------ -- Instance: I_RD_DATA_CNTL -- -- Description: -- Read Data Controller Block -- ------------------------------------------------------------ I_RD_DATA_CNTL : entity axi_sg_v4_1_2.axi_sg_rddata_cntl generic map ( C_INCLUDE_DRE => INCLUDE_MM2S_DRE , C_ALIGN_WIDTH => DRE_ALIGN_WIDTH , C_SEL_ADDR_WIDTH => SEL_ADDR_WIDTH , C_DATA_CNTL_FIFO_DEPTH => RD_DATA_CNTL_FIFO_DEPTH , C_MMAP_DWIDTH => MM2S_MDATA_WIDTH , C_STREAM_DWIDTH => MM2S_SDATA_WIDTH , C_TAG_WIDTH => C_TAG_WIDTH , C_FAMILY => C_FAMILY ) port map ( -- Clock and Reset ----------------------------------- primary_aclk => mm2s_aclk , mmap_reset => sig_mmap_rst , -- Soft Shutdown Interface ----------------------------- rst2data_stop_request => sig_rst2all_stop_request , data2addr_stop_req => sig_data2addr_stop_req , data2rst_stop_cmplt => sig_data2rst_stop_cmplt , -- External Address Pipelining Contol support mm2s_rd_xfer_cmplt => mm2s_rd_xfer_cmplt , -- AXI Read Data Channel I/O ------------------------------- mm2s_rdata => mm2s_rdata , mm2s_rresp => mm2s_rresp , mm2s_rlast => mm2s_rlast , mm2s_rvalid => mm2s_rvalid , mm2s_rready => mm2s_rready , -- MM2S DRE Control ----------------------------------- mm2s_dre_new_align => open , mm2s_dre_use_autodest => open , mm2s_dre_src_align => open , mm2s_dre_dest_align => open , mm2s_dre_flush => open , -- AXI Master Stream ----------------------------------- mm2s_strm_wvalid => mm2s_strm_wvalid , mm2s_strm_wready => mm2s_strm_wready , mm2s_strm_wdata => mm2s_strm_wdata , mm2s_strm_wstrb => mm2s_strm_wstrb , mm2s_strm_wlast => mm2s_strm_wlast , -- MM2S Store and Forward Supplimental Control ----------- mm2s_data2sf_cmd_cmplt => open , -- Command Calculator Interface -------------------------- mstr2data_tag => sig_mstr2data_tag , mstr2data_saddr_lsb => sig_mstr2data_saddr_lsb , mstr2data_len => sig_mstr2data_len , mstr2data_strt_strb => sig_mstr2data_strt_strb , mstr2data_last_strb => sig_mstr2data_last_strb , mstr2data_drr => sig_mstr2data_drr , mstr2data_eof => sig_mstr2data_eof , mstr2data_sequential => LOGIC_LOW , mstr2data_calc_error => sig_mstr2data_calc_error , mstr2data_cmd_cmplt => sig_mstr2data_cmd_cmplt , mstr2data_cmd_valid => sig_mstr2data_cmd_valid , data2mstr_cmd_ready => sig_data2mstr_cmd_ready , mstr2data_dre_src_align => DRE_ALIGN_ZEROS , mstr2data_dre_dest_align => DRE_ALIGN_ZEROS , -- Address Controller Interface -------------------------- addr2data_addr_posted => sig_addr2data_addr_posted , -- Data Controller Halted Status data2all_dcntlr_halted => sig_data2all_dcntlr_halted, -- Output Stream Skid Buffer Halt control data2skid_halt => sig_data2skid_halt , -- Read Status Controller Interface -------------------------- data2rsc_tag => sig_data2rsc_tag , data2rsc_calc_err => sig_data2rsc_calc_err , data2rsc_okay => sig_data2rsc_okay , data2rsc_decerr => sig_data2rsc_decerr , data2rsc_slverr => sig_data2rsc_slverr , data2rsc_cmd_cmplt => sig_data2rsc_cmd_cmplt , rsc2data_ready => sig_rsc2data_ready , data2rsc_valid => sig_data2rsc_valid , rsc2mstr_halt_pipe => sig_rsc2mstr_halt_pipe ); ------------------------------------------------------------ -- Instance: I_MM2S_SKID_BUF -- -- Description: -- Instance for the MM2S Skid Buffer which provides for -- registerd Master Stream outputs and supports bi-dir -- throttling. -- ------------------------------------------------------------ -- I_MM2S_SKID_BUF : entity axi_sg_v4_1_2.axi_sg_skid_buf -- generic map ( -- -- C_WDATA_WIDTH => MM2S_SDATA_WIDTH -- -- ) -- port map ( -- -- -- System Ports -- aclk => mm2s_aclk , -- arst => sig_stream_rst , -- -- -- Shutdown control (assert for 1 clk pulse) -- skid_stop => sig_data2skid_halt , -- -- -- Slave Side (Stream Data Input) -- s_valid => sig_data2skid_wvalid , -- s_ready => sig_data2skid_wready , -- s_data => sig_data2skid_wdata , -- s_strb => sig_data2skid_wstrb , -- s_last => sig_data2skid_wlast , -- -- -- Master Side (Stream Data Output -- m_valid => mm2s_strm_wvalid , -- m_ready => mm2s_strm_wready , -- m_data => mm2s_strm_wdata , -- m_strb => mm2s_strm_wstrb , -- m_last => mm2s_strm_wlast -- -- ); -- end implementation;
-- ************************************************************************* -- -- (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: axi_sg_mm2s_basic_wrap.vhd -- -- Description: -- This file implements the DataMover MM2S Basic Wrapper. -- -- -- -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; -- axi_sg Library Modules library axi_sg_v4_1_2; use axi_sg_v4_1_2.axi_sg_reset; use axi_sg_v4_1_2.axi_sg_cmd_status; use axi_sg_v4_1_2.axi_sg_scc; use axi_sg_v4_1_2.axi_sg_addr_cntl; use axi_sg_v4_1_2.axi_sg_rddata_cntl; use axi_sg_v4_1_2.axi_sg_rd_status_cntl; use axi_sg_v4_1_2.axi_sg_skid_buf; ------------------------------------------------------------------------------- entity axi_sg_mm2s_basic_wrap is generic ( C_INCLUDE_MM2S : Integer range 0 to 2 := 2; -- Specifies the type of MM2S function to include -- 0 = Omit MM2S functionality -- 1 = Full MM2S Functionality -- 2 = Basic MM2S functionality C_MM2S_ARID : Integer range 0 to 255 := 8; -- Specifies the constant value to output on -- the ARID output port C_MM2S_ID_WIDTH : Integer range 1 to 8 := 4; -- Specifies the width of the MM2S ID port C_MM2S_ADDR_WIDTH : Integer range 32 to 64 := 32; -- Specifies the width of the MMap Read Address Channel -- Address bus C_MM2S_MDATA_WIDTH : Integer range 32 to 64 := 32; -- Specifies the width of the MMap Read Data Channel -- data bus C_MM2S_SDATA_WIDTH : Integer range 8 to 64 := 32; -- Specifies the width of the MM2S Master Stream Data -- Channel data bus C_INCLUDE_MM2S_STSFIFO : Integer range 0 to 1 := 1; -- Specifies if a Status FIFO is to be implemented -- 0 = Omit MM2S Status FIFO -- 1 = Include MM2S Status FIFO C_MM2S_STSCMD_FIFO_DEPTH : Integer range 1 to 16 := 1; -- Specifies the depth of the MM2S Command FIFO and the -- optional Status FIFO -- Valid values are 1,4,8,16 C_MM2S_STSCMD_IS_ASYNC : Integer range 0 to 1 := 0; -- Specifies if the Status and Command interfaces need to -- be asynchronous to the primary data path clocking -- 0 = Use same clocking as data path -- 1 = Use special Status/Command clock for the interfaces C_INCLUDE_MM2S_DRE : Integer range 0 to 1 := 0; -- Specifies if DRE is to be included in the MM2S function -- 0 = Omit DRE -- 1 = Include DRE C_MM2S_BURST_SIZE : Integer range 16 to 64 := 16; -- Specifies the max number of databeats to use for MMap -- burst transfers by the MM2S function C_MM2S_BTT_USED : Integer range 8 to 23 := 16; -- Specifies the number of bits used from the BTT field -- of the input Command Word of the MM2S Command Interface C_MM2S_ADDR_PIPE_DEPTH : Integer range 1 to 30 := 1; -- This parameter specifies the depth of the MM2S internal -- child command queues in the Read Address Controller and -- the Read Data Controller. Increasing this value will -- allow more Read Addresses to be issued to the AXI4 Read -- Address Channel before receipt of the associated read -- data on the Read Data Channel. C_ENABLE_MULTI_CHANNEL : Integer range 0 to 1 := 1; C_ENABLE_EXTRA_FIELD : integer range 0 to 1 := 0; C_TAG_WIDTH : Integer range 1 to 8 := 4 ; -- Width of the TAG field C_FAMILY : String := "virtex7" -- Specifies the target FPGA family type ); port ( -- MM2S Primary Clock and Reset inputs ----------------------- mm2s_aclk : in std_logic; -- -- Primary synchronization clock for the Master side -- -- interface and internal logic. It is also used -- -- for the User interface synchronization when -- -- C_STSCMD_IS_ASYNC = 0. -- -- -- MM2S Primary Reset input -- mm2s_aresetn : in std_logic; -- -- Reset used for the internal master logic -- -------------------------------------------------------------- sg_ctl : in std_logic_vector (7 downto 0); -- MM2S Halt request input control --------------------------- mm2s_halt : in std_logic; -- -- Active high soft shutdown request -- -- -- MM2S Halt Complete status flag -- mm2s_halt_cmplt : Out std_logic; -- -- Active high soft shutdown complete status -- -------------------------------------------------------------- -- Error discrete output ------------------------------------- mm2s_err : Out std_logic; -- -- Composite Error indication -- -------------------------------------------------------------- -- Optional MM2S Command and Status Clock and Reset ---------- -- These are used when C_MM2S_STSCMD_IS_ASYNC = 1 -- mm2s_cmdsts_awclk : in std_logic; -- -- Secondary Clock input for async CMD/Status interface -- -- mm2s_cmdsts_aresetn : in std_logic; -- -- Secondary Reset input for async CMD/Status interface -- -------------------------------------------------------------- -- User Command Interface Ports (AXI Stream) ------------------------------------------------- mm2s_cmd_wvalid : in std_logic; -- mm2s_cmd_wready : out std_logic; -- mm2s_cmd_wdata : in std_logic_vector((C_TAG_WIDTH+(1+C_ENABLE_MULTI_CHANNEL)*C_MM2S_ADDR_WIDTH+36)-1 downto 0); -- ---------------------------------------------------------------------------------------------- -- User Status Interface Ports (AXI Stream) ----------------- mm2s_sts_wvalid : out std_logic; -- mm2s_sts_wready : in std_logic; -- mm2s_sts_wdata : out std_logic_vector(7 downto 0); -- mm2s_sts_wstrb : out std_logic_vector(0 downto 0); -- mm2s_sts_wlast : out std_logic; -- ------------------------------------------------------------- -- Address Posting contols ---------------------------------- mm2s_allow_addr_req : in std_logic; -- mm2s_addr_req_posted : out std_logic; -- mm2s_rd_xfer_cmplt : out std_logic; -- ------------------------------------------------------------- -- MM2S AXI Address Channel I/O -------------------------------------- mm2s_arid : out std_logic_vector(C_MM2S_ID_WIDTH-1 downto 0); -- -- AXI Address Channel ID output -- -- mm2s_araddr : out std_logic_vector(C_MM2S_ADDR_WIDTH-1 downto 0); -- -- AXI Address Channel Address output -- -- mm2s_arlen : out std_logic_vector(7 downto 0); -- -- AXI Address Channel LEN output -- -- Sized to support 256 data beat bursts -- -- mm2s_arsize : out std_logic_vector(2 downto 0); -- -- AXI Address Channel SIZE output -- -- mm2s_arburst : out std_logic_vector(1 downto 0); -- -- AXI Address Channel BURST output -- -- mm2s_arprot : out std_logic_vector(2 downto 0); -- -- AXI Address Channel PROT output -- -- mm2s_arcache : out std_logic_vector(3 downto 0); -- -- AXI Address Channel CACHE output -- mm2s_aruser : out std_logic_vector(3 downto 0); -- -- AXI Address Channel USER output -- -- mm2s_arvalid : out std_logic; -- -- AXI Address Channel VALID output -- -- mm2s_arready : in std_logic; -- -- AXI Address Channel READY input -- ----------------------------------------------------------------------- -- Currently unsupported AXI Address Channel output signals ------- -- addr2axi_alock : out std_logic_vector(2 downto 0); -- -- addr2axi_acache : out std_logic_vector(4 downto 0); -- -- addr2axi_aqos : out std_logic_vector(3 downto 0); -- -- addr2axi_aregion : out std_logic_vector(3 downto 0); -- ------------------------------------------------------------------- -- MM2S AXI MMap Read Data Channel I/O ------------------------------------------ mm2s_rdata : In std_logic_vector(C_MM2S_MDATA_WIDTH-1 downto 0); -- mm2s_rresp : In std_logic_vector(1 downto 0); -- mm2s_rlast : In std_logic; -- mm2s_rvalid : In std_logic; -- mm2s_rready : Out std_logic; -- ---------------------------------------------------------------------------------- -- MM2S AXI Master Stream Channel I/O ----------------------------------------------- mm2s_strm_wdata : Out std_logic_vector(C_MM2S_SDATA_WIDTH-1 downto 0); -- mm2s_strm_wstrb : Out std_logic_vector((C_MM2S_SDATA_WIDTH/8)-1 downto 0); -- mm2s_strm_wlast : Out std_logic; -- mm2s_strm_wvalid : Out std_logic; -- mm2s_strm_wready : In std_logic; -- -------------------------------------------------------------------------------------- -- Testing Support I/O -------------------------------------------- mm2s_dbg_sel : in std_logic_vector( 3 downto 0); -- mm2s_dbg_data : out std_logic_vector(31 downto 0) -- ------------------------------------------------------------------- ); end entity axi_sg_mm2s_basic_wrap; architecture implementation of axi_sg_mm2s_basic_wrap is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; -- Function Declarations ---------------------------------------- ------------------------------------------------------------------- -- Function -- -- Function Name: func_calc_rdmux_sel_bits -- -- Function Description: -- This function calculates the number of address bits needed for -- the Read data mux select control. -- ------------------------------------------------------------------- function func_calc_rdmux_sel_bits (mmap_dwidth_value : integer) return integer is Variable num_addr_bits_needed : Integer range 1 to 5 := 1; begin case mmap_dwidth_value is when 32 => num_addr_bits_needed := 2; -- coverage off when 64 => num_addr_bits_needed := 3; when 128 => num_addr_bits_needed := 4; when others => -- 256 bits num_addr_bits_needed := 5; -- coverage on end case; Return (num_addr_bits_needed); end function func_calc_rdmux_sel_bits; -- Constant Declarations ---------------------------------------- Constant LOGIC_LOW : std_logic := '0'; Constant LOGIC_HIGH : std_logic := '1'; Constant INCLUDE_MM2S : integer range 0 to 2 := 2; Constant MM2S_ARID_VALUE : integer range 0 to 255 := C_MM2S_ARID; Constant MM2S_ARID_WIDTH : integer range 1 to 8 := C_MM2S_ID_WIDTH; Constant MM2S_ADDR_WIDTH : integer range 32 to 64 := C_MM2S_ADDR_WIDTH; Constant MM2S_MDATA_WIDTH : integer range 32 to 256 := C_MM2S_MDATA_WIDTH; Constant MM2S_SDATA_WIDTH : integer range 8 to 256 := C_MM2S_SDATA_WIDTH; Constant MM2S_CMD_WIDTH : integer := (C_TAG_WIDTH+C_MM2S_ADDR_WIDTH+32); Constant MM2S_STS_WIDTH : integer := 8; -- always 8 for MM2S Constant INCLUDE_MM2S_STSFIFO : integer range 0 to 1 := 1; Constant MM2S_STSCMD_FIFO_DEPTH : integer range 1 to 64 := 1; Constant MM2S_STSCMD_IS_ASYNC : integer range 0 to 1 := 0; Constant INCLUDE_MM2S_DRE : integer range 0 to 1 := 0; Constant DRE_ALIGN_WIDTH : integer range 1 to 3 := 2; Constant MM2S_BURST_SIZE : integer range 16 to 256 := 16; Constant RD_ADDR_CNTL_FIFO_DEPTH : integer range 1 to 30 := C_MM2S_ADDR_PIPE_DEPTH; Constant RD_DATA_CNTL_FIFO_DEPTH : integer range 1 to 30 := C_MM2S_ADDR_PIPE_DEPTH; Constant SEL_ADDR_WIDTH : integer := func_calc_rdmux_sel_bits(MM2S_MDATA_WIDTH); Constant DRE_ALIGN_ZEROS : std_logic_vector(DRE_ALIGN_WIDTH-1 downto 0) := (others => '0'); -- obsoleted Constant DISABLE_WAIT_FOR_DATA : integer := 0; -- Signal Declarations ------------------------------------------ signal sig_cmd_stat_rst_user : std_logic := '0'; signal sig_cmd_stat_rst_int : std_logic := '0'; signal sig_mmap_rst : std_logic := '0'; signal sig_stream_rst : std_logic := '0'; signal sig_mm2s_cmd_wdata : std_logic_vector(MM2S_CMD_WIDTH-1 downto 0); signal sig_mm2s_cache_data : std_logic_vector(7 downto 0); signal sig_cmd2mstr_command : std_logic_vector(MM2S_CMD_WIDTH-1 downto 0) := (others => '0'); signal sig_cmd2mstr_cmd_valid : std_logic := '0'; signal sig_mst2cmd_cmd_ready : std_logic := '0'; signal sig_mstr2addr_addr : std_logic_vector(MM2S_ADDR_WIDTH-1 downto 0) := (others => '0'); signal sig_mstr2addr_len : std_logic_vector(7 downto 0) := (others => '0'); signal sig_mstr2addr_size : std_logic_vector(2 downto 0) := (others => '0'); signal sig_mstr2addr_burst : std_logic_vector(1 downto 0) := (others => '0'); signal sig_mstr2addr_cache : std_logic_vector(3 downto 0) := (others => '0'); signal sig_mstr2addr_user : std_logic_vector(3 downto 0) := (others => '0'); signal sig_mstr2addr_cmd_cmplt : std_logic := '0'; signal sig_mstr2addr_calc_error : std_logic := '0'; signal sig_mstr2addr_cmd_valid : std_logic := '0'; signal sig_addr2mstr_cmd_ready : std_logic := '0'; signal sig_mstr2data_saddr_lsb : std_logic_vector(SEL_ADDR_WIDTH-1 downto 0) := (others => '0'); signal sig_mstr2data_len : std_logic_vector(7 downto 0) := (others => '0'); signal sig_mstr2data_strt_strb : std_logic_vector((MM2S_SDATA_WIDTH/8)-1 downto 0) := (others => '0'); signal sig_mstr2data_last_strb : std_logic_vector((MM2S_SDATA_WIDTH/8)-1 downto 0) := (others => '0'); signal sig_mstr2data_drr : std_logic := '0'; signal sig_mstr2data_eof : std_logic := '0'; signal sig_mstr2data_sequential : std_logic := '0'; signal sig_mstr2data_calc_error : std_logic := '0'; signal sig_mstr2data_cmd_cmplt : std_logic := '0'; signal sig_mstr2data_cmd_valid : std_logic := '0'; signal sig_data2mstr_cmd_ready : std_logic := '0'; signal sig_addr2data_addr_posted : std_logic := '0'; signal sig_data2all_dcntlr_halted : std_logic := '0'; signal sig_addr2rsc_calc_error : std_logic := '0'; signal sig_addr2rsc_cmd_fifo_empty : std_logic := '0'; signal sig_data2rsc_tag : std_logic_vector(C_TAG_WIDTH-1 downto 0) := (others => '0'); signal sig_data2rsc_calc_err : std_logic := '0'; signal sig_data2rsc_okay : std_logic := '0'; signal sig_data2rsc_decerr : std_logic := '0'; signal sig_data2rsc_slverr : std_logic := '0'; signal sig_data2rsc_cmd_cmplt : std_logic := '0'; signal sig_rsc2data_ready : std_logic := '0'; signal sig_data2rsc_valid : std_logic := '0'; signal sig_calc2dm_calc_err : std_logic := '0'; signal sig_data2skid_wvalid : std_logic := '0'; signal sig_data2skid_wready : std_logic := '0'; signal sig_data2skid_wdata : std_logic_vector(MM2S_SDATA_WIDTH-1 downto 0) := (others => '0'); signal sig_data2skid_wstrb : std_logic_vector((MM2S_SDATA_WIDTH/8)-1 downto 0) := (others => '0'); signal sig_data2skid_wlast : std_logic := '0'; signal sig_rsc2stat_status : std_logic_vector(MM2S_STS_WIDTH-1 downto 0) := (others => '0'); signal sig_stat2rsc_status_ready : std_logic := '0'; signal sig_rsc2stat_status_valid : std_logic := '0'; signal sig_rsc2mstr_halt_pipe : std_logic := '0'; signal sig_mstr2data_tag : std_logic_vector(C_TAG_WIDTH-1 downto 0) := (others => '0'); signal sig_mstr2addr_tag : std_logic_vector(C_TAG_WIDTH-1 downto 0) := (others => '0'); signal sig_dbg_data_mux_out : std_logic_vector(31 downto 0) := (others => '0'); signal sig_dbg_data_0 : std_logic_vector(31 downto 0) := (others => '0'); signal sig_dbg_data_1 : std_logic_vector(31 downto 0) := (others => '0'); signal sig_rst2all_stop_request : std_logic := '0'; signal sig_data2rst_stop_cmplt : std_logic := '0'; signal sig_addr2rst_stop_cmplt : std_logic := '0'; signal sig_data2addr_stop_req : std_logic := '0'; signal sig_data2skid_halt : std_logic := '0'; signal sig_cache2mstr_command : std_logic_vector (7 downto 0) := (others => '0'); signal mm2s_arcache_int : std_logic_vector (3 downto 0); begin --(architecture implementation) -- Debug Support ------------------------------------------ mm2s_dbg_data <= sig_dbg_data_mux_out; -- Note that only the mm2s_dbg_sel(0) is used at this time sig_dbg_data_mux_out <= sig_dbg_data_1 When (mm2s_dbg_sel(0) = '1') else sig_dbg_data_0 ; sig_dbg_data_0 <= X"BEEF2222" ; -- 32 bit Constant indicating MM2S Basic type sig_dbg_data_1(0) <= sig_cmd_stat_rst_user ; sig_dbg_data_1(1) <= sig_cmd_stat_rst_int ; sig_dbg_data_1(2) <= sig_mmap_rst ; sig_dbg_data_1(3) <= sig_stream_rst ; sig_dbg_data_1(4) <= sig_cmd2mstr_cmd_valid ; sig_dbg_data_1(5) <= sig_mst2cmd_cmd_ready ; sig_dbg_data_1(6) <= sig_stat2rsc_status_ready; sig_dbg_data_1(7) <= sig_rsc2stat_status_valid; sig_dbg_data_1(11 downto 8) <= sig_data2rsc_tag ; -- Current TAG of active data transfer sig_dbg_data_1(15 downto 12) <= sig_rsc2stat_status(3 downto 0); -- Internal status tag field sig_dbg_data_1(16) <= sig_rsc2stat_status(4) ; -- Internal error sig_dbg_data_1(17) <= sig_rsc2stat_status(5) ; -- Decode Error sig_dbg_data_1(18) <= sig_rsc2stat_status(6) ; -- Slave Error sig_dbg_data_1(19) <= sig_rsc2stat_status(7) ; -- OKAY sig_dbg_data_1(20) <= sig_stat2rsc_status_ready ; -- Status Ready Handshake sig_dbg_data_1(21) <= sig_rsc2stat_status_valid ; -- Status Valid Handshake -- Spare bits in debug1 sig_dbg_data_1(31 downto 22) <= (others => '0') ; -- spare bits GEN_CACHE : if (C_ENABLE_MULTI_CHANNEL = 0) generate begin -- Cache signal tie-off mm2s_arcache <= "0011"; -- Per Interface-X guidelines for Masters mm2s_aruser <= "0000"; -- Per Interface-X guidelines for Masters sig_mm2s_cache_data <= (others => '0'); --mm2s_cmd_wdata(103 downto 96); end generate GEN_CACHE; GEN_CACHE2 : if (C_ENABLE_MULTI_CHANNEL = 1) generate begin -- Cache signal tie-off mm2s_arcache <= sg_ctl (3 downto 0); -- SG Cache from register mm2s_aruser <= sg_ctl (7 downto 4); -- Per Interface-X guidelines for Masters sig_mm2s_cache_data <= mm2s_cmd_wdata(103 downto 96); end generate GEN_CACHE2; -- Cache signal tie-off -- Internal error output discrete ------------------------------ mm2s_err <= sig_calc2dm_calc_err; -- Rip the used portion of the Command Interface Command Data -- and throw away the padding sig_mm2s_cmd_wdata <= mm2s_cmd_wdata(MM2S_CMD_WIDTH-1 downto 0); ------------------------------------------------------------ -- Instance: I_RESET -- -- Description: -- Reset Block -- ------------------------------------------------------------ I_RESET : entity axi_sg_v4_1_2.axi_sg_reset generic map ( C_STSCMD_IS_ASYNC => MM2S_STSCMD_IS_ASYNC ) port map ( primary_aclk => mm2s_aclk , primary_aresetn => mm2s_aresetn , secondary_awclk => mm2s_cmdsts_awclk , secondary_aresetn => mm2s_cmdsts_aresetn , halt_req => mm2s_halt , halt_cmplt => mm2s_halt_cmplt , flush_stop_request => sig_rst2all_stop_request , data_cntlr_stopped => sig_data2rst_stop_cmplt , addr_cntlr_stopped => sig_addr2rst_stop_cmplt , aux1_stopped => LOGIC_HIGH , aux2_stopped => LOGIC_HIGH , cmd_stat_rst_user => sig_cmd_stat_rst_user , cmd_stat_rst_int => sig_cmd_stat_rst_int , mmap_rst => sig_mmap_rst , stream_rst => sig_stream_rst ); ------------------------------------------------------------ -- Instance: I_CMD_STATUS -- -- Description: -- Command and Status Interface Block -- ------------------------------------------------------------ I_CMD_STATUS : entity axi_sg_v4_1_2.axi_sg_cmd_status generic map ( C_ADDR_WIDTH => MM2S_ADDR_WIDTH , C_INCLUDE_STSFIFO => INCLUDE_MM2S_STSFIFO , C_STSCMD_FIFO_DEPTH => MM2S_STSCMD_FIFO_DEPTH , C_STSCMD_IS_ASYNC => MM2S_STSCMD_IS_ASYNC , C_CMD_WIDTH => MM2S_CMD_WIDTH , C_STS_WIDTH => MM2S_STS_WIDTH , C_FAMILY => C_FAMILY ) port map ( primary_aclk => mm2s_aclk , secondary_awclk => mm2s_cmdsts_awclk , user_reset => sig_cmd_stat_rst_user , internal_reset => sig_cmd_stat_rst_int , cmd_wvalid => mm2s_cmd_wvalid , cmd_wready => mm2s_cmd_wready , cmd_wdata => sig_mm2s_cmd_wdata , cache_data => sig_mm2s_cache_data , sts_wvalid => mm2s_sts_wvalid , sts_wready => mm2s_sts_wready , sts_wdata => mm2s_sts_wdata , sts_wstrb => mm2s_sts_wstrb , sts_wlast => mm2s_sts_wlast , cmd2mstr_command => sig_cmd2mstr_command , mst2cmd_cmd_valid => sig_cmd2mstr_cmd_valid , cmd2mstr_cmd_ready => sig_mst2cmd_cmd_ready , mstr2stat_status => sig_rsc2stat_status , stat2mstr_status_ready => sig_stat2rsc_status_ready , mst2stst_status_valid => sig_rsc2stat_status_valid ); ------------------------------------------------------------ -- Instance: I_RD_STATUS_CNTLR -- -- Description: -- Read Status Controller Block -- ------------------------------------------------------------ I_RD_STATUS_CNTLR : entity axi_sg_v4_1_2.axi_sg_rd_status_cntl generic map ( C_STS_WIDTH => MM2S_STS_WIDTH , C_TAG_WIDTH => C_TAG_WIDTH ) port map ( primary_aclk => mm2s_aclk , mmap_reset => sig_mmap_rst , calc2rsc_calc_error => sig_calc2dm_calc_err , addr2rsc_calc_error => sig_addr2rsc_calc_error , addr2rsc_fifo_empty => sig_addr2rsc_cmd_fifo_empty , data2rsc_tag => sig_data2rsc_tag , data2rsc_calc_error => sig_data2rsc_calc_err , data2rsc_okay => sig_data2rsc_okay , data2rsc_decerr => sig_data2rsc_decerr , data2rsc_slverr => sig_data2rsc_slverr , data2rsc_cmd_cmplt => sig_data2rsc_cmd_cmplt , rsc2data_ready => sig_rsc2data_ready , data2rsc_valid => sig_data2rsc_valid , rsc2stat_status => sig_rsc2stat_status , stat2rsc_status_ready => sig_stat2rsc_status_ready , rsc2stat_status_valid => sig_rsc2stat_status_valid , rsc2mstr_halt_pipe => sig_rsc2mstr_halt_pipe ); ------------------------------------------------------------ -- Instance: I_MSTR_SCC -- -- Description: -- Simple Command Calculator Block -- ------------------------------------------------------------ I_MSTR_SCC : entity axi_sg_v4_1_2.axi_sg_scc generic map ( C_SEL_ADDR_WIDTH => SEL_ADDR_WIDTH , C_ADDR_WIDTH => MM2S_ADDR_WIDTH , C_STREAM_DWIDTH => MM2S_SDATA_WIDTH , C_MAX_BURST_LEN => C_MM2S_BURST_SIZE , C_CMD_WIDTH => MM2S_CMD_WIDTH , C_ENABLE_EXTRA_FIELD => C_ENABLE_EXTRA_FIELD , C_TAG_WIDTH => C_TAG_WIDTH ) port map ( -- Clock input primary_aclk => mm2s_aclk , mmap_reset => sig_mmap_rst , cmd2mstr_command => sig_cmd2mstr_command , cache2mstr_command => sig_cache2mstr_command , cmd2mstr_cmd_valid => sig_cmd2mstr_cmd_valid , mst2cmd_cmd_ready => sig_mst2cmd_cmd_ready , mstr2addr_tag => sig_mstr2addr_tag , mstr2addr_addr => sig_mstr2addr_addr , mstr2addr_len => sig_mstr2addr_len , mstr2addr_size => sig_mstr2addr_size , mstr2addr_burst => sig_mstr2addr_burst , mstr2addr_calc_error => sig_mstr2addr_calc_error , mstr2addr_cmd_cmplt => sig_mstr2addr_cmd_cmplt , mstr2addr_cmd_valid => sig_mstr2addr_cmd_valid , addr2mstr_cmd_ready => sig_addr2mstr_cmd_ready , mstr2data_tag => sig_mstr2data_tag , mstr2data_saddr_lsb => sig_mstr2data_saddr_lsb , mstr2data_len => sig_mstr2data_len , mstr2data_strt_strb => sig_mstr2data_strt_strb , mstr2data_last_strb => sig_mstr2data_last_strb , mstr2data_sof => sig_mstr2data_drr , mstr2data_eof => sig_mstr2data_eof , mstr2data_calc_error => sig_mstr2data_calc_error , mstr2data_cmd_cmplt => sig_mstr2data_cmd_cmplt , mstr2data_cmd_valid => sig_mstr2data_cmd_valid , data2mstr_cmd_ready => sig_data2mstr_cmd_ready , calc_error => sig_calc2dm_calc_err ); ------------------------------------------------------------ -- Instance: I_ADDR_CNTL -- -- Description: -- Address Controller Block -- ------------------------------------------------------------ I_ADDR_CNTL : entity axi_sg_v4_1_2.axi_sg_addr_cntl generic map ( -- obsoleted C_ENABlE_WAIT_FOR_DATA => DISABLE_WAIT_FOR_DATA , --C_ADDR_FIFO_DEPTH => MM2S_STSCMD_FIFO_DEPTH , C_ADDR_FIFO_DEPTH => RD_ADDR_CNTL_FIFO_DEPTH , C_ADDR_WIDTH => MM2S_ADDR_WIDTH , C_ADDR_ID => MM2S_ARID_VALUE , C_ADDR_ID_WIDTH => MM2S_ARID_WIDTH , C_TAG_WIDTH => C_TAG_WIDTH ) port map ( primary_aclk => mm2s_aclk , mmap_reset => sig_mmap_rst , addr2axi_aid => mm2s_arid , addr2axi_aaddr => mm2s_araddr , addr2axi_alen => mm2s_arlen , addr2axi_asize => mm2s_arsize , addr2axi_aburst => mm2s_arburst , addr2axi_aprot => mm2s_arprot , addr2axi_avalid => mm2s_arvalid , addr2axi_acache => open , addr2axi_auser => open , axi2addr_aready => mm2s_arready , mstr2addr_tag => sig_mstr2addr_tag , mstr2addr_addr => sig_mstr2addr_addr , mstr2addr_len => sig_mstr2addr_len , mstr2addr_size => sig_mstr2addr_size , mstr2addr_burst => sig_mstr2addr_burst , mstr2addr_cache => sig_mstr2addr_cache , mstr2addr_user => sig_mstr2addr_user , mstr2addr_cmd_cmplt => sig_mstr2addr_cmd_cmplt , mstr2addr_calc_error => sig_mstr2addr_calc_error , mstr2addr_cmd_valid => sig_mstr2addr_cmd_valid , addr2mstr_cmd_ready => sig_addr2mstr_cmd_ready , addr2rst_stop_cmplt => sig_addr2rst_stop_cmplt , allow_addr_req => mm2s_allow_addr_req , addr_req_posted => mm2s_addr_req_posted , addr2data_addr_posted => sig_addr2data_addr_posted , data2addr_data_rdy => LOGIC_LOW , data2addr_stop_req => sig_data2addr_stop_req , addr2stat_calc_error => sig_addr2rsc_calc_error , addr2stat_cmd_fifo_empty => sig_addr2rsc_cmd_fifo_empty ); ------------------------------------------------------------ -- Instance: I_RD_DATA_CNTL -- -- Description: -- Read Data Controller Block -- ------------------------------------------------------------ I_RD_DATA_CNTL : entity axi_sg_v4_1_2.axi_sg_rddata_cntl generic map ( C_INCLUDE_DRE => INCLUDE_MM2S_DRE , C_ALIGN_WIDTH => DRE_ALIGN_WIDTH , C_SEL_ADDR_WIDTH => SEL_ADDR_WIDTH , C_DATA_CNTL_FIFO_DEPTH => RD_DATA_CNTL_FIFO_DEPTH , C_MMAP_DWIDTH => MM2S_MDATA_WIDTH , C_STREAM_DWIDTH => MM2S_SDATA_WIDTH , C_TAG_WIDTH => C_TAG_WIDTH , C_FAMILY => C_FAMILY ) port map ( -- Clock and Reset ----------------------------------- primary_aclk => mm2s_aclk , mmap_reset => sig_mmap_rst , -- Soft Shutdown Interface ----------------------------- rst2data_stop_request => sig_rst2all_stop_request , data2addr_stop_req => sig_data2addr_stop_req , data2rst_stop_cmplt => sig_data2rst_stop_cmplt , -- External Address Pipelining Contol support mm2s_rd_xfer_cmplt => mm2s_rd_xfer_cmplt , -- AXI Read Data Channel I/O ------------------------------- mm2s_rdata => mm2s_rdata , mm2s_rresp => mm2s_rresp , mm2s_rlast => mm2s_rlast , mm2s_rvalid => mm2s_rvalid , mm2s_rready => mm2s_rready , -- MM2S DRE Control ----------------------------------- mm2s_dre_new_align => open , mm2s_dre_use_autodest => open , mm2s_dre_src_align => open , mm2s_dre_dest_align => open , mm2s_dre_flush => open , -- AXI Master Stream ----------------------------------- mm2s_strm_wvalid => mm2s_strm_wvalid , mm2s_strm_wready => mm2s_strm_wready , mm2s_strm_wdata => mm2s_strm_wdata , mm2s_strm_wstrb => mm2s_strm_wstrb , mm2s_strm_wlast => mm2s_strm_wlast , -- MM2S Store and Forward Supplimental Control ----------- mm2s_data2sf_cmd_cmplt => open , -- Command Calculator Interface -------------------------- mstr2data_tag => sig_mstr2data_tag , mstr2data_saddr_lsb => sig_mstr2data_saddr_lsb , mstr2data_len => sig_mstr2data_len , mstr2data_strt_strb => sig_mstr2data_strt_strb , mstr2data_last_strb => sig_mstr2data_last_strb , mstr2data_drr => sig_mstr2data_drr , mstr2data_eof => sig_mstr2data_eof , mstr2data_sequential => LOGIC_LOW , mstr2data_calc_error => sig_mstr2data_calc_error , mstr2data_cmd_cmplt => sig_mstr2data_cmd_cmplt , mstr2data_cmd_valid => sig_mstr2data_cmd_valid , data2mstr_cmd_ready => sig_data2mstr_cmd_ready , mstr2data_dre_src_align => DRE_ALIGN_ZEROS , mstr2data_dre_dest_align => DRE_ALIGN_ZEROS , -- Address Controller Interface -------------------------- addr2data_addr_posted => sig_addr2data_addr_posted , -- Data Controller Halted Status data2all_dcntlr_halted => sig_data2all_dcntlr_halted, -- Output Stream Skid Buffer Halt control data2skid_halt => sig_data2skid_halt , -- Read Status Controller Interface -------------------------- data2rsc_tag => sig_data2rsc_tag , data2rsc_calc_err => sig_data2rsc_calc_err , data2rsc_okay => sig_data2rsc_okay , data2rsc_decerr => sig_data2rsc_decerr , data2rsc_slverr => sig_data2rsc_slverr , data2rsc_cmd_cmplt => sig_data2rsc_cmd_cmplt , rsc2data_ready => sig_rsc2data_ready , data2rsc_valid => sig_data2rsc_valid , rsc2mstr_halt_pipe => sig_rsc2mstr_halt_pipe ); ------------------------------------------------------------ -- Instance: I_MM2S_SKID_BUF -- -- Description: -- Instance for the MM2S Skid Buffer which provides for -- registerd Master Stream outputs and supports bi-dir -- throttling. -- ------------------------------------------------------------ -- I_MM2S_SKID_BUF : entity axi_sg_v4_1_2.axi_sg_skid_buf -- generic map ( -- -- C_WDATA_WIDTH => MM2S_SDATA_WIDTH -- -- ) -- port map ( -- -- -- System Ports -- aclk => mm2s_aclk , -- arst => sig_stream_rst , -- -- -- Shutdown control (assert for 1 clk pulse) -- skid_stop => sig_data2skid_halt , -- -- -- Slave Side (Stream Data Input) -- s_valid => sig_data2skid_wvalid , -- s_ready => sig_data2skid_wready , -- s_data => sig_data2skid_wdata , -- s_strb => sig_data2skid_wstrb , -- s_last => sig_data2skid_wlast , -- -- -- Master Side (Stream Data Output -- m_valid => mm2s_strm_wvalid , -- m_ready => mm2s_strm_wready , -- m_data => mm2s_strm_wdata , -- m_strb => mm2s_strm_wstrb , -- m_last => mm2s_strm_wlast -- -- ); -- end implementation;
-- ************************************************************************* -- -- (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: axi_sg_mm2s_basic_wrap.vhd -- -- Description: -- This file implements the DataMover MM2S Basic Wrapper. -- -- -- -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; -- axi_sg Library Modules library axi_sg_v4_1_2; use axi_sg_v4_1_2.axi_sg_reset; use axi_sg_v4_1_2.axi_sg_cmd_status; use axi_sg_v4_1_2.axi_sg_scc; use axi_sg_v4_1_2.axi_sg_addr_cntl; use axi_sg_v4_1_2.axi_sg_rddata_cntl; use axi_sg_v4_1_2.axi_sg_rd_status_cntl; use axi_sg_v4_1_2.axi_sg_skid_buf; ------------------------------------------------------------------------------- entity axi_sg_mm2s_basic_wrap is generic ( C_INCLUDE_MM2S : Integer range 0 to 2 := 2; -- Specifies the type of MM2S function to include -- 0 = Omit MM2S functionality -- 1 = Full MM2S Functionality -- 2 = Basic MM2S functionality C_MM2S_ARID : Integer range 0 to 255 := 8; -- Specifies the constant value to output on -- the ARID output port C_MM2S_ID_WIDTH : Integer range 1 to 8 := 4; -- Specifies the width of the MM2S ID port C_MM2S_ADDR_WIDTH : Integer range 32 to 64 := 32; -- Specifies the width of the MMap Read Address Channel -- Address bus C_MM2S_MDATA_WIDTH : Integer range 32 to 64 := 32; -- Specifies the width of the MMap Read Data Channel -- data bus C_MM2S_SDATA_WIDTH : Integer range 8 to 64 := 32; -- Specifies the width of the MM2S Master Stream Data -- Channel data bus C_INCLUDE_MM2S_STSFIFO : Integer range 0 to 1 := 1; -- Specifies if a Status FIFO is to be implemented -- 0 = Omit MM2S Status FIFO -- 1 = Include MM2S Status FIFO C_MM2S_STSCMD_FIFO_DEPTH : Integer range 1 to 16 := 1; -- Specifies the depth of the MM2S Command FIFO and the -- optional Status FIFO -- Valid values are 1,4,8,16 C_MM2S_STSCMD_IS_ASYNC : Integer range 0 to 1 := 0; -- Specifies if the Status and Command interfaces need to -- be asynchronous to the primary data path clocking -- 0 = Use same clocking as data path -- 1 = Use special Status/Command clock for the interfaces C_INCLUDE_MM2S_DRE : Integer range 0 to 1 := 0; -- Specifies if DRE is to be included in the MM2S function -- 0 = Omit DRE -- 1 = Include DRE C_MM2S_BURST_SIZE : Integer range 16 to 64 := 16; -- Specifies the max number of databeats to use for MMap -- burst transfers by the MM2S function C_MM2S_BTT_USED : Integer range 8 to 23 := 16; -- Specifies the number of bits used from the BTT field -- of the input Command Word of the MM2S Command Interface C_MM2S_ADDR_PIPE_DEPTH : Integer range 1 to 30 := 1; -- This parameter specifies the depth of the MM2S internal -- child command queues in the Read Address Controller and -- the Read Data Controller. Increasing this value will -- allow more Read Addresses to be issued to the AXI4 Read -- Address Channel before receipt of the associated read -- data on the Read Data Channel. C_ENABLE_MULTI_CHANNEL : Integer range 0 to 1 := 1; C_ENABLE_EXTRA_FIELD : integer range 0 to 1 := 0; C_TAG_WIDTH : Integer range 1 to 8 := 4 ; -- Width of the TAG field C_FAMILY : String := "virtex7" -- Specifies the target FPGA family type ); port ( -- MM2S Primary Clock and Reset inputs ----------------------- mm2s_aclk : in std_logic; -- -- Primary synchronization clock for the Master side -- -- interface and internal logic. It is also used -- -- for the User interface synchronization when -- -- C_STSCMD_IS_ASYNC = 0. -- -- -- MM2S Primary Reset input -- mm2s_aresetn : in std_logic; -- -- Reset used for the internal master logic -- -------------------------------------------------------------- sg_ctl : in std_logic_vector (7 downto 0); -- MM2S Halt request input control --------------------------- mm2s_halt : in std_logic; -- -- Active high soft shutdown request -- -- -- MM2S Halt Complete status flag -- mm2s_halt_cmplt : Out std_logic; -- -- Active high soft shutdown complete status -- -------------------------------------------------------------- -- Error discrete output ------------------------------------- mm2s_err : Out std_logic; -- -- Composite Error indication -- -------------------------------------------------------------- -- Optional MM2S Command and Status Clock and Reset ---------- -- These are used when C_MM2S_STSCMD_IS_ASYNC = 1 -- mm2s_cmdsts_awclk : in std_logic; -- -- Secondary Clock input for async CMD/Status interface -- -- mm2s_cmdsts_aresetn : in std_logic; -- -- Secondary Reset input for async CMD/Status interface -- -------------------------------------------------------------- -- User Command Interface Ports (AXI Stream) ------------------------------------------------- mm2s_cmd_wvalid : in std_logic; -- mm2s_cmd_wready : out std_logic; -- mm2s_cmd_wdata : in std_logic_vector((C_TAG_WIDTH+(1+C_ENABLE_MULTI_CHANNEL)*C_MM2S_ADDR_WIDTH+36)-1 downto 0); -- ---------------------------------------------------------------------------------------------- -- User Status Interface Ports (AXI Stream) ----------------- mm2s_sts_wvalid : out std_logic; -- mm2s_sts_wready : in std_logic; -- mm2s_sts_wdata : out std_logic_vector(7 downto 0); -- mm2s_sts_wstrb : out std_logic_vector(0 downto 0); -- mm2s_sts_wlast : out std_logic; -- ------------------------------------------------------------- -- Address Posting contols ---------------------------------- mm2s_allow_addr_req : in std_logic; -- mm2s_addr_req_posted : out std_logic; -- mm2s_rd_xfer_cmplt : out std_logic; -- ------------------------------------------------------------- -- MM2S AXI Address Channel I/O -------------------------------------- mm2s_arid : out std_logic_vector(C_MM2S_ID_WIDTH-1 downto 0); -- -- AXI Address Channel ID output -- -- mm2s_araddr : out std_logic_vector(C_MM2S_ADDR_WIDTH-1 downto 0); -- -- AXI Address Channel Address output -- -- mm2s_arlen : out std_logic_vector(7 downto 0); -- -- AXI Address Channel LEN output -- -- Sized to support 256 data beat bursts -- -- mm2s_arsize : out std_logic_vector(2 downto 0); -- -- AXI Address Channel SIZE output -- -- mm2s_arburst : out std_logic_vector(1 downto 0); -- -- AXI Address Channel BURST output -- -- mm2s_arprot : out std_logic_vector(2 downto 0); -- -- AXI Address Channel PROT output -- -- mm2s_arcache : out std_logic_vector(3 downto 0); -- -- AXI Address Channel CACHE output -- mm2s_aruser : out std_logic_vector(3 downto 0); -- -- AXI Address Channel USER output -- -- mm2s_arvalid : out std_logic; -- -- AXI Address Channel VALID output -- -- mm2s_arready : in std_logic; -- -- AXI Address Channel READY input -- ----------------------------------------------------------------------- -- Currently unsupported AXI Address Channel output signals ------- -- addr2axi_alock : out std_logic_vector(2 downto 0); -- -- addr2axi_acache : out std_logic_vector(4 downto 0); -- -- addr2axi_aqos : out std_logic_vector(3 downto 0); -- -- addr2axi_aregion : out std_logic_vector(3 downto 0); -- ------------------------------------------------------------------- -- MM2S AXI MMap Read Data Channel I/O ------------------------------------------ mm2s_rdata : In std_logic_vector(C_MM2S_MDATA_WIDTH-1 downto 0); -- mm2s_rresp : In std_logic_vector(1 downto 0); -- mm2s_rlast : In std_logic; -- mm2s_rvalid : In std_logic; -- mm2s_rready : Out std_logic; -- ---------------------------------------------------------------------------------- -- MM2S AXI Master Stream Channel I/O ----------------------------------------------- mm2s_strm_wdata : Out std_logic_vector(C_MM2S_SDATA_WIDTH-1 downto 0); -- mm2s_strm_wstrb : Out std_logic_vector((C_MM2S_SDATA_WIDTH/8)-1 downto 0); -- mm2s_strm_wlast : Out std_logic; -- mm2s_strm_wvalid : Out std_logic; -- mm2s_strm_wready : In std_logic; -- -------------------------------------------------------------------------------------- -- Testing Support I/O -------------------------------------------- mm2s_dbg_sel : in std_logic_vector( 3 downto 0); -- mm2s_dbg_data : out std_logic_vector(31 downto 0) -- ------------------------------------------------------------------- ); end entity axi_sg_mm2s_basic_wrap; architecture implementation of axi_sg_mm2s_basic_wrap is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; -- Function Declarations ---------------------------------------- ------------------------------------------------------------------- -- Function -- -- Function Name: func_calc_rdmux_sel_bits -- -- Function Description: -- This function calculates the number of address bits needed for -- the Read data mux select control. -- ------------------------------------------------------------------- function func_calc_rdmux_sel_bits (mmap_dwidth_value : integer) return integer is Variable num_addr_bits_needed : Integer range 1 to 5 := 1; begin case mmap_dwidth_value is when 32 => num_addr_bits_needed := 2; -- coverage off when 64 => num_addr_bits_needed := 3; when 128 => num_addr_bits_needed := 4; when others => -- 256 bits num_addr_bits_needed := 5; -- coverage on end case; Return (num_addr_bits_needed); end function func_calc_rdmux_sel_bits; -- Constant Declarations ---------------------------------------- Constant LOGIC_LOW : std_logic := '0'; Constant LOGIC_HIGH : std_logic := '1'; Constant INCLUDE_MM2S : integer range 0 to 2 := 2; Constant MM2S_ARID_VALUE : integer range 0 to 255 := C_MM2S_ARID; Constant MM2S_ARID_WIDTH : integer range 1 to 8 := C_MM2S_ID_WIDTH; Constant MM2S_ADDR_WIDTH : integer range 32 to 64 := C_MM2S_ADDR_WIDTH; Constant MM2S_MDATA_WIDTH : integer range 32 to 256 := C_MM2S_MDATA_WIDTH; Constant MM2S_SDATA_WIDTH : integer range 8 to 256 := C_MM2S_SDATA_WIDTH; Constant MM2S_CMD_WIDTH : integer := (C_TAG_WIDTH+C_MM2S_ADDR_WIDTH+32); Constant MM2S_STS_WIDTH : integer := 8; -- always 8 for MM2S Constant INCLUDE_MM2S_STSFIFO : integer range 0 to 1 := 1; Constant MM2S_STSCMD_FIFO_DEPTH : integer range 1 to 64 := 1; Constant MM2S_STSCMD_IS_ASYNC : integer range 0 to 1 := 0; Constant INCLUDE_MM2S_DRE : integer range 0 to 1 := 0; Constant DRE_ALIGN_WIDTH : integer range 1 to 3 := 2; Constant MM2S_BURST_SIZE : integer range 16 to 256 := 16; Constant RD_ADDR_CNTL_FIFO_DEPTH : integer range 1 to 30 := C_MM2S_ADDR_PIPE_DEPTH; Constant RD_DATA_CNTL_FIFO_DEPTH : integer range 1 to 30 := C_MM2S_ADDR_PIPE_DEPTH; Constant SEL_ADDR_WIDTH : integer := func_calc_rdmux_sel_bits(MM2S_MDATA_WIDTH); Constant DRE_ALIGN_ZEROS : std_logic_vector(DRE_ALIGN_WIDTH-1 downto 0) := (others => '0'); -- obsoleted Constant DISABLE_WAIT_FOR_DATA : integer := 0; -- Signal Declarations ------------------------------------------ signal sig_cmd_stat_rst_user : std_logic := '0'; signal sig_cmd_stat_rst_int : std_logic := '0'; signal sig_mmap_rst : std_logic := '0'; signal sig_stream_rst : std_logic := '0'; signal sig_mm2s_cmd_wdata : std_logic_vector(MM2S_CMD_WIDTH-1 downto 0); signal sig_mm2s_cache_data : std_logic_vector(7 downto 0); signal sig_cmd2mstr_command : std_logic_vector(MM2S_CMD_WIDTH-1 downto 0) := (others => '0'); signal sig_cmd2mstr_cmd_valid : std_logic := '0'; signal sig_mst2cmd_cmd_ready : std_logic := '0'; signal sig_mstr2addr_addr : std_logic_vector(MM2S_ADDR_WIDTH-1 downto 0) := (others => '0'); signal sig_mstr2addr_len : std_logic_vector(7 downto 0) := (others => '0'); signal sig_mstr2addr_size : std_logic_vector(2 downto 0) := (others => '0'); signal sig_mstr2addr_burst : std_logic_vector(1 downto 0) := (others => '0'); signal sig_mstr2addr_cache : std_logic_vector(3 downto 0) := (others => '0'); signal sig_mstr2addr_user : std_logic_vector(3 downto 0) := (others => '0'); signal sig_mstr2addr_cmd_cmplt : std_logic := '0'; signal sig_mstr2addr_calc_error : std_logic := '0'; signal sig_mstr2addr_cmd_valid : std_logic := '0'; signal sig_addr2mstr_cmd_ready : std_logic := '0'; signal sig_mstr2data_saddr_lsb : std_logic_vector(SEL_ADDR_WIDTH-1 downto 0) := (others => '0'); signal sig_mstr2data_len : std_logic_vector(7 downto 0) := (others => '0'); signal sig_mstr2data_strt_strb : std_logic_vector((MM2S_SDATA_WIDTH/8)-1 downto 0) := (others => '0'); signal sig_mstr2data_last_strb : std_logic_vector((MM2S_SDATA_WIDTH/8)-1 downto 0) := (others => '0'); signal sig_mstr2data_drr : std_logic := '0'; signal sig_mstr2data_eof : std_logic := '0'; signal sig_mstr2data_sequential : std_logic := '0'; signal sig_mstr2data_calc_error : std_logic := '0'; signal sig_mstr2data_cmd_cmplt : std_logic := '0'; signal sig_mstr2data_cmd_valid : std_logic := '0'; signal sig_data2mstr_cmd_ready : std_logic := '0'; signal sig_addr2data_addr_posted : std_logic := '0'; signal sig_data2all_dcntlr_halted : std_logic := '0'; signal sig_addr2rsc_calc_error : std_logic := '0'; signal sig_addr2rsc_cmd_fifo_empty : std_logic := '0'; signal sig_data2rsc_tag : std_logic_vector(C_TAG_WIDTH-1 downto 0) := (others => '0'); signal sig_data2rsc_calc_err : std_logic := '0'; signal sig_data2rsc_okay : std_logic := '0'; signal sig_data2rsc_decerr : std_logic := '0'; signal sig_data2rsc_slverr : std_logic := '0'; signal sig_data2rsc_cmd_cmplt : std_logic := '0'; signal sig_rsc2data_ready : std_logic := '0'; signal sig_data2rsc_valid : std_logic := '0'; signal sig_calc2dm_calc_err : std_logic := '0'; signal sig_data2skid_wvalid : std_logic := '0'; signal sig_data2skid_wready : std_logic := '0'; signal sig_data2skid_wdata : std_logic_vector(MM2S_SDATA_WIDTH-1 downto 0) := (others => '0'); signal sig_data2skid_wstrb : std_logic_vector((MM2S_SDATA_WIDTH/8)-1 downto 0) := (others => '0'); signal sig_data2skid_wlast : std_logic := '0'; signal sig_rsc2stat_status : std_logic_vector(MM2S_STS_WIDTH-1 downto 0) := (others => '0'); signal sig_stat2rsc_status_ready : std_logic := '0'; signal sig_rsc2stat_status_valid : std_logic := '0'; signal sig_rsc2mstr_halt_pipe : std_logic := '0'; signal sig_mstr2data_tag : std_logic_vector(C_TAG_WIDTH-1 downto 0) := (others => '0'); signal sig_mstr2addr_tag : std_logic_vector(C_TAG_WIDTH-1 downto 0) := (others => '0'); signal sig_dbg_data_mux_out : std_logic_vector(31 downto 0) := (others => '0'); signal sig_dbg_data_0 : std_logic_vector(31 downto 0) := (others => '0'); signal sig_dbg_data_1 : std_logic_vector(31 downto 0) := (others => '0'); signal sig_rst2all_stop_request : std_logic := '0'; signal sig_data2rst_stop_cmplt : std_logic := '0'; signal sig_addr2rst_stop_cmplt : std_logic := '0'; signal sig_data2addr_stop_req : std_logic := '0'; signal sig_data2skid_halt : std_logic := '0'; signal sig_cache2mstr_command : std_logic_vector (7 downto 0) := (others => '0'); signal mm2s_arcache_int : std_logic_vector (3 downto 0); begin --(architecture implementation) -- Debug Support ------------------------------------------ mm2s_dbg_data <= sig_dbg_data_mux_out; -- Note that only the mm2s_dbg_sel(0) is used at this time sig_dbg_data_mux_out <= sig_dbg_data_1 When (mm2s_dbg_sel(0) = '1') else sig_dbg_data_0 ; sig_dbg_data_0 <= X"BEEF2222" ; -- 32 bit Constant indicating MM2S Basic type sig_dbg_data_1(0) <= sig_cmd_stat_rst_user ; sig_dbg_data_1(1) <= sig_cmd_stat_rst_int ; sig_dbg_data_1(2) <= sig_mmap_rst ; sig_dbg_data_1(3) <= sig_stream_rst ; sig_dbg_data_1(4) <= sig_cmd2mstr_cmd_valid ; sig_dbg_data_1(5) <= sig_mst2cmd_cmd_ready ; sig_dbg_data_1(6) <= sig_stat2rsc_status_ready; sig_dbg_data_1(7) <= sig_rsc2stat_status_valid; sig_dbg_data_1(11 downto 8) <= sig_data2rsc_tag ; -- Current TAG of active data transfer sig_dbg_data_1(15 downto 12) <= sig_rsc2stat_status(3 downto 0); -- Internal status tag field sig_dbg_data_1(16) <= sig_rsc2stat_status(4) ; -- Internal error sig_dbg_data_1(17) <= sig_rsc2stat_status(5) ; -- Decode Error sig_dbg_data_1(18) <= sig_rsc2stat_status(6) ; -- Slave Error sig_dbg_data_1(19) <= sig_rsc2stat_status(7) ; -- OKAY sig_dbg_data_1(20) <= sig_stat2rsc_status_ready ; -- Status Ready Handshake sig_dbg_data_1(21) <= sig_rsc2stat_status_valid ; -- Status Valid Handshake -- Spare bits in debug1 sig_dbg_data_1(31 downto 22) <= (others => '0') ; -- spare bits GEN_CACHE : if (C_ENABLE_MULTI_CHANNEL = 0) generate begin -- Cache signal tie-off mm2s_arcache <= "0011"; -- Per Interface-X guidelines for Masters mm2s_aruser <= "0000"; -- Per Interface-X guidelines for Masters sig_mm2s_cache_data <= (others => '0'); --mm2s_cmd_wdata(103 downto 96); end generate GEN_CACHE; GEN_CACHE2 : if (C_ENABLE_MULTI_CHANNEL = 1) generate begin -- Cache signal tie-off mm2s_arcache <= sg_ctl (3 downto 0); -- SG Cache from register mm2s_aruser <= sg_ctl (7 downto 4); -- Per Interface-X guidelines for Masters sig_mm2s_cache_data <= mm2s_cmd_wdata(103 downto 96); end generate GEN_CACHE2; -- Cache signal tie-off -- Internal error output discrete ------------------------------ mm2s_err <= sig_calc2dm_calc_err; -- Rip the used portion of the Command Interface Command Data -- and throw away the padding sig_mm2s_cmd_wdata <= mm2s_cmd_wdata(MM2S_CMD_WIDTH-1 downto 0); ------------------------------------------------------------ -- Instance: I_RESET -- -- Description: -- Reset Block -- ------------------------------------------------------------ I_RESET : entity axi_sg_v4_1_2.axi_sg_reset generic map ( C_STSCMD_IS_ASYNC => MM2S_STSCMD_IS_ASYNC ) port map ( primary_aclk => mm2s_aclk , primary_aresetn => mm2s_aresetn , secondary_awclk => mm2s_cmdsts_awclk , secondary_aresetn => mm2s_cmdsts_aresetn , halt_req => mm2s_halt , halt_cmplt => mm2s_halt_cmplt , flush_stop_request => sig_rst2all_stop_request , data_cntlr_stopped => sig_data2rst_stop_cmplt , addr_cntlr_stopped => sig_addr2rst_stop_cmplt , aux1_stopped => LOGIC_HIGH , aux2_stopped => LOGIC_HIGH , cmd_stat_rst_user => sig_cmd_stat_rst_user , cmd_stat_rst_int => sig_cmd_stat_rst_int , mmap_rst => sig_mmap_rst , stream_rst => sig_stream_rst ); ------------------------------------------------------------ -- Instance: I_CMD_STATUS -- -- Description: -- Command and Status Interface Block -- ------------------------------------------------------------ I_CMD_STATUS : entity axi_sg_v4_1_2.axi_sg_cmd_status generic map ( C_ADDR_WIDTH => MM2S_ADDR_WIDTH , C_INCLUDE_STSFIFO => INCLUDE_MM2S_STSFIFO , C_STSCMD_FIFO_DEPTH => MM2S_STSCMD_FIFO_DEPTH , C_STSCMD_IS_ASYNC => MM2S_STSCMD_IS_ASYNC , C_CMD_WIDTH => MM2S_CMD_WIDTH , C_STS_WIDTH => MM2S_STS_WIDTH , C_FAMILY => C_FAMILY ) port map ( primary_aclk => mm2s_aclk , secondary_awclk => mm2s_cmdsts_awclk , user_reset => sig_cmd_stat_rst_user , internal_reset => sig_cmd_stat_rst_int , cmd_wvalid => mm2s_cmd_wvalid , cmd_wready => mm2s_cmd_wready , cmd_wdata => sig_mm2s_cmd_wdata , cache_data => sig_mm2s_cache_data , sts_wvalid => mm2s_sts_wvalid , sts_wready => mm2s_sts_wready , sts_wdata => mm2s_sts_wdata , sts_wstrb => mm2s_sts_wstrb , sts_wlast => mm2s_sts_wlast , cmd2mstr_command => sig_cmd2mstr_command , mst2cmd_cmd_valid => sig_cmd2mstr_cmd_valid , cmd2mstr_cmd_ready => sig_mst2cmd_cmd_ready , mstr2stat_status => sig_rsc2stat_status , stat2mstr_status_ready => sig_stat2rsc_status_ready , mst2stst_status_valid => sig_rsc2stat_status_valid ); ------------------------------------------------------------ -- Instance: I_RD_STATUS_CNTLR -- -- Description: -- Read Status Controller Block -- ------------------------------------------------------------ I_RD_STATUS_CNTLR : entity axi_sg_v4_1_2.axi_sg_rd_status_cntl generic map ( C_STS_WIDTH => MM2S_STS_WIDTH , C_TAG_WIDTH => C_TAG_WIDTH ) port map ( primary_aclk => mm2s_aclk , mmap_reset => sig_mmap_rst , calc2rsc_calc_error => sig_calc2dm_calc_err , addr2rsc_calc_error => sig_addr2rsc_calc_error , addr2rsc_fifo_empty => sig_addr2rsc_cmd_fifo_empty , data2rsc_tag => sig_data2rsc_tag , data2rsc_calc_error => sig_data2rsc_calc_err , data2rsc_okay => sig_data2rsc_okay , data2rsc_decerr => sig_data2rsc_decerr , data2rsc_slverr => sig_data2rsc_slverr , data2rsc_cmd_cmplt => sig_data2rsc_cmd_cmplt , rsc2data_ready => sig_rsc2data_ready , data2rsc_valid => sig_data2rsc_valid , rsc2stat_status => sig_rsc2stat_status , stat2rsc_status_ready => sig_stat2rsc_status_ready , rsc2stat_status_valid => sig_rsc2stat_status_valid , rsc2mstr_halt_pipe => sig_rsc2mstr_halt_pipe ); ------------------------------------------------------------ -- Instance: I_MSTR_SCC -- -- Description: -- Simple Command Calculator Block -- ------------------------------------------------------------ I_MSTR_SCC : entity axi_sg_v4_1_2.axi_sg_scc generic map ( C_SEL_ADDR_WIDTH => SEL_ADDR_WIDTH , C_ADDR_WIDTH => MM2S_ADDR_WIDTH , C_STREAM_DWIDTH => MM2S_SDATA_WIDTH , C_MAX_BURST_LEN => C_MM2S_BURST_SIZE , C_CMD_WIDTH => MM2S_CMD_WIDTH , C_ENABLE_EXTRA_FIELD => C_ENABLE_EXTRA_FIELD , C_TAG_WIDTH => C_TAG_WIDTH ) port map ( -- Clock input primary_aclk => mm2s_aclk , mmap_reset => sig_mmap_rst , cmd2mstr_command => sig_cmd2mstr_command , cache2mstr_command => sig_cache2mstr_command , cmd2mstr_cmd_valid => sig_cmd2mstr_cmd_valid , mst2cmd_cmd_ready => sig_mst2cmd_cmd_ready , mstr2addr_tag => sig_mstr2addr_tag , mstr2addr_addr => sig_mstr2addr_addr , mstr2addr_len => sig_mstr2addr_len , mstr2addr_size => sig_mstr2addr_size , mstr2addr_burst => sig_mstr2addr_burst , mstr2addr_calc_error => sig_mstr2addr_calc_error , mstr2addr_cmd_cmplt => sig_mstr2addr_cmd_cmplt , mstr2addr_cmd_valid => sig_mstr2addr_cmd_valid , addr2mstr_cmd_ready => sig_addr2mstr_cmd_ready , mstr2data_tag => sig_mstr2data_tag , mstr2data_saddr_lsb => sig_mstr2data_saddr_lsb , mstr2data_len => sig_mstr2data_len , mstr2data_strt_strb => sig_mstr2data_strt_strb , mstr2data_last_strb => sig_mstr2data_last_strb , mstr2data_sof => sig_mstr2data_drr , mstr2data_eof => sig_mstr2data_eof , mstr2data_calc_error => sig_mstr2data_calc_error , mstr2data_cmd_cmplt => sig_mstr2data_cmd_cmplt , mstr2data_cmd_valid => sig_mstr2data_cmd_valid , data2mstr_cmd_ready => sig_data2mstr_cmd_ready , calc_error => sig_calc2dm_calc_err ); ------------------------------------------------------------ -- Instance: I_ADDR_CNTL -- -- Description: -- Address Controller Block -- ------------------------------------------------------------ I_ADDR_CNTL : entity axi_sg_v4_1_2.axi_sg_addr_cntl generic map ( -- obsoleted C_ENABlE_WAIT_FOR_DATA => DISABLE_WAIT_FOR_DATA , --C_ADDR_FIFO_DEPTH => MM2S_STSCMD_FIFO_DEPTH , C_ADDR_FIFO_DEPTH => RD_ADDR_CNTL_FIFO_DEPTH , C_ADDR_WIDTH => MM2S_ADDR_WIDTH , C_ADDR_ID => MM2S_ARID_VALUE , C_ADDR_ID_WIDTH => MM2S_ARID_WIDTH , C_TAG_WIDTH => C_TAG_WIDTH ) port map ( primary_aclk => mm2s_aclk , mmap_reset => sig_mmap_rst , addr2axi_aid => mm2s_arid , addr2axi_aaddr => mm2s_araddr , addr2axi_alen => mm2s_arlen , addr2axi_asize => mm2s_arsize , addr2axi_aburst => mm2s_arburst , addr2axi_aprot => mm2s_arprot , addr2axi_avalid => mm2s_arvalid , addr2axi_acache => open , addr2axi_auser => open , axi2addr_aready => mm2s_arready , mstr2addr_tag => sig_mstr2addr_tag , mstr2addr_addr => sig_mstr2addr_addr , mstr2addr_len => sig_mstr2addr_len , mstr2addr_size => sig_mstr2addr_size , mstr2addr_burst => sig_mstr2addr_burst , mstr2addr_cache => sig_mstr2addr_cache , mstr2addr_user => sig_mstr2addr_user , mstr2addr_cmd_cmplt => sig_mstr2addr_cmd_cmplt , mstr2addr_calc_error => sig_mstr2addr_calc_error , mstr2addr_cmd_valid => sig_mstr2addr_cmd_valid , addr2mstr_cmd_ready => sig_addr2mstr_cmd_ready , addr2rst_stop_cmplt => sig_addr2rst_stop_cmplt , allow_addr_req => mm2s_allow_addr_req , addr_req_posted => mm2s_addr_req_posted , addr2data_addr_posted => sig_addr2data_addr_posted , data2addr_data_rdy => LOGIC_LOW , data2addr_stop_req => sig_data2addr_stop_req , addr2stat_calc_error => sig_addr2rsc_calc_error , addr2stat_cmd_fifo_empty => sig_addr2rsc_cmd_fifo_empty ); ------------------------------------------------------------ -- Instance: I_RD_DATA_CNTL -- -- Description: -- Read Data Controller Block -- ------------------------------------------------------------ I_RD_DATA_CNTL : entity axi_sg_v4_1_2.axi_sg_rddata_cntl generic map ( C_INCLUDE_DRE => INCLUDE_MM2S_DRE , C_ALIGN_WIDTH => DRE_ALIGN_WIDTH , C_SEL_ADDR_WIDTH => SEL_ADDR_WIDTH , C_DATA_CNTL_FIFO_DEPTH => RD_DATA_CNTL_FIFO_DEPTH , C_MMAP_DWIDTH => MM2S_MDATA_WIDTH , C_STREAM_DWIDTH => MM2S_SDATA_WIDTH , C_TAG_WIDTH => C_TAG_WIDTH , C_FAMILY => C_FAMILY ) port map ( -- Clock and Reset ----------------------------------- primary_aclk => mm2s_aclk , mmap_reset => sig_mmap_rst , -- Soft Shutdown Interface ----------------------------- rst2data_stop_request => sig_rst2all_stop_request , data2addr_stop_req => sig_data2addr_stop_req , data2rst_stop_cmplt => sig_data2rst_stop_cmplt , -- External Address Pipelining Contol support mm2s_rd_xfer_cmplt => mm2s_rd_xfer_cmplt , -- AXI Read Data Channel I/O ------------------------------- mm2s_rdata => mm2s_rdata , mm2s_rresp => mm2s_rresp , mm2s_rlast => mm2s_rlast , mm2s_rvalid => mm2s_rvalid , mm2s_rready => mm2s_rready , -- MM2S DRE Control ----------------------------------- mm2s_dre_new_align => open , mm2s_dre_use_autodest => open , mm2s_dre_src_align => open , mm2s_dre_dest_align => open , mm2s_dre_flush => open , -- AXI Master Stream ----------------------------------- mm2s_strm_wvalid => mm2s_strm_wvalid , mm2s_strm_wready => mm2s_strm_wready , mm2s_strm_wdata => mm2s_strm_wdata , mm2s_strm_wstrb => mm2s_strm_wstrb , mm2s_strm_wlast => mm2s_strm_wlast , -- MM2S Store and Forward Supplimental Control ----------- mm2s_data2sf_cmd_cmplt => open , -- Command Calculator Interface -------------------------- mstr2data_tag => sig_mstr2data_tag , mstr2data_saddr_lsb => sig_mstr2data_saddr_lsb , mstr2data_len => sig_mstr2data_len , mstr2data_strt_strb => sig_mstr2data_strt_strb , mstr2data_last_strb => sig_mstr2data_last_strb , mstr2data_drr => sig_mstr2data_drr , mstr2data_eof => sig_mstr2data_eof , mstr2data_sequential => LOGIC_LOW , mstr2data_calc_error => sig_mstr2data_calc_error , mstr2data_cmd_cmplt => sig_mstr2data_cmd_cmplt , mstr2data_cmd_valid => sig_mstr2data_cmd_valid , data2mstr_cmd_ready => sig_data2mstr_cmd_ready , mstr2data_dre_src_align => DRE_ALIGN_ZEROS , mstr2data_dre_dest_align => DRE_ALIGN_ZEROS , -- Address Controller Interface -------------------------- addr2data_addr_posted => sig_addr2data_addr_posted , -- Data Controller Halted Status data2all_dcntlr_halted => sig_data2all_dcntlr_halted, -- Output Stream Skid Buffer Halt control data2skid_halt => sig_data2skid_halt , -- Read Status Controller Interface -------------------------- data2rsc_tag => sig_data2rsc_tag , data2rsc_calc_err => sig_data2rsc_calc_err , data2rsc_okay => sig_data2rsc_okay , data2rsc_decerr => sig_data2rsc_decerr , data2rsc_slverr => sig_data2rsc_slverr , data2rsc_cmd_cmplt => sig_data2rsc_cmd_cmplt , rsc2data_ready => sig_rsc2data_ready , data2rsc_valid => sig_data2rsc_valid , rsc2mstr_halt_pipe => sig_rsc2mstr_halt_pipe ); ------------------------------------------------------------ -- Instance: I_MM2S_SKID_BUF -- -- Description: -- Instance for the MM2S Skid Buffer which provides for -- registerd Master Stream outputs and supports bi-dir -- throttling. -- ------------------------------------------------------------ -- I_MM2S_SKID_BUF : entity axi_sg_v4_1_2.axi_sg_skid_buf -- generic map ( -- -- C_WDATA_WIDTH => MM2S_SDATA_WIDTH -- -- ) -- port map ( -- -- -- System Ports -- aclk => mm2s_aclk , -- arst => sig_stream_rst , -- -- -- Shutdown control (assert for 1 clk pulse) -- skid_stop => sig_data2skid_halt , -- -- -- Slave Side (Stream Data Input) -- s_valid => sig_data2skid_wvalid , -- s_ready => sig_data2skid_wready , -- s_data => sig_data2skid_wdata , -- s_strb => sig_data2skid_wstrb , -- s_last => sig_data2skid_wlast , -- -- -- Master Side (Stream Data Output -- m_valid => mm2s_strm_wvalid , -- m_ready => mm2s_strm_wready , -- m_data => mm2s_strm_wdata , -- m_strb => mm2s_strm_wstrb , -- m_last => mm2s_strm_wlast -- -- ); -- end implementation;
-- ************************************************************************* -- -- (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: axi_sg_mm2s_basic_wrap.vhd -- -- Description: -- This file implements the DataMover MM2S Basic Wrapper. -- -- -- -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; -- axi_sg Library Modules library axi_sg_v4_1_2; use axi_sg_v4_1_2.axi_sg_reset; use axi_sg_v4_1_2.axi_sg_cmd_status; use axi_sg_v4_1_2.axi_sg_scc; use axi_sg_v4_1_2.axi_sg_addr_cntl; use axi_sg_v4_1_2.axi_sg_rddata_cntl; use axi_sg_v4_1_2.axi_sg_rd_status_cntl; use axi_sg_v4_1_2.axi_sg_skid_buf; ------------------------------------------------------------------------------- entity axi_sg_mm2s_basic_wrap is generic ( C_INCLUDE_MM2S : Integer range 0 to 2 := 2; -- Specifies the type of MM2S function to include -- 0 = Omit MM2S functionality -- 1 = Full MM2S Functionality -- 2 = Basic MM2S functionality C_MM2S_ARID : Integer range 0 to 255 := 8; -- Specifies the constant value to output on -- the ARID output port C_MM2S_ID_WIDTH : Integer range 1 to 8 := 4; -- Specifies the width of the MM2S ID port C_MM2S_ADDR_WIDTH : Integer range 32 to 64 := 32; -- Specifies the width of the MMap Read Address Channel -- Address bus C_MM2S_MDATA_WIDTH : Integer range 32 to 64 := 32; -- Specifies the width of the MMap Read Data Channel -- data bus C_MM2S_SDATA_WIDTH : Integer range 8 to 64 := 32; -- Specifies the width of the MM2S Master Stream Data -- Channel data bus C_INCLUDE_MM2S_STSFIFO : Integer range 0 to 1 := 1; -- Specifies if a Status FIFO is to be implemented -- 0 = Omit MM2S Status FIFO -- 1 = Include MM2S Status FIFO C_MM2S_STSCMD_FIFO_DEPTH : Integer range 1 to 16 := 1; -- Specifies the depth of the MM2S Command FIFO and the -- optional Status FIFO -- Valid values are 1,4,8,16 C_MM2S_STSCMD_IS_ASYNC : Integer range 0 to 1 := 0; -- Specifies if the Status and Command interfaces need to -- be asynchronous to the primary data path clocking -- 0 = Use same clocking as data path -- 1 = Use special Status/Command clock for the interfaces C_INCLUDE_MM2S_DRE : Integer range 0 to 1 := 0; -- Specifies if DRE is to be included in the MM2S function -- 0 = Omit DRE -- 1 = Include DRE C_MM2S_BURST_SIZE : Integer range 16 to 64 := 16; -- Specifies the max number of databeats to use for MMap -- burst transfers by the MM2S function C_MM2S_BTT_USED : Integer range 8 to 23 := 16; -- Specifies the number of bits used from the BTT field -- of the input Command Word of the MM2S Command Interface C_MM2S_ADDR_PIPE_DEPTH : Integer range 1 to 30 := 1; -- This parameter specifies the depth of the MM2S internal -- child command queues in the Read Address Controller and -- the Read Data Controller. Increasing this value will -- allow more Read Addresses to be issued to the AXI4 Read -- Address Channel before receipt of the associated read -- data on the Read Data Channel. C_ENABLE_MULTI_CHANNEL : Integer range 0 to 1 := 1; C_ENABLE_EXTRA_FIELD : integer range 0 to 1 := 0; C_TAG_WIDTH : Integer range 1 to 8 := 4 ; -- Width of the TAG field C_FAMILY : String := "virtex7" -- Specifies the target FPGA family type ); port ( -- MM2S Primary Clock and Reset inputs ----------------------- mm2s_aclk : in std_logic; -- -- Primary synchronization clock for the Master side -- -- interface and internal logic. It is also used -- -- for the User interface synchronization when -- -- C_STSCMD_IS_ASYNC = 0. -- -- -- MM2S Primary Reset input -- mm2s_aresetn : in std_logic; -- -- Reset used for the internal master logic -- -------------------------------------------------------------- sg_ctl : in std_logic_vector (7 downto 0); -- MM2S Halt request input control --------------------------- mm2s_halt : in std_logic; -- -- Active high soft shutdown request -- -- -- MM2S Halt Complete status flag -- mm2s_halt_cmplt : Out std_logic; -- -- Active high soft shutdown complete status -- -------------------------------------------------------------- -- Error discrete output ------------------------------------- mm2s_err : Out std_logic; -- -- Composite Error indication -- -------------------------------------------------------------- -- Optional MM2S Command and Status Clock and Reset ---------- -- These are used when C_MM2S_STSCMD_IS_ASYNC = 1 -- mm2s_cmdsts_awclk : in std_logic; -- -- Secondary Clock input for async CMD/Status interface -- -- mm2s_cmdsts_aresetn : in std_logic; -- -- Secondary Reset input for async CMD/Status interface -- -------------------------------------------------------------- -- User Command Interface Ports (AXI Stream) ------------------------------------------------- mm2s_cmd_wvalid : in std_logic; -- mm2s_cmd_wready : out std_logic; -- mm2s_cmd_wdata : in std_logic_vector((C_TAG_WIDTH+(1+C_ENABLE_MULTI_CHANNEL)*C_MM2S_ADDR_WIDTH+36)-1 downto 0); -- ---------------------------------------------------------------------------------------------- -- User Status Interface Ports (AXI Stream) ----------------- mm2s_sts_wvalid : out std_logic; -- mm2s_sts_wready : in std_logic; -- mm2s_sts_wdata : out std_logic_vector(7 downto 0); -- mm2s_sts_wstrb : out std_logic_vector(0 downto 0); -- mm2s_sts_wlast : out std_logic; -- ------------------------------------------------------------- -- Address Posting contols ---------------------------------- mm2s_allow_addr_req : in std_logic; -- mm2s_addr_req_posted : out std_logic; -- mm2s_rd_xfer_cmplt : out std_logic; -- ------------------------------------------------------------- -- MM2S AXI Address Channel I/O -------------------------------------- mm2s_arid : out std_logic_vector(C_MM2S_ID_WIDTH-1 downto 0); -- -- AXI Address Channel ID output -- -- mm2s_araddr : out std_logic_vector(C_MM2S_ADDR_WIDTH-1 downto 0); -- -- AXI Address Channel Address output -- -- mm2s_arlen : out std_logic_vector(7 downto 0); -- -- AXI Address Channel LEN output -- -- Sized to support 256 data beat bursts -- -- mm2s_arsize : out std_logic_vector(2 downto 0); -- -- AXI Address Channel SIZE output -- -- mm2s_arburst : out std_logic_vector(1 downto 0); -- -- AXI Address Channel BURST output -- -- mm2s_arprot : out std_logic_vector(2 downto 0); -- -- AXI Address Channel PROT output -- -- mm2s_arcache : out std_logic_vector(3 downto 0); -- -- AXI Address Channel CACHE output -- mm2s_aruser : out std_logic_vector(3 downto 0); -- -- AXI Address Channel USER output -- -- mm2s_arvalid : out std_logic; -- -- AXI Address Channel VALID output -- -- mm2s_arready : in std_logic; -- -- AXI Address Channel READY input -- ----------------------------------------------------------------------- -- Currently unsupported AXI Address Channel output signals ------- -- addr2axi_alock : out std_logic_vector(2 downto 0); -- -- addr2axi_acache : out std_logic_vector(4 downto 0); -- -- addr2axi_aqos : out std_logic_vector(3 downto 0); -- -- addr2axi_aregion : out std_logic_vector(3 downto 0); -- ------------------------------------------------------------------- -- MM2S AXI MMap Read Data Channel I/O ------------------------------------------ mm2s_rdata : In std_logic_vector(C_MM2S_MDATA_WIDTH-1 downto 0); -- mm2s_rresp : In std_logic_vector(1 downto 0); -- mm2s_rlast : In std_logic; -- mm2s_rvalid : In std_logic; -- mm2s_rready : Out std_logic; -- ---------------------------------------------------------------------------------- -- MM2S AXI Master Stream Channel I/O ----------------------------------------------- mm2s_strm_wdata : Out std_logic_vector(C_MM2S_SDATA_WIDTH-1 downto 0); -- mm2s_strm_wstrb : Out std_logic_vector((C_MM2S_SDATA_WIDTH/8)-1 downto 0); -- mm2s_strm_wlast : Out std_logic; -- mm2s_strm_wvalid : Out std_logic; -- mm2s_strm_wready : In std_logic; -- -------------------------------------------------------------------------------------- -- Testing Support I/O -------------------------------------------- mm2s_dbg_sel : in std_logic_vector( 3 downto 0); -- mm2s_dbg_data : out std_logic_vector(31 downto 0) -- ------------------------------------------------------------------- ); end entity axi_sg_mm2s_basic_wrap; architecture implementation of axi_sg_mm2s_basic_wrap is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; -- Function Declarations ---------------------------------------- ------------------------------------------------------------------- -- Function -- -- Function Name: func_calc_rdmux_sel_bits -- -- Function Description: -- This function calculates the number of address bits needed for -- the Read data mux select control. -- ------------------------------------------------------------------- function func_calc_rdmux_sel_bits (mmap_dwidth_value : integer) return integer is Variable num_addr_bits_needed : Integer range 1 to 5 := 1; begin case mmap_dwidth_value is when 32 => num_addr_bits_needed := 2; -- coverage off when 64 => num_addr_bits_needed := 3; when 128 => num_addr_bits_needed := 4; when others => -- 256 bits num_addr_bits_needed := 5; -- coverage on end case; Return (num_addr_bits_needed); end function func_calc_rdmux_sel_bits; -- Constant Declarations ---------------------------------------- Constant LOGIC_LOW : std_logic := '0'; Constant LOGIC_HIGH : std_logic := '1'; Constant INCLUDE_MM2S : integer range 0 to 2 := 2; Constant MM2S_ARID_VALUE : integer range 0 to 255 := C_MM2S_ARID; Constant MM2S_ARID_WIDTH : integer range 1 to 8 := C_MM2S_ID_WIDTH; Constant MM2S_ADDR_WIDTH : integer range 32 to 64 := C_MM2S_ADDR_WIDTH; Constant MM2S_MDATA_WIDTH : integer range 32 to 256 := C_MM2S_MDATA_WIDTH; Constant MM2S_SDATA_WIDTH : integer range 8 to 256 := C_MM2S_SDATA_WIDTH; Constant MM2S_CMD_WIDTH : integer := (C_TAG_WIDTH+C_MM2S_ADDR_WIDTH+32); Constant MM2S_STS_WIDTH : integer := 8; -- always 8 for MM2S Constant INCLUDE_MM2S_STSFIFO : integer range 0 to 1 := 1; Constant MM2S_STSCMD_FIFO_DEPTH : integer range 1 to 64 := 1; Constant MM2S_STSCMD_IS_ASYNC : integer range 0 to 1 := 0; Constant INCLUDE_MM2S_DRE : integer range 0 to 1 := 0; Constant DRE_ALIGN_WIDTH : integer range 1 to 3 := 2; Constant MM2S_BURST_SIZE : integer range 16 to 256 := 16; Constant RD_ADDR_CNTL_FIFO_DEPTH : integer range 1 to 30 := C_MM2S_ADDR_PIPE_DEPTH; Constant RD_DATA_CNTL_FIFO_DEPTH : integer range 1 to 30 := C_MM2S_ADDR_PIPE_DEPTH; Constant SEL_ADDR_WIDTH : integer := func_calc_rdmux_sel_bits(MM2S_MDATA_WIDTH); Constant DRE_ALIGN_ZEROS : std_logic_vector(DRE_ALIGN_WIDTH-1 downto 0) := (others => '0'); -- obsoleted Constant DISABLE_WAIT_FOR_DATA : integer := 0; -- Signal Declarations ------------------------------------------ signal sig_cmd_stat_rst_user : std_logic := '0'; signal sig_cmd_stat_rst_int : std_logic := '0'; signal sig_mmap_rst : std_logic := '0'; signal sig_stream_rst : std_logic := '0'; signal sig_mm2s_cmd_wdata : std_logic_vector(MM2S_CMD_WIDTH-1 downto 0); signal sig_mm2s_cache_data : std_logic_vector(7 downto 0); signal sig_cmd2mstr_command : std_logic_vector(MM2S_CMD_WIDTH-1 downto 0) := (others => '0'); signal sig_cmd2mstr_cmd_valid : std_logic := '0'; signal sig_mst2cmd_cmd_ready : std_logic := '0'; signal sig_mstr2addr_addr : std_logic_vector(MM2S_ADDR_WIDTH-1 downto 0) := (others => '0'); signal sig_mstr2addr_len : std_logic_vector(7 downto 0) := (others => '0'); signal sig_mstr2addr_size : std_logic_vector(2 downto 0) := (others => '0'); signal sig_mstr2addr_burst : std_logic_vector(1 downto 0) := (others => '0'); signal sig_mstr2addr_cache : std_logic_vector(3 downto 0) := (others => '0'); signal sig_mstr2addr_user : std_logic_vector(3 downto 0) := (others => '0'); signal sig_mstr2addr_cmd_cmplt : std_logic := '0'; signal sig_mstr2addr_calc_error : std_logic := '0'; signal sig_mstr2addr_cmd_valid : std_logic := '0'; signal sig_addr2mstr_cmd_ready : std_logic := '0'; signal sig_mstr2data_saddr_lsb : std_logic_vector(SEL_ADDR_WIDTH-1 downto 0) := (others => '0'); signal sig_mstr2data_len : std_logic_vector(7 downto 0) := (others => '0'); signal sig_mstr2data_strt_strb : std_logic_vector((MM2S_SDATA_WIDTH/8)-1 downto 0) := (others => '0'); signal sig_mstr2data_last_strb : std_logic_vector((MM2S_SDATA_WIDTH/8)-1 downto 0) := (others => '0'); signal sig_mstr2data_drr : std_logic := '0'; signal sig_mstr2data_eof : std_logic := '0'; signal sig_mstr2data_sequential : std_logic := '0'; signal sig_mstr2data_calc_error : std_logic := '0'; signal sig_mstr2data_cmd_cmplt : std_logic := '0'; signal sig_mstr2data_cmd_valid : std_logic := '0'; signal sig_data2mstr_cmd_ready : std_logic := '0'; signal sig_addr2data_addr_posted : std_logic := '0'; signal sig_data2all_dcntlr_halted : std_logic := '0'; signal sig_addr2rsc_calc_error : std_logic := '0'; signal sig_addr2rsc_cmd_fifo_empty : std_logic := '0'; signal sig_data2rsc_tag : std_logic_vector(C_TAG_WIDTH-1 downto 0) := (others => '0'); signal sig_data2rsc_calc_err : std_logic := '0'; signal sig_data2rsc_okay : std_logic := '0'; signal sig_data2rsc_decerr : std_logic := '0'; signal sig_data2rsc_slverr : std_logic := '0'; signal sig_data2rsc_cmd_cmplt : std_logic := '0'; signal sig_rsc2data_ready : std_logic := '0'; signal sig_data2rsc_valid : std_logic := '0'; signal sig_calc2dm_calc_err : std_logic := '0'; signal sig_data2skid_wvalid : std_logic := '0'; signal sig_data2skid_wready : std_logic := '0'; signal sig_data2skid_wdata : std_logic_vector(MM2S_SDATA_WIDTH-1 downto 0) := (others => '0'); signal sig_data2skid_wstrb : std_logic_vector((MM2S_SDATA_WIDTH/8)-1 downto 0) := (others => '0'); signal sig_data2skid_wlast : std_logic := '0'; signal sig_rsc2stat_status : std_logic_vector(MM2S_STS_WIDTH-1 downto 0) := (others => '0'); signal sig_stat2rsc_status_ready : std_logic := '0'; signal sig_rsc2stat_status_valid : std_logic := '0'; signal sig_rsc2mstr_halt_pipe : std_logic := '0'; signal sig_mstr2data_tag : std_logic_vector(C_TAG_WIDTH-1 downto 0) := (others => '0'); signal sig_mstr2addr_tag : std_logic_vector(C_TAG_WIDTH-1 downto 0) := (others => '0'); signal sig_dbg_data_mux_out : std_logic_vector(31 downto 0) := (others => '0'); signal sig_dbg_data_0 : std_logic_vector(31 downto 0) := (others => '0'); signal sig_dbg_data_1 : std_logic_vector(31 downto 0) := (others => '0'); signal sig_rst2all_stop_request : std_logic := '0'; signal sig_data2rst_stop_cmplt : std_logic := '0'; signal sig_addr2rst_stop_cmplt : std_logic := '0'; signal sig_data2addr_stop_req : std_logic := '0'; signal sig_data2skid_halt : std_logic := '0'; signal sig_cache2mstr_command : std_logic_vector (7 downto 0) := (others => '0'); signal mm2s_arcache_int : std_logic_vector (3 downto 0); begin --(architecture implementation) -- Debug Support ------------------------------------------ mm2s_dbg_data <= sig_dbg_data_mux_out; -- Note that only the mm2s_dbg_sel(0) is used at this time sig_dbg_data_mux_out <= sig_dbg_data_1 When (mm2s_dbg_sel(0) = '1') else sig_dbg_data_0 ; sig_dbg_data_0 <= X"BEEF2222" ; -- 32 bit Constant indicating MM2S Basic type sig_dbg_data_1(0) <= sig_cmd_stat_rst_user ; sig_dbg_data_1(1) <= sig_cmd_stat_rst_int ; sig_dbg_data_1(2) <= sig_mmap_rst ; sig_dbg_data_1(3) <= sig_stream_rst ; sig_dbg_data_1(4) <= sig_cmd2mstr_cmd_valid ; sig_dbg_data_1(5) <= sig_mst2cmd_cmd_ready ; sig_dbg_data_1(6) <= sig_stat2rsc_status_ready; sig_dbg_data_1(7) <= sig_rsc2stat_status_valid; sig_dbg_data_1(11 downto 8) <= sig_data2rsc_tag ; -- Current TAG of active data transfer sig_dbg_data_1(15 downto 12) <= sig_rsc2stat_status(3 downto 0); -- Internal status tag field sig_dbg_data_1(16) <= sig_rsc2stat_status(4) ; -- Internal error sig_dbg_data_1(17) <= sig_rsc2stat_status(5) ; -- Decode Error sig_dbg_data_1(18) <= sig_rsc2stat_status(6) ; -- Slave Error sig_dbg_data_1(19) <= sig_rsc2stat_status(7) ; -- OKAY sig_dbg_data_1(20) <= sig_stat2rsc_status_ready ; -- Status Ready Handshake sig_dbg_data_1(21) <= sig_rsc2stat_status_valid ; -- Status Valid Handshake -- Spare bits in debug1 sig_dbg_data_1(31 downto 22) <= (others => '0') ; -- spare bits GEN_CACHE : if (C_ENABLE_MULTI_CHANNEL = 0) generate begin -- Cache signal tie-off mm2s_arcache <= "0011"; -- Per Interface-X guidelines for Masters mm2s_aruser <= "0000"; -- Per Interface-X guidelines for Masters sig_mm2s_cache_data <= (others => '0'); --mm2s_cmd_wdata(103 downto 96); end generate GEN_CACHE; GEN_CACHE2 : if (C_ENABLE_MULTI_CHANNEL = 1) generate begin -- Cache signal tie-off mm2s_arcache <= sg_ctl (3 downto 0); -- SG Cache from register mm2s_aruser <= sg_ctl (7 downto 4); -- Per Interface-X guidelines for Masters sig_mm2s_cache_data <= mm2s_cmd_wdata(103 downto 96); end generate GEN_CACHE2; -- Cache signal tie-off -- Internal error output discrete ------------------------------ mm2s_err <= sig_calc2dm_calc_err; -- Rip the used portion of the Command Interface Command Data -- and throw away the padding sig_mm2s_cmd_wdata <= mm2s_cmd_wdata(MM2S_CMD_WIDTH-1 downto 0); ------------------------------------------------------------ -- Instance: I_RESET -- -- Description: -- Reset Block -- ------------------------------------------------------------ I_RESET : entity axi_sg_v4_1_2.axi_sg_reset generic map ( C_STSCMD_IS_ASYNC => MM2S_STSCMD_IS_ASYNC ) port map ( primary_aclk => mm2s_aclk , primary_aresetn => mm2s_aresetn , secondary_awclk => mm2s_cmdsts_awclk , secondary_aresetn => mm2s_cmdsts_aresetn , halt_req => mm2s_halt , halt_cmplt => mm2s_halt_cmplt , flush_stop_request => sig_rst2all_stop_request , data_cntlr_stopped => sig_data2rst_stop_cmplt , addr_cntlr_stopped => sig_addr2rst_stop_cmplt , aux1_stopped => LOGIC_HIGH , aux2_stopped => LOGIC_HIGH , cmd_stat_rst_user => sig_cmd_stat_rst_user , cmd_stat_rst_int => sig_cmd_stat_rst_int , mmap_rst => sig_mmap_rst , stream_rst => sig_stream_rst ); ------------------------------------------------------------ -- Instance: I_CMD_STATUS -- -- Description: -- Command and Status Interface Block -- ------------------------------------------------------------ I_CMD_STATUS : entity axi_sg_v4_1_2.axi_sg_cmd_status generic map ( C_ADDR_WIDTH => MM2S_ADDR_WIDTH , C_INCLUDE_STSFIFO => INCLUDE_MM2S_STSFIFO , C_STSCMD_FIFO_DEPTH => MM2S_STSCMD_FIFO_DEPTH , C_STSCMD_IS_ASYNC => MM2S_STSCMD_IS_ASYNC , C_CMD_WIDTH => MM2S_CMD_WIDTH , C_STS_WIDTH => MM2S_STS_WIDTH , C_FAMILY => C_FAMILY ) port map ( primary_aclk => mm2s_aclk , secondary_awclk => mm2s_cmdsts_awclk , user_reset => sig_cmd_stat_rst_user , internal_reset => sig_cmd_stat_rst_int , cmd_wvalid => mm2s_cmd_wvalid , cmd_wready => mm2s_cmd_wready , cmd_wdata => sig_mm2s_cmd_wdata , cache_data => sig_mm2s_cache_data , sts_wvalid => mm2s_sts_wvalid , sts_wready => mm2s_sts_wready , sts_wdata => mm2s_sts_wdata , sts_wstrb => mm2s_sts_wstrb , sts_wlast => mm2s_sts_wlast , cmd2mstr_command => sig_cmd2mstr_command , mst2cmd_cmd_valid => sig_cmd2mstr_cmd_valid , cmd2mstr_cmd_ready => sig_mst2cmd_cmd_ready , mstr2stat_status => sig_rsc2stat_status , stat2mstr_status_ready => sig_stat2rsc_status_ready , mst2stst_status_valid => sig_rsc2stat_status_valid ); ------------------------------------------------------------ -- Instance: I_RD_STATUS_CNTLR -- -- Description: -- Read Status Controller Block -- ------------------------------------------------------------ I_RD_STATUS_CNTLR : entity axi_sg_v4_1_2.axi_sg_rd_status_cntl generic map ( C_STS_WIDTH => MM2S_STS_WIDTH , C_TAG_WIDTH => C_TAG_WIDTH ) port map ( primary_aclk => mm2s_aclk , mmap_reset => sig_mmap_rst , calc2rsc_calc_error => sig_calc2dm_calc_err , addr2rsc_calc_error => sig_addr2rsc_calc_error , addr2rsc_fifo_empty => sig_addr2rsc_cmd_fifo_empty , data2rsc_tag => sig_data2rsc_tag , data2rsc_calc_error => sig_data2rsc_calc_err , data2rsc_okay => sig_data2rsc_okay , data2rsc_decerr => sig_data2rsc_decerr , data2rsc_slverr => sig_data2rsc_slverr , data2rsc_cmd_cmplt => sig_data2rsc_cmd_cmplt , rsc2data_ready => sig_rsc2data_ready , data2rsc_valid => sig_data2rsc_valid , rsc2stat_status => sig_rsc2stat_status , stat2rsc_status_ready => sig_stat2rsc_status_ready , rsc2stat_status_valid => sig_rsc2stat_status_valid , rsc2mstr_halt_pipe => sig_rsc2mstr_halt_pipe ); ------------------------------------------------------------ -- Instance: I_MSTR_SCC -- -- Description: -- Simple Command Calculator Block -- ------------------------------------------------------------ I_MSTR_SCC : entity axi_sg_v4_1_2.axi_sg_scc generic map ( C_SEL_ADDR_WIDTH => SEL_ADDR_WIDTH , C_ADDR_WIDTH => MM2S_ADDR_WIDTH , C_STREAM_DWIDTH => MM2S_SDATA_WIDTH , C_MAX_BURST_LEN => C_MM2S_BURST_SIZE , C_CMD_WIDTH => MM2S_CMD_WIDTH , C_ENABLE_EXTRA_FIELD => C_ENABLE_EXTRA_FIELD , C_TAG_WIDTH => C_TAG_WIDTH ) port map ( -- Clock input primary_aclk => mm2s_aclk , mmap_reset => sig_mmap_rst , cmd2mstr_command => sig_cmd2mstr_command , cache2mstr_command => sig_cache2mstr_command , cmd2mstr_cmd_valid => sig_cmd2mstr_cmd_valid , mst2cmd_cmd_ready => sig_mst2cmd_cmd_ready , mstr2addr_tag => sig_mstr2addr_tag , mstr2addr_addr => sig_mstr2addr_addr , mstr2addr_len => sig_mstr2addr_len , mstr2addr_size => sig_mstr2addr_size , mstr2addr_burst => sig_mstr2addr_burst , mstr2addr_calc_error => sig_mstr2addr_calc_error , mstr2addr_cmd_cmplt => sig_mstr2addr_cmd_cmplt , mstr2addr_cmd_valid => sig_mstr2addr_cmd_valid , addr2mstr_cmd_ready => sig_addr2mstr_cmd_ready , mstr2data_tag => sig_mstr2data_tag , mstr2data_saddr_lsb => sig_mstr2data_saddr_lsb , mstr2data_len => sig_mstr2data_len , mstr2data_strt_strb => sig_mstr2data_strt_strb , mstr2data_last_strb => sig_mstr2data_last_strb , mstr2data_sof => sig_mstr2data_drr , mstr2data_eof => sig_mstr2data_eof , mstr2data_calc_error => sig_mstr2data_calc_error , mstr2data_cmd_cmplt => sig_mstr2data_cmd_cmplt , mstr2data_cmd_valid => sig_mstr2data_cmd_valid , data2mstr_cmd_ready => sig_data2mstr_cmd_ready , calc_error => sig_calc2dm_calc_err ); ------------------------------------------------------------ -- Instance: I_ADDR_CNTL -- -- Description: -- Address Controller Block -- ------------------------------------------------------------ I_ADDR_CNTL : entity axi_sg_v4_1_2.axi_sg_addr_cntl generic map ( -- obsoleted C_ENABlE_WAIT_FOR_DATA => DISABLE_WAIT_FOR_DATA , --C_ADDR_FIFO_DEPTH => MM2S_STSCMD_FIFO_DEPTH , C_ADDR_FIFO_DEPTH => RD_ADDR_CNTL_FIFO_DEPTH , C_ADDR_WIDTH => MM2S_ADDR_WIDTH , C_ADDR_ID => MM2S_ARID_VALUE , C_ADDR_ID_WIDTH => MM2S_ARID_WIDTH , C_TAG_WIDTH => C_TAG_WIDTH ) port map ( primary_aclk => mm2s_aclk , mmap_reset => sig_mmap_rst , addr2axi_aid => mm2s_arid , addr2axi_aaddr => mm2s_araddr , addr2axi_alen => mm2s_arlen , addr2axi_asize => mm2s_arsize , addr2axi_aburst => mm2s_arburst , addr2axi_aprot => mm2s_arprot , addr2axi_avalid => mm2s_arvalid , addr2axi_acache => open , addr2axi_auser => open , axi2addr_aready => mm2s_arready , mstr2addr_tag => sig_mstr2addr_tag , mstr2addr_addr => sig_mstr2addr_addr , mstr2addr_len => sig_mstr2addr_len , mstr2addr_size => sig_mstr2addr_size , mstr2addr_burst => sig_mstr2addr_burst , mstr2addr_cache => sig_mstr2addr_cache , mstr2addr_user => sig_mstr2addr_user , mstr2addr_cmd_cmplt => sig_mstr2addr_cmd_cmplt , mstr2addr_calc_error => sig_mstr2addr_calc_error , mstr2addr_cmd_valid => sig_mstr2addr_cmd_valid , addr2mstr_cmd_ready => sig_addr2mstr_cmd_ready , addr2rst_stop_cmplt => sig_addr2rst_stop_cmplt , allow_addr_req => mm2s_allow_addr_req , addr_req_posted => mm2s_addr_req_posted , addr2data_addr_posted => sig_addr2data_addr_posted , data2addr_data_rdy => LOGIC_LOW , data2addr_stop_req => sig_data2addr_stop_req , addr2stat_calc_error => sig_addr2rsc_calc_error , addr2stat_cmd_fifo_empty => sig_addr2rsc_cmd_fifo_empty ); ------------------------------------------------------------ -- Instance: I_RD_DATA_CNTL -- -- Description: -- Read Data Controller Block -- ------------------------------------------------------------ I_RD_DATA_CNTL : entity axi_sg_v4_1_2.axi_sg_rddata_cntl generic map ( C_INCLUDE_DRE => INCLUDE_MM2S_DRE , C_ALIGN_WIDTH => DRE_ALIGN_WIDTH , C_SEL_ADDR_WIDTH => SEL_ADDR_WIDTH , C_DATA_CNTL_FIFO_DEPTH => RD_DATA_CNTL_FIFO_DEPTH , C_MMAP_DWIDTH => MM2S_MDATA_WIDTH , C_STREAM_DWIDTH => MM2S_SDATA_WIDTH , C_TAG_WIDTH => C_TAG_WIDTH , C_FAMILY => C_FAMILY ) port map ( -- Clock and Reset ----------------------------------- primary_aclk => mm2s_aclk , mmap_reset => sig_mmap_rst , -- Soft Shutdown Interface ----------------------------- rst2data_stop_request => sig_rst2all_stop_request , data2addr_stop_req => sig_data2addr_stop_req , data2rst_stop_cmplt => sig_data2rst_stop_cmplt , -- External Address Pipelining Contol support mm2s_rd_xfer_cmplt => mm2s_rd_xfer_cmplt , -- AXI Read Data Channel I/O ------------------------------- mm2s_rdata => mm2s_rdata , mm2s_rresp => mm2s_rresp , mm2s_rlast => mm2s_rlast , mm2s_rvalid => mm2s_rvalid , mm2s_rready => mm2s_rready , -- MM2S DRE Control ----------------------------------- mm2s_dre_new_align => open , mm2s_dre_use_autodest => open , mm2s_dre_src_align => open , mm2s_dre_dest_align => open , mm2s_dre_flush => open , -- AXI Master Stream ----------------------------------- mm2s_strm_wvalid => mm2s_strm_wvalid , mm2s_strm_wready => mm2s_strm_wready , mm2s_strm_wdata => mm2s_strm_wdata , mm2s_strm_wstrb => mm2s_strm_wstrb , mm2s_strm_wlast => mm2s_strm_wlast , -- MM2S Store and Forward Supplimental Control ----------- mm2s_data2sf_cmd_cmplt => open , -- Command Calculator Interface -------------------------- mstr2data_tag => sig_mstr2data_tag , mstr2data_saddr_lsb => sig_mstr2data_saddr_lsb , mstr2data_len => sig_mstr2data_len , mstr2data_strt_strb => sig_mstr2data_strt_strb , mstr2data_last_strb => sig_mstr2data_last_strb , mstr2data_drr => sig_mstr2data_drr , mstr2data_eof => sig_mstr2data_eof , mstr2data_sequential => LOGIC_LOW , mstr2data_calc_error => sig_mstr2data_calc_error , mstr2data_cmd_cmplt => sig_mstr2data_cmd_cmplt , mstr2data_cmd_valid => sig_mstr2data_cmd_valid , data2mstr_cmd_ready => sig_data2mstr_cmd_ready , mstr2data_dre_src_align => DRE_ALIGN_ZEROS , mstr2data_dre_dest_align => DRE_ALIGN_ZEROS , -- Address Controller Interface -------------------------- addr2data_addr_posted => sig_addr2data_addr_posted , -- Data Controller Halted Status data2all_dcntlr_halted => sig_data2all_dcntlr_halted, -- Output Stream Skid Buffer Halt control data2skid_halt => sig_data2skid_halt , -- Read Status Controller Interface -------------------------- data2rsc_tag => sig_data2rsc_tag , data2rsc_calc_err => sig_data2rsc_calc_err , data2rsc_okay => sig_data2rsc_okay , data2rsc_decerr => sig_data2rsc_decerr , data2rsc_slverr => sig_data2rsc_slverr , data2rsc_cmd_cmplt => sig_data2rsc_cmd_cmplt , rsc2data_ready => sig_rsc2data_ready , data2rsc_valid => sig_data2rsc_valid , rsc2mstr_halt_pipe => sig_rsc2mstr_halt_pipe ); ------------------------------------------------------------ -- Instance: I_MM2S_SKID_BUF -- -- Description: -- Instance for the MM2S Skid Buffer which provides for -- registerd Master Stream outputs and supports bi-dir -- throttling. -- ------------------------------------------------------------ -- I_MM2S_SKID_BUF : entity axi_sg_v4_1_2.axi_sg_skid_buf -- generic map ( -- -- C_WDATA_WIDTH => MM2S_SDATA_WIDTH -- -- ) -- port map ( -- -- -- System Ports -- aclk => mm2s_aclk , -- arst => sig_stream_rst , -- -- -- Shutdown control (assert for 1 clk pulse) -- skid_stop => sig_data2skid_halt , -- -- -- Slave Side (Stream Data Input) -- s_valid => sig_data2skid_wvalid , -- s_ready => sig_data2skid_wready , -- s_data => sig_data2skid_wdata , -- s_strb => sig_data2skid_wstrb , -- s_last => sig_data2skid_wlast , -- -- -- Master Side (Stream Data Output -- m_valid => mm2s_strm_wvalid , -- m_ready => mm2s_strm_wready , -- m_data => mm2s_strm_wdata , -- m_strb => mm2s_strm_wstrb , -- m_last => mm2s_strm_wlast -- -- ); -- end implementation;
-- ************************************************************************* -- -- (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: axi_sg_mm2s_basic_wrap.vhd -- -- Description: -- This file implements the DataMover MM2S Basic Wrapper. -- -- -- -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; -- axi_sg Library Modules library axi_sg_v4_1_2; use axi_sg_v4_1_2.axi_sg_reset; use axi_sg_v4_1_2.axi_sg_cmd_status; use axi_sg_v4_1_2.axi_sg_scc; use axi_sg_v4_1_2.axi_sg_addr_cntl; use axi_sg_v4_1_2.axi_sg_rddata_cntl; use axi_sg_v4_1_2.axi_sg_rd_status_cntl; use axi_sg_v4_1_2.axi_sg_skid_buf; ------------------------------------------------------------------------------- entity axi_sg_mm2s_basic_wrap is generic ( C_INCLUDE_MM2S : Integer range 0 to 2 := 2; -- Specifies the type of MM2S function to include -- 0 = Omit MM2S functionality -- 1 = Full MM2S Functionality -- 2 = Basic MM2S functionality C_MM2S_ARID : Integer range 0 to 255 := 8; -- Specifies the constant value to output on -- the ARID output port C_MM2S_ID_WIDTH : Integer range 1 to 8 := 4; -- Specifies the width of the MM2S ID port C_MM2S_ADDR_WIDTH : Integer range 32 to 64 := 32; -- Specifies the width of the MMap Read Address Channel -- Address bus C_MM2S_MDATA_WIDTH : Integer range 32 to 64 := 32; -- Specifies the width of the MMap Read Data Channel -- data bus C_MM2S_SDATA_WIDTH : Integer range 8 to 64 := 32; -- Specifies the width of the MM2S Master Stream Data -- Channel data bus C_INCLUDE_MM2S_STSFIFO : Integer range 0 to 1 := 1; -- Specifies if a Status FIFO is to be implemented -- 0 = Omit MM2S Status FIFO -- 1 = Include MM2S Status FIFO C_MM2S_STSCMD_FIFO_DEPTH : Integer range 1 to 16 := 1; -- Specifies the depth of the MM2S Command FIFO and the -- optional Status FIFO -- Valid values are 1,4,8,16 C_MM2S_STSCMD_IS_ASYNC : Integer range 0 to 1 := 0; -- Specifies if the Status and Command interfaces need to -- be asynchronous to the primary data path clocking -- 0 = Use same clocking as data path -- 1 = Use special Status/Command clock for the interfaces C_INCLUDE_MM2S_DRE : Integer range 0 to 1 := 0; -- Specifies if DRE is to be included in the MM2S function -- 0 = Omit DRE -- 1 = Include DRE C_MM2S_BURST_SIZE : Integer range 16 to 64 := 16; -- Specifies the max number of databeats to use for MMap -- burst transfers by the MM2S function C_MM2S_BTT_USED : Integer range 8 to 23 := 16; -- Specifies the number of bits used from the BTT field -- of the input Command Word of the MM2S Command Interface C_MM2S_ADDR_PIPE_DEPTH : Integer range 1 to 30 := 1; -- This parameter specifies the depth of the MM2S internal -- child command queues in the Read Address Controller and -- the Read Data Controller. Increasing this value will -- allow more Read Addresses to be issued to the AXI4 Read -- Address Channel before receipt of the associated read -- data on the Read Data Channel. C_ENABLE_MULTI_CHANNEL : Integer range 0 to 1 := 1; C_ENABLE_EXTRA_FIELD : integer range 0 to 1 := 0; C_TAG_WIDTH : Integer range 1 to 8 := 4 ; -- Width of the TAG field C_FAMILY : String := "virtex7" -- Specifies the target FPGA family type ); port ( -- MM2S Primary Clock and Reset inputs ----------------------- mm2s_aclk : in std_logic; -- -- Primary synchronization clock for the Master side -- -- interface and internal logic. It is also used -- -- for the User interface synchronization when -- -- C_STSCMD_IS_ASYNC = 0. -- -- -- MM2S Primary Reset input -- mm2s_aresetn : in std_logic; -- -- Reset used for the internal master logic -- -------------------------------------------------------------- sg_ctl : in std_logic_vector (7 downto 0); -- MM2S Halt request input control --------------------------- mm2s_halt : in std_logic; -- -- Active high soft shutdown request -- -- -- MM2S Halt Complete status flag -- mm2s_halt_cmplt : Out std_logic; -- -- Active high soft shutdown complete status -- -------------------------------------------------------------- -- Error discrete output ------------------------------------- mm2s_err : Out std_logic; -- -- Composite Error indication -- -------------------------------------------------------------- -- Optional MM2S Command and Status Clock and Reset ---------- -- These are used when C_MM2S_STSCMD_IS_ASYNC = 1 -- mm2s_cmdsts_awclk : in std_logic; -- -- Secondary Clock input for async CMD/Status interface -- -- mm2s_cmdsts_aresetn : in std_logic; -- -- Secondary Reset input for async CMD/Status interface -- -------------------------------------------------------------- -- User Command Interface Ports (AXI Stream) ------------------------------------------------- mm2s_cmd_wvalid : in std_logic; -- mm2s_cmd_wready : out std_logic; -- mm2s_cmd_wdata : in std_logic_vector((C_TAG_WIDTH+(1+C_ENABLE_MULTI_CHANNEL)*C_MM2S_ADDR_WIDTH+36)-1 downto 0); -- ---------------------------------------------------------------------------------------------- -- User Status Interface Ports (AXI Stream) ----------------- mm2s_sts_wvalid : out std_logic; -- mm2s_sts_wready : in std_logic; -- mm2s_sts_wdata : out std_logic_vector(7 downto 0); -- mm2s_sts_wstrb : out std_logic_vector(0 downto 0); -- mm2s_sts_wlast : out std_logic; -- ------------------------------------------------------------- -- Address Posting contols ---------------------------------- mm2s_allow_addr_req : in std_logic; -- mm2s_addr_req_posted : out std_logic; -- mm2s_rd_xfer_cmplt : out std_logic; -- ------------------------------------------------------------- -- MM2S AXI Address Channel I/O -------------------------------------- mm2s_arid : out std_logic_vector(C_MM2S_ID_WIDTH-1 downto 0); -- -- AXI Address Channel ID output -- -- mm2s_araddr : out std_logic_vector(C_MM2S_ADDR_WIDTH-1 downto 0); -- -- AXI Address Channel Address output -- -- mm2s_arlen : out std_logic_vector(7 downto 0); -- -- AXI Address Channel LEN output -- -- Sized to support 256 data beat bursts -- -- mm2s_arsize : out std_logic_vector(2 downto 0); -- -- AXI Address Channel SIZE output -- -- mm2s_arburst : out std_logic_vector(1 downto 0); -- -- AXI Address Channel BURST output -- -- mm2s_arprot : out std_logic_vector(2 downto 0); -- -- AXI Address Channel PROT output -- -- mm2s_arcache : out std_logic_vector(3 downto 0); -- -- AXI Address Channel CACHE output -- mm2s_aruser : out std_logic_vector(3 downto 0); -- -- AXI Address Channel USER output -- -- mm2s_arvalid : out std_logic; -- -- AXI Address Channel VALID output -- -- mm2s_arready : in std_logic; -- -- AXI Address Channel READY input -- ----------------------------------------------------------------------- -- Currently unsupported AXI Address Channel output signals ------- -- addr2axi_alock : out std_logic_vector(2 downto 0); -- -- addr2axi_acache : out std_logic_vector(4 downto 0); -- -- addr2axi_aqos : out std_logic_vector(3 downto 0); -- -- addr2axi_aregion : out std_logic_vector(3 downto 0); -- ------------------------------------------------------------------- -- MM2S AXI MMap Read Data Channel I/O ------------------------------------------ mm2s_rdata : In std_logic_vector(C_MM2S_MDATA_WIDTH-1 downto 0); -- mm2s_rresp : In std_logic_vector(1 downto 0); -- mm2s_rlast : In std_logic; -- mm2s_rvalid : In std_logic; -- mm2s_rready : Out std_logic; -- ---------------------------------------------------------------------------------- -- MM2S AXI Master Stream Channel I/O ----------------------------------------------- mm2s_strm_wdata : Out std_logic_vector(C_MM2S_SDATA_WIDTH-1 downto 0); -- mm2s_strm_wstrb : Out std_logic_vector((C_MM2S_SDATA_WIDTH/8)-1 downto 0); -- mm2s_strm_wlast : Out std_logic; -- mm2s_strm_wvalid : Out std_logic; -- mm2s_strm_wready : In std_logic; -- -------------------------------------------------------------------------------------- -- Testing Support I/O -------------------------------------------- mm2s_dbg_sel : in std_logic_vector( 3 downto 0); -- mm2s_dbg_data : out std_logic_vector(31 downto 0) -- ------------------------------------------------------------------- ); end entity axi_sg_mm2s_basic_wrap; architecture implementation of axi_sg_mm2s_basic_wrap is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; -- Function Declarations ---------------------------------------- ------------------------------------------------------------------- -- Function -- -- Function Name: func_calc_rdmux_sel_bits -- -- Function Description: -- This function calculates the number of address bits needed for -- the Read data mux select control. -- ------------------------------------------------------------------- function func_calc_rdmux_sel_bits (mmap_dwidth_value : integer) return integer is Variable num_addr_bits_needed : Integer range 1 to 5 := 1; begin case mmap_dwidth_value is when 32 => num_addr_bits_needed := 2; -- coverage off when 64 => num_addr_bits_needed := 3; when 128 => num_addr_bits_needed := 4; when others => -- 256 bits num_addr_bits_needed := 5; -- coverage on end case; Return (num_addr_bits_needed); end function func_calc_rdmux_sel_bits; -- Constant Declarations ---------------------------------------- Constant LOGIC_LOW : std_logic := '0'; Constant LOGIC_HIGH : std_logic := '1'; Constant INCLUDE_MM2S : integer range 0 to 2 := 2; Constant MM2S_ARID_VALUE : integer range 0 to 255 := C_MM2S_ARID; Constant MM2S_ARID_WIDTH : integer range 1 to 8 := C_MM2S_ID_WIDTH; Constant MM2S_ADDR_WIDTH : integer range 32 to 64 := C_MM2S_ADDR_WIDTH; Constant MM2S_MDATA_WIDTH : integer range 32 to 256 := C_MM2S_MDATA_WIDTH; Constant MM2S_SDATA_WIDTH : integer range 8 to 256 := C_MM2S_SDATA_WIDTH; Constant MM2S_CMD_WIDTH : integer := (C_TAG_WIDTH+C_MM2S_ADDR_WIDTH+32); Constant MM2S_STS_WIDTH : integer := 8; -- always 8 for MM2S Constant INCLUDE_MM2S_STSFIFO : integer range 0 to 1 := 1; Constant MM2S_STSCMD_FIFO_DEPTH : integer range 1 to 64 := 1; Constant MM2S_STSCMD_IS_ASYNC : integer range 0 to 1 := 0; Constant INCLUDE_MM2S_DRE : integer range 0 to 1 := 0; Constant DRE_ALIGN_WIDTH : integer range 1 to 3 := 2; Constant MM2S_BURST_SIZE : integer range 16 to 256 := 16; Constant RD_ADDR_CNTL_FIFO_DEPTH : integer range 1 to 30 := C_MM2S_ADDR_PIPE_DEPTH; Constant RD_DATA_CNTL_FIFO_DEPTH : integer range 1 to 30 := C_MM2S_ADDR_PIPE_DEPTH; Constant SEL_ADDR_WIDTH : integer := func_calc_rdmux_sel_bits(MM2S_MDATA_WIDTH); Constant DRE_ALIGN_ZEROS : std_logic_vector(DRE_ALIGN_WIDTH-1 downto 0) := (others => '0'); -- obsoleted Constant DISABLE_WAIT_FOR_DATA : integer := 0; -- Signal Declarations ------------------------------------------ signal sig_cmd_stat_rst_user : std_logic := '0'; signal sig_cmd_stat_rst_int : std_logic := '0'; signal sig_mmap_rst : std_logic := '0'; signal sig_stream_rst : std_logic := '0'; signal sig_mm2s_cmd_wdata : std_logic_vector(MM2S_CMD_WIDTH-1 downto 0); signal sig_mm2s_cache_data : std_logic_vector(7 downto 0); signal sig_cmd2mstr_command : std_logic_vector(MM2S_CMD_WIDTH-1 downto 0) := (others => '0'); signal sig_cmd2mstr_cmd_valid : std_logic := '0'; signal sig_mst2cmd_cmd_ready : std_logic := '0'; signal sig_mstr2addr_addr : std_logic_vector(MM2S_ADDR_WIDTH-1 downto 0) := (others => '0'); signal sig_mstr2addr_len : std_logic_vector(7 downto 0) := (others => '0'); signal sig_mstr2addr_size : std_logic_vector(2 downto 0) := (others => '0'); signal sig_mstr2addr_burst : std_logic_vector(1 downto 0) := (others => '0'); signal sig_mstr2addr_cache : std_logic_vector(3 downto 0) := (others => '0'); signal sig_mstr2addr_user : std_logic_vector(3 downto 0) := (others => '0'); signal sig_mstr2addr_cmd_cmplt : std_logic := '0'; signal sig_mstr2addr_calc_error : std_logic := '0'; signal sig_mstr2addr_cmd_valid : std_logic := '0'; signal sig_addr2mstr_cmd_ready : std_logic := '0'; signal sig_mstr2data_saddr_lsb : std_logic_vector(SEL_ADDR_WIDTH-1 downto 0) := (others => '0'); signal sig_mstr2data_len : std_logic_vector(7 downto 0) := (others => '0'); signal sig_mstr2data_strt_strb : std_logic_vector((MM2S_SDATA_WIDTH/8)-1 downto 0) := (others => '0'); signal sig_mstr2data_last_strb : std_logic_vector((MM2S_SDATA_WIDTH/8)-1 downto 0) := (others => '0'); signal sig_mstr2data_drr : std_logic := '0'; signal sig_mstr2data_eof : std_logic := '0'; signal sig_mstr2data_sequential : std_logic := '0'; signal sig_mstr2data_calc_error : std_logic := '0'; signal sig_mstr2data_cmd_cmplt : std_logic := '0'; signal sig_mstr2data_cmd_valid : std_logic := '0'; signal sig_data2mstr_cmd_ready : std_logic := '0'; signal sig_addr2data_addr_posted : std_logic := '0'; signal sig_data2all_dcntlr_halted : std_logic := '0'; signal sig_addr2rsc_calc_error : std_logic := '0'; signal sig_addr2rsc_cmd_fifo_empty : std_logic := '0'; signal sig_data2rsc_tag : std_logic_vector(C_TAG_WIDTH-1 downto 0) := (others => '0'); signal sig_data2rsc_calc_err : std_logic := '0'; signal sig_data2rsc_okay : std_logic := '0'; signal sig_data2rsc_decerr : std_logic := '0'; signal sig_data2rsc_slverr : std_logic := '0'; signal sig_data2rsc_cmd_cmplt : std_logic := '0'; signal sig_rsc2data_ready : std_logic := '0'; signal sig_data2rsc_valid : std_logic := '0'; signal sig_calc2dm_calc_err : std_logic := '0'; signal sig_data2skid_wvalid : std_logic := '0'; signal sig_data2skid_wready : std_logic := '0'; signal sig_data2skid_wdata : std_logic_vector(MM2S_SDATA_WIDTH-1 downto 0) := (others => '0'); signal sig_data2skid_wstrb : std_logic_vector((MM2S_SDATA_WIDTH/8)-1 downto 0) := (others => '0'); signal sig_data2skid_wlast : std_logic := '0'; signal sig_rsc2stat_status : std_logic_vector(MM2S_STS_WIDTH-1 downto 0) := (others => '0'); signal sig_stat2rsc_status_ready : std_logic := '0'; signal sig_rsc2stat_status_valid : std_logic := '0'; signal sig_rsc2mstr_halt_pipe : std_logic := '0'; signal sig_mstr2data_tag : std_logic_vector(C_TAG_WIDTH-1 downto 0) := (others => '0'); signal sig_mstr2addr_tag : std_logic_vector(C_TAG_WIDTH-1 downto 0) := (others => '0'); signal sig_dbg_data_mux_out : std_logic_vector(31 downto 0) := (others => '0'); signal sig_dbg_data_0 : std_logic_vector(31 downto 0) := (others => '0'); signal sig_dbg_data_1 : std_logic_vector(31 downto 0) := (others => '0'); signal sig_rst2all_stop_request : std_logic := '0'; signal sig_data2rst_stop_cmplt : std_logic := '0'; signal sig_addr2rst_stop_cmplt : std_logic := '0'; signal sig_data2addr_stop_req : std_logic := '0'; signal sig_data2skid_halt : std_logic := '0'; signal sig_cache2mstr_command : std_logic_vector (7 downto 0) := (others => '0'); signal mm2s_arcache_int : std_logic_vector (3 downto 0); begin --(architecture implementation) -- Debug Support ------------------------------------------ mm2s_dbg_data <= sig_dbg_data_mux_out; -- Note that only the mm2s_dbg_sel(0) is used at this time sig_dbg_data_mux_out <= sig_dbg_data_1 When (mm2s_dbg_sel(0) = '1') else sig_dbg_data_0 ; sig_dbg_data_0 <= X"BEEF2222" ; -- 32 bit Constant indicating MM2S Basic type sig_dbg_data_1(0) <= sig_cmd_stat_rst_user ; sig_dbg_data_1(1) <= sig_cmd_stat_rst_int ; sig_dbg_data_1(2) <= sig_mmap_rst ; sig_dbg_data_1(3) <= sig_stream_rst ; sig_dbg_data_1(4) <= sig_cmd2mstr_cmd_valid ; sig_dbg_data_1(5) <= sig_mst2cmd_cmd_ready ; sig_dbg_data_1(6) <= sig_stat2rsc_status_ready; sig_dbg_data_1(7) <= sig_rsc2stat_status_valid; sig_dbg_data_1(11 downto 8) <= sig_data2rsc_tag ; -- Current TAG of active data transfer sig_dbg_data_1(15 downto 12) <= sig_rsc2stat_status(3 downto 0); -- Internal status tag field sig_dbg_data_1(16) <= sig_rsc2stat_status(4) ; -- Internal error sig_dbg_data_1(17) <= sig_rsc2stat_status(5) ; -- Decode Error sig_dbg_data_1(18) <= sig_rsc2stat_status(6) ; -- Slave Error sig_dbg_data_1(19) <= sig_rsc2stat_status(7) ; -- OKAY sig_dbg_data_1(20) <= sig_stat2rsc_status_ready ; -- Status Ready Handshake sig_dbg_data_1(21) <= sig_rsc2stat_status_valid ; -- Status Valid Handshake -- Spare bits in debug1 sig_dbg_data_1(31 downto 22) <= (others => '0') ; -- spare bits GEN_CACHE : if (C_ENABLE_MULTI_CHANNEL = 0) generate begin -- Cache signal tie-off mm2s_arcache <= "0011"; -- Per Interface-X guidelines for Masters mm2s_aruser <= "0000"; -- Per Interface-X guidelines for Masters sig_mm2s_cache_data <= (others => '0'); --mm2s_cmd_wdata(103 downto 96); end generate GEN_CACHE; GEN_CACHE2 : if (C_ENABLE_MULTI_CHANNEL = 1) generate begin -- Cache signal tie-off mm2s_arcache <= sg_ctl (3 downto 0); -- SG Cache from register mm2s_aruser <= sg_ctl (7 downto 4); -- Per Interface-X guidelines for Masters sig_mm2s_cache_data <= mm2s_cmd_wdata(103 downto 96); end generate GEN_CACHE2; -- Cache signal tie-off -- Internal error output discrete ------------------------------ mm2s_err <= sig_calc2dm_calc_err; -- Rip the used portion of the Command Interface Command Data -- and throw away the padding sig_mm2s_cmd_wdata <= mm2s_cmd_wdata(MM2S_CMD_WIDTH-1 downto 0); ------------------------------------------------------------ -- Instance: I_RESET -- -- Description: -- Reset Block -- ------------------------------------------------------------ I_RESET : entity axi_sg_v4_1_2.axi_sg_reset generic map ( C_STSCMD_IS_ASYNC => MM2S_STSCMD_IS_ASYNC ) port map ( primary_aclk => mm2s_aclk , primary_aresetn => mm2s_aresetn , secondary_awclk => mm2s_cmdsts_awclk , secondary_aresetn => mm2s_cmdsts_aresetn , halt_req => mm2s_halt , halt_cmplt => mm2s_halt_cmplt , flush_stop_request => sig_rst2all_stop_request , data_cntlr_stopped => sig_data2rst_stop_cmplt , addr_cntlr_stopped => sig_addr2rst_stop_cmplt , aux1_stopped => LOGIC_HIGH , aux2_stopped => LOGIC_HIGH , cmd_stat_rst_user => sig_cmd_stat_rst_user , cmd_stat_rst_int => sig_cmd_stat_rst_int , mmap_rst => sig_mmap_rst , stream_rst => sig_stream_rst ); ------------------------------------------------------------ -- Instance: I_CMD_STATUS -- -- Description: -- Command and Status Interface Block -- ------------------------------------------------------------ I_CMD_STATUS : entity axi_sg_v4_1_2.axi_sg_cmd_status generic map ( C_ADDR_WIDTH => MM2S_ADDR_WIDTH , C_INCLUDE_STSFIFO => INCLUDE_MM2S_STSFIFO , C_STSCMD_FIFO_DEPTH => MM2S_STSCMD_FIFO_DEPTH , C_STSCMD_IS_ASYNC => MM2S_STSCMD_IS_ASYNC , C_CMD_WIDTH => MM2S_CMD_WIDTH , C_STS_WIDTH => MM2S_STS_WIDTH , C_FAMILY => C_FAMILY ) port map ( primary_aclk => mm2s_aclk , secondary_awclk => mm2s_cmdsts_awclk , user_reset => sig_cmd_stat_rst_user , internal_reset => sig_cmd_stat_rst_int , cmd_wvalid => mm2s_cmd_wvalid , cmd_wready => mm2s_cmd_wready , cmd_wdata => sig_mm2s_cmd_wdata , cache_data => sig_mm2s_cache_data , sts_wvalid => mm2s_sts_wvalid , sts_wready => mm2s_sts_wready , sts_wdata => mm2s_sts_wdata , sts_wstrb => mm2s_sts_wstrb , sts_wlast => mm2s_sts_wlast , cmd2mstr_command => sig_cmd2mstr_command , mst2cmd_cmd_valid => sig_cmd2mstr_cmd_valid , cmd2mstr_cmd_ready => sig_mst2cmd_cmd_ready , mstr2stat_status => sig_rsc2stat_status , stat2mstr_status_ready => sig_stat2rsc_status_ready , mst2stst_status_valid => sig_rsc2stat_status_valid ); ------------------------------------------------------------ -- Instance: I_RD_STATUS_CNTLR -- -- Description: -- Read Status Controller Block -- ------------------------------------------------------------ I_RD_STATUS_CNTLR : entity axi_sg_v4_1_2.axi_sg_rd_status_cntl generic map ( C_STS_WIDTH => MM2S_STS_WIDTH , C_TAG_WIDTH => C_TAG_WIDTH ) port map ( primary_aclk => mm2s_aclk , mmap_reset => sig_mmap_rst , calc2rsc_calc_error => sig_calc2dm_calc_err , addr2rsc_calc_error => sig_addr2rsc_calc_error , addr2rsc_fifo_empty => sig_addr2rsc_cmd_fifo_empty , data2rsc_tag => sig_data2rsc_tag , data2rsc_calc_error => sig_data2rsc_calc_err , data2rsc_okay => sig_data2rsc_okay , data2rsc_decerr => sig_data2rsc_decerr , data2rsc_slverr => sig_data2rsc_slverr , data2rsc_cmd_cmplt => sig_data2rsc_cmd_cmplt , rsc2data_ready => sig_rsc2data_ready , data2rsc_valid => sig_data2rsc_valid , rsc2stat_status => sig_rsc2stat_status , stat2rsc_status_ready => sig_stat2rsc_status_ready , rsc2stat_status_valid => sig_rsc2stat_status_valid , rsc2mstr_halt_pipe => sig_rsc2mstr_halt_pipe ); ------------------------------------------------------------ -- Instance: I_MSTR_SCC -- -- Description: -- Simple Command Calculator Block -- ------------------------------------------------------------ I_MSTR_SCC : entity axi_sg_v4_1_2.axi_sg_scc generic map ( C_SEL_ADDR_WIDTH => SEL_ADDR_WIDTH , C_ADDR_WIDTH => MM2S_ADDR_WIDTH , C_STREAM_DWIDTH => MM2S_SDATA_WIDTH , C_MAX_BURST_LEN => C_MM2S_BURST_SIZE , C_CMD_WIDTH => MM2S_CMD_WIDTH , C_ENABLE_EXTRA_FIELD => C_ENABLE_EXTRA_FIELD , C_TAG_WIDTH => C_TAG_WIDTH ) port map ( -- Clock input primary_aclk => mm2s_aclk , mmap_reset => sig_mmap_rst , cmd2mstr_command => sig_cmd2mstr_command , cache2mstr_command => sig_cache2mstr_command , cmd2mstr_cmd_valid => sig_cmd2mstr_cmd_valid , mst2cmd_cmd_ready => sig_mst2cmd_cmd_ready , mstr2addr_tag => sig_mstr2addr_tag , mstr2addr_addr => sig_mstr2addr_addr , mstr2addr_len => sig_mstr2addr_len , mstr2addr_size => sig_mstr2addr_size , mstr2addr_burst => sig_mstr2addr_burst , mstr2addr_calc_error => sig_mstr2addr_calc_error , mstr2addr_cmd_cmplt => sig_mstr2addr_cmd_cmplt , mstr2addr_cmd_valid => sig_mstr2addr_cmd_valid , addr2mstr_cmd_ready => sig_addr2mstr_cmd_ready , mstr2data_tag => sig_mstr2data_tag , mstr2data_saddr_lsb => sig_mstr2data_saddr_lsb , mstr2data_len => sig_mstr2data_len , mstr2data_strt_strb => sig_mstr2data_strt_strb , mstr2data_last_strb => sig_mstr2data_last_strb , mstr2data_sof => sig_mstr2data_drr , mstr2data_eof => sig_mstr2data_eof , mstr2data_calc_error => sig_mstr2data_calc_error , mstr2data_cmd_cmplt => sig_mstr2data_cmd_cmplt , mstr2data_cmd_valid => sig_mstr2data_cmd_valid , data2mstr_cmd_ready => sig_data2mstr_cmd_ready , calc_error => sig_calc2dm_calc_err ); ------------------------------------------------------------ -- Instance: I_ADDR_CNTL -- -- Description: -- Address Controller Block -- ------------------------------------------------------------ I_ADDR_CNTL : entity axi_sg_v4_1_2.axi_sg_addr_cntl generic map ( -- obsoleted C_ENABlE_WAIT_FOR_DATA => DISABLE_WAIT_FOR_DATA , --C_ADDR_FIFO_DEPTH => MM2S_STSCMD_FIFO_DEPTH , C_ADDR_FIFO_DEPTH => RD_ADDR_CNTL_FIFO_DEPTH , C_ADDR_WIDTH => MM2S_ADDR_WIDTH , C_ADDR_ID => MM2S_ARID_VALUE , C_ADDR_ID_WIDTH => MM2S_ARID_WIDTH , C_TAG_WIDTH => C_TAG_WIDTH ) port map ( primary_aclk => mm2s_aclk , mmap_reset => sig_mmap_rst , addr2axi_aid => mm2s_arid , addr2axi_aaddr => mm2s_araddr , addr2axi_alen => mm2s_arlen , addr2axi_asize => mm2s_arsize , addr2axi_aburst => mm2s_arburst , addr2axi_aprot => mm2s_arprot , addr2axi_avalid => mm2s_arvalid , addr2axi_acache => open , addr2axi_auser => open , axi2addr_aready => mm2s_arready , mstr2addr_tag => sig_mstr2addr_tag , mstr2addr_addr => sig_mstr2addr_addr , mstr2addr_len => sig_mstr2addr_len , mstr2addr_size => sig_mstr2addr_size , mstr2addr_burst => sig_mstr2addr_burst , mstr2addr_cache => sig_mstr2addr_cache , mstr2addr_user => sig_mstr2addr_user , mstr2addr_cmd_cmplt => sig_mstr2addr_cmd_cmplt , mstr2addr_calc_error => sig_mstr2addr_calc_error , mstr2addr_cmd_valid => sig_mstr2addr_cmd_valid , addr2mstr_cmd_ready => sig_addr2mstr_cmd_ready , addr2rst_stop_cmplt => sig_addr2rst_stop_cmplt , allow_addr_req => mm2s_allow_addr_req , addr_req_posted => mm2s_addr_req_posted , addr2data_addr_posted => sig_addr2data_addr_posted , data2addr_data_rdy => LOGIC_LOW , data2addr_stop_req => sig_data2addr_stop_req , addr2stat_calc_error => sig_addr2rsc_calc_error , addr2stat_cmd_fifo_empty => sig_addr2rsc_cmd_fifo_empty ); ------------------------------------------------------------ -- Instance: I_RD_DATA_CNTL -- -- Description: -- Read Data Controller Block -- ------------------------------------------------------------ I_RD_DATA_CNTL : entity axi_sg_v4_1_2.axi_sg_rddata_cntl generic map ( C_INCLUDE_DRE => INCLUDE_MM2S_DRE , C_ALIGN_WIDTH => DRE_ALIGN_WIDTH , C_SEL_ADDR_WIDTH => SEL_ADDR_WIDTH , C_DATA_CNTL_FIFO_DEPTH => RD_DATA_CNTL_FIFO_DEPTH , C_MMAP_DWIDTH => MM2S_MDATA_WIDTH , C_STREAM_DWIDTH => MM2S_SDATA_WIDTH , C_TAG_WIDTH => C_TAG_WIDTH , C_FAMILY => C_FAMILY ) port map ( -- Clock and Reset ----------------------------------- primary_aclk => mm2s_aclk , mmap_reset => sig_mmap_rst , -- Soft Shutdown Interface ----------------------------- rst2data_stop_request => sig_rst2all_stop_request , data2addr_stop_req => sig_data2addr_stop_req , data2rst_stop_cmplt => sig_data2rst_stop_cmplt , -- External Address Pipelining Contol support mm2s_rd_xfer_cmplt => mm2s_rd_xfer_cmplt , -- AXI Read Data Channel I/O ------------------------------- mm2s_rdata => mm2s_rdata , mm2s_rresp => mm2s_rresp , mm2s_rlast => mm2s_rlast , mm2s_rvalid => mm2s_rvalid , mm2s_rready => mm2s_rready , -- MM2S DRE Control ----------------------------------- mm2s_dre_new_align => open , mm2s_dre_use_autodest => open , mm2s_dre_src_align => open , mm2s_dre_dest_align => open , mm2s_dre_flush => open , -- AXI Master Stream ----------------------------------- mm2s_strm_wvalid => mm2s_strm_wvalid , mm2s_strm_wready => mm2s_strm_wready , mm2s_strm_wdata => mm2s_strm_wdata , mm2s_strm_wstrb => mm2s_strm_wstrb , mm2s_strm_wlast => mm2s_strm_wlast , -- MM2S Store and Forward Supplimental Control ----------- mm2s_data2sf_cmd_cmplt => open , -- Command Calculator Interface -------------------------- mstr2data_tag => sig_mstr2data_tag , mstr2data_saddr_lsb => sig_mstr2data_saddr_lsb , mstr2data_len => sig_mstr2data_len , mstr2data_strt_strb => sig_mstr2data_strt_strb , mstr2data_last_strb => sig_mstr2data_last_strb , mstr2data_drr => sig_mstr2data_drr , mstr2data_eof => sig_mstr2data_eof , mstr2data_sequential => LOGIC_LOW , mstr2data_calc_error => sig_mstr2data_calc_error , mstr2data_cmd_cmplt => sig_mstr2data_cmd_cmplt , mstr2data_cmd_valid => sig_mstr2data_cmd_valid , data2mstr_cmd_ready => sig_data2mstr_cmd_ready , mstr2data_dre_src_align => DRE_ALIGN_ZEROS , mstr2data_dre_dest_align => DRE_ALIGN_ZEROS , -- Address Controller Interface -------------------------- addr2data_addr_posted => sig_addr2data_addr_posted , -- Data Controller Halted Status data2all_dcntlr_halted => sig_data2all_dcntlr_halted, -- Output Stream Skid Buffer Halt control data2skid_halt => sig_data2skid_halt , -- Read Status Controller Interface -------------------------- data2rsc_tag => sig_data2rsc_tag , data2rsc_calc_err => sig_data2rsc_calc_err , data2rsc_okay => sig_data2rsc_okay , data2rsc_decerr => sig_data2rsc_decerr , data2rsc_slverr => sig_data2rsc_slverr , data2rsc_cmd_cmplt => sig_data2rsc_cmd_cmplt , rsc2data_ready => sig_rsc2data_ready , data2rsc_valid => sig_data2rsc_valid , rsc2mstr_halt_pipe => sig_rsc2mstr_halt_pipe ); ------------------------------------------------------------ -- Instance: I_MM2S_SKID_BUF -- -- Description: -- Instance for the MM2S Skid Buffer which provides for -- registerd Master Stream outputs and supports bi-dir -- throttling. -- ------------------------------------------------------------ -- I_MM2S_SKID_BUF : entity axi_sg_v4_1_2.axi_sg_skid_buf -- generic map ( -- -- C_WDATA_WIDTH => MM2S_SDATA_WIDTH -- -- ) -- port map ( -- -- -- System Ports -- aclk => mm2s_aclk , -- arst => sig_stream_rst , -- -- -- Shutdown control (assert for 1 clk pulse) -- skid_stop => sig_data2skid_halt , -- -- -- Slave Side (Stream Data Input) -- s_valid => sig_data2skid_wvalid , -- s_ready => sig_data2skid_wready , -- s_data => sig_data2skid_wdata , -- s_strb => sig_data2skid_wstrb , -- s_last => sig_data2skid_wlast , -- -- -- Master Side (Stream Data Output -- m_valid => mm2s_strm_wvalid , -- m_ready => mm2s_strm_wready , -- m_data => mm2s_strm_wdata , -- m_strb => mm2s_strm_wstrb , -- m_last => mm2s_strm_wlast -- -- ); -- end implementation;
-- ************************************************************************* -- -- (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: axi_sg_mm2s_basic_wrap.vhd -- -- Description: -- This file implements the DataMover MM2S Basic Wrapper. -- -- -- -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; -- axi_sg Library Modules library axi_sg_v4_1_2; use axi_sg_v4_1_2.axi_sg_reset; use axi_sg_v4_1_2.axi_sg_cmd_status; use axi_sg_v4_1_2.axi_sg_scc; use axi_sg_v4_1_2.axi_sg_addr_cntl; use axi_sg_v4_1_2.axi_sg_rddata_cntl; use axi_sg_v4_1_2.axi_sg_rd_status_cntl; use axi_sg_v4_1_2.axi_sg_skid_buf; ------------------------------------------------------------------------------- entity axi_sg_mm2s_basic_wrap is generic ( C_INCLUDE_MM2S : Integer range 0 to 2 := 2; -- Specifies the type of MM2S function to include -- 0 = Omit MM2S functionality -- 1 = Full MM2S Functionality -- 2 = Basic MM2S functionality C_MM2S_ARID : Integer range 0 to 255 := 8; -- Specifies the constant value to output on -- the ARID output port C_MM2S_ID_WIDTH : Integer range 1 to 8 := 4; -- Specifies the width of the MM2S ID port C_MM2S_ADDR_WIDTH : Integer range 32 to 64 := 32; -- Specifies the width of the MMap Read Address Channel -- Address bus C_MM2S_MDATA_WIDTH : Integer range 32 to 64 := 32; -- Specifies the width of the MMap Read Data Channel -- data bus C_MM2S_SDATA_WIDTH : Integer range 8 to 64 := 32; -- Specifies the width of the MM2S Master Stream Data -- Channel data bus C_INCLUDE_MM2S_STSFIFO : Integer range 0 to 1 := 1; -- Specifies if a Status FIFO is to be implemented -- 0 = Omit MM2S Status FIFO -- 1 = Include MM2S Status FIFO C_MM2S_STSCMD_FIFO_DEPTH : Integer range 1 to 16 := 1; -- Specifies the depth of the MM2S Command FIFO and the -- optional Status FIFO -- Valid values are 1,4,8,16 C_MM2S_STSCMD_IS_ASYNC : Integer range 0 to 1 := 0; -- Specifies if the Status and Command interfaces need to -- be asynchronous to the primary data path clocking -- 0 = Use same clocking as data path -- 1 = Use special Status/Command clock for the interfaces C_INCLUDE_MM2S_DRE : Integer range 0 to 1 := 0; -- Specifies if DRE is to be included in the MM2S function -- 0 = Omit DRE -- 1 = Include DRE C_MM2S_BURST_SIZE : Integer range 16 to 64 := 16; -- Specifies the max number of databeats to use for MMap -- burst transfers by the MM2S function C_MM2S_BTT_USED : Integer range 8 to 23 := 16; -- Specifies the number of bits used from the BTT field -- of the input Command Word of the MM2S Command Interface C_MM2S_ADDR_PIPE_DEPTH : Integer range 1 to 30 := 1; -- This parameter specifies the depth of the MM2S internal -- child command queues in the Read Address Controller and -- the Read Data Controller. Increasing this value will -- allow more Read Addresses to be issued to the AXI4 Read -- Address Channel before receipt of the associated read -- data on the Read Data Channel. C_ENABLE_MULTI_CHANNEL : Integer range 0 to 1 := 1; C_ENABLE_EXTRA_FIELD : integer range 0 to 1 := 0; C_TAG_WIDTH : Integer range 1 to 8 := 4 ; -- Width of the TAG field C_FAMILY : String := "virtex7" -- Specifies the target FPGA family type ); port ( -- MM2S Primary Clock and Reset inputs ----------------------- mm2s_aclk : in std_logic; -- -- Primary synchronization clock for the Master side -- -- interface and internal logic. It is also used -- -- for the User interface synchronization when -- -- C_STSCMD_IS_ASYNC = 0. -- -- -- MM2S Primary Reset input -- mm2s_aresetn : in std_logic; -- -- Reset used for the internal master logic -- -------------------------------------------------------------- sg_ctl : in std_logic_vector (7 downto 0); -- MM2S Halt request input control --------------------------- mm2s_halt : in std_logic; -- -- Active high soft shutdown request -- -- -- MM2S Halt Complete status flag -- mm2s_halt_cmplt : Out std_logic; -- -- Active high soft shutdown complete status -- -------------------------------------------------------------- -- Error discrete output ------------------------------------- mm2s_err : Out std_logic; -- -- Composite Error indication -- -------------------------------------------------------------- -- Optional MM2S Command and Status Clock and Reset ---------- -- These are used when C_MM2S_STSCMD_IS_ASYNC = 1 -- mm2s_cmdsts_awclk : in std_logic; -- -- Secondary Clock input for async CMD/Status interface -- -- mm2s_cmdsts_aresetn : in std_logic; -- -- Secondary Reset input for async CMD/Status interface -- -------------------------------------------------------------- -- User Command Interface Ports (AXI Stream) ------------------------------------------------- mm2s_cmd_wvalid : in std_logic; -- mm2s_cmd_wready : out std_logic; -- mm2s_cmd_wdata : in std_logic_vector((C_TAG_WIDTH+(1+C_ENABLE_MULTI_CHANNEL)*C_MM2S_ADDR_WIDTH+36)-1 downto 0); -- ---------------------------------------------------------------------------------------------- -- User Status Interface Ports (AXI Stream) ----------------- mm2s_sts_wvalid : out std_logic; -- mm2s_sts_wready : in std_logic; -- mm2s_sts_wdata : out std_logic_vector(7 downto 0); -- mm2s_sts_wstrb : out std_logic_vector(0 downto 0); -- mm2s_sts_wlast : out std_logic; -- ------------------------------------------------------------- -- Address Posting contols ---------------------------------- mm2s_allow_addr_req : in std_logic; -- mm2s_addr_req_posted : out std_logic; -- mm2s_rd_xfer_cmplt : out std_logic; -- ------------------------------------------------------------- -- MM2S AXI Address Channel I/O -------------------------------------- mm2s_arid : out std_logic_vector(C_MM2S_ID_WIDTH-1 downto 0); -- -- AXI Address Channel ID output -- -- mm2s_araddr : out std_logic_vector(C_MM2S_ADDR_WIDTH-1 downto 0); -- -- AXI Address Channel Address output -- -- mm2s_arlen : out std_logic_vector(7 downto 0); -- -- AXI Address Channel LEN output -- -- Sized to support 256 data beat bursts -- -- mm2s_arsize : out std_logic_vector(2 downto 0); -- -- AXI Address Channel SIZE output -- -- mm2s_arburst : out std_logic_vector(1 downto 0); -- -- AXI Address Channel BURST output -- -- mm2s_arprot : out std_logic_vector(2 downto 0); -- -- AXI Address Channel PROT output -- -- mm2s_arcache : out std_logic_vector(3 downto 0); -- -- AXI Address Channel CACHE output -- mm2s_aruser : out std_logic_vector(3 downto 0); -- -- AXI Address Channel USER output -- -- mm2s_arvalid : out std_logic; -- -- AXI Address Channel VALID output -- -- mm2s_arready : in std_logic; -- -- AXI Address Channel READY input -- ----------------------------------------------------------------------- -- Currently unsupported AXI Address Channel output signals ------- -- addr2axi_alock : out std_logic_vector(2 downto 0); -- -- addr2axi_acache : out std_logic_vector(4 downto 0); -- -- addr2axi_aqos : out std_logic_vector(3 downto 0); -- -- addr2axi_aregion : out std_logic_vector(3 downto 0); -- ------------------------------------------------------------------- -- MM2S AXI MMap Read Data Channel I/O ------------------------------------------ mm2s_rdata : In std_logic_vector(C_MM2S_MDATA_WIDTH-1 downto 0); -- mm2s_rresp : In std_logic_vector(1 downto 0); -- mm2s_rlast : In std_logic; -- mm2s_rvalid : In std_logic; -- mm2s_rready : Out std_logic; -- ---------------------------------------------------------------------------------- -- MM2S AXI Master Stream Channel I/O ----------------------------------------------- mm2s_strm_wdata : Out std_logic_vector(C_MM2S_SDATA_WIDTH-1 downto 0); -- mm2s_strm_wstrb : Out std_logic_vector((C_MM2S_SDATA_WIDTH/8)-1 downto 0); -- mm2s_strm_wlast : Out std_logic; -- mm2s_strm_wvalid : Out std_logic; -- mm2s_strm_wready : In std_logic; -- -------------------------------------------------------------------------------------- -- Testing Support I/O -------------------------------------------- mm2s_dbg_sel : in std_logic_vector( 3 downto 0); -- mm2s_dbg_data : out std_logic_vector(31 downto 0) -- ------------------------------------------------------------------- ); end entity axi_sg_mm2s_basic_wrap; architecture implementation of axi_sg_mm2s_basic_wrap is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; -- Function Declarations ---------------------------------------- ------------------------------------------------------------------- -- Function -- -- Function Name: func_calc_rdmux_sel_bits -- -- Function Description: -- This function calculates the number of address bits needed for -- the Read data mux select control. -- ------------------------------------------------------------------- function func_calc_rdmux_sel_bits (mmap_dwidth_value : integer) return integer is Variable num_addr_bits_needed : Integer range 1 to 5 := 1; begin case mmap_dwidth_value is when 32 => num_addr_bits_needed := 2; -- coverage off when 64 => num_addr_bits_needed := 3; when 128 => num_addr_bits_needed := 4; when others => -- 256 bits num_addr_bits_needed := 5; -- coverage on end case; Return (num_addr_bits_needed); end function func_calc_rdmux_sel_bits; -- Constant Declarations ---------------------------------------- Constant LOGIC_LOW : std_logic := '0'; Constant LOGIC_HIGH : std_logic := '1'; Constant INCLUDE_MM2S : integer range 0 to 2 := 2; Constant MM2S_ARID_VALUE : integer range 0 to 255 := C_MM2S_ARID; Constant MM2S_ARID_WIDTH : integer range 1 to 8 := C_MM2S_ID_WIDTH; Constant MM2S_ADDR_WIDTH : integer range 32 to 64 := C_MM2S_ADDR_WIDTH; Constant MM2S_MDATA_WIDTH : integer range 32 to 256 := C_MM2S_MDATA_WIDTH; Constant MM2S_SDATA_WIDTH : integer range 8 to 256 := C_MM2S_SDATA_WIDTH; Constant MM2S_CMD_WIDTH : integer := (C_TAG_WIDTH+C_MM2S_ADDR_WIDTH+32); Constant MM2S_STS_WIDTH : integer := 8; -- always 8 for MM2S Constant INCLUDE_MM2S_STSFIFO : integer range 0 to 1 := 1; Constant MM2S_STSCMD_FIFO_DEPTH : integer range 1 to 64 := 1; Constant MM2S_STSCMD_IS_ASYNC : integer range 0 to 1 := 0; Constant INCLUDE_MM2S_DRE : integer range 0 to 1 := 0; Constant DRE_ALIGN_WIDTH : integer range 1 to 3 := 2; Constant MM2S_BURST_SIZE : integer range 16 to 256 := 16; Constant RD_ADDR_CNTL_FIFO_DEPTH : integer range 1 to 30 := C_MM2S_ADDR_PIPE_DEPTH; Constant RD_DATA_CNTL_FIFO_DEPTH : integer range 1 to 30 := C_MM2S_ADDR_PIPE_DEPTH; Constant SEL_ADDR_WIDTH : integer := func_calc_rdmux_sel_bits(MM2S_MDATA_WIDTH); Constant DRE_ALIGN_ZEROS : std_logic_vector(DRE_ALIGN_WIDTH-1 downto 0) := (others => '0'); -- obsoleted Constant DISABLE_WAIT_FOR_DATA : integer := 0; -- Signal Declarations ------------------------------------------ signal sig_cmd_stat_rst_user : std_logic := '0'; signal sig_cmd_stat_rst_int : std_logic := '0'; signal sig_mmap_rst : std_logic := '0'; signal sig_stream_rst : std_logic := '0'; signal sig_mm2s_cmd_wdata : std_logic_vector(MM2S_CMD_WIDTH-1 downto 0); signal sig_mm2s_cache_data : std_logic_vector(7 downto 0); signal sig_cmd2mstr_command : std_logic_vector(MM2S_CMD_WIDTH-1 downto 0) := (others => '0'); signal sig_cmd2mstr_cmd_valid : std_logic := '0'; signal sig_mst2cmd_cmd_ready : std_logic := '0'; signal sig_mstr2addr_addr : std_logic_vector(MM2S_ADDR_WIDTH-1 downto 0) := (others => '0'); signal sig_mstr2addr_len : std_logic_vector(7 downto 0) := (others => '0'); signal sig_mstr2addr_size : std_logic_vector(2 downto 0) := (others => '0'); signal sig_mstr2addr_burst : std_logic_vector(1 downto 0) := (others => '0'); signal sig_mstr2addr_cache : std_logic_vector(3 downto 0) := (others => '0'); signal sig_mstr2addr_user : std_logic_vector(3 downto 0) := (others => '0'); signal sig_mstr2addr_cmd_cmplt : std_logic := '0'; signal sig_mstr2addr_calc_error : std_logic := '0'; signal sig_mstr2addr_cmd_valid : std_logic := '0'; signal sig_addr2mstr_cmd_ready : std_logic := '0'; signal sig_mstr2data_saddr_lsb : std_logic_vector(SEL_ADDR_WIDTH-1 downto 0) := (others => '0'); signal sig_mstr2data_len : std_logic_vector(7 downto 0) := (others => '0'); signal sig_mstr2data_strt_strb : std_logic_vector((MM2S_SDATA_WIDTH/8)-1 downto 0) := (others => '0'); signal sig_mstr2data_last_strb : std_logic_vector((MM2S_SDATA_WIDTH/8)-1 downto 0) := (others => '0'); signal sig_mstr2data_drr : std_logic := '0'; signal sig_mstr2data_eof : std_logic := '0'; signal sig_mstr2data_sequential : std_logic := '0'; signal sig_mstr2data_calc_error : std_logic := '0'; signal sig_mstr2data_cmd_cmplt : std_logic := '0'; signal sig_mstr2data_cmd_valid : std_logic := '0'; signal sig_data2mstr_cmd_ready : std_logic := '0'; signal sig_addr2data_addr_posted : std_logic := '0'; signal sig_data2all_dcntlr_halted : std_logic := '0'; signal sig_addr2rsc_calc_error : std_logic := '0'; signal sig_addr2rsc_cmd_fifo_empty : std_logic := '0'; signal sig_data2rsc_tag : std_logic_vector(C_TAG_WIDTH-1 downto 0) := (others => '0'); signal sig_data2rsc_calc_err : std_logic := '0'; signal sig_data2rsc_okay : std_logic := '0'; signal sig_data2rsc_decerr : std_logic := '0'; signal sig_data2rsc_slverr : std_logic := '0'; signal sig_data2rsc_cmd_cmplt : std_logic := '0'; signal sig_rsc2data_ready : std_logic := '0'; signal sig_data2rsc_valid : std_logic := '0'; signal sig_calc2dm_calc_err : std_logic := '0'; signal sig_data2skid_wvalid : std_logic := '0'; signal sig_data2skid_wready : std_logic := '0'; signal sig_data2skid_wdata : std_logic_vector(MM2S_SDATA_WIDTH-1 downto 0) := (others => '0'); signal sig_data2skid_wstrb : std_logic_vector((MM2S_SDATA_WIDTH/8)-1 downto 0) := (others => '0'); signal sig_data2skid_wlast : std_logic := '0'; signal sig_rsc2stat_status : std_logic_vector(MM2S_STS_WIDTH-1 downto 0) := (others => '0'); signal sig_stat2rsc_status_ready : std_logic := '0'; signal sig_rsc2stat_status_valid : std_logic := '0'; signal sig_rsc2mstr_halt_pipe : std_logic := '0'; signal sig_mstr2data_tag : std_logic_vector(C_TAG_WIDTH-1 downto 0) := (others => '0'); signal sig_mstr2addr_tag : std_logic_vector(C_TAG_WIDTH-1 downto 0) := (others => '0'); signal sig_dbg_data_mux_out : std_logic_vector(31 downto 0) := (others => '0'); signal sig_dbg_data_0 : std_logic_vector(31 downto 0) := (others => '0'); signal sig_dbg_data_1 : std_logic_vector(31 downto 0) := (others => '0'); signal sig_rst2all_stop_request : std_logic := '0'; signal sig_data2rst_stop_cmplt : std_logic := '0'; signal sig_addr2rst_stop_cmplt : std_logic := '0'; signal sig_data2addr_stop_req : std_logic := '0'; signal sig_data2skid_halt : std_logic := '0'; signal sig_cache2mstr_command : std_logic_vector (7 downto 0) := (others => '0'); signal mm2s_arcache_int : std_logic_vector (3 downto 0); begin --(architecture implementation) -- Debug Support ------------------------------------------ mm2s_dbg_data <= sig_dbg_data_mux_out; -- Note that only the mm2s_dbg_sel(0) is used at this time sig_dbg_data_mux_out <= sig_dbg_data_1 When (mm2s_dbg_sel(0) = '1') else sig_dbg_data_0 ; sig_dbg_data_0 <= X"BEEF2222" ; -- 32 bit Constant indicating MM2S Basic type sig_dbg_data_1(0) <= sig_cmd_stat_rst_user ; sig_dbg_data_1(1) <= sig_cmd_stat_rst_int ; sig_dbg_data_1(2) <= sig_mmap_rst ; sig_dbg_data_1(3) <= sig_stream_rst ; sig_dbg_data_1(4) <= sig_cmd2mstr_cmd_valid ; sig_dbg_data_1(5) <= sig_mst2cmd_cmd_ready ; sig_dbg_data_1(6) <= sig_stat2rsc_status_ready; sig_dbg_data_1(7) <= sig_rsc2stat_status_valid; sig_dbg_data_1(11 downto 8) <= sig_data2rsc_tag ; -- Current TAG of active data transfer sig_dbg_data_1(15 downto 12) <= sig_rsc2stat_status(3 downto 0); -- Internal status tag field sig_dbg_data_1(16) <= sig_rsc2stat_status(4) ; -- Internal error sig_dbg_data_1(17) <= sig_rsc2stat_status(5) ; -- Decode Error sig_dbg_data_1(18) <= sig_rsc2stat_status(6) ; -- Slave Error sig_dbg_data_1(19) <= sig_rsc2stat_status(7) ; -- OKAY sig_dbg_data_1(20) <= sig_stat2rsc_status_ready ; -- Status Ready Handshake sig_dbg_data_1(21) <= sig_rsc2stat_status_valid ; -- Status Valid Handshake -- Spare bits in debug1 sig_dbg_data_1(31 downto 22) <= (others => '0') ; -- spare bits GEN_CACHE : if (C_ENABLE_MULTI_CHANNEL = 0) generate begin -- Cache signal tie-off mm2s_arcache <= "0011"; -- Per Interface-X guidelines for Masters mm2s_aruser <= "0000"; -- Per Interface-X guidelines for Masters sig_mm2s_cache_data <= (others => '0'); --mm2s_cmd_wdata(103 downto 96); end generate GEN_CACHE; GEN_CACHE2 : if (C_ENABLE_MULTI_CHANNEL = 1) generate begin -- Cache signal tie-off mm2s_arcache <= sg_ctl (3 downto 0); -- SG Cache from register mm2s_aruser <= sg_ctl (7 downto 4); -- Per Interface-X guidelines for Masters sig_mm2s_cache_data <= mm2s_cmd_wdata(103 downto 96); end generate GEN_CACHE2; -- Cache signal tie-off -- Internal error output discrete ------------------------------ mm2s_err <= sig_calc2dm_calc_err; -- Rip the used portion of the Command Interface Command Data -- and throw away the padding sig_mm2s_cmd_wdata <= mm2s_cmd_wdata(MM2S_CMD_WIDTH-1 downto 0); ------------------------------------------------------------ -- Instance: I_RESET -- -- Description: -- Reset Block -- ------------------------------------------------------------ I_RESET : entity axi_sg_v4_1_2.axi_sg_reset generic map ( C_STSCMD_IS_ASYNC => MM2S_STSCMD_IS_ASYNC ) port map ( primary_aclk => mm2s_aclk , primary_aresetn => mm2s_aresetn , secondary_awclk => mm2s_cmdsts_awclk , secondary_aresetn => mm2s_cmdsts_aresetn , halt_req => mm2s_halt , halt_cmplt => mm2s_halt_cmplt , flush_stop_request => sig_rst2all_stop_request , data_cntlr_stopped => sig_data2rst_stop_cmplt , addr_cntlr_stopped => sig_addr2rst_stop_cmplt , aux1_stopped => LOGIC_HIGH , aux2_stopped => LOGIC_HIGH , cmd_stat_rst_user => sig_cmd_stat_rst_user , cmd_stat_rst_int => sig_cmd_stat_rst_int , mmap_rst => sig_mmap_rst , stream_rst => sig_stream_rst ); ------------------------------------------------------------ -- Instance: I_CMD_STATUS -- -- Description: -- Command and Status Interface Block -- ------------------------------------------------------------ I_CMD_STATUS : entity axi_sg_v4_1_2.axi_sg_cmd_status generic map ( C_ADDR_WIDTH => MM2S_ADDR_WIDTH , C_INCLUDE_STSFIFO => INCLUDE_MM2S_STSFIFO , C_STSCMD_FIFO_DEPTH => MM2S_STSCMD_FIFO_DEPTH , C_STSCMD_IS_ASYNC => MM2S_STSCMD_IS_ASYNC , C_CMD_WIDTH => MM2S_CMD_WIDTH , C_STS_WIDTH => MM2S_STS_WIDTH , C_FAMILY => C_FAMILY ) port map ( primary_aclk => mm2s_aclk , secondary_awclk => mm2s_cmdsts_awclk , user_reset => sig_cmd_stat_rst_user , internal_reset => sig_cmd_stat_rst_int , cmd_wvalid => mm2s_cmd_wvalid , cmd_wready => mm2s_cmd_wready , cmd_wdata => sig_mm2s_cmd_wdata , cache_data => sig_mm2s_cache_data , sts_wvalid => mm2s_sts_wvalid , sts_wready => mm2s_sts_wready , sts_wdata => mm2s_sts_wdata , sts_wstrb => mm2s_sts_wstrb , sts_wlast => mm2s_sts_wlast , cmd2mstr_command => sig_cmd2mstr_command , mst2cmd_cmd_valid => sig_cmd2mstr_cmd_valid , cmd2mstr_cmd_ready => sig_mst2cmd_cmd_ready , mstr2stat_status => sig_rsc2stat_status , stat2mstr_status_ready => sig_stat2rsc_status_ready , mst2stst_status_valid => sig_rsc2stat_status_valid ); ------------------------------------------------------------ -- Instance: I_RD_STATUS_CNTLR -- -- Description: -- Read Status Controller Block -- ------------------------------------------------------------ I_RD_STATUS_CNTLR : entity axi_sg_v4_1_2.axi_sg_rd_status_cntl generic map ( C_STS_WIDTH => MM2S_STS_WIDTH , C_TAG_WIDTH => C_TAG_WIDTH ) port map ( primary_aclk => mm2s_aclk , mmap_reset => sig_mmap_rst , calc2rsc_calc_error => sig_calc2dm_calc_err , addr2rsc_calc_error => sig_addr2rsc_calc_error , addr2rsc_fifo_empty => sig_addr2rsc_cmd_fifo_empty , data2rsc_tag => sig_data2rsc_tag , data2rsc_calc_error => sig_data2rsc_calc_err , data2rsc_okay => sig_data2rsc_okay , data2rsc_decerr => sig_data2rsc_decerr , data2rsc_slverr => sig_data2rsc_slverr , data2rsc_cmd_cmplt => sig_data2rsc_cmd_cmplt , rsc2data_ready => sig_rsc2data_ready , data2rsc_valid => sig_data2rsc_valid , rsc2stat_status => sig_rsc2stat_status , stat2rsc_status_ready => sig_stat2rsc_status_ready , rsc2stat_status_valid => sig_rsc2stat_status_valid , rsc2mstr_halt_pipe => sig_rsc2mstr_halt_pipe ); ------------------------------------------------------------ -- Instance: I_MSTR_SCC -- -- Description: -- Simple Command Calculator Block -- ------------------------------------------------------------ I_MSTR_SCC : entity axi_sg_v4_1_2.axi_sg_scc generic map ( C_SEL_ADDR_WIDTH => SEL_ADDR_WIDTH , C_ADDR_WIDTH => MM2S_ADDR_WIDTH , C_STREAM_DWIDTH => MM2S_SDATA_WIDTH , C_MAX_BURST_LEN => C_MM2S_BURST_SIZE , C_CMD_WIDTH => MM2S_CMD_WIDTH , C_ENABLE_EXTRA_FIELD => C_ENABLE_EXTRA_FIELD , C_TAG_WIDTH => C_TAG_WIDTH ) port map ( -- Clock input primary_aclk => mm2s_aclk , mmap_reset => sig_mmap_rst , cmd2mstr_command => sig_cmd2mstr_command , cache2mstr_command => sig_cache2mstr_command , cmd2mstr_cmd_valid => sig_cmd2mstr_cmd_valid , mst2cmd_cmd_ready => sig_mst2cmd_cmd_ready , mstr2addr_tag => sig_mstr2addr_tag , mstr2addr_addr => sig_mstr2addr_addr , mstr2addr_len => sig_mstr2addr_len , mstr2addr_size => sig_mstr2addr_size , mstr2addr_burst => sig_mstr2addr_burst , mstr2addr_calc_error => sig_mstr2addr_calc_error , mstr2addr_cmd_cmplt => sig_mstr2addr_cmd_cmplt , mstr2addr_cmd_valid => sig_mstr2addr_cmd_valid , addr2mstr_cmd_ready => sig_addr2mstr_cmd_ready , mstr2data_tag => sig_mstr2data_tag , mstr2data_saddr_lsb => sig_mstr2data_saddr_lsb , mstr2data_len => sig_mstr2data_len , mstr2data_strt_strb => sig_mstr2data_strt_strb , mstr2data_last_strb => sig_mstr2data_last_strb , mstr2data_sof => sig_mstr2data_drr , mstr2data_eof => sig_mstr2data_eof , mstr2data_calc_error => sig_mstr2data_calc_error , mstr2data_cmd_cmplt => sig_mstr2data_cmd_cmplt , mstr2data_cmd_valid => sig_mstr2data_cmd_valid , data2mstr_cmd_ready => sig_data2mstr_cmd_ready , calc_error => sig_calc2dm_calc_err ); ------------------------------------------------------------ -- Instance: I_ADDR_CNTL -- -- Description: -- Address Controller Block -- ------------------------------------------------------------ I_ADDR_CNTL : entity axi_sg_v4_1_2.axi_sg_addr_cntl generic map ( -- obsoleted C_ENABlE_WAIT_FOR_DATA => DISABLE_WAIT_FOR_DATA , --C_ADDR_FIFO_DEPTH => MM2S_STSCMD_FIFO_DEPTH , C_ADDR_FIFO_DEPTH => RD_ADDR_CNTL_FIFO_DEPTH , C_ADDR_WIDTH => MM2S_ADDR_WIDTH , C_ADDR_ID => MM2S_ARID_VALUE , C_ADDR_ID_WIDTH => MM2S_ARID_WIDTH , C_TAG_WIDTH => C_TAG_WIDTH ) port map ( primary_aclk => mm2s_aclk , mmap_reset => sig_mmap_rst , addr2axi_aid => mm2s_arid , addr2axi_aaddr => mm2s_araddr , addr2axi_alen => mm2s_arlen , addr2axi_asize => mm2s_arsize , addr2axi_aburst => mm2s_arburst , addr2axi_aprot => mm2s_arprot , addr2axi_avalid => mm2s_arvalid , addr2axi_acache => open , addr2axi_auser => open , axi2addr_aready => mm2s_arready , mstr2addr_tag => sig_mstr2addr_tag , mstr2addr_addr => sig_mstr2addr_addr , mstr2addr_len => sig_mstr2addr_len , mstr2addr_size => sig_mstr2addr_size , mstr2addr_burst => sig_mstr2addr_burst , mstr2addr_cache => sig_mstr2addr_cache , mstr2addr_user => sig_mstr2addr_user , mstr2addr_cmd_cmplt => sig_mstr2addr_cmd_cmplt , mstr2addr_calc_error => sig_mstr2addr_calc_error , mstr2addr_cmd_valid => sig_mstr2addr_cmd_valid , addr2mstr_cmd_ready => sig_addr2mstr_cmd_ready , addr2rst_stop_cmplt => sig_addr2rst_stop_cmplt , allow_addr_req => mm2s_allow_addr_req , addr_req_posted => mm2s_addr_req_posted , addr2data_addr_posted => sig_addr2data_addr_posted , data2addr_data_rdy => LOGIC_LOW , data2addr_stop_req => sig_data2addr_stop_req , addr2stat_calc_error => sig_addr2rsc_calc_error , addr2stat_cmd_fifo_empty => sig_addr2rsc_cmd_fifo_empty ); ------------------------------------------------------------ -- Instance: I_RD_DATA_CNTL -- -- Description: -- Read Data Controller Block -- ------------------------------------------------------------ I_RD_DATA_CNTL : entity axi_sg_v4_1_2.axi_sg_rddata_cntl generic map ( C_INCLUDE_DRE => INCLUDE_MM2S_DRE , C_ALIGN_WIDTH => DRE_ALIGN_WIDTH , C_SEL_ADDR_WIDTH => SEL_ADDR_WIDTH , C_DATA_CNTL_FIFO_DEPTH => RD_DATA_CNTL_FIFO_DEPTH , C_MMAP_DWIDTH => MM2S_MDATA_WIDTH , C_STREAM_DWIDTH => MM2S_SDATA_WIDTH , C_TAG_WIDTH => C_TAG_WIDTH , C_FAMILY => C_FAMILY ) port map ( -- Clock and Reset ----------------------------------- primary_aclk => mm2s_aclk , mmap_reset => sig_mmap_rst , -- Soft Shutdown Interface ----------------------------- rst2data_stop_request => sig_rst2all_stop_request , data2addr_stop_req => sig_data2addr_stop_req , data2rst_stop_cmplt => sig_data2rst_stop_cmplt , -- External Address Pipelining Contol support mm2s_rd_xfer_cmplt => mm2s_rd_xfer_cmplt , -- AXI Read Data Channel I/O ------------------------------- mm2s_rdata => mm2s_rdata , mm2s_rresp => mm2s_rresp , mm2s_rlast => mm2s_rlast , mm2s_rvalid => mm2s_rvalid , mm2s_rready => mm2s_rready , -- MM2S DRE Control ----------------------------------- mm2s_dre_new_align => open , mm2s_dre_use_autodest => open , mm2s_dre_src_align => open , mm2s_dre_dest_align => open , mm2s_dre_flush => open , -- AXI Master Stream ----------------------------------- mm2s_strm_wvalid => mm2s_strm_wvalid , mm2s_strm_wready => mm2s_strm_wready , mm2s_strm_wdata => mm2s_strm_wdata , mm2s_strm_wstrb => mm2s_strm_wstrb , mm2s_strm_wlast => mm2s_strm_wlast , -- MM2S Store and Forward Supplimental Control ----------- mm2s_data2sf_cmd_cmplt => open , -- Command Calculator Interface -------------------------- mstr2data_tag => sig_mstr2data_tag , mstr2data_saddr_lsb => sig_mstr2data_saddr_lsb , mstr2data_len => sig_mstr2data_len , mstr2data_strt_strb => sig_mstr2data_strt_strb , mstr2data_last_strb => sig_mstr2data_last_strb , mstr2data_drr => sig_mstr2data_drr , mstr2data_eof => sig_mstr2data_eof , mstr2data_sequential => LOGIC_LOW , mstr2data_calc_error => sig_mstr2data_calc_error , mstr2data_cmd_cmplt => sig_mstr2data_cmd_cmplt , mstr2data_cmd_valid => sig_mstr2data_cmd_valid , data2mstr_cmd_ready => sig_data2mstr_cmd_ready , mstr2data_dre_src_align => DRE_ALIGN_ZEROS , mstr2data_dre_dest_align => DRE_ALIGN_ZEROS , -- Address Controller Interface -------------------------- addr2data_addr_posted => sig_addr2data_addr_posted , -- Data Controller Halted Status data2all_dcntlr_halted => sig_data2all_dcntlr_halted, -- Output Stream Skid Buffer Halt control data2skid_halt => sig_data2skid_halt , -- Read Status Controller Interface -------------------------- data2rsc_tag => sig_data2rsc_tag , data2rsc_calc_err => sig_data2rsc_calc_err , data2rsc_okay => sig_data2rsc_okay , data2rsc_decerr => sig_data2rsc_decerr , data2rsc_slverr => sig_data2rsc_slverr , data2rsc_cmd_cmplt => sig_data2rsc_cmd_cmplt , rsc2data_ready => sig_rsc2data_ready , data2rsc_valid => sig_data2rsc_valid , rsc2mstr_halt_pipe => sig_rsc2mstr_halt_pipe ); ------------------------------------------------------------ -- Instance: I_MM2S_SKID_BUF -- -- Description: -- Instance for the MM2S Skid Buffer which provides for -- registerd Master Stream outputs and supports bi-dir -- throttling. -- ------------------------------------------------------------ -- I_MM2S_SKID_BUF : entity axi_sg_v4_1_2.axi_sg_skid_buf -- generic map ( -- -- C_WDATA_WIDTH => MM2S_SDATA_WIDTH -- -- ) -- port map ( -- -- -- System Ports -- aclk => mm2s_aclk , -- arst => sig_stream_rst , -- -- -- Shutdown control (assert for 1 clk pulse) -- skid_stop => sig_data2skid_halt , -- -- -- Slave Side (Stream Data Input) -- s_valid => sig_data2skid_wvalid , -- s_ready => sig_data2skid_wready , -- s_data => sig_data2skid_wdata , -- s_strb => sig_data2skid_wstrb , -- s_last => sig_data2skid_wlast , -- -- -- Master Side (Stream Data Output -- m_valid => mm2s_strm_wvalid , -- m_ready => mm2s_strm_wready , -- m_data => mm2s_strm_wdata , -- m_strb => mm2s_strm_wstrb , -- m_last => mm2s_strm_wlast -- -- ); -- end implementation;
---------------------------------------------------------------------------- -- -- Atmel AVR CPU Entity Declaration -- -- This is the entity declaration for the complete AVR CPU. The design -- should implement this entity to make testing possible. -- -- Revision History: -- 11 May 98 Glen George Initial revision. -- 9 May 00 Glen George Updated comments. -- 7 May 02 Glen George Updated comments. -- 21 Jan 08 Glen George Updated comments. -- ---------------------------------------------------------------------------- -- -- AVR_CPU -- -- This is the complete entity declaration for the AVR CPU. It is used to -- test the complete design. -- -- Inputs: -- ProgDB - program memory data bus (16 bits) -- Reset - active low reset signal -- INT0 - active low interrupt -- INT1 - active low interrupt -- clock - the system clock -- -- Outputs: -- ProgAB - program memory address bus (16 bits) -- DataAB - data memory address bus (16 bits) -- DataWr - data write signal -- DataRd - data read signal -- Debug - debug return value (0 for no error) -- -- Inputs/Outputs: -- DataDB - data memory data bus (8 bits) -- library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.numeric_std.all; library opcodes; use opcodes.opcodes.all; entity AVR_CPU is port ( clock : in std_logic; -- system clock Reset : in std_logic; -- reset signal (active low) INT0 : in std_logic; -- interrupt signal (active low) INT1 : in std_logic; -- interrupt signal (active low) ProgAB : out std_logic_vector(15 downto 0); -- program memory address bus ProgDB : in std_logic_vector(15 downto 0); -- program memory data bus DataWr : out std_logic; -- data memory write enable (active low) DataRd : out std_logic; -- data memory read enable (active low) DataAB : out std_logic_vector(15 downto 0); -- data memory address bus DataDB : inout std_logic_vector( 7 downto 0); -- data memory data bus IRDebug : out std_logic_vector(15 downto 0); -- current instruction Debug : out std_logic_vector( 7 downto 0) -- R16 debug output ); end AVR_CPU; architecture Structural of AVR_CPU is -- Signals between Control Unit and ALU signal ALUBlockSel : std_logic_vector(1 downto 0); signal ALUBlockInstructionSel : std_logic_vector(3 downto 0); signal ALUOp2Sel : std_logic; signal ImmediateOut : std_logic_vector(7 downto 0); signal ALUStatusMask : std_logic_vector(7 downto 0); signal ALUStatusBitChangeEn : std_logic; signal ALUBitClrSet : std_logic; signal ALUBitTOp : std_logic; signal statusZmod : std_logic; signal ALUResult : std_logic_vector(7 downto 0); signal ALUStatReg : std_logic_vector(7 downto 0); -- Signals between ALU and Registers signal RegA : std_logic_vector(7 downto 0); -- first operand signal RegB : std_logic_vector(7 downto 0); -- second operand -- Signals between Control Unit and Registers signal EnableIn : std_logic; -- enable writing to register signal SelIn : std_logic_vector( 6 downto 0); -- select input register for writing signal SelA : std_logic_vector( 6 downto 0); -- register to output at regA signal SelB : std_logic_vector( 6 downto 0); -- register to output at regB signal MemRegAddr : std_logic_vector(15 downto 0); -- register-based indirect memory access signal DataIOSel : std_logic_vector( 1 downto 0); -- selects whether data is input or output (incl. src) signal AddrOffset : std_logic_vector(15 downto 0); -- offset of address signal SpecAddr : std_logic_vector( 1 downto 0); -- selects X, Y, Z, or SP signal SpecWr : std_logic; -- whether to write to the special addresses signal RetAddrSel : std_logic_vector( 1 downto 0); -- writes stack (SP) entry to return addr buffer signal RegDataInSel : std_logic_vector( 1 downto 0); -- selects which input goes to register in signal MemAddr : std_logic_vector(15 downto 0); -- memory address (16 bits) -- Signals between Control Unit and DMA / Memory signal DMARead : std_logic; signal DMAWrite : std_logic; -- Signals between Control Unit and PMA Unit signal IR : opcode_word; -- Instruction Register signal PCUpdateSel : std_logic_vector(1 downto 0); -- source of next program counter signal NextPC : std_logic_vector(15 downto 0); -- next program counter signal PCOffset : std_logic_vector(11 downto 0); -- increment for program counter signal NewIns : std_logic; -- indicates new instruction should be loaded -- Signals between Registers and PMA Unit signal NewPCZ : std_logic_vector(15 downto 0); -- jump to address stored in Z register signal RetAddrRd : std_logic_vector(15 downto 0); -- jump to address stored in latest stack entry begin IRDebug <= IR; -- route IR to the debug output -- Connect the ALU to the testing interface (reads input values and gives -- status and result) ALU : entity work.ALU port map ( clock => clock, ALUBlockSel => ALUBlockSel, ALUBlockInstructionSel => ALUBlockInstructionSel, ALUOp2Sel => ALUOp2Sel, ImmediateOut => ImmediateOut, ALUStatusMask => ALUStatusMask, ALUStatusBitChangeEn => ALUStatusBitChangeEn, ALUBitClrSet => ALUBitClrSet, ALUBitTOp => ALUBitTOp, statusZmod => statusZmod, RegAOut => RegA, RegBOut => RegB, RegIn => ALUResult, RegStatus => ALUStatReg ); -- Connect the Control Unit to the testing interface (reads instruction -- and tells ALU what to do) ControlUnit : entity work.AVRControl port map ( clock => clock, IR => IR, ProgDB => ProgDB, MemRegAddr => MemRegAddr, ALUStatusMask => ALUStatusMask, ALUStatusBitChangeEn => ALUStatusBitChangeEn, ALUBitClrSet => ALUBitClrSet, ALUBitTOp => ALUBitTOp, ALUOp2Sel => ALUOp2Sel, ImmediateOut => ImmediateOut, ALUBlockSel => ALUBlockSel, ALUBlockInstructionSel => ALUBlockInstructionSel, statusZmod => statusZmod, EnableIn => EnableIn, SelIn => SelIn, SelA => SelA, SelB => SelB, ALUResult => ALUResult, ALUStatReg => ALUStatReg, DataIOSel => DataIOSel, AddrOffset => AddrOffset, SpecAddr => SpecAddr, SpecWr => SpecWr, RetAddrSel => RetAddrSel, OutRd => DMARead, OutWr => DMAWrite, RegDataInSel => RegDataInSel, MemAddr => MemAddr, PCUpdateSel => PCUpdateSel, NextPC => NextPC, PCOffset => PCOffset, NewIns => NewIns ); Registers : entity work.AVRRegisters port map ( clock => clock, Reset => Reset, EnableIn => EnableIn, SelIn => SelIn, SelA => SelA, SelB => SelB, ALUIn => ALUResult, RegDataImm => ImmediateOut, RegDataInSel => RegDataInSel, RegAOut => RegA, RegBOut => RegB, SpecOut => open, PCZ => NewPCZ, SpecAddr => SpecAddr, SpecWr => SpecWr, MemRegData => DataDB, AddrOffset => AddrOffset, MemRegAddr => MemRegAddr, DataIOSel => DataIOSel, RetAddrSel => RetAddrSel, RetAddrRd => RetAddrRd, RetAddrWr => NextPC, DebugReg => Debug ); DMA : entity work.DMAUnit port map ( clock => clock, MemAddr => MemAddr, MemAB => DataAB, InRd => DMARead, InWr => DMAWrite, OutRd => DataRd, OutWr => DataWr ); PMA : entity work.PMAUnit port map ( ProgAB => ProgAB, ProgDB => ProgDB, PCUpdateSel => PCUpdateSel, NextPC => NextPC, PCOffset => PCOffset, NewIns => NewIns, IR => IR, NewPCZ => NewPCZ, NewPCS => RetAddrRd, Reset => Reset, Clk => Clock ); end Structural;
library verilog; use verilog.vl_types.all; entity CMMaster4Stage is port( HCLK : in vl_logic; HRESETn : in vl_logic; F2_ESRAMSIZE : in vl_logic_vector(1 downto 0); F2_ENVMPOWEREDDOWN: in vl_logic; COM_MASTERENABLE: in vl_logic; COM_CLEARSTATUS : in vl_logic; COM_ERRORSTATUS : out vl_logic; HADDR : in vl_logic_vector(31 downto 0); HMASTLOCK : in vl_logic; HSIZE : in vl_logic_vector(2 downto 0); HTRANS1 : in vl_logic; HWRITE : in vl_logic; HRESP : out vl_logic; HRDATA : out vl_logic_vector(31 downto 0); HREADY_M : out vl_logic; sAddrReady : in vl_logic_vector(7 downto 0); sDataReady : in vl_logic_vector(7 downto 0); sHResp : in vl_logic_vector(7 downto 0); gatedHADDR : out vl_logic_vector(31 downto 0); gatedHMASTLOCK : out vl_logic; gatedHSIZE : out vl_logic_vector(2 downto 0); gatedHTRANS1 : out vl_logic; gatedHWRITE : out vl_logic; sAddrSel : out vl_logic_vector(7 downto 0); sDataSel : out vl_logic_vector(7 downto 0); prevDataSlaveReady: out vl_logic; HRDATA_S0 : in vl_logic_vector(31 downto 0); HREADYOUT_S0 : in vl_logic; HRDATA_S1 : in vl_logic_vector(31 downto 0); HREADYOUT_S1 : in vl_logic; HRDATA_S2 : in vl_logic_vector(31 downto 0); HREADYOUT_S2 : in vl_logic; HRDATA_S3 : in vl_logic_vector(31 downto 0); HREADYOUT_S3 : in vl_logic; HRDATA_S4 : in vl_logic_vector(31 downto 0); HREADYOUT_S4 : in vl_logic; HRDATA_S5 : in vl_logic_vector(31 downto 0); HREADYOUT_S5 : in vl_logic; HRDATA_S6 : in vl_logic_vector(31 downto 0); HREADYOUT_S6 : in vl_logic; HRDATA_S7 : in vl_logic_vector(31 downto 0); HREADYOUT_S7 : in vl_logic ); end CMMaster4Stage;
library verilog; use verilog.vl_types.all; entity CMMaster4Stage is port( HCLK : in vl_logic; HRESETn : in vl_logic; F2_ESRAMSIZE : in vl_logic_vector(1 downto 0); F2_ENVMPOWEREDDOWN: in vl_logic; COM_MASTERENABLE: in vl_logic; COM_CLEARSTATUS : in vl_logic; COM_ERRORSTATUS : out vl_logic; HADDR : in vl_logic_vector(31 downto 0); HMASTLOCK : in vl_logic; HSIZE : in vl_logic_vector(2 downto 0); HTRANS1 : in vl_logic; HWRITE : in vl_logic; HRESP : out vl_logic; HRDATA : out vl_logic_vector(31 downto 0); HREADY_M : out vl_logic; sAddrReady : in vl_logic_vector(7 downto 0); sDataReady : in vl_logic_vector(7 downto 0); sHResp : in vl_logic_vector(7 downto 0); gatedHADDR : out vl_logic_vector(31 downto 0); gatedHMASTLOCK : out vl_logic; gatedHSIZE : out vl_logic_vector(2 downto 0); gatedHTRANS1 : out vl_logic; gatedHWRITE : out vl_logic; sAddrSel : out vl_logic_vector(7 downto 0); sDataSel : out vl_logic_vector(7 downto 0); prevDataSlaveReady: out vl_logic; HRDATA_S0 : in vl_logic_vector(31 downto 0); HREADYOUT_S0 : in vl_logic; HRDATA_S1 : in vl_logic_vector(31 downto 0); HREADYOUT_S1 : in vl_logic; HRDATA_S2 : in vl_logic_vector(31 downto 0); HREADYOUT_S2 : in vl_logic; HRDATA_S3 : in vl_logic_vector(31 downto 0); HREADYOUT_S3 : in vl_logic; HRDATA_S4 : in vl_logic_vector(31 downto 0); HREADYOUT_S4 : in vl_logic; HRDATA_S5 : in vl_logic_vector(31 downto 0); HREADYOUT_S5 : in vl_logic; HRDATA_S6 : in vl_logic_vector(31 downto 0); HREADYOUT_S6 : in vl_logic; HRDATA_S7 : in vl_logic_vector(31 downto 0); HREADYOUT_S7 : in vl_logic ); end CMMaster4Stage;
library verilog; use verilog.vl_types.all; entity CMMaster4Stage is port( HCLK : in vl_logic; HRESETn : in vl_logic; F2_ESRAMSIZE : in vl_logic_vector(1 downto 0); F2_ENVMPOWEREDDOWN: in vl_logic; COM_MASTERENABLE: in vl_logic; COM_CLEARSTATUS : in vl_logic; COM_ERRORSTATUS : out vl_logic; HADDR : in vl_logic_vector(31 downto 0); HMASTLOCK : in vl_logic; HSIZE : in vl_logic_vector(2 downto 0); HTRANS1 : in vl_logic; HWRITE : in vl_logic; HRESP : out vl_logic; HRDATA : out vl_logic_vector(31 downto 0); HREADY_M : out vl_logic; sAddrReady : in vl_logic_vector(7 downto 0); sDataReady : in vl_logic_vector(7 downto 0); sHResp : in vl_logic_vector(7 downto 0); gatedHADDR : out vl_logic_vector(31 downto 0); gatedHMASTLOCK : out vl_logic; gatedHSIZE : out vl_logic_vector(2 downto 0); gatedHTRANS1 : out vl_logic; gatedHWRITE : out vl_logic; sAddrSel : out vl_logic_vector(7 downto 0); sDataSel : out vl_logic_vector(7 downto 0); prevDataSlaveReady: out vl_logic; HRDATA_S0 : in vl_logic_vector(31 downto 0); HREADYOUT_S0 : in vl_logic; HRDATA_S1 : in vl_logic_vector(31 downto 0); HREADYOUT_S1 : in vl_logic; HRDATA_S2 : in vl_logic_vector(31 downto 0); HREADYOUT_S2 : in vl_logic; HRDATA_S3 : in vl_logic_vector(31 downto 0); HREADYOUT_S3 : in vl_logic; HRDATA_S4 : in vl_logic_vector(31 downto 0); HREADYOUT_S4 : in vl_logic; HRDATA_S5 : in vl_logic_vector(31 downto 0); HREADYOUT_S5 : in vl_logic; HRDATA_S6 : in vl_logic_vector(31 downto 0); HREADYOUT_S6 : in vl_logic; HRDATA_S7 : in vl_logic_vector(31 downto 0); HREADYOUT_S7 : in vl_logic ); end CMMaster4Stage;
library ieee; use ieee.std_logic_1164.all; entity edgedetector is port ( rst : in std_logic; x : in std_logic; clk : in std_logic; x_falling_edge : out std_logic; x_rising_edge : out std_logic); end edgedetector; architecture rtl of edgedetector is signal q1,q2 : std_logic; begin edge : process(clk,rst) begin if(rst = '0') then q1 <= '1'; q2 <= '1'; elsif(rising_edge(clk)) then q2 <= q1; q1 <= x; end if; end process; x_falling_edge <= q2 and not(q1); x_rising_edge <= q1 and not(q2); end rtl;
-- -*- vhdl -*- ------------------------------------------------------------------------------- -- Copyright (c) 2012, The CARPE Project, All rights reserved. -- -- See the AUTHORS file for individual contributors. -- -- -- -- Copyright and related rights are licensed under the Solderpad -- -- Hardware License, Version 0.51 (the "License"); you may not use this -- -- file except in compliance with the License. You may obtain a copy of -- -- the License at http://solderpad.org/licenses/SHL-0.51. -- -- -- -- Unless required by applicable law or agreed to in writing, software, -- -- hardware and materials distributed under this License is distributed -- -- on an "AS IS" BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, -- -- either express or implied. See the License for the specific language -- -- governing permissions and limitations under the License. -- ------------------------------------------------------------------------------- library util; use util.types_pkg.all; use util.logic_pkg.all; library tech; library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; architecture rtl of cache_core_banked_1rw is constant assoc : natural := 2**log2_assoc; constant banks : natural := 2**log2_banks; type comb_type is record tag_en : std_ulogic; tag_we : std_ulogic; tag_banken : std_ulogic_vector(assoc-1 downto 0); tag_addr : std_ulogic_vector(index_bits-1 downto 0); tag_rdata : std_ulogic_vector2(assoc-1 downto 0, tag_bits downto 0); tag_wdata : std_ulogic_vector2(assoc-1 downto 0, tag_bits downto 0); data_en : std_ulogic; data_we : std_ulogic; data_banken : std_ulogic_vector(assoc*banks-1 downto 0); data_addr : std_ulogic_vector(index_bits+offset_bits-1 downto 0); data_rdata : std_ulogic_vector2(assoc*banks-1 downto 0, word_bits-1 downto 0); data_wdata : std_ulogic_vector2(assoc*banks-1 downto 0, word_bits-1 downto 0); end record; signal c : comb_type; begin c.tag_en <= en and tagen; c.tag_we <= we; c.tag_banken <= way; c.tag_addr <= index; c.data_en <= en and dataen; c.data_we <= we; c.data_addr <= index & offset; way_loop : for n in assoc-1 downto 0 generate tag_wdata_tagbit_loop : for m in tag_bits-1 downto 0 generate c.tag_wdata(n, m) <= wtag(m); end generate; bank_loop : for m in banks-1 downto 0 generate c.data_banken(n*banks+m) <= way(n) and banken(m); data_bit_loop : for p in word_bits-1 downto 0 generate c.data_wdata(n*banks+m, p) <= wdata(m, p); rdata(n, m, p) <= c.data_rdata(n*banks+m, p); end generate; end generate; end generate; rtag <= c.tag_rdata; seq : process (clk) is begin if rising_edge(clk) then case rstn is when '0' => r <= r_init; when '1' => r <= r_next; when others => r <= r_x; end case; end if; end process; tag_sram : entity tech.syncram_banked_1rw(rtl) generic map ( addr_bits => index_bits, word_bits => tag_bits, log2_banks => log2_assoc ) port map ( clk => clk, en => c.tag_en, we => c.tag_we, banken => c.tag_banken, addr => c.tag_addr, wdata => c.tag_wdata, rdata => c.tag_rdata ); data_sram : entity tech.syncram_banked_1rw(rtl) generic map ( addr_bits => index_bits, word_bits => word_bits, log2_banks => log2_assoc + log2_banks ) port map ( clk => clk, en => c.data_en, we => c.data_we, banken => c.data_banken, addr => c.data_addr, wdata => c.data_wdata, rdata => c.data_rdata ); end;
library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.NUMERIC_STD.ALL; entity heatersLogic is generic( heatNumber : natural := 20 ); port( rsDataIn : in std_logic_vector(7 downto 0); rsRdy : in std_logic; rst : in std_logic; clk50Mhz : in std_logic; readyOut : out std_logic ); end heatersLogic; architecture Behavioral of heatersLogic is component Heater port( rst : IN std_logic; clk50Mhz : IN std_logic; pulseWidth : IN std_logic_vector(7 downto 0); heaterEnable : OUT std_logic ); end component; attribute keep_hierarchy : string; attribute keep_hierarchy of Heater: component is "TRUE"; type registers is array (1 to heatNumber) of std_logic_vector(7 downto 0); signal reg : registers := (others => X"00"); type state_type is (start, number, value); signal state, next_state : state_type; signal save : std_logic := '0'; signal hNumber : integer range 0 to heatNumber := 0; type enableSignal is array (1 to heatNumber) of std_logic; signal heaterEnableSignal : enableSignal := (others => '0'); attribute keep : string; attribute keep of heaterEnableSignal: signal is "true"; attribute S: string; attribute S of heaterEnableSignal: signal is "yes"; begin readyOut <= '1'; Heaters_instances: for I in 1 to heatNumber generate InstHeater: Heater port map( rst => rst, clk50Mhz => clk50Mhz, pulseWidth => reg(I), heaterEnable => heaterEnableSignal(I) ); end generate; SYNC_PROC: process (clk50Mhz) begin if (clk50Mhz'event and clk50Mhz = '1') then if (rst = '1') then state <= start; reg <= (others => X"00"); else state <= next_state; for I in 1 to heatNumber loop if I = hNumber and save = '1' then reg(I) <= rsDataIn; else reg(I) <= reg(I); end if; end loop; end if; end if; end process; OUTPUT_DECODE: process (state, rsDataIn, rsRdy, hNumber) begin if (state = number and rsRdy = '1') then hNumber <= to_integer(unsigned(rsDataIn)); save <= '0'; elsif (state = value and rsRdy = '1') then hNumber <= hNumber; save <= '1'; else hNumber <= hNumber; save <= '0'; end if; end process; NEXT_STATE_DECODE: process (state, rsDataIn, rsRdy) begin next_state <= state; case (state) is when start => if rsRdy = '1' and rsDataIn = X"55" then next_state <= number; end if; when number => if rsRdy = '1' then next_state <= value; end if; when value => if rsRdy = '1' then next_state <= start; end if; when others => next_state <= start; end case; end process; end Behavioral;
---------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 09:38:49 06/13/2011 -- Design Name: -- Module Name: UDP_Complete_nomac - Behavioral -- Project Name: -- Target Devices: -- Tool versions: -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Revision 0.02 - separated RX and TX clocks -- Revision 0.03 - Added mac_tx_tfirst -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.NUMERIC_STD.ALL; use work.axi.all; use work.ipv4_types.all; use work.arp_types.all; entity UDP_Complete_nomac is generic ( CLOCK_FREQ : integer := 125000000; -- freq of data_in_clk -- needed to timout cntr ARP_TIMEOUT : integer := 60; -- ARP response timeout (s) ARP_MAX_PKT_TMO : integer := 5; -- # wrong nwk pkts received before set error MAX_ARP_ENTRIES : integer := 255 -- max entries in the ARP store ); Port ( -- UDP TX signals udp_tx_start : in std_logic; -- indicates req to tx UDP udp_txi : in udp_tx_type; -- UDP tx cxns udp_tx_result : out std_logic_vector (1 downto 0);-- tx status (changes during transmission) udp_tx_data_out_ready: out std_logic; -- indicates udp_tx is ready to take data -- UDP RX signals udp_rx_start : out std_logic; -- indicates receipt of udp header udp_rxo : out udp_rx_type; -- IP RX signals ip_rx_hdr : out ipv4_rx_header_type; -- system signals rx_clk : in STD_LOGIC; tx_clk : in STD_LOGIC; reset : in STD_LOGIC; our_ip_address : in STD_LOGIC_VECTOR (31 downto 0); our_mac_address : in std_logic_vector (47 downto 0); control : in udp_control_type; -- status signals arp_pkt_count : out STD_LOGIC_VECTOR(7 downto 0); -- count of arp pkts received ip_pkt_count : out STD_LOGIC_VECTOR(7 downto 0); -- number of IP pkts received for us -- MAC Transmitter mac_tx_tdata : out std_logic_vector(7 downto 0); -- data byte to tx mac_tx_tvalid : out std_logic; -- tdata is valid mac_tx_tready : in std_logic; -- mac is ready to accept data mac_tx_tfirst : out std_logic; -- indicates first byte of frame mac_tx_tlast : out std_logic; -- indicates last byte of frame -- MAC Receiver mac_rx_tdata : in std_logic_vector(7 downto 0); -- data byte received mac_rx_tvalid : in std_logic; -- indicates tdata is valid mac_rx_tready : out std_logic; -- tells mac that we are ready to take data mac_rx_tfirst : in std_logic; mac_rx_tlast : in std_logic -- indicates last byte of the trame ); end UDP_Complete_nomac; architecture structural of UDP_Complete_nomac is ------------------------------------------------------------------------------ -- Component Declaration for TX_ARBITRATOR ------------------------------------------------------------------------------ component tx_arbitrator_over_ip port( clk : in std_logic; reset : in std_logic; req_1 : in std_logic; grant_1 : out std_logic; data_1 : in ipv4_tx_type; -- data byte to tx req_2 : in std_logic; grant_2 : out std_logic; data_2 : in ipv4_tx_type; -- data byte to tx data : out ipv4_tx_type -- data byte to tx ); end component; ------------------------------------------------------------------------------ -- Component Declaration for ICMP ------------------------------------------------------------------------------ COMPONENT icmp PORT( ip_rx_start : in std_logic; -- indicates receipt of ip frame. ip_rx : in ipv4_rx_type; ip_tx_start : out std_logic; ip_tx_data_out_rdy : in std_logic; ip_tx_result : in std_logic_vector(1 downto 0); icmp_tx : out ipv4_tx_type; icmp_rx_count : out std_logic_vector(15 downto 0); icmp_tx_count : out std_logic_vector(15 downto 0); rx_clk : in std_logic; tx_clk : in std_logic; reset : in std_logic; req_ip_layer : out std_logic; granted_ip_layer : in std_logic ); END COMPONENT; ------------------------------------------------------------------------------ -- Component Declaration for UDP TX ------------------------------------------------------------------------------ COMPONENT UDP_TX PORT( -- UDP Layer signals udp_tx_start : in std_logic; -- indicates req to tx UDP udp_txi : in udp_tx_type; -- UDP tx cxns udp_tx_result : out std_logic_vector (1 downto 0);-- tx status (changes during transmission) udp_tx_data_out_ready: out std_logic; -- indicates udp_tx is ready to take data -- system signals clk : in STD_LOGIC; -- same clock used to clock mac data and ip data reset : in STD_LOGIC; -- IP layer TX signals ip_tx_start : out std_logic; ip_tx : out ipv4_tx_type; -- IP tx cxns ip_tx_result : in std_logic_vector (1 downto 0); -- tx status (changes during transmission) ip_tx_data_out_ready : in std_logic; -- indicates IP TX is ready to take data --arbit req_ip_layer : out std_logic; granted_ip_layer : in std_logic ); END COMPONENT; ------------------------------------------------------------------------------ -- Component Declaration for UDP RX ------------------------------------------------------------------------------ COMPONENT UDP_RX PORT( -- UDP Layer signals udp_rx_start : out std_logic; -- indicates receipt of udp header udp_rxo : out udp_rx_type; -- system signals clk : in STD_LOGIC; reset : in STD_LOGIC; -- IP layer RX signals ip_rx_start : in std_logic; -- indicates receipt of ip header ip_rx : in ipv4_rx_type ); END COMPONENT; ------------------------------------------------------------------------------ -- Component Declaration for the IP layer ------------------------------------------------------------------------------ component IP_complete_nomac generic ( use_arpv2 : boolean := true; no_default_gateway: boolean := true; CLOCK_FREQ : integer := 125000000; -- freq of data_in_clk -- needed to timout cntr ARP_TIMEOUT : integer := 60; -- ARP response timeout (s) ARP_MAX_PKT_TMO : integer := 5; -- # wrong nwk pkts received before set error MAX_ARP_ENTRIES : integer := 255 -- max entries in the ARP store ); Port ( -- IP Layer signals ip_tx_start : in std_logic; ip_tx : in ipv4_tx_type; -- IP tx cxns ip_tx_result : out std_logic_vector (1 downto 0); -- tx status (changes during transmission) ip_tx_data_out_ready : out std_logic; -- indicates IP TX is ready to take data ip_rx_start : out std_logic; -- indicates receipt of ip frame. ip_rx : out ipv4_rx_type; -- system signals rx_clk : in STD_LOGIC; tx_clk : in STD_LOGIC; reset : in STD_LOGIC; our_ip_address : in STD_LOGIC_VECTOR (31 downto 0); our_mac_address : in std_logic_vector (47 downto 0); control : in ip_control_type; -- status signals arp_pkt_count : out STD_LOGIC_VECTOR(7 downto 0); -- count of arp pkts received ip_pkt_count : out STD_LOGIC_VECTOR(7 downto 0); -- number of IP pkts received for us -- MAC Transmitter mac_tx_tdata : out std_logic_vector(7 downto 0); -- data byte to tx mac_tx_tvalid : out std_logic; -- tdata is valid mac_tx_tready : in std_logic; -- mac is ready to accept data mac_tx_tfirst : out std_logic; -- indicates first byte of frame mac_tx_tlast : out std_logic; -- indicates last byte of frame -- MAC Receiver mac_rx_tdata : in std_logic_vector(7 downto 0); -- data byte received mac_rx_tvalid : in std_logic; -- indicates tdata is valid mac_rx_tready : out std_logic; -- tells mac that we are ready to take data mac_rx_tfirst : in std_logic; mac_rx_tlast : in std_logic -- indicates last byte of the trame ); end component; -- IP TX connectivity signal ip_tx_int : ipv4_tx_type; signal ip_tx_start_int : std_logic; signal ip_tx_result_int : std_logic_vector (1 downto 0); signal ip_tx_data_out_ready_int : std_logic; -- IP RX connectivity signal ip_rx_int : ipv4_rx_type; signal ip_rx_start_int : std_logic := '0'; signal ip_1_tx_start_int, ip_2_tx_start_int : std_logic; signal data_arbit_int, data_icmp_tx_int, data_udp_tx_int : ipv4_tx_type; signal req_1_int, grant_1_int, req_2_int, grant_2_int : std_logic; signal icmp_rx_count_int, icmp_tx_count_int : std_logic_vector(15 downto 0); begin -- ip_tx_int.data.data_out_valid <= data_arbit_int.data.data_out_valid; -- ip_tx_int.data.data_out_last <= data_arbit_int.data.data_out_last; -- ip_tx_int.data.data_out <= data_arbit_int.data.data_out; ip_tx_int <= data_arbit_int; ip_tx_start_int <= ip_1_tx_start_int when grant_1_int = '1' else ip_2_tx_start_int; tx_arbitrator_over_ip_block : tx_arbitrator_over_ip PORT MAP( clk => tx_clk, reset => reset, req_1 => req_1_int, grant_1 => grant_1_int, data_1 => data_udp_tx_int, -- data byte to tx from UDP req_2 => req_2_int, grant_2 => grant_2_int, data_2 => data_icmp_tx_int, -- data byte to tx from ICMP data => data_arbit_int ); icmp_block : icmp PORT MAP( ip_rx_start => ip_rx_start_int, ip_rx => ip_rx_int, -- IP layer TX signals ip_tx_start => ip_2_tx_start_int, ip_tx_data_out_rdy => ip_tx_data_out_ready_int, ip_tx_result => ip_tx_result_int, -- ICMP layer TX signals icmp_tx => data_icmp_tx_int, icmp_rx_count => icmp_rx_count_int, icmp_tx_count => icmp_tx_count_int, rx_clk => rx_clk, tx_clk => tx_clk, reset => reset, req_ip_layer => req_2_int, granted_ip_layer => grant_2_int ); -- output followers ip_rx_hdr <= ip_rx_int.hdr; -- Instantiate the UDP TX block udp_tx_block: UDP_TX PORT MAP ( -- UDP Layer signals udp_tx_start => udp_tx_start, udp_txi => udp_txi, udp_tx_result => udp_tx_result, udp_tx_data_out_ready=> udp_tx_data_out_ready, -- system signals clk => tx_clk, reset => reset, -- IP layer TX signals ip_tx_start => ip_1_tx_start_int, ip_tx => data_udp_tx_int,--ip_tx_int, ip_tx_result => ip_tx_result_int, ip_tx_data_out_ready => ip_tx_data_out_ready_int, req_ip_layer => req_1_int, granted_ip_layer => grant_1_int ); -- Instantiate the UDP RX block udp_rx_block: UDP_RX PORT MAP ( -- UDP Layer signals udp_rxo => udp_rxo, udp_rx_start => udp_rx_start, -- system signals clk => rx_clk, reset => reset, -- IP layer RX signals ip_rx_start => ip_rx_start_int, ip_rx => ip_rx_int ); ------------------------------------------------------------------------------ -- Instantiate the IP layer ------------------------------------------------------------------------------ IP_block : IP_complete_nomac generic map ( use_arpv2 => false, no_default_gateway => false,-- CLOCK_FREQ => CLOCK_FREQ, ARP_TIMEOUT => ARP_TIMEOUT, ARP_MAX_PKT_TMO => ARP_MAX_PKT_TMO, MAX_ARP_ENTRIES => MAX_ARP_ENTRIES ) PORT MAP ( -- IP interface ip_tx_start => ip_tx_start_int, ip_tx => ip_tx_int, ip_tx_result => ip_tx_result_int, ip_tx_data_out_ready => ip_tx_data_out_ready_int, ip_rx_start => ip_rx_start_int, ip_rx => ip_rx_int, -- System interface rx_clk => rx_clk, tx_clk => tx_clk, reset => reset, our_ip_address => our_ip_address, our_mac_address => our_mac_address, control => control.ip_controls, -- status signals arp_pkt_count => arp_pkt_count, ip_pkt_count => ip_pkt_count, -- MAC Transmitter mac_tx_tdata => mac_tx_tdata, mac_tx_tvalid => mac_tx_tvalid, mac_tx_tready => mac_tx_tready, mac_tx_tfirst => mac_tx_tfirst, mac_tx_tlast => mac_tx_tlast, -- MAC Receiver mac_rx_tdata => mac_rx_tdata, mac_rx_tvalid => mac_rx_tvalid, mac_rx_tready => mac_rx_tready, mac_rx_tfirst => mac_rx_tfirst, mac_rx_tlast => mac_rx_tlast ); end structural;
-- -- This file is part of top_wireworld -- Copyright (C) 2011 Julien Thevenon ( julien_thevenon at yahoo.fr ) -- -- This program is free software: you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation, either version 3 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program. If not, see <http://www.gnu.org/licenses/> -- -- -- Notes: -- This testbench has been automatically generated using types std_logic and -- std_logic_vector for the ports of the unit under test. Xilinx recommends -- that these types always be used for the top-level I/O of a design in order -- to guarantee that the testbench will bind correctly to the post-implementation -- simulation model. -------------------------------------------------------------------------------- LIBRARY ieee; USE ieee.std_logic_1164.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --USE ieee.numeric_std.ALL; ENTITY top_wireworld_testbench IS END top_wireworld_testbench; ARCHITECTURE behavior OF top_wireworld_testbench IS -- Component Declaration for the Unit Under Test (UUT) COMPONENT top_wireworld PORT( clk : IN std_logic; w1a : INOUT std_logic_vector(15 downto 0); w1b : INOUT std_logic_vector(15 downto 0); w2c : INOUT std_logic_vector(15 downto 0); rx : IN std_logic; tx : INOUT std_logic ); END COMPONENT; --Inputs signal clk : std_logic := '0'; signal rx : std_logic := '0'; --BiDirs signal w1a : std_logic_vector(15 downto 0); signal w1b : std_logic_vector(15 downto 0); signal w2c : std_logic_vector(15 downto 0); signal tx : std_logic; -- Clock period definitions constant clk_period : time := 10 ns; BEGIN -- Instantiate the Unit Under Test (UUT) uut: top_wireworld PORT MAP ( clk => clk, w1a => w1a, w1b => w1b, w2c => w2c, rx => rx, tx => tx ); -- Clock process definitions clk_process :process begin clk <= '0'; wait for clk_period/2; clk <= '1'; wait for clk_period/2; end process; -- Stimulus process stim_proc: process begin -- hold reset state for 100 ns. wait for clk_period; wait for clk_period*10; -- insert stimulus here wait; end process; END;
----------------------------------------------------------------------------- -- LEON3 Demonstration design test bench configuration -- Copyright (C) 2009 Aeroflex Gaisler ------------------------------------------------------------------------------ library techmap; use techmap.gencomp.all; package config is -- Technology and synthesis options constant CFG_FABTECH : integer := altera; constant CFG_MEMTECH : integer := altera; constant CFG_PADTECH : integer := altera; constant CFG_NOASYNC : integer := 0; constant CFG_SCAN : integer := 0; -- Clock generator constant CFG_CLKTECH : integer := inferred; constant CFG_CLKMUL : integer := 2; constant CFG_CLKDIV : integer := 2; constant CFG_OCLKDIV : integer := 1; constant CFG_OCLKBDIV : integer := 0; constant CFG_OCLKCDIV : integer := 0; constant CFG_PCIDLL : integer := 0; constant CFG_PCISYSCLK: integer := 0; constant CFG_CLK_NOFB : integer := 0; -- LEON3 processor core constant CFG_LEON3 : integer := 1; constant CFG_NCPU : integer := (1); constant CFG_NWIN : integer := (8); constant CFG_V8 : integer := 2 + 4*0; constant CFG_MAC : integer := 0; constant CFG_BP : integer := 0; constant CFG_SVT : integer := 0; constant CFG_RSTADDR : integer := 16#00000#; constant CFG_LDDEL : integer := (1); constant CFG_NOTAG : integer := 0; constant CFG_NWP : integer := (2); constant CFG_PWD : integer := 0*2; constant CFG_FPU : integer := 0 + 16*0 + 32*0; constant CFG_GRFPUSH : integer := 0; constant CFG_ICEN : integer := 1; constant CFG_ISETS : integer := 2; constant CFG_ISETSZ : integer := 2; constant CFG_ILINE : integer := 8; constant CFG_IREPL : integer := 0; constant CFG_ILOCK : integer := 0; constant CFG_ILRAMEN : integer := 0; constant CFG_ILRAMADDR: integer := 16#8E#; constant CFG_ILRAMSZ : integer := 1; constant CFG_DCEN : integer := 1; constant CFG_DSETS : integer := 2; constant CFG_DSETSZ : integer := 2; constant CFG_DLINE : integer := 8; constant CFG_DREPL : integer := 0; constant CFG_DLOCK : integer := 0; constant CFG_DSNOOP : integer := 1*2 + 4*0; constant CFG_DFIXED : integer := 16#0#; constant CFG_DLRAMEN : integer := 0; constant CFG_DLRAMADDR: integer := 16#8F#; constant CFG_DLRAMSZ : integer := 1; constant CFG_MMUEN : integer := 0; constant CFG_ITLBNUM : integer := 2; constant CFG_DTLBNUM : integer := 2; constant CFG_TLB_TYPE : integer := 1 + 0*2; constant CFG_TLB_REP : integer := 1; constant CFG_MMU_PAGE : integer := 0; constant CFG_DSU : integer := 1; constant CFG_ITBSZ : integer := 0; constant CFG_ATBSZ : integer := 0; constant CFG_LEON3FT_EN : integer := 0; constant CFG_IUFT_EN : integer := 0; constant CFG_FPUFT_EN : integer := 0; constant CFG_RF_ERRINJ : integer := 0; constant CFG_CACHE_FT_EN : integer := 0; constant CFG_CACHE_ERRINJ : integer := 0; constant CFG_LEON3_NETLIST: integer := 0; constant CFG_DISAS : integer := 0 + 0; constant CFG_PCLOW : integer := 2; -- AMBA settings constant CFG_DEFMST : integer := (0); constant CFG_RROBIN : integer := 1; constant CFG_SPLIT : integer := 0; constant CFG_FPNPEN : integer := 0; constant CFG_AHBIO : integer := 16#FFF#; constant CFG_APBADDR : integer := 16#800#; constant CFG_AHB_MON : integer := 0; constant CFG_AHB_MONERR : integer := 0; constant CFG_AHB_MONWAR : integer := 0; constant CFG_AHB_DTRACE : integer := 0; -- DSU UART constant CFG_AHB_UART : integer := 1; -- JTAG based DSU interface constant CFG_AHB_JTAG : integer := 0; -- Ethernet DSU constant CFG_DSU_ETH : integer := 0 + 0 + 0; constant CFG_ETH_BUF : integer := 1; constant CFG_ETH_IPM : integer := 16#C0A8#; constant CFG_ETH_IPL : integer := 16#0033#; constant CFG_ETH_ENM : integer := 16#020000#; constant CFG_ETH_ENL : integer := 16#000009#; -- PROM/SRAM controller constant CFG_SRCTRL : integer := 1; constant CFG_SRCTRL_PROMWS : integer := (3); constant CFG_SRCTRL_RAMWS : integer := (2); constant CFG_SRCTRL_IOWS : integer := (0); constant CFG_SRCTRL_RMW : integer := 1; constant CFG_SRCTRL_8BIT : integer := 0; constant CFG_SRCTRL_SRBANKS : integer := 1; constant CFG_SRCTRL_BANKSZ : integer := 0; constant CFG_SRCTRL_ROMASEL : integer := (19); -- LEON2 memory controller constant CFG_MCTRL_LEON2 : integer := 0; constant CFG_MCTRL_RAM8BIT : integer := 0; constant CFG_MCTRL_RAM16BIT : integer := 0; constant CFG_MCTRL_5CS : integer := 0; constant CFG_MCTRL_SDEN : integer := 0; constant CFG_MCTRL_SEPBUS : integer := 0; constant CFG_MCTRL_INVCLK : integer := 0; constant CFG_MCTRL_SD64 : integer := 0; constant CFG_MCTRL_PAGE : integer := 0 + 0; -- SDRAM controller constant CFG_SDCTRL : integer := 0; constant CFG_SDCTRL_INVCLK : integer := 0; constant CFG_SDCTRL_SD64 : integer := 0; constant CFG_SDCTRL_PAGE : integer := 0 + 0; -- AHB ROM constant CFG_AHBROMEN : integer := 0; constant CFG_AHBROPIP : integer := 0; constant CFG_AHBRODDR : integer := 16#000#; constant CFG_ROMADDR : integer := 16#000#; constant CFG_ROMMASK : integer := 16#E00# + 16#000#; -- AHB RAM constant CFG_AHBRAMEN : integer := 0; constant CFG_AHBRSZ : integer := 1; constant CFG_AHBRADDR : integer := 16#A00#; constant CFG_AHBRPIPE : integer := 0; -- Gaisler Ethernet core constant CFG_GRETH : integer := 0; constant CFG_GRETH1G : integer := 0; constant CFG_ETH_FIFO : integer := 8; -- CAN 2.0 interface constant CFG_CAN : integer := 0; constant CFG_CANIO : integer := 16#0#; constant CFG_CANIRQ : integer := 0; constant CFG_CANLOOP : integer := 0; constant CFG_CAN_SYNCRST : integer := 0; constant CFG_CANFT : integer := 0; -- PCI interface constant CFG_PCI : integer := 0; constant CFG_PCIVID : integer := 16#0#; constant CFG_PCIDID : integer := 16#0#; constant CFG_PCIDEPTH : integer := 8; constant CFG_PCI_MTF : integer := 1; -- PCI arbiter constant CFG_PCI_ARB : integer := 0; constant CFG_PCI_ARBAPB : integer := 0; constant CFG_PCI_ARB_NGNT : integer := 4; -- PCI trace buffer constant CFG_PCITBUFEN: integer := 0; constant CFG_PCITBUF : integer := 256; -- Spacewire interface constant CFG_SPW_EN : integer := 0; constant CFG_SPW_NUM : integer := 1; constant CFG_SPW_AHBFIFO : integer := 4; constant CFG_SPW_RXFIFO : integer := 16; constant CFG_SPW_RMAP : integer := 0; constant CFG_SPW_RMAPBUF : integer := 4; constant CFG_SPW_RMAPCRC : integer := 0; constant CFG_SPW_NETLIST : integer := 0; constant CFG_SPW_FT : integer := 0; constant CFG_SPW_GRSPW : integer := 2; constant CFG_SPW_RXUNAL : integer := 0; constant CFG_SPW_DMACHAN : integer := 1; constant CFG_SPW_PORTS : integer := 1; constant CFG_SPW_INPUT : integer := 2; constant CFG_SPW_OUTPUT : integer := 0; constant CFG_SPW_RTSAME : integer := 0; -- UART 1 constant CFG_UART1_ENABLE : integer := 1; constant CFG_UART1_FIFO : integer := 1; -- UART 2 constant CFG_UART2_ENABLE : integer := 0; constant CFG_UART2_FIFO : integer := 1; -- LEON3 interrupt controller constant CFG_IRQ3_ENABLE : integer := 1; constant CFG_IRQ3_NSEC : integer := 0; -- Modular timer constant CFG_GPT_ENABLE : integer := 1; constant CFG_GPT_NTIM : integer := (2); constant CFG_GPT_SW : integer := (8); constant CFG_GPT_TW : integer := (32); constant CFG_GPT_IRQ : integer := (8); constant CFG_GPT_SEPIRQ : integer := 1; constant CFG_GPT_WDOGEN : integer := 0; constant CFG_GPT_WDOG : integer := 16#0#; -- GPIO port constant CFG_GRGPIO_ENABLE : integer := 0; constant CFG_GRGPIO_IMASK : integer := 16#0000#; constant CFG_GRGPIO_WIDTH : integer := 1; -- GRLIB debugging constant CFG_DUART : integer := 0; end;
-------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 16:10:11 04/22/2016 -- Design Name: -- Module Name: U:/ECE368_Project_Lab1_Team5/jump_unit_tb.vhd -- Project Name: Project1 -- Target Device: -- Tool versions: -- Description: -- -- VHDL Test Bench Created by ISE for module: jump_unit -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- -- Notes: -- This testbench has been automatically generated using types std_logic and -- std_logic_vector for the ports of the unit under test. Xilinx recommends -- that these types always be used for the top-level I/O of a design in order -- to guarantee that the testbench will bind correctly to the post-implementation -- simulation model. -------------------------------------------------------------------------------- LIBRARY ieee; USE ieee.std_logic_1164.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values USE ieee.numeric_std.ALL; ENTITY jump_unit_tb IS END jump_unit_tb; ARCHITECTURE behavior OF jump_unit_tb IS -- Component Declaration for the Unit Under Test (UUT) COMPONENT jump_unit PORT( CLK : IN std_logic; OP : IN std_logic_vector(3 downto 0); CCR : IN std_logic_vector(3 downto 0); MASK : IN std_logic_vector(3 downto 0); IMMD : IN std_logic_vector(15 downto 0); BRSIG : OUT std_logic ); END COMPONENT; --Inputs signal CLK : std_logic := '0'; signal OP : std_logic_vector(3 downto 0) := (others => '0'); signal CCR : std_logic_vector(3 downto 0) := (others => '0'); signal MASK : std_logic_vector(3 downto 0) := (others => '0'); signal IMMD : std_logic_vector(15 downto 0) := (others => '0'); --Outputs signal BRSIG : std_logic; -- Clock period definitions constant CLK_period : time := 10 ns; BEGIN -- Instantiate the Unit Under Test (UUT) uut: jump_unit PORT MAP ( CLK => CLK, OP => OP, CCR => CCR, MASK => MASK, IMMD => IMMD, BRSIG => BRSIG ); -- Clock process definitions CLK_process :process begin CLK <= '0'; wait for CLK_period/2; CLK <= '1'; wait for CLK_period/2; end process; -- Stimulus process stim_proc: process begin wait for CLK_period*10; -- insert stimulus here OP <= x"0"; MASK <= x"0"; CCR <= x"0"; wait for CLK_period; for i in 0 to 15 loop OP <= std_logic_vector(to_unsigned(i,OP'length)); wait for CLK_period; for j in 0 to 3 loop MASK <= std_logic_vector(to_unsigned(j,MASK'length)); wait for CLK_period; for k in 0 to 3 loop CCR <= std_logic_vector(to_unsigned(k,CCR'length)); wait for CLK_period; if(MASK = CCR AND OP = x"F") then -- BRSIG = 1 assert(BRSIG = '1') report "BRSIG assigned incorrectly, MASK = CCR AND OP = x'F'" severity ERROR; elsif(MASK = CCR AND NOT(OP=x"F")) then assert(BRSIG = '0') report "BRSIG assigned incorrectly, MASK = CCR AND OP != x'F'." severity ERROR; elsif ( NOT(MASK = CCR) AND OP=x"F") then assert(BRSIG = '0') report "BRSIG assigned incorrectly, MASK != CCR AND OP = x'F'." severity ERROR; else assert(BRSIG = '0') report "BRSIG assigned incorrectly, MASK != CCR AND OP != x'F'." severity ERROR; end if; end loop; end loop; end loop; OP <= x"0"; MASK <= x"0"; CCR <= x"0"; wait; end process; END;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc129.vhd,v 1.2 2001-10-26 16:30:08 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c04s03b02x01p04n02i00129ent IS END c04s03b02x01p04n02i00129ent; ARCHITECTURE c04s03b02x01p04n02i00129arch OF c04s03b02x01p04n02i00129ent IS component A2 port (constant PT2: INTEGER); -- Failure_here -- ERROR: the only object class allowed in a local port is signal. end component ; BEGIN TESTING: PROCESS BEGIN assert FALSE report "***FAILED TEST: c04s03b02x01p04n02i00129 - The only object class allowed is signal." severity ERROR; wait; END PROCESS TESTING; END c04s03b02x01p04n02i00129arch;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc129.vhd,v 1.2 2001-10-26 16:30:08 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c04s03b02x01p04n02i00129ent IS END c04s03b02x01p04n02i00129ent; ARCHITECTURE c04s03b02x01p04n02i00129arch OF c04s03b02x01p04n02i00129ent IS component A2 port (constant PT2: INTEGER); -- Failure_here -- ERROR: the only object class allowed in a local port is signal. end component ; BEGIN TESTING: PROCESS BEGIN assert FALSE report "***FAILED TEST: c04s03b02x01p04n02i00129 - The only object class allowed is signal." severity ERROR; wait; END PROCESS TESTING; END c04s03b02x01p04n02i00129arch;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc129.vhd,v 1.2 2001-10-26 16:30:08 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c04s03b02x01p04n02i00129ent IS END c04s03b02x01p04n02i00129ent; ARCHITECTURE c04s03b02x01p04n02i00129arch OF c04s03b02x01p04n02i00129ent IS component A2 port (constant PT2: INTEGER); -- Failure_here -- ERROR: the only object class allowed in a local port is signal. end component ; BEGIN TESTING: PROCESS BEGIN assert FALSE report "***FAILED TEST: c04s03b02x01p04n02i00129 - The only object class allowed is signal." severity ERROR; wait; END PROCESS TESTING; END c04s03b02x01p04n02i00129arch;
------------------------------------------------------------------------------- -- -- Title : or64bit -- Design : ALU -- Author : riczhang -- Company : Stony Brook University -- ------------------------------------------------------------------------------- -- -- File : c:\My_Designs\ESE345_PROJECT\ALU\src\or64bit.vhd -- Generated : Mon Dec 5 17:40:01 2016 -- From : interface description file -- By : Itf2Vhdl ver. 1.22 -- ------------------------------------------------------------------------------- -- -- Description : -- ------------------------------------------------------------------------------- --{{ Section below this comment is automatically maintained -- and may be overwritten --{entity {or64bit} architecture {behavioral}} library IEEE; use IEEE.STD_LOGIC_1164.all; entity or64bit is port( rs1: in std_logic_vector(63 downto 0); rs2: in std_logic_vector(63 downto 0); rd: out std_logic_vector (63 downto 0) ); end or64bit; --}} End of automatically maintained section architecture behavioral of or64bit is begin rd <= rs1 or rs2; end behavioral;
------------------------------------------------------------------------------- -- Module: tb_tld -- Purpose: Testbench for Top Level Domain of ECDSA -- -- Author: Leander Schulz -- Date: 01.11.2017 -- Last change: 01.11.2017 ------------------------------------------------------------------------------- LIBRARY IEEE; USE IEEE.std_logic_1164.ALL; ENTITY tb_tld IS END ENTITY tb_tld; ARCHITECTURE tb_arch OF tb_tld IS -- import tld of ecdsa COMPONENT tld_ecdsa IS PORT ( -- Clock and reset clk_i: IN std_logic; rst_i: IN std_logic; -- Uart read/write uart_rx_i : IN std_logic; uart_wx_i : OUT std_logic; rst_led : OUT std_logic ); END COMPONENT tld_ecdsa; --internal signals SIGNAL s_clk : std_logic; SIGNAL s_rst : std_logic := '0'; SIGNAL S_rx : std_logic; SIGNAL S_tx : std_logic; SIGNAL s_led : std_logic; SIGNAL s_r : std_logic_vector (167 DOWNTO 0); SIGNAL s_s : std_logic_vector (167 DOWNTO 0); SIGNAL s_m : std_logic_vector (167 DOWNTO 0); SIGNAL s_mode : std_logic; BEGIN -- create ecdsa instance tld_inst : tld_ecdsa PORT MAP ( clk_i => s_clk, rst_i => s_rst, uart_rx_i => s_rx, uart_wx_i => s_tx, rst_led => s_led ); -- generate clock signal p_clk : PROCESS BEGIN s_clk <= '0'; WAIT FOR 10 ns; s_clk <= '1'; WAIT FOR 10 ns; END PROCESS p_clk; -- begin testbench tests testing : PROCESS IS PROCEDURE p_send ( SIGNAL s_mode : IN std_logic; SIGNAL s_r : IN std_logic_vector(167 DOWNTO 0); SIGNAL s_s : IN std_logic_vector(167 DOWNTO 0); SIGNAL s_m : IN std_logic_vector(167 DOWNTO 0); SIGNAL s_rx : OUT std_logic ) IS BEGIN -- send mode ASSERT FALSE REPORT "Send Mode" SEVERITY NOTE; s_rx <= '0'; -- Start Bit WAIT FOR 2000 ns; FOR i IN 0 TO 7 LOOP s_rx <= s_mode; WAIT FOR 2000 ns; END LOOP; s_rx <= '1'; -- stop bit and idle WAIT FOR 5000 ns; IF s_mode = '1' THEN -- send r ASSERT FALSE REPORT "Send Point R" SEVERITY NOTE; FOR i IN 20 DOWNTO 0 LOOP s_rx <= '0'; -- Start Bit WAIT FOR 2000 ns; FOR j IN 0 TO 7 LOOP s_rx <= s_r(i*8+j); -- send bits 0-7 WAIT FOR 2000 ns; END LOOP; s_rx <= '1'; -- stop bit and idle WAIT FOR 5000 ns; END LOOP; -- send s ASSERT FALSE REPORT "Send Point S" SEVERITY NOTE; FOR i IN 20 DOWNTO 0 LOOP s_rx <= '0'; -- Start Bit WAIT FOR 2000 ns; FOR j IN 0 TO 7 LOOP s_rx <= s_s(i*8+j); -- send bits 0-7 WAIT FOR 2000 ns; END LOOP; s_rx <= '1'; -- stop bit and idle WAIT FOR 5000 ns; END LOOP; END IF; -- send m ASSERT FALSE REPORT "Send Message" SEVERITY NOTE; FOR i IN 20 DOWNTO 0 LOOP s_rx <= '0'; -- Start Bit WAIT FOR 2000 ns; FOR j IN 0 TO 7 LOOP ASSERT (i*8+j)<168 SEVERITY WARNING; s_rx <= s_m(i*8+j); -- send bits 0-7 WAIT FOR 2000 ns; END LOOP; s_rx <= '1'; -- stop bit and idle WAIT FOR 5000 ns; END LOOP; END p_send; BEGIN -- Initialise Hardware s_rx <= '1'; WAIT FOR 100 ns; s_rst <= '1'; WAIT FOR 20 ns; s_rst <= '0'; WAIT FOR 80 ns; -- Test Case 1 -------------------------------------- s_r <= x"020B448AD8BE882CD980816C7EEA289FD3B2D517DB"; s_s <= x"0586558EFE0D6068075EA682084A259E370B4A375B"; --s_m <= x"00CD06203260EEE9549351BD29733E7D1E2ED49D88"; s_m <= x"0669148956365B7FABBC2383ED3ED1678D4E564463"; -- Sign s_mode <= '0'; p_send(s_mode,s_r,s_s,s_m,s_rx); -- TODO: check tx for valid result WAIT FOR 3500 us; -- Verify s_mode <= '1'; p_send(s_mode,s_r,s_s,s_m,s_rx); -- should evaluate to false WAIT FOR 3500 us; -- Test Case 1 - Verify s_mode <= '1'; p_send(s_mode,s_r,s_s,s_m,s_rx); WAIT FOR 3500 us; -- Test Case 2 -------------------------------------- s_r <= x"020B448AD8BE882CD980816C7EEA289FD3B2D517DB"; s_s <= x"005107642C9D1D591ED4F944040B28EB692B7680A0"; s_m <= x"0669148956365B7FABBC2383ED3ED1678D4E564463"; -- Sign s_mode <= '0'; p_send(s_mode,s_r,s_s,s_m,s_rx); -- result: -- 020B448AD8BE882CD980816C7EEA289FD3B2D517DB -- 0586558EFE0D6068075EA682084A259E370B4A375B -- 0669148956365B7FABBC2383ED3ED1678D4E564463 WAIT FOR 3500 us; -- Verify s_mode <= '1'; p_send(s_mode,s_r,s_s,s_m,s_rx); -- should evaluate to true WAIT; END PROCESS testing; END ARCHITECTURE tb_arch;
-- -- Knobs Galore - a free phase distortion synthesizer -- Copyright (C) 2015 Ilmo Euro -- -- This program is free software: you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation, either version 3 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program. If not, see <http://www.gnu.org/licenses/>. -- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use work.common.all; entity voice_generator is port (EN: in std_logic ;CLK_EVEN: in std_logic ;CLK_ODD: in std_logic ;FREQ: in time_signal ;GATE: in std_logic ;PARAMS: in synthesis_params ;AUDIO_OUT: out voice_signal ;OUT_TO_FIFO: out state_vector_t ;IN_FROM_FIFO: in state_vector_t ); end entity; architecture voice_generator_impl of voice_generator is signal s1_freq: time_signal := (others=>'0'); signal s1_cutoff: time_signal; signal s1_cutoff_stage: adsr_stage; signal s1_cutoff_prev_gate: std_logic; signal s1_gain: time_signal; signal s1_gain_stage: adsr_stage; signal s1_gain_prev_gate: std_logic; signal s1_phase: time_signal; signal s4_wf: waveform_t; signal s4_cutoff: ctl_signal; signal s4_theta: ctl_signal; signal s4_gain: ctl_signal; signal s8_theta: ctl_signal; signal s8_gain: ctl_signal; signal s9_z: voice_signal; signal s9_gain: ctl_signal; signal s12_z_ampl: voice_signal; begin process(CLK_EVEN) begin if EN='1' and rising_edge(CLK_EVEN) then s1_freq <= FREQ; end if; end process; phase_gen: entity work.phase_gen (phase_gen_impl) port map ('1' ,CLK_EVEN ,IN_FROM_FIFO.sv_phase ,s1_phase ); env_gen_cutoff: entity work.env_gen (env_gen_impl) port map ('1' ,CLK_EVEN ,GATE ,PARAMS.sp_cutoff_base ,PARAMS.sp_cutoff_env ,PARAMS.sp_cutoff_attack ,PARAMS.sp_cutoff_decay ,PARAMS.sp_cutoff_sustain ,PARAMS.sp_cutoff_rel ,IN_FROM_FIFO.sv_cutoff ,s1_cutoff ,IN_FROM_FIFO.sv_cutoff_stage ,s1_cutoff_stage ,IN_FROM_FIFO.sv_cutoff_prev_gate ,s1_cutoff_prev_gate ); env_gen_gain: entity work.env_gen (env_gen_impl) port map ('1' ,CLK_EVEN ,GATE ,x"00" ,x"FF" ,PARAMS.sp_gain_attack ,PARAMS.sp_gain_decay ,PARAMS.sp_gain_sustain ,PARAMS.sp_gain_rel ,IN_FROM_FIFO.sv_gain ,s1_gain ,IN_FROM_FIFO.sv_gain_stage ,s1_gain_stage ,IN_FROM_FIFO.sv_gain_prev_gate ,s1_gain_prev_gate ); voice_controller: entity work.voice_controller (voice_controller_impl) port map ('1' ,CLK_ODD ,CLK_EVEN ,PARAMS.sp_mode ,s1_freq ,s1_cutoff ,s4_cutoff ,s1_gain ,s4_gain ,s1_phase ,s4_theta ,s4_wf ); phase_distort: entity work.phase_distort (phase_distort_impl) port map ('1' ,CLK_EVEN ,CLK_ODD ,s4_wf ,s4_cutoff ,s4_theta ,s8_theta ,s4_gain ,s8_gain ); waveshaper: entity work.waveshaper(waveshaper_sin) port map ('1' ,CLK_ODD ,s8_theta ,s9_z ,s8_gain ,s9_gain ); amplifier: entity work.amplifier (amplifier_impl) port map ('1' ,CLK_EVEN ,CLK_ODD ,s9_gain ,s9_z ,s12_z_ampl ); AUDIO_OUT <= s12_z_ampl; OUT_TO_FIFO <= (s1_phase ,s1_gain ,s1_gain_stage ,s1_gain_prev_gate ,s1_cutoff ,s1_cutoff_stage ,s1_cutoff_prev_gate ); end architecture;
-- -- Knobs Galore - a free phase distortion synthesizer -- Copyright (C) 2015 Ilmo Euro -- -- This program is free software: you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation, either version 3 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program. If not, see <http://www.gnu.org/licenses/>. -- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use work.common.all; entity voice_generator is port (EN: in std_logic ;CLK_EVEN: in std_logic ;CLK_ODD: in std_logic ;FREQ: in time_signal ;GATE: in std_logic ;PARAMS: in synthesis_params ;AUDIO_OUT: out voice_signal ;OUT_TO_FIFO: out state_vector_t ;IN_FROM_FIFO: in state_vector_t ); end entity; architecture voice_generator_impl of voice_generator is signal s1_freq: time_signal := (others=>'0'); signal s1_cutoff: time_signal; signal s1_cutoff_stage: adsr_stage; signal s1_cutoff_prev_gate: std_logic; signal s1_gain: time_signal; signal s1_gain_stage: adsr_stage; signal s1_gain_prev_gate: std_logic; signal s1_phase: time_signal; signal s4_wf: waveform_t; signal s4_cutoff: ctl_signal; signal s4_theta: ctl_signal; signal s4_gain: ctl_signal; signal s8_theta: ctl_signal; signal s8_gain: ctl_signal; signal s9_z: voice_signal; signal s9_gain: ctl_signal; signal s12_z_ampl: voice_signal; begin process(CLK_EVEN) begin if EN='1' and rising_edge(CLK_EVEN) then s1_freq <= FREQ; end if; end process; phase_gen: entity work.phase_gen (phase_gen_impl) port map ('1' ,CLK_EVEN ,IN_FROM_FIFO.sv_phase ,s1_phase ); env_gen_cutoff: entity work.env_gen (env_gen_impl) port map ('1' ,CLK_EVEN ,GATE ,PARAMS.sp_cutoff_base ,PARAMS.sp_cutoff_env ,PARAMS.sp_cutoff_attack ,PARAMS.sp_cutoff_decay ,PARAMS.sp_cutoff_sustain ,PARAMS.sp_cutoff_rel ,IN_FROM_FIFO.sv_cutoff ,s1_cutoff ,IN_FROM_FIFO.sv_cutoff_stage ,s1_cutoff_stage ,IN_FROM_FIFO.sv_cutoff_prev_gate ,s1_cutoff_prev_gate ); env_gen_gain: entity work.env_gen (env_gen_impl) port map ('1' ,CLK_EVEN ,GATE ,x"00" ,x"FF" ,PARAMS.sp_gain_attack ,PARAMS.sp_gain_decay ,PARAMS.sp_gain_sustain ,PARAMS.sp_gain_rel ,IN_FROM_FIFO.sv_gain ,s1_gain ,IN_FROM_FIFO.sv_gain_stage ,s1_gain_stage ,IN_FROM_FIFO.sv_gain_prev_gate ,s1_gain_prev_gate ); voice_controller: entity work.voice_controller (voice_controller_impl) port map ('1' ,CLK_ODD ,CLK_EVEN ,PARAMS.sp_mode ,s1_freq ,s1_cutoff ,s4_cutoff ,s1_gain ,s4_gain ,s1_phase ,s4_theta ,s4_wf ); phase_distort: entity work.phase_distort (phase_distort_impl) port map ('1' ,CLK_EVEN ,CLK_ODD ,s4_wf ,s4_cutoff ,s4_theta ,s8_theta ,s4_gain ,s8_gain ); waveshaper: entity work.waveshaper(waveshaper_sin) port map ('1' ,CLK_ODD ,s8_theta ,s9_z ,s8_gain ,s9_gain ); amplifier: entity work.amplifier (amplifier_impl) port map ('1' ,CLK_EVEN ,CLK_ODD ,s9_gain ,s9_z ,s12_z_ampl ); AUDIO_OUT <= s12_z_ampl; OUT_TO_FIFO <= (s1_phase ,s1_gain ,s1_gain_stage ,s1_gain_prev_gate ,s1_cutoff ,s1_cutoff_stage ,s1_cutoff_prev_gate ); end architecture;
--------------------------------------------------- -- School: University of Massachusetts Dartmouth -- Department: Computer and Electrical Engineering -- Engineer: Daniel Noyes -- -- Create Date: SPRING 2015 -- Module Name: VGA Buffer Ram -- Project Name: VGA Toplevel -- Target Devices: Spartan-3E -- Tool versions: Xilinx ISE 14.7 -- Description: Ram for the VGA Display -- Holds the buffer for the VGA --------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity VGA_BUFFER_RAM is generic( ADDR_WIDTH: integer:=13; DATA_WIDTH:integer:=8 ); port( CLK : in std_logic; RST : in std_logic; WE : in std_logic; ADDR_A : in std_logic_vector(ADDR_WIDTH-1 downto 0); ADDR_B : in std_logic_vector(ADDR_WIDTH-1 downto 0); DIN_A : in std_logic_vector(7 downto 0); DOUT_B : out std_logic_vector(6 downto 0) ); end VGA_BUFFER_RAM; architecture Behavioral of VGA_BUFFER_RAM is type ram_type is array (0 to 2**ADDR_WIDTH-1) of std_logic_vector (DATA_WIDTH-1 downto 0); signal addr_b_reg: std_logic_vector(ADDR_WIDTH-1 downto 0); signal ram: ram_type;-- := ( -- --1: 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 -- X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20", -- X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20", -- X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20", -- X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20", -- X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20", -- -- --2: 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 -- X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20", -- X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20", -- X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20", -- X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20", -- X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20", -- -- --3: 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 -- X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20", -- X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20", -- X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20", -- X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20", -- X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20", -- -- --4: 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 -- X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20", -- X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20", -- X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20", -- X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20", -- X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20", -- -- --5: 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 -- X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20", -- X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20", -- X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20", -- X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20", -- X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20", -- -- --6: 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 -- X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20", -- X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20", -- X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20", -- X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20", -- X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20", -- -- --7: 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 -- X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20", -- X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20", -- X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20", -- X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20", -- X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20", -- -- --8: 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 -- X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20", -- X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20", -- X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20", -- X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20", -- X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20", -- -- --9: 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 -- X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20", -- X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20", -- X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20", -- X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20", -- X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20", -- -- --10: 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 -- X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20", -- X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20", -- X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20", -- X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20", -- X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20", -- -- --11: 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 -- X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20", -- X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20", -- X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20", -- X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20", -- X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20", -- -- --12: 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 -- X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20", -- X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20", -- X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20", -- X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20", -- X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20", -- -- --13: 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 -- X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20", -- X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20", -- X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20", -- X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20", -- X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20", -- -- --14: 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 -- X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20", -- X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20", -- X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20", -- X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20", -- X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20", -- -- --15: 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 -- X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20", -- X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20", -- X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20", -- X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20", -- X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20", -- -- --16: 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 -- X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20", -- X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20", -- X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20", -- X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20", -- X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20", -- -- --17: 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 -- X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20", -- X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20", -- X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20", -- X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20", -- X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20", -- -- --18: 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 -- X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20", -- X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20", -- X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20", -- X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20", -- X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20", -- -- --19: 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 -- X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20", -- X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20", -- X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20", -- X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20", -- X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20", -- -- --20: 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 -- X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20", -- X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20", -- X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20", -- X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20", -- X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20", -- -- --21: 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 -- X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20", -- X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20", -- X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20", -- X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20", -- X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20", -- -- --22: 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 -- X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20", -- X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20", -- X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20", -- X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20", -- X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20", -- -- --23: 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 -- X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20", -- X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20", -- X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20", -- X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20", -- X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20", -- -- --24: 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 -- X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20", -- X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20", -- X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20", -- X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20", -- X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20", -- -- --25: 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 -- X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20", -- X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20", -- X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20", -- X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20", -- X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20", -- -- --26: 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 -- X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20", -- X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20", -- X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20", -- X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20", -- X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20", -- -- --27: 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 -- X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20", -- X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20", -- X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20", -- X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20", -- X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20", -- -- --28: 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 -- X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20", -- X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20", -- X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20", -- X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20", -- X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20", -- -- --29: 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 -- X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20", -- X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20", -- X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20", -- X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20", -- X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20", -- -- --30: 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 -- X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20", -- X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20", -- X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20", -- X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20", -- X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20", -- -- --31: 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 -- X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20", -- X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20", -- X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20", -- X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20", -- X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20", -- -- --32: 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 -- X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20", -- X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20", -- X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20", -- X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20", -- X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20", -- -- --33: 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 -- X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20", -- X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20", -- X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20", -- X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20", -- X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20", -- -- --34: 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 -- X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20", -- X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20", -- X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20", -- X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20", -- X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20", -- -- --35: 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 -- X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20", -- X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20", -- X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20", -- X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20", -- X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20", -- -- --36: 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 -- X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20", -- X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20", -- X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20", -- X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20", -- X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20", -- -- --37: 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 -- X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20", -- X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20", -- X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20", -- X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20", -- X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20", -- -- --38: 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 -- X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20", -- X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20", -- X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20", -- X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20", -- X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20", -- -- --39: 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 -- X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20", -- X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20", -- X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20", -- X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20", -- X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20", -- -- --40: 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 -- X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20", -- X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20", -- X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20", -- X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20", -- X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20"--4096 -- ); begin process(CLK, WE) variable tmp_b : integer; begin if (CLK'event and CLK = '1') then if (WE = '1') then ram(to_integer(unsigned(ADDR_A))) <= DIN_A; end if; addr_b_reg <= ADDR_B; end if; end process; DOUT_B <= ram(to_integer(unsigned(addr_b_reg)))(6 downto 0); end Behavioral;
------------------------------------------------------------------------------- --! @file asyncFifo-rtl-a.vhd -- --! @brief The asynchronous Fifo architecture. -- --! @details This is a generic dual clocked FIFO using the dpRam component as --! memory. -- ------------------------------------------------------------------------------- -- -- (c) B&R Industrial Automation GmbH, 2014 -- -- Redistribution and use in source and binary forms, with or without -- modification, are permitted provided that the following conditions -- are met: -- -- 1. Redistributions of source code must retain the above copyright -- notice, this list of conditions and the following disclaimer. -- -- 2. Redistributions in binary form must reproduce the above copyright -- notice, this list of conditions and the following disclaimer in the -- documentation and/or other materials provided with the distribution. -- -- 3. Neither the name of B&R nor the names of its -- contributors may be used to endorse or promote products derived -- from this software without prior written permission. For written -- permission, please contact [email protected] -- -- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS -- "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT -- LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS -- FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE -- COPYRIGHT HOLDERS OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, -- INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, -- BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; -- LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER -- CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT -- LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN -- ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE -- POSSIBILITY OF SUCH DAMAGE. -- ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; --! Common library library libcommon; --! Use common library global package use libcommon.global.all; architecture rtl of asyncFifo is --! Address width constant cAddrWidth : natural := logDualis(gWordSize); --! Type for DPRAM port commons type tDpramPortCommon is record clk : std_logic; enable : std_logic; address : std_logic_vector(cAddrWidth-1 downto 0); end record; --! Type for DPRAM port assignment type tDpramPort is record wrPort : tDpramPortCommon; rdPort : tDpramPortCommon; write : std_logic; writedata : std_logic_vector(gDataWidth-1 downto 0); readdata : std_logic_vector(gDataWidth-1 downto 0); end record; --! Type for control port type tControlPort is record clk : std_logic; rst : std_logic; request : std_logic; otherPointer : std_logic_vector(cAddrWidth downto 0); empty : std_logic; full : std_logic; pointer : std_logic_vector(cAddrWidth downto 0); address : std_logic_vector(cAddrWidth-1 downto 0); usedWord : std_logic_vector(cAddrWidth-1 downto 0); end record; --! Type for pointer synchronizers type tPointerSyncPort is record clk : std_logic; rst : std_logic; din : std_logic_vector(cAddrWidth downto 0); dout : std_logic_vector(cAddrWidth downto 0); end record; --! DPRAM instance signal inst_dpram : tDpramPort; --! Write controller instance signal inst_writeCtrl : tControlPort; --! Read controller instance signal inst_readCtrl : tControlPort; --! Write pointer synchronizer instance signal inst_writeSync : tPointerSyncPort; --! Read pointer synchronizer instance signal inst_readSync : tPointerSyncPort; begin assert (gMemRes = "ON") report "This FIFO implementation only supports memory resources!" severity warning; --------------------------------------------------------------------------- -- Assign Outputs --------------------------------------------------------------------------- -- Write port oWrEmpty <= inst_writeCtrl.empty; oWrFull <= inst_writeCtrl.full; oWrUsedw <= inst_writeCtrl.usedWord; -- Read port oRdEmpty <= inst_readCtrl.empty; oRdFull <= inst_readCtrl.full; oRdUsedw <= inst_readCtrl.usedWord; oRdData <= inst_dpram.readdata; --------------------------------------------------------------------------- -- Map DPRAM instance --------------------------------------------------------------------------- -- Write port inst_dpram.wrPort.clk <= iWrClk; inst_dpram.wrPort.enable <= inst_writeCtrl.request; inst_dpram.write <= inst_writeCtrl.request; inst_dpram.wrPort.address <= inst_writeCtrl.address; inst_dpram.writedata <= iWrData; -- Read port inst_dpram.rdPort.clk <= iRdClk; inst_dpram.rdPort.enable <= iRdReq; inst_dpram.rdPort.address <= inst_readCtrl.address; --------------------------------------------------------------------------- -- Map Write and Read controller instance --------------------------------------------------------------------------- inst_readCtrl.clk <= iRdClk; inst_readCtrl.rst <= iAclr; inst_readCtrl.request <= iRdReq; inst_readCtrl.otherPointer <= inst_writeSync.dout; inst_writeCtrl.clk <= iWrClk; inst_writeCtrl.rst <= iAclr; inst_writeCtrl.request <= iWrReq and not inst_writeCtrl.full; inst_writeCtrl.otherPointer <= inst_readSync.dout; --------------------------------------------------------------------------- -- Map pointer synchronizers --------------------------------------------------------------------------- inst_readSync.rst <= iAclr; inst_readSync.clk <= iWrClk; -- synchronize read pointer to write clock inst_readSync.din <= inst_readCtrl.pointer; inst_writeSync.rst <= iAclr; inst_writeSync.clk <= iRdClk; -- synchronize write pointer to read clock inst_writeSync.din <= inst_writeCtrl.pointer; --------------------------------------------------------------------------- -- Instances --------------------------------------------------------------------------- --! This is the FIFO read controller. FIFO_READ_CONTROL : entity work.fifoRead generic map ( gAddrWidth => cAddrWidth ) port map ( iClk => inst_readCtrl.clk, iRst => inst_readCtrl.rst, iRead => inst_readCtrl.request, iWrPointer => inst_readCtrl.otherPointer, oEmpty => inst_readCtrl.empty, oFull => inst_readCtrl.full, oPointer => inst_readCtrl.pointer, oAddress => inst_readCtrl.address, oUsedWord => inst_readCtrl.usedWord ); --! This is the FIFO write controller. FIFO_WRITE_CONTROL : entity work.fifoWrite generic map ( gAddrWidth => cAddrWidth ) port map ( iClk => inst_writeCtrl.clk, iRst => inst_writeCtrl.rst, iWrite => inst_writeCtrl.request, iRdPointer => inst_writeCtrl.otherPointer, oEmpty => inst_writeCtrl.empty, oFull => inst_writeCtrl.full, oPointer => inst_writeCtrl.pointer, oAddress => inst_writeCtrl.address, oUsedWord => inst_writeCtrl.usedWord ); --! This is the FIFO buffer. FIFO_BUFFER : entity work.dpRamSplxNbe generic map ( gWordWidth => gDataWidth, gNumberOfWords => gWordSize, gInitFile => "UNUSED" ) port map ( iClk_A => inst_dpram.wrPort.clk, iEnable_A => inst_dpram.wrPort.enable, iWriteEnable_A => inst_dpram.write, iAddress_A => inst_dpram.wrPort.address, iWritedata_A => inst_dpram.writedata, iClk_B => inst_dpram.rdPort.clk, iEnable_B => inst_dpram.rdPort.enable, iAddress_B => inst_dpram.rdPort.address, oReaddata_B => inst_dpram.readdata ); --! This generate block instantiates multiple synchrinizers to transfer --! the write and read pointers to the opposite clock domains. GEN_POINTERSYNC : for i in cAddrWidth downto 0 generate WRITESYNC : entity libcommon.synchronizer generic map ( gStages => gSyncStages, gInit => cInactivated ) port map ( iArst => inst_writeSync.rst, iClk => inst_writeSync.clk, iAsync => inst_writeSync.din(i), oSync => inst_writeSync.dout(i) ); READSYNC : entity libcommon.synchronizer generic map ( gStages => gSyncStages, gInit => cInactivated ) port map ( iArst => inst_readSync.rst, iClk => inst_readSync.clk, iAsync => inst_readSync.din(i), oSync => inst_readSync.dout(i) ); end generate GEN_POINTERSYNC; end rtl;
library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; -- pragma translate_off --library synplify; --use synplify.attributes.all; -- pragma translate_on entity ADDC is generic ( width : integer ); port( opa: in std_logic_vector(width-1 downto 0); opb: in std_logic_vector(width-1 downto 0); ci: in std_logic; sum: out std_logic_vector(width-1 downto 0); co: out std_logic ); end ADDC; architecture behavior of ADDC is begin process(opa,opb,ci) variable res:std_logic_vector( width downto 0 ):=(others=>'0'); begin res:=('0'&opa)+('0'&opb)+ci; sum<=res(width-1 downto 0); co<=res(width); end process; end behavior;
------------------------------------------------------------------------------ -- This file is a part of the GRLIB VHDL IP LIBRARY -- Copyright (C) 2003 - 2008, Gaisler Research -- Copyright (C) 2008 - 2014, Aeroflex Gaisler -- Copyright (C) 2015 - 2016, Cobham Gaisler -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation; either version 2 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ----------------------------------------------------------------------------- -- Entity: pci -- File: pci.vhd -- Author: Jiri Gaisler - Gaisler Research -- Description: Package with component and type declarations for PCI cores ------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; library grlib; use grlib.amba.all; use grlib.stdlib.all; use grlib.devices.all; library techmap; use techmap.gencomp.all; library gaisler; package pci is type pci_in_type is record rst : std_ulogic; gnt : std_ulogic; idsel : std_ulogic; ad : std_logic_vector(31 downto 0); cbe : std_logic_vector(3 downto 0); frame : std_ulogic; irdy : std_ulogic; trdy : std_ulogic; devsel : std_ulogic; stop : std_ulogic; lock : std_ulogic; perr : std_ulogic; serr : std_ulogic; par : std_ulogic; host : std_ulogic; pci66 : std_ulogic; pme_status : std_ulogic; int : std_logic_vector(3 downto 0); -- D downto A end record; constant pci_in_none : pci_in_type := ( rst => '0', gnt => '0', idsel => '0', ad => (others => '0'), cbe => (others => '0'), frame => '0', irdy => '0', trdy => '0', devsel => '0', stop => '0', lock => '0', perr => '0', serr => '0', par => '0', host => '0', pci66 => '0', pme_status => '0', int => (others => '0')); type pci_out_type is record aden : std_ulogic; vaden : std_logic_vector(31 downto 0); cbeen : std_logic_vector(3 downto 0); frameen : std_ulogic; irdyen : std_ulogic; trdyen : std_ulogic; devselen : std_ulogic; stopen : std_ulogic; ctrlen : std_ulogic; perren : std_ulogic; paren : std_ulogic; reqen : std_ulogic; locken : std_ulogic; serren : std_ulogic; inten : std_logic; vinten : std_logic_vector(3 downto 0); req : std_ulogic; ad : std_logic_vector(31 downto 0); cbe : std_logic_vector(3 downto 0); frame : std_ulogic; irdy : std_ulogic; trdy : std_ulogic; devsel : std_ulogic; stop : std_ulogic; perr : std_ulogic; serr : std_ulogic; par : std_ulogic; lock : std_ulogic; power_state : std_logic_vector(1 downto 0); pme_enable : std_ulogic; pme_clear : std_ulogic; int : std_logic; rst : std_ulogic; end record; constant pci_out_none : pci_out_type := ( aden => '1', vaden => (others => '1'), cbeen => (others => '1'), frameen => '1', irdyen => '1', trdyen => '1', devselen => '1', stopen => '1', ctrlen => '1', perren => '1', paren => '1', reqen => '1', locken => '1', serren => '1', inten => '1', vinten => (others => '1'), req => '1', ad => (others => '0'), cbe => (others => '1'), frame => '1', irdy => '1', trdy => '1', devsel => '1', stop => '1', perr => '1', serr => '1', par => '1', lock => '1', power_state => (others => '1'), pme_enable => '1',pme_clear => '1', int => '1', rst => '1'); component pci_target generic ( hindex : integer := 0; abits : integer := 21; device_id : integer := 0; -- PCI device ID vendor_id : integer := 0; -- PCI vendor ID nsync : integer range 1 to 2 := 1; -- 1 or 2 sync regs between clocks oepol : integer := 0 ); port( rst : in std_ulogic; clk : in std_ulogic; pciclk : in std_ulogic; pcii : in pci_in_type; pcio : out pci_out_type; ahbmi : in ahb_mst_in_type; ahbmo : out ahb_mst_out_type ); end component; component pci_mt generic ( hmstndx : integer := 0; abits : integer := 21; device_id : integer := 0; -- PCI device ID vendor_id : integer := 0; -- PCI vendor ID master : integer := 1; -- Enable PCI Master hslvndx : integer := 0; haddr : integer := 16#F00#; hmask : integer := 16#F00#; ioaddr : integer := 16#000#; nsync : integer range 1 to 2 := 1; -- 1 or 2 sync regs between clocks oepol : integer := 0 ); port( rst : in std_logic; clk : in std_logic; pciclk : in std_logic; pcii : in pci_in_type; pcio : out pci_out_type; ahbmi : in ahb_mst_in_type; ahbmo : out ahb_mst_out_type; ahbsi : in ahb_slv_in_type; ahbso : out ahb_slv_out_type ); end component; component dmactrl generic ( hindex : integer := 0; slvindex : integer := 0; pindex : integer := 0; paddr : integer := 0; pmask : integer := 16#fff#; pirq : integer := 0; blength : integer := 4); port ( rst : in std_logic; clk : in std_logic; apbi : in apb_slv_in_type; apbo : out apb_slv_out_type; ahbmi : in ahb_mst_in_type; ahbmo : out ahb_mst_out_type; ahbsi0 : in ahb_slv_in_type; ahbso0 : out ahb_slv_out_type; ahbsi1 : out ahb_slv_in_type; ahbso1 : in ahb_slv_out_type); end component; component pci_mtf generic ( memtech : integer := DEFMEMTECH; hmstndx : integer := 0; dmamst : integer := NAHBMST; readpref : integer := 0; abits : integer := 21; dmaabits : integer := 26; fifodepth : integer := 3; -- FIFO depth device_id : integer := 0; -- PCI device ID vendor_id : integer := 0; -- PCI vendor ID master : integer := 1; -- Enable PCI Master hslvndx : integer := 0; pindex : integer := 0; paddr : integer := 0; pmask : integer := 16#fff#; haddr : integer := 16#F00#; hmask : integer := 16#F00#; ioaddr : integer := 16#000#; irq : integer := 0; irqmask : integer := 0; nsync : integer range 1 to 2 := 2; -- 1 or 2 sync regs between clocks oepol : integer := 0; endian : integer := 0; class_code: integer := 16#0B4000#; rev : integer := 0; scanen : integer := 0; syncrst : integer := 0; hostrst : integer := 0); port( rst : in std_logic; clk : in std_logic; pciclk : in std_logic; pcii : in pci_in_type; pcio : out pci_out_type; apbi : in apb_slv_in_type; apbo : out apb_slv_out_type; ahbmi : in ahb_mst_in_type; ahbmo : out ahb_mst_out_type; ahbsi : in ahb_slv_in_type; ahbso : out ahb_slv_out_type ); end component; component pcitrace generic ( depth : integer range 6 to 12 := 8; iregs : integer := 1; memtech : integer := DEFMEMTECH; pindex : integer := 0; paddr : integer := 0; pmask : integer := 16#f00# ); port ( rst : in std_ulogic; clk : in std_ulogic; pciclk : in std_ulogic; pcii : in pci_in_type; apbi : in apb_slv_in_type; apbo : out apb_slv_out_type ); end component; component pcipads generic ( padtech : integer := 0; noreset : integer := 0; oepol : integer := 0; host : integer := 1; int : integer := 0; no66 : integer := 0; onchipreqgnt : integer := 0; drivereset : integer := 0; constidsel : integer := 0; level : integer := pci33; voltage : integer := x33v; nolock : integer := 0 ); port ( pci_rst : inout std_logic; pci_gnt : in std_ulogic; pci_idsel : in std_ulogic; pci_lock : inout std_ulogic; pci_ad : inout std_logic_vector(31 downto 0); pci_cbe : inout std_logic_vector(3 downto 0); pci_frame : inout std_logic; pci_irdy : inout std_logic; pci_trdy : inout std_logic; pci_devsel : inout std_logic; pci_stop : inout std_logic; pci_perr : inout std_logic; pci_par : inout std_logic; pci_req : inout std_logic; -- tristate pad but never read pci_serr : inout std_logic; -- open drain output pci_host : in std_ulogic; pci_66 : in std_ulogic; pcii : out pci_in_type; pcio : in pci_out_type; pci_int : inout std_logic_vector(3 downto 0) ); end component; component pcidma generic ( memtech : integer := DEFMEMTECH; dmstndx : integer := 0; dapbndx : integer := 0; dapbaddr : integer := 0; dapbmask : integer := 16#fff#; dapbirq : integer := 0; blength : integer := 16; mstndx : integer := 0; abits : integer := 21; dmaabits : integer := 26; fifodepth : integer := 3; -- FIFO depth device_id : integer := 0; -- PCI device ID vendor_id : integer := 0; -- PCI vendor ID slvndx : integer := 0; apbndx : integer := 0; apbaddr : integer := 0; apbmask : integer := 16#fff#; haddr : integer := 16#F00#; hmask : integer := 16#F00#; ioaddr : integer := 16#000#; nsync : integer range 1 to 2 := 2; -- 1 or 2 sync regs between clocks oepol : integer := 0; endian : integer := 0; -- 0 little, 1 big class_code: integer := 16#0B4000#; rev : integer := 0; irq : integer := 0; irqmask : integer := 0; scanen : integer := 0; hostrst : integer := 0; syncrst : integer := 0); port( rst : in std_logic; clk : in std_logic; pciclk : in std_logic; pcii : in pci_in_type; pcio : out pci_out_type; dapbo : out apb_slv_out_type; dahbmo : out ahb_mst_out_type; apbi : in apb_slv_in_type; apbo : out apb_slv_out_type; ahbmi : in ahb_mst_in_type; ahbmo : out ahb_mst_out_type; ahbsi : in ahb_slv_in_type; ahbso : out ahb_slv_out_type ); end component; type pci_ahb_dma_in_type is record address : std_logic_vector(31 downto 0); wdata : std_logic_vector(31 downto 0); start : std_ulogic; burst : std_ulogic; write : std_ulogic; busy : std_ulogic; irq : std_ulogic; size : std_logic_vector(1 downto 0); end record; type pci_ahb_dma_out_type is record start : std_ulogic; active : std_ulogic; ready : std_ulogic; retry : std_ulogic; mexc : std_ulogic; haddr : std_logic_vector(9 downto 0); rdata : std_logic_vector(31 downto 0); end record; component pciahbmst generic ( hindex : integer := 0; hirq : integer := 0; venid : integer := VENDOR_GAISLER; devid : integer := 0; version : integer := 0; chprot : integer := 3; incaddr : integer := 0); port ( rst : in std_ulogic; clk : in std_ulogic; dmai : in pci_ahb_dma_in_type; dmao : out pci_ahb_dma_out_type; ahbi : in ahb_mst_in_type; ahbo : out ahb_mst_out_type ); end component; component pcif generic ( device_id : integer := 0; -- PCI device ID vendor_id : integer := 0; -- PCI vendor ID class : integer := 0; revision_id : integer := 0; aaddr_width : integer := 28; maddr_width : integer := 28; pcibars : integer := 1; ahbmasters : integer := 8; fifo_depth : integer := 3; ft : integer := 0; memtech : integer := 0; hmstndx : integer := 0; hslvndx : integer := 0; pindex : integer := 0; paddr : integer := 0; pmask : integer := 16#fff#; haddr : integer := 16#F00#; hmask : integer := 16#F00#); port( rst : in std_logic; pciclk : in std_logic; pcii : in pci_in_type; pcio : out pci_out_type; apbi : in apb_slv_in_type; apbo : out apb_slv_out_type; ahbmi : in ahb_mst_in_type; ahbmo : out ahb_mst_out_type; ahbsi : in ahb_slv_in_type; ahbso : out ahb_slv_out_type); --debug : out std_logic_vector(233 downto 0)); end component; component pcif_async generic ( device_id : integer := 0; -- PCI device ID vendor_id : integer := 0; -- PCI vendor ID class : integer := 0; revision_id : integer := 0; bar1 : integer := 20; bar2 : integer := 24; bar3 : integer := 0; bar4 : integer := 0; ahbmasters : integer := 28; fifo_depth : integer := 1; ft : integer := 0; nsync : integer := 2; irqctrl : integer := 0; host : integer := 0; memtech : integer := 0; hmstndx : integer := 0; hslvndx : integer := 0; pindex : integer := 0; paddr : integer := 0; pmask : integer := 16#fff#; haddr : integer := 16#F00#; hmask : integer := 16#F00#; ioaddr : integer := 16#000#; pirq : integer := 0; netlist : integer := 0; debugen : integer := 0; hostrst : integer := 0 ); port( rst : in std_logic; clk : in std_logic; pcirst : in std_logic; pciclk : in std_logic; pcii : in pci_in_type; pcio : out pci_out_type; apbi : in apb_slv_in_type; apbo : out apb_slv_out_type; ahbmi : in ahb_mst_in_type; ahbmo : out ahb_mst_out_type; ahbsi : in ahb_slv_in_type; ahbso : out ahb_slv_out_type--; --debug : out std_logic_vector(255 downto 0) ); end component; component grpci2 generic ( memtech : integer := DEFMEMTECH; tbmemtech : integer := DEFMEMTECH; oepol : integer := 0; hmindex : integer := 0; hdmindex : integer := 0; hsindex : integer := 0; haddr : integer := 0; hmask : integer := 0; ioaddr : integer := 0; pindex : integer := 0; paddr : integer := 0; pmask : integer := 16#FFF#; irq : integer := 0; irqmode : integer range 0 to 3 := 0; master : integer range 0 to 1 := 1; target : integer range 0 to 1 := 1; dma : integer range 0 to 1 := 1; tracebuffer : integer range 0 to 16384 := 0; confspace : integer range 0 to 1 := 1; vendorid : integer := 16#0000#; deviceid : integer := 16#0000#; classcode : integer := 16#000000#; revisionid : integer := 16#00#; cap_pointer : integer := 16#40#; ext_cap_pointer : integer := 16#00#; iobase : integer := 16#FFF#; extcfg : integer := 16#0000000#; bar0 : integer range 0 to 31 := 28; bar1 : integer range 0 to 31 := 0; bar2 : integer range 0 to 31 := 0; bar3 : integer range 0 to 31 := 0; bar4 : integer range 0 to 31 := 0; bar5 : integer range 0 to 31 := 0; bar0_map : integer := 16#000000#; bar1_map : integer := 16#000000#; bar2_map : integer := 16#000000#; bar3_map : integer := 16#000000#; bar4_map : integer := 16#000000#; bar5_map : integer := 16#000000#; bartype : integer range 0 to 65535 := 16#0000#; barminsize : integer range 5 to 31 := 12; fifo_depth : integer range 3 to 7 := 3; fifo_count : integer range 2 to 4 := 2; conv_endian : integer range 0 to 1 := 0; -- 1: little (PCI) <~> big (AHB), 0: big (PCI) <=> big (AHB) deviceirq : integer range 0 to 1 := 1; deviceirqmask : integer range 0 to 15 := 16#0#; hostirq : integer range 0 to 1 := 1; hostirqmask : integer range 0 to 15 := 16#0#; nsync : integer range 0 to 2 := 2; hostrst : integer range 0 to 2 := 0;-- 0: PCI reset is never driven, 1: PCI reset is driven from AHB reset if host, 2: PCI reset is always driven from AHB reset bypass : integer range 0 to 1 := 1; ft : integer range 0 to 1 := 0; scantest : integer range 0 to 1 := 0; debug : integer range 0 to 1 := 0; tbapben : integer range 0 to 1 := 0; tbpindex : integer := 0; tbpaddr : integer := 0; tbpmask : integer := 16#F00#; netlist : integer range 0 to 1 := 0; multifunc : integer range 0 to 1 := 0; -- Enables Multi-function support multiint : integer range 0 to 1 := 0; masters : integer := 16#FFFF#; mf1_deviceid : integer := 16#0000#; mf1_classcode : integer := 16#000000#; mf1_revisionid : integer := 16#00#; mf1_bar0 : integer range 0 to 31 := 0; mf1_bar1 : integer range 0 to 31 := 0; mf1_bar2 : integer range 0 to 31 := 0; mf1_bar3 : integer range 0 to 31 := 0; mf1_bar4 : integer range 0 to 31 := 0; mf1_bar5 : integer range 0 to 31 := 0; mf1_bartype : integer range 0 to 65535 := 16#0000#; mf1_bar0_map : integer := 16#000000#; mf1_bar1_map : integer := 16#000000#; mf1_bar2_map : integer := 16#000000#; mf1_bar3_map : integer := 16#000000#; mf1_bar4_map : integer := 16#000000#; mf1_bar5_map : integer := 16#000000#; mf1_cap_pointer : integer := 16#40#; mf1_ext_cap_pointer : integer := 16#00#; mf1_extcfg : integer := 16#0000000#; mf1_masters : integer := 16#0000#; iotest : integer := 0); port( rst : in std_logic; clk : in std_logic; pciclk : in std_logic; dirq : in std_logic_vector(3 downto 0); pcii : in pci_in_type; pcio : out pci_out_type; apbi : in apb_slv_in_type; apbo : out apb_slv_out_type; ahbsi : in ahb_slv_in_type; ahbso : out ahb_slv_out_type; ahbmi : in ahb_mst_in_type; ahbmo : out ahb_mst_out_type; ahbdmi : in ahb_mst_in_type; ahbdmo : out ahb_mst_out_type; ptarst : out std_logic; tbapbi : in apb_slv_in_type := apb_slv_in_none; tbapbo : out apb_slv_out_type; debugo : out std_logic_vector(debug*255 downto 0) ); end component; constant PCI_VENDOR_ESA : integer := 16#16E3#; constant PCI_VENDOR_GAISLER : integer := 16#1AC8#; constant PCI_VENDOR_AEROFLEX : integer := 16#1AD0#; end;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc1384.vhd,v 1.2 2001-10-26 16:30:09 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c08s05b00x00p03n01i01384ent IS END c08s05b00x00p03n01i01384ent; ARCHITECTURE c08s05b00x00p03n01i01384arch OF c08s05b00x00p03n01i01384ent IS BEGIN TESTING: PROCESS type small_int is range 0 to 7; variable v1 : small_int := 0; BEGIN small_int := v1; -- illegal type name target assert FALSE report "***FAILED TEST: c08s05b00x00p03n01i01384 - Target of a variable assignment can not be the name of a type name." severity ERROR; wait; END PROCESS TESTING; END c08s05b00x00p03n01i01384arch;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc1384.vhd,v 1.2 2001-10-26 16:30:09 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c08s05b00x00p03n01i01384ent IS END c08s05b00x00p03n01i01384ent; ARCHITECTURE c08s05b00x00p03n01i01384arch OF c08s05b00x00p03n01i01384ent IS BEGIN TESTING: PROCESS type small_int is range 0 to 7; variable v1 : small_int := 0; BEGIN small_int := v1; -- illegal type name target assert FALSE report "***FAILED TEST: c08s05b00x00p03n01i01384 - Target of a variable assignment can not be the name of a type name." severity ERROR; wait; END PROCESS TESTING; END c08s05b00x00p03n01i01384arch;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc1384.vhd,v 1.2 2001-10-26 16:30:09 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c08s05b00x00p03n01i01384ent IS END c08s05b00x00p03n01i01384ent; ARCHITECTURE c08s05b00x00p03n01i01384arch OF c08s05b00x00p03n01i01384ent IS BEGIN TESTING: PROCESS type small_int is range 0 to 7; variable v1 : small_int := 0; BEGIN small_int := v1; -- illegal type name target assert FALSE report "***FAILED TEST: c08s05b00x00p03n01i01384 - Target of a variable assignment can not be the name of a type name." severity ERROR; wait; END PROCESS TESTING; END c08s05b00x00p03n01i01384arch;
-- ----------------------------------------------------------------------- -- -- Turbo Chameleon 64 -- -- Multi purpose FPGA expansion for the Commodore 64 computer -- -- ----------------------------------------------------------------------- -- Copyright 2005-2018 by Peter Wendrich ([email protected]) -- http://www.syntiac.com -- -- This source file is free software: you can redistribute it and/or modify -- it under the terms of the GNU Lesser General Public License as published -- by the Free Software Foundation, either version 3 of the License, or -- (at your option) any later version. -- -- This source file is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program. If not, see <http://www.gnu.org/licenses/>. -- -- ----------------------------------------------------------------------- -- I/O controller entity for the shiftregister controlling PS/2, -- LEDs and reset signals on Turbo Chameleon 64 second edition. -- -- ----------------------------------------------------------------------- -- clk - System clock -- -- ser_out_clk - Serial clock, connect on toplevel to port with same name -- ser_out_dat - Serial data, connect on toplevel to port with same name -- ser_out_rclk - Serial strobe, connect on toplevel to port with same name -- -- reset_c64 - Active high, pulls reset on the cartridge port -- reset_iec - Active high, pulls reset on teh IEC connector -- ps2_mouse_clk - Open drain output for PS/2 mouse clock (active low) -- ps2_mouse_dat - Open drain output for PS/2 mouse data (active low) -- ps2_keybard_clk - Open drain output for PS/2 keyboard clock (active low) -- ps2_keybard_dat - Open drain output for PS/2 keyboard data (active low) -- led_green - Active high, enable the green LED -- led_red - Active high, enable the red LED -- ----------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; -- ----------------------------------------------------------------------- entity chameleon2_io_shiftreg is port ( clk : in std_logic; ser_out_clk : out std_logic; ser_out_dat : out std_logic; ser_out_rclk : out std_logic; reset_c64 : in std_logic; reset_iec : in std_logic; ps2_mouse_clk : in std_logic; ps2_mouse_dat : in std_logic; ps2_keyboard_clk : in std_logic; ps2_keyboard_dat : in std_logic; led_green : in std_logic; led_red : in std_logic ); end entity; architecture rtl of chameleon2_io_shiftreg is signal state_reg : unsigned(6 downto 0) := (others => '0'); signal clk_reg : std_logic := '0'; signal dat_reg : std_logic := '0'; signal rclk_reg : std_logic := '1'; begin ser_out_clk <= clk_reg; ser_out_dat <= dat_reg; ser_out_rclk <= rclk_reg; process(clk) begin if rising_edge(clk) then state_reg <= state_reg + 1; clk_reg <= state_reg(2) and (not state_reg(6)); rclk_reg <= state_reg(2) and state_reg(6); case state_reg(6 downto 3) is when "0000" => dat_reg <= reset_c64; when "0001" => dat_reg <= reset_iec; when "0010" => dat_reg <= not ps2_mouse_clk; when "0011" => dat_reg <= not ps2_mouse_dat; when "0100" => dat_reg <= not ps2_keyboard_clk; when "0101" => dat_reg <= not ps2_keyboard_dat; when "0110" => dat_reg <= not led_green; when "0111" => dat_reg <= not led_red; when "1000" => null; when others => state_reg <= (others => '0'); end case; end if; end process; end architecture;
library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_signed.all; use ieee.numeric_std.all; entity PC is port ( counter: in std_logic_vector(15 downto 0 ); new_counter: out std_logic_vector(15 downto 0 ); CLK: in std_logic; RESET: in std_logic ); end entity PC; architecture PC_Arch of PC is begin -------------------------------------- --set0: syncram generic map (n =>16) port map (CLK,'0',new_count,"0000000000000000",copied_data); --clk,enable,(address to WRITE in),data to write, outputdata from selected address process(CLK,RESET) begin if RESET='1' then new_counter<="0000000000000000" ; --RESET PC end if; if RESET='0' and CLK='1' then new_counter <= std_logic_vector(unsigned(counter)+1); end if; ------------------------------------------------ end process; end architecture PC_Arch;
entity nvc_bug is end nvc_bug; architecture behav of nvc_bug is type std_logic_vector is array (integer range <>) of integer; function to_bitvector(x : std_logic_vector) return bit_vector; signal mode : std_logic_vector(1 downto 0); begin process subtype modetype is bit_vector(mode'range); begin case modetype'(to_bitvector(mode)) is when "00" => when "01" => when "10" => when "11" => when others => end case; assert false report "end of test" severity note; wait; end process; end behav;
entity nvc_bug is end nvc_bug; architecture behav of nvc_bug is type std_logic_vector is array (integer range <>) of integer; function to_bitvector(x : std_logic_vector) return bit_vector; signal mode : std_logic_vector(1 downto 0); begin process subtype modetype is bit_vector(mode'range); begin case modetype'(to_bitvector(mode)) is when "00" => when "01" => when "10" => when "11" => when others => end case; assert false report "end of test" severity note; wait; end process; end behav;