content
stringlengths 1
1.04M
⌀ |
---|
----------------------------------------------------------------------------
-- Increment_PC
-- This unit is a simple Adder, which increment the current value of PC,
-- coming from the PC register, by 4(since the addresses in memory must be
-- word-aligned). The output of this unit feed the MUX_branch unit.
----------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use work.globals.all;
----------------------------------------------------------------------------
----------------------------------------------------------------------------
entity increment_pc is
port (
-- INPUTS
from_pc : in std_logic_vector(31 downto 0); -- address coming from PC register that shoudl be incremented
-- OUTPUTS
to_mux_branch : out std_logic_vector(31 downto 0) -- address to the MUX_branch unit incremented by 4
);
end increment_pc;
----------------------------------------------------------------------------
----------------------------------------------------------------------------
architecture behavioral of increment_pc is
begin
to_mux_branch <= std_logic_vector(unsigned(from_pc) + X"0000004");
end behavioral;
|
library ieee;
use ieee.std_logic_1164.all;
entity seven_segment is
port (
clk : in std_logic;
val : in std_logic_vector(3 downto 0);
led : out std_logic_vector(6 downto 0);
mode: in std_logic
);
end seven_segment;
architecture arch of seven_segment is
component pulser is
generic(
delay:integer := 500000
);
port(
clk: in std_logic;
enable: in std_logic;
output: out std_logic
);
end component;
signal spinner : std_logic_vector(5 downto 0) := "111110";
signal spin_spinner: std_logic;
begin
p1: pulser generic map(delay=>5000000) port map(clk,'1',spin_spinner);
spinner_pro: process(spin_spinner)
begin
if(spin_spinner'event and spin_spinner = '1')then
if(spinner = "011111")then
spinner <= "111110";
else
spinner <= spinner(4 downto 0) & '1';
end if;
end if;
end process spinner_pro;
disp_pro: process(clk,val,mode)
begin
if(mode = '0') then
case val is
when "0001" => led <= "1111001";
when "0010" => led <= "0100100";
when "0011" => led <= "0110000";
when "0100" => led <= "0011001";
when "0101" => led <= "0010010";
when "0110" => led <= "0000010";
when "0111" => led <= "1111000";
when "1000" => led <= "0000000";
when "1001" => led <= "0010000";
when "1010" => led <= "0001000";
when "1011" => led <= "0000011";
when "1100" => led <= "1000110";
when "1101" => led <= "0100001";
when "1110" => led <= "0000110";
when "1111" => led <= "0001110";
when others => led <= "1000000";
end case;
else
if( val = "0001") then
led <= "1000111";
else if ( val = "0010") then
led <= "0010010";
else if ( val = "0011") then
led <= '1'&spinner;
else
led <= "1111111";
end if;
end if;
end if;
end if;
end process disp_pro;
end arch;
|
architecture test of test2 is
constant foo : bar := baz;
begin end;
|
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
library work;
use work.wishbonepkg.all;
entity xtc_top_ppro is
port (
CLK: in std_logic;
-- Connection to the main SPI flash
--SPI_SCK: out std_logic;
--SPI_MISO: in std_logic;
--SPI_MOSI: out std_logic;
--SPI_CS: out std_logic;
-- WING connections
--WING_A: inout std_logic_vector(15 downto 0);
--WING_B: inout std_logic_vector(15 downto 0);
--WING_C: inout std_logic_vector(15 downto 0);
-- UART (FTDI) connection
TXD: out std_logic;
RXD: in std_logic
--DRAM_ADDR : OUT STD_LOGIC_VECTOR (12 downto 0);
-- DRAM_BA : OUT STD_LOGIC_VECTOR (1 downto 0);
-- DRAM_CAS_N : OUT STD_LOGIC;
-- DRAM_CKE : OUT STD_LOGIC;
-- DRAM_CLK : OUT STD_LOGIC;
-- DRAM_CS_N : OUT STD_LOGIC;
-- DRAM_DQ : INOUT STD_LOGIC_VECTOR(15 downto 0);
-- DRAM_DQM : OUT STD_LOGIC_VECTOR(1 downto 0);
-- DRAM_RAS_N : OUT STD_LOGIC;
-- DRAM_WE_N : OUT STD_LOGIC;
-- The LED
--LED: out std_logic
);
end entity xtc_top_ppro;
architecture behave of xtc_top_ppro is
component uart is
generic (
bits: integer := 11
);
port (
wb_clk_i: in std_logic;
wb_rst_i: in std_logic;
wb_dat_o: out std_logic_vector(31 downto 0);
wb_dat_i: in std_logic_vector(31 downto 0);
wb_adr_i: in std_logic_vector(31 downto 2);
wb_we_i: in std_logic;
wb_cyc_i: in std_logic;
wb_stb_i: in std_logic;
wb_ack_o: out std_logic;
wb_inta_o:out std_logic;
enabled: out std_logic;
tx: out std_logic;
rx: in std_logic
);
end component;
component clkgen is
port (
clkin: in std_logic;
rstin: in std_logic;
clkout: out std_logic;
clkout1: out std_logic;
clkout2: out std_logic;
clkout2x: out std_logic;
rstout: out std_logic
);
end component;
signal sysrst: std_logic;
signal sysclk: std_logic;
signal clkgen_rst: std_logic;
signal wb_clk_i: std_logic;
signal wb_rst_i: std_logic;
component xtc_top_bram is
port (
wb_syscon: in wb_syscon_type;
-- IO wishbone interface
iowbo: out wb_mosi_type;
iowbi: in wb_miso_type
);
end component;
signal wb_read: std_logic_vector(31 downto 0);
signal wb_write: std_logic_vector(31 downto 0);
signal wb_address: std_logic_vector(31 downto 0);
signal wb_tag_i: std_logic_vector(31 downto 0);
signal wb_tag_o: std_logic_vector(31 downto 0);
signal wb_stb: std_logic;
signal wb_cyc: std_logic;
signal wb_sel: std_logic_vector(3 downto 0);
signal wb_we: std_logic;
signal wb_ack: std_logic;
signal wb_int: std_logic;
signal wb_stall: std_logic;
signal wb_clk_i_2x: std_ulogic;
begin
cpu: xtc_top_bram
port map (
wb_syscon.clk => wb_clk_i,
wb_syscon.rst => wb_rst_i,
-- Master wishbone interface
iowbi.ack => wb_ack,
iowbi.dat => wb_read,
iowbi.tag => wb_tag_i,
iowbi.int => wb_int,
iowbi.stall => '0',
iowbo.dat => wb_write,
iowbo.adr => wb_address,
iowbo.cyc => wb_cyc,
iowbo.tag => wb_tag_o,
iowbo.stb => wb_stb,
iowbo.sel => wb_sel,
iowbo.we => wb_we
);
-- Simple tag generator
process(wb_clk_i)
begin
if rising_edge(wb_clk_i) then
if wb_cyc='1' and wb_stb='1' and wb_ack='0' then
wb_tag_o <= wb_tag_i;
end if;
end if;
end process;
myuart: uart
port map (
wb_clk_i => wb_clk_i,
wb_rst_i => wb_rst_i,
wb_dat_o => wb_read,
wb_dat_i => wb_write,
wb_adr_i => wb_address(31 downto 2),
wb_we_i => wb_we,
wb_cyc_i => wb_cyc,
wb_stb_i => wb_stb,
wb_ack_o => wb_ack,
wb_inta_o => wb_int,
tx => txd,
rx => rxd
);
wb_clk_i <= sysclk;
wb_rst_i <= sysrst;
-- rstgen: zpuino_serialreset
-- generic map (
-- SYSTEM_CLOCK_MHZ => 96
-- )
-- port map (
-- clk => sysclk,
-- rx => rx,
-- rstin => clkgen_rst,
-- rstout => sysrst
-- );
sysrst <= clkgen_rst;
clkgen_inst: clkgen
port map (
clkin => clk,
rstin => '0' ,
clkout => sysclk,
clkout2x => wb_clk_i_2x,
rstout => clkgen_rst
);
end behave;
|
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
library ieee_proposed;
use ieee_proposed.fixed_pkg.all;
use ieee_proposed.fixed_float_types.ALL;
use std.textio.all;
use ieee.std_logic_textio.all; -- if you're saving this type of signal
entity parampow_tb is
end parampow_tb;
architecture tb of parampow_tb is
component ParamPow is
generic(
BIT_TOP : integer := 11;
BIT_BOTTOM : integer := -12);
port(
clk : In Std_logic;
rst : In Std_logic;
Start : In Std_logic;
Done : Out Std_logic;
A : In sfixed(BIT_TOP downto BIT_BOTTOM);
X : In sfixed(BIT_TOP downto BIT_BOTTOM);
Output : Out sfixed(BIT_TOP downto BIT_BOTTOM)
);
end component;
signal clk : std_logic := '0';
signal rst : std_logic := '0';
signal Start : std_logic := '0';
signal Done : std_logic := '0';
signal X : sfixed(11 downto -12);
signal A : sfixed(11 downto -12);
signal Output : sfixed(11 downto -12);
begin
ParamPow_uut : ParamPow
generic map(
BIT_TOP => 11,
BIT_BOTTOM => -12
)
port map ( clk => clk,
rst => rst,
Start => Start,
Done => Done,
X => X,
A => A,
Output => Output
);
process
begin
wait for 10ns;
clk <= not(clk);
wait for 10ns;
clk <= not(clk);
end process;
process (Done)
begin
if Done'event and Done = '1' then
report "The value of " & real'image(to_real(A)) & "^" & real'image(to_real(X))& " = " & real'image(to_real(Output));
end if;
end process;
process
begin
-- wait for Reset to complete
-- wait until rst='1';
rst<='1';
wait for 40 ns;
rst<='0';
wait for 40 ns;
A <= to_sfixed(2.5,11,-12);
X <= to_sfixed(1,11,-12);
Start <= '1';
wait for 20 ns;
Start <= '0';
wait for 200 ns;
X <= to_sfixed(2,11,-12);
Start <= '1';
wait for 20 ns;
Start <= '0';
wait for 200 ns;
X <= to_sfixed(3,11,-12);
Start <= '1';
wait for 20 ns;
Start <= '0';
wait for 200 ns;
X <= to_sfixed(4,11,-12);
Start <= '1';
wait for 20 ns;
Start <= '0';
wait for 200 ns;
X <= to_sfixed(5,11,-12);
Start <= '1';
wait for 20 ns;
Start <= '0';
wait for 200 ns;
end process;
end tb;
|
--
---- comp_defs - package
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
--
-- *******************************************************************
-- ** (c) Copyright [2010] - [2012] Xilinx, Inc. All rights reserved.*
-- ** *
-- ** This file contains confidential and proprietary information *
-- ** of Xilinx, Inc. and is protected under U.S. and *
-- ** international copyright and other intellectual property *
-- ** laws. *
-- ** *
-- ** DISCLAIMER *
-- ** This disclaimer is not a license and does not grant any *
-- ** rights to the materials distributed herewith. Except as *
-- ** otherwise provided in a valid license issued to you by *
-- ** Xilinx, and to the maximum extent permitted by applicable *
-- ** law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND *
-- ** WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES *
-- ** AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING *
-- ** BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- *
-- ** INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and *
-- ** (2) Xilinx shall not be liable (whether in contract or tort, *
-- ** including negligence, or under any other theory of *
-- ** liability) for any loss or damage of any kind or nature *
-- ** related to, arising under or in connection with these *
-- ** materials, including for any direct, or any indirect, *
-- ** special, incidental, or consequential loss or damage *
-- ** (including loss of data, profits, goodwill, or any type of *
-- ** loss or damage suffered as a result of any action brought *
-- ** by a third party) even if such damage or loss was *
-- ** reasonably foreseeable or Xilinx had been advised of the *
-- ** possibility of the same. *
-- ** *
-- ** CRITICAL APPLICATIONS *
-- ** Xilinx products are not designed or intended to be fail- *
-- ** safe, or for use in any application requiring fail-safe *
-- ** performance, such as life-support or safety devices or *
-- ** systems, Class III medical devices, nuclear facilities, *
-- ** applications related to the deployment of airbags, or any *
-- ** other applications that could lead to death, personal *
-- ** injury, or severe property or environmental damage *
-- ** (individually and collectively, "Critical *
-- ** Applications"). Customer assumes the sole risk and *
-- ** liability of any use of Xilinx products in Critical *
-- ** Applications, subject only to applicable laws and *
-- ** regulations governing limitations on product liability. *
-- ** *
-- ** THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS *
-- ** PART OF THIS FILE AT ALL TIMES. *
-- *******************************************************************
--
-------------------------------------------------------------------------------
---- Filename: comp_defs.vhd
---- Version: v3.0
-- Description: Component declarations for all black box netlists generated by
-- running COREGEN when XST elaborated the client core
----
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- Naming Conventions:
-- active low signals: "*_n"
-- clock signals: "clk", "clk_div#", "clk_#x"
-- reset signals: "rst", "rst_n"
-- generics: "C_*"
-- user defined types: "*_TYPE"
-- state machine next state: "*_ns"
-- state machine current state: "*_cs"
-- combinatorial signals: "*_cmb"
-- pipelined or register delay signals: "*_d#"
-- counter signals: "*cnt*"
-- clock enable signals: "*_ce"
-- internal version of output port "*_i"
-- device pins: "*_pin"
-- ports: - Names begin with Uppercase
-- processes: "*_PROCESS"
-- component instantiations: "<ENTITY_>I_<#|FUNC>
-------------------------------------------------------------------------------
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
-- synopsys translate_off
--library XilinxCoreLib;
--use XilinxCoreLib.all;
-- synopsys translate_on
--library dist_mem_gen_v6_3;
-- use dist_mem_gen_v6_3.all;
--
--library dist_mem_gen_v6_4;
-- use dist_mem_gen_v6_4.all;
library dist_mem_gen_v8_0_10;
use dist_mem_gen_v8_0_10.all;
package comp_defs is
--
-- -- component declaration
-- component dist_mem_gen_v6_3
-- -------------------
-- generic(
-- c_has_clk : integer := 1;
-- c_read_mif : integer := 0;
-- c_has_qspo : integer := 0;
-- c_addr_width : integer := 8;
-- c_width : integer := 15;
-- c_family : string := "virtex7"; -- "virtex6";
-- c_sync_enable : integer := 1;
-- c_depth : integer := 256;
-- c_has_qspo_srst : integer := 1;
-- c_mem_init_file : string := "null.mif";
-- c_default_data : string := "0";
-- ------------------------
-- c_has_qdpo_clk : integer := 0;
-- c_has_qdpo_ce : integer := 0;
-- c_parser_type : integer := 1;
-- c_has_d : integer := 0;
-- c_has_spo : integer := 0;
-- c_reg_a_d_inputs : integer := 0;
-- c_has_we : integer := 0;
-- c_pipeline_stages : integer := 0;
-- c_has_qdpo_rst : integer := 0;
-- c_reg_dpra_input : integer := 0;
-- c_qualify_we : integer := 0;
-- c_has_qdpo_srst : integer := 0;
-- c_has_dpra : integer := 0;
-- c_qce_joined : integer := 0;
-- c_mem_type : integer := 0;
-- c_has_i_ce : integer := 0;
-- c_has_dpo : integer := 0;
-- c_has_spra : integer := 0;
-- c_has_qspo_ce : integer := 0;
-- c_has_qspo_rst : integer := 0;
-- c_has_qdpo : integer := 0
-- -------------------------
-- );
-- port(
-- a : in std_logic_vector(c_addr_width-1-(4*c_has_spra*boolean'pos(c_addr_width > 4)) downto 0) := (others => '0');
-- d : in std_logic_vector(c_width-1 downto 0) := (others => '0');
-- dpra : in std_logic_vector(c_addr_width-1 downto 0) := (others => '0');
-- spra : in std_logic_vector(c_addr_width-1 downto 0) := (others => '0');
-- clk : in std_logic := '0';
-- we : in std_logic := '0';
-- i_ce : in std_logic := '1';
-- qspo_ce : in std_logic := '1';
-- qdpo_ce : in std_logic := '1';
-- qdpo_clk : in std_logic := '0';
-- qspo_rst : in std_logic := '0';
-- qdpo_rst : in std_logic := '0';
-- qspo_srst : in std_logic := '0';
-- qdpo_srst : in std_logic := '0';
-- spo : out std_logic_vector(c_width-1 downto 0);
-- dpo : out std_logic_vector(c_width-1 downto 0);
-- qspo : out std_logic_vector(c_width-1 downto 0);
-- qdpo : out std_logic_vector(c_width-1 downto 0)
-- );
-- end component;
--
-- -- The following tells XST that dist_mem_gen_v6_2 is a black box which
-- -- should be generated. The command given by the value of this attribute
-- -- Note the fully qualified SIM (JAVA class) name that forms the
-- -- basis of the core
--
-- --xcc exclude
--
-- -- attribute box_type : string;
-- -- attribute GENERATOR_DEFAULT : string;
-- --
-- -- attribute box_type of dist_mem_gen_v6_3 : component is "black_box";
-- -- attribute GENERATOR_DEFAULT of dist_mem_gen_v6_3 : component is "generatecore com.xilinx.ip.dist_mem_gen_v6_3.dist_mem_gen_v6_3";
-- --xcc include
--
-- -- component declaration for dist_mem_gen_v6_4
-- component dist_mem_gen_v6_4
-- -------------------
-- generic(
-- c_has_clk : integer := 1;
-- c_read_mif : integer := 0;
-- c_has_qspo : integer := 0;
-- c_addr_width : integer := 8;
-- c_width : integer := 15;
-- c_family : string := "virtex7"; -- "virtex6";
-- c_sync_enable : integer := 1;
-- c_depth : integer := 256;
-- c_has_qspo_srst : integer := 1;
-- c_mem_init_file : string := "null.mif";
-- c_default_data : string := "0";
-- ------------------------
-- c_has_qdpo_clk : integer := 0;
-- c_has_qdpo_ce : integer := 0;
-- c_parser_type : integer := 1;
-- c_has_d : integer := 0;
-- c_has_spo : integer := 0;
-- c_reg_a_d_inputs : integer := 0;
-- c_has_we : integer := 0;
-- c_pipeline_stages : integer := 0;
-- c_has_qdpo_rst : integer := 0;
-- c_reg_dpra_input : integer := 0;
-- c_qualify_we : integer := 0;
-- c_has_qdpo_srst : integer := 0;
-- c_has_dpra : integer := 0;
-- c_qce_joined : integer := 0;
-- c_mem_type : integer := 0;
-- c_has_i_ce : integer := 0;
-- c_has_dpo : integer := 0;
-- c_has_spra : integer := 0;
-- c_has_qspo_ce : integer := 0;
-- c_has_qspo_rst : integer := 0;
-- c_has_qdpo : integer := 0
-- -------------------------
-- );
-- port(
-- a : in std_logic_vector(c_addr_width-1-(4*c_has_spra*boolean'pos(c_addr_width > 4)) downto 0) := (others => '0');
-- d : in std_logic_vector(c_width-1 downto 0) := (others => '0');
-- dpra : in std_logic_vector(c_addr_width-1 downto 0) := (others => '0');
-- spra : in std_logic_vector(c_addr_width-1 downto 0) := (others => '0');
-- clk : in std_logic := '0';
-- we : in std_logic := '0';
-- i_ce : in std_logic := '1';
-- qspo_ce : in std_logic := '1';
-- qdpo_ce : in std_logic := '1';
-- qdpo_clk : in std_logic := '0';
-- qspo_rst : in std_logic := '0';
-- qdpo_rst : in std_logic := '0';
-- qspo_srst : in std_logic := '0';
-- qdpo_srst : in std_logic := '0';
-- spo : out std_logic_vector(c_width-1 downto 0);
-- dpo : out std_logic_vector(c_width-1 downto 0);
-- qspo : out std_logic_vector(c_width-1 downto 0);
-- qdpo : out std_logic_vector(c_width-1 downto 0)
-- );
-- end component;
--
-- -- The following tells XST that dist_mem_gen_v6_4 is a black box which
-- -- should be generated. The command given by the value of this attribute
-- -- Note the fully qualified SIM (JAVA class) name that forms the
-- -- basis of the core
--
-- --xcc exclude
--
-- -- attribute box_type of dist_mem_gen_v6_4 : component is "black_box";
-- -- attribute GENERATOR_DEFAULT of dist_mem_gen_v6_4 : component is "generatecore com.xilinx.ip.dist_mem_gen_v6_4.dist_mem_gen_v6_4";
--
-- --xcc include
-- 1/8/2013 added the latest version of dist_mem_gen_v8_0_10
-- component declaration for dist_mem_gen_v8_0_10
component dist_mem_gen_v8_0_10
-------------------
generic(
C_HAS_CLK : integer := 1;
C_READ_MIF : integer := 0;
C_HAS_QSPO : integer := 0;
C_ADDR_WIDTH : integer := 8;
C_WIDTH : integer := 15;
C_FAMILY : string := "virtex7"; -- "virtex6";
C_SYNC_ENABLE : integer := 1;
C_DEPTH : integer := 256;
C_HAS_QSPO_SRST : integer := 1;
C_MEM_INIT_FILE : string := "null.mif";
C_DEFAULT_DATA : string := "0";
------------------------
C_HAS_QDPO_CLK : integer := 0;
C_HAS_QDPO_CE : integer := 0;
C_PARSER_TYPE : integer := 1;
C_HAS_D : integer := 0;
C_HAS_SPO : integer := 0;
C_REG_A_D_INPUTS : integer := 0;
C_HAS_WE : integer := 0;
C_PIPELINE_STAGES : integer := 0;
C_HAS_QDPO_RST : integer := 0;
C_REG_DPRA_INPUT : integer := 0;
C_QUALIFY_WE : integer := 0;
C_HAS_QDPO_SRST : integer := 0;
C_HAS_DPRA : integer := 0;
C_QCE_JOINED : integer := 0;
C_MEM_TYPE : integer := 0;
C_HAS_I_CE : integer := 0;
C_HAS_DPO : integer := 0;
-- C_HAS_SPRA : integer := 0; -- removed from dist mem gen core
C_HAS_QSPO_CE : integer := 0;
C_HAS_QSPO_RST : integer := 0;
C_HAS_QDPO : integer := 0
-------------------------
);
port(
a : in std_logic_vector(c_addr_width-1 downto 0) := (others => '0');
d : in std_logic_vector(c_width-1 downto 0) := (others => '0');
dpra : in std_logic_vector(c_addr_width-1 downto 0) := (others => '0');
-- spra : in std_logic_vector(c_addr_width-1 downto 0) := (others => '0'); -- 2/12/2013
clk : in std_logic := '0';
we : in std_logic := '0';
i_ce : in std_logic := '1';
qspo_ce : in std_logic := '1';
qdpo_ce : in std_logic := '1';
qdpo_clk : in std_logic := '0';
qspo_rst : in std_logic := '0';
qdpo_rst : in std_logic := '0';
qspo_srst : in std_logic := '0';
qdpo_srst : in std_logic := '0';
spo : out std_logic_vector(c_width-1 downto 0);
dpo : out std_logic_vector(c_width-1 downto 0);
qspo : out std_logic_vector(c_width-1 downto 0);
qdpo : out std_logic_vector(c_width-1 downto 0)
);
end component;
-- The following tells XST that dist_mem_gen_v8_0_10 is a black box which
-- should be generated. The command given by the value of this attribute
-- Note the fully qualified SIM (JAVA class) name that forms the
-- basis of the core
--xcc exclude
-- attribute box_type of dist_mem_gen_v8_0_10 : component is "black_box";
-- attribute GENERATOR_DEFAULT of dist_mem_gen_v8_0_10 : component is "generatecore com.xilinx.ip.dist_mem_gen_v8_0_10.dist_mem_gen_v8_0_10";
--xcc include
end comp_defs;
|
--
---- comp_defs - package
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
--
-- *******************************************************************
-- ** (c) Copyright [2010] - [2012] Xilinx, Inc. All rights reserved.*
-- ** *
-- ** This file contains confidential and proprietary information *
-- ** of Xilinx, Inc. and is protected under U.S. and *
-- ** international copyright and other intellectual property *
-- ** laws. *
-- ** *
-- ** DISCLAIMER *
-- ** This disclaimer is not a license and does not grant any *
-- ** rights to the materials distributed herewith. Except as *
-- ** otherwise provided in a valid license issued to you by *
-- ** Xilinx, and to the maximum extent permitted by applicable *
-- ** law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND *
-- ** WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES *
-- ** AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING *
-- ** BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- *
-- ** INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and *
-- ** (2) Xilinx shall not be liable (whether in contract or tort, *
-- ** including negligence, or under any other theory of *
-- ** liability) for any loss or damage of any kind or nature *
-- ** related to, arising under or in connection with these *
-- ** materials, including for any direct, or any indirect, *
-- ** special, incidental, or consequential loss or damage *
-- ** (including loss of data, profits, goodwill, or any type of *
-- ** loss or damage suffered as a result of any action brought *
-- ** by a third party) even if such damage or loss was *
-- ** reasonably foreseeable or Xilinx had been advised of the *
-- ** possibility of the same. *
-- ** *
-- ** CRITICAL APPLICATIONS *
-- ** Xilinx products are not designed or intended to be fail- *
-- ** safe, or for use in any application requiring fail-safe *
-- ** performance, such as life-support or safety devices or *
-- ** systems, Class III medical devices, nuclear facilities, *
-- ** applications related to the deployment of airbags, or any *
-- ** other applications that could lead to death, personal *
-- ** injury, or severe property or environmental damage *
-- ** (individually and collectively, "Critical *
-- ** Applications"). Customer assumes the sole risk and *
-- ** liability of any use of Xilinx products in Critical *
-- ** Applications, subject only to applicable laws and *
-- ** regulations governing limitations on product liability. *
-- ** *
-- ** THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS *
-- ** PART OF THIS FILE AT ALL TIMES. *
-- *******************************************************************
--
-------------------------------------------------------------------------------
---- Filename: comp_defs.vhd
---- Version: v3.0
-- Description: Component declarations for all black box netlists generated by
-- running COREGEN when XST elaborated the client core
----
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- Naming Conventions:
-- active low signals: "*_n"
-- clock signals: "clk", "clk_div#", "clk_#x"
-- reset signals: "rst", "rst_n"
-- generics: "C_*"
-- user defined types: "*_TYPE"
-- state machine next state: "*_ns"
-- state machine current state: "*_cs"
-- combinatorial signals: "*_cmb"
-- pipelined or register delay signals: "*_d#"
-- counter signals: "*cnt*"
-- clock enable signals: "*_ce"
-- internal version of output port "*_i"
-- device pins: "*_pin"
-- ports: - Names begin with Uppercase
-- processes: "*_PROCESS"
-- component instantiations: "<ENTITY_>I_<#|FUNC>
-------------------------------------------------------------------------------
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
-- synopsys translate_off
--library XilinxCoreLib;
--use XilinxCoreLib.all;
-- synopsys translate_on
--library dist_mem_gen_v6_3;
-- use dist_mem_gen_v6_3.all;
--
--library dist_mem_gen_v6_4;
-- use dist_mem_gen_v6_4.all;
library dist_mem_gen_v8_0_10;
use dist_mem_gen_v8_0_10.all;
package comp_defs is
--
-- -- component declaration
-- component dist_mem_gen_v6_3
-- -------------------
-- generic(
-- c_has_clk : integer := 1;
-- c_read_mif : integer := 0;
-- c_has_qspo : integer := 0;
-- c_addr_width : integer := 8;
-- c_width : integer := 15;
-- c_family : string := "virtex7"; -- "virtex6";
-- c_sync_enable : integer := 1;
-- c_depth : integer := 256;
-- c_has_qspo_srst : integer := 1;
-- c_mem_init_file : string := "null.mif";
-- c_default_data : string := "0";
-- ------------------------
-- c_has_qdpo_clk : integer := 0;
-- c_has_qdpo_ce : integer := 0;
-- c_parser_type : integer := 1;
-- c_has_d : integer := 0;
-- c_has_spo : integer := 0;
-- c_reg_a_d_inputs : integer := 0;
-- c_has_we : integer := 0;
-- c_pipeline_stages : integer := 0;
-- c_has_qdpo_rst : integer := 0;
-- c_reg_dpra_input : integer := 0;
-- c_qualify_we : integer := 0;
-- c_has_qdpo_srst : integer := 0;
-- c_has_dpra : integer := 0;
-- c_qce_joined : integer := 0;
-- c_mem_type : integer := 0;
-- c_has_i_ce : integer := 0;
-- c_has_dpo : integer := 0;
-- c_has_spra : integer := 0;
-- c_has_qspo_ce : integer := 0;
-- c_has_qspo_rst : integer := 0;
-- c_has_qdpo : integer := 0
-- -------------------------
-- );
-- port(
-- a : in std_logic_vector(c_addr_width-1-(4*c_has_spra*boolean'pos(c_addr_width > 4)) downto 0) := (others => '0');
-- d : in std_logic_vector(c_width-1 downto 0) := (others => '0');
-- dpra : in std_logic_vector(c_addr_width-1 downto 0) := (others => '0');
-- spra : in std_logic_vector(c_addr_width-1 downto 0) := (others => '0');
-- clk : in std_logic := '0';
-- we : in std_logic := '0';
-- i_ce : in std_logic := '1';
-- qspo_ce : in std_logic := '1';
-- qdpo_ce : in std_logic := '1';
-- qdpo_clk : in std_logic := '0';
-- qspo_rst : in std_logic := '0';
-- qdpo_rst : in std_logic := '0';
-- qspo_srst : in std_logic := '0';
-- qdpo_srst : in std_logic := '0';
-- spo : out std_logic_vector(c_width-1 downto 0);
-- dpo : out std_logic_vector(c_width-1 downto 0);
-- qspo : out std_logic_vector(c_width-1 downto 0);
-- qdpo : out std_logic_vector(c_width-1 downto 0)
-- );
-- end component;
--
-- -- The following tells XST that dist_mem_gen_v6_2 is a black box which
-- -- should be generated. The command given by the value of this attribute
-- -- Note the fully qualified SIM (JAVA class) name that forms the
-- -- basis of the core
--
-- --xcc exclude
--
-- -- attribute box_type : string;
-- -- attribute GENERATOR_DEFAULT : string;
-- --
-- -- attribute box_type of dist_mem_gen_v6_3 : component is "black_box";
-- -- attribute GENERATOR_DEFAULT of dist_mem_gen_v6_3 : component is "generatecore com.xilinx.ip.dist_mem_gen_v6_3.dist_mem_gen_v6_3";
-- --xcc include
--
-- -- component declaration for dist_mem_gen_v6_4
-- component dist_mem_gen_v6_4
-- -------------------
-- generic(
-- c_has_clk : integer := 1;
-- c_read_mif : integer := 0;
-- c_has_qspo : integer := 0;
-- c_addr_width : integer := 8;
-- c_width : integer := 15;
-- c_family : string := "virtex7"; -- "virtex6";
-- c_sync_enable : integer := 1;
-- c_depth : integer := 256;
-- c_has_qspo_srst : integer := 1;
-- c_mem_init_file : string := "null.mif";
-- c_default_data : string := "0";
-- ------------------------
-- c_has_qdpo_clk : integer := 0;
-- c_has_qdpo_ce : integer := 0;
-- c_parser_type : integer := 1;
-- c_has_d : integer := 0;
-- c_has_spo : integer := 0;
-- c_reg_a_d_inputs : integer := 0;
-- c_has_we : integer := 0;
-- c_pipeline_stages : integer := 0;
-- c_has_qdpo_rst : integer := 0;
-- c_reg_dpra_input : integer := 0;
-- c_qualify_we : integer := 0;
-- c_has_qdpo_srst : integer := 0;
-- c_has_dpra : integer := 0;
-- c_qce_joined : integer := 0;
-- c_mem_type : integer := 0;
-- c_has_i_ce : integer := 0;
-- c_has_dpo : integer := 0;
-- c_has_spra : integer := 0;
-- c_has_qspo_ce : integer := 0;
-- c_has_qspo_rst : integer := 0;
-- c_has_qdpo : integer := 0
-- -------------------------
-- );
-- port(
-- a : in std_logic_vector(c_addr_width-1-(4*c_has_spra*boolean'pos(c_addr_width > 4)) downto 0) := (others => '0');
-- d : in std_logic_vector(c_width-1 downto 0) := (others => '0');
-- dpra : in std_logic_vector(c_addr_width-1 downto 0) := (others => '0');
-- spra : in std_logic_vector(c_addr_width-1 downto 0) := (others => '0');
-- clk : in std_logic := '0';
-- we : in std_logic := '0';
-- i_ce : in std_logic := '1';
-- qspo_ce : in std_logic := '1';
-- qdpo_ce : in std_logic := '1';
-- qdpo_clk : in std_logic := '0';
-- qspo_rst : in std_logic := '0';
-- qdpo_rst : in std_logic := '0';
-- qspo_srst : in std_logic := '0';
-- qdpo_srst : in std_logic := '0';
-- spo : out std_logic_vector(c_width-1 downto 0);
-- dpo : out std_logic_vector(c_width-1 downto 0);
-- qspo : out std_logic_vector(c_width-1 downto 0);
-- qdpo : out std_logic_vector(c_width-1 downto 0)
-- );
-- end component;
--
-- -- The following tells XST that dist_mem_gen_v6_4 is a black box which
-- -- should be generated. The command given by the value of this attribute
-- -- Note the fully qualified SIM (JAVA class) name that forms the
-- -- basis of the core
--
-- --xcc exclude
--
-- -- attribute box_type of dist_mem_gen_v6_4 : component is "black_box";
-- -- attribute GENERATOR_DEFAULT of dist_mem_gen_v6_4 : component is "generatecore com.xilinx.ip.dist_mem_gen_v6_4.dist_mem_gen_v6_4";
--
-- --xcc include
-- 1/8/2013 added the latest version of dist_mem_gen_v8_0_10
-- component declaration for dist_mem_gen_v8_0_10
component dist_mem_gen_v8_0_10
-------------------
generic(
C_HAS_CLK : integer := 1;
C_READ_MIF : integer := 0;
C_HAS_QSPO : integer := 0;
C_ADDR_WIDTH : integer := 8;
C_WIDTH : integer := 15;
C_FAMILY : string := "virtex7"; -- "virtex6";
C_SYNC_ENABLE : integer := 1;
C_DEPTH : integer := 256;
C_HAS_QSPO_SRST : integer := 1;
C_MEM_INIT_FILE : string := "null.mif";
C_DEFAULT_DATA : string := "0";
------------------------
C_HAS_QDPO_CLK : integer := 0;
C_HAS_QDPO_CE : integer := 0;
C_PARSER_TYPE : integer := 1;
C_HAS_D : integer := 0;
C_HAS_SPO : integer := 0;
C_REG_A_D_INPUTS : integer := 0;
C_HAS_WE : integer := 0;
C_PIPELINE_STAGES : integer := 0;
C_HAS_QDPO_RST : integer := 0;
C_REG_DPRA_INPUT : integer := 0;
C_QUALIFY_WE : integer := 0;
C_HAS_QDPO_SRST : integer := 0;
C_HAS_DPRA : integer := 0;
C_QCE_JOINED : integer := 0;
C_MEM_TYPE : integer := 0;
C_HAS_I_CE : integer := 0;
C_HAS_DPO : integer := 0;
-- C_HAS_SPRA : integer := 0; -- removed from dist mem gen core
C_HAS_QSPO_CE : integer := 0;
C_HAS_QSPO_RST : integer := 0;
C_HAS_QDPO : integer := 0
-------------------------
);
port(
a : in std_logic_vector(c_addr_width-1 downto 0) := (others => '0');
d : in std_logic_vector(c_width-1 downto 0) := (others => '0');
dpra : in std_logic_vector(c_addr_width-1 downto 0) := (others => '0');
-- spra : in std_logic_vector(c_addr_width-1 downto 0) := (others => '0'); -- 2/12/2013
clk : in std_logic := '0';
we : in std_logic := '0';
i_ce : in std_logic := '1';
qspo_ce : in std_logic := '1';
qdpo_ce : in std_logic := '1';
qdpo_clk : in std_logic := '0';
qspo_rst : in std_logic := '0';
qdpo_rst : in std_logic := '0';
qspo_srst : in std_logic := '0';
qdpo_srst : in std_logic := '0';
spo : out std_logic_vector(c_width-1 downto 0);
dpo : out std_logic_vector(c_width-1 downto 0);
qspo : out std_logic_vector(c_width-1 downto 0);
qdpo : out std_logic_vector(c_width-1 downto 0)
);
end component;
-- The following tells XST that dist_mem_gen_v8_0_10 is a black box which
-- should be generated. The command given by the value of this attribute
-- Note the fully qualified SIM (JAVA class) name that forms the
-- basis of the core
--xcc exclude
-- attribute box_type of dist_mem_gen_v8_0_10 : component is "black_box";
-- attribute GENERATOR_DEFAULT of dist_mem_gen_v8_0_10 : component is "generatecore com.xilinx.ip.dist_mem_gen_v8_0_10.dist_mem_gen_v8_0_10";
--xcc include
end comp_defs;
|
library ieee;
use ieee.std_logic_1164.all;
library ieee;
use ieee.numeric_std.all;
entity huff_make_dhuff_tb_dc_huffsize is
port (
wa0_data : in std_logic_vector(31 downto 0);
wa0_addr : in std_logic_vector(8 downto 0);
clk : in std_logic;
ra0_addr : in std_logic_vector(8 downto 0);
ra0_data : out std_logic_vector(31 downto 0);
wa0_en : in std_logic
);
end huff_make_dhuff_tb_dc_huffsize;
architecture augh of huff_make_dhuff_tb_dc_huffsize is
-- Embedded RAM
type ram_type is array (0 to 256) of std_logic_vector(31 downto 0);
signal ram : ram_type := (others => (others => '0'));
-- Little utility functions to make VHDL syntactically correct
-- with the syntax to_integer(unsigned(vector)) when 'vector' is a std_logic.
-- This happens when accessing arrays with <= 2 cells, for example.
function to_integer(B: std_logic) return integer is
variable V: std_logic_vector(0 to 0);
begin
V(0) := B;
return to_integer(unsigned(V));
end;
function to_integer(V: std_logic_vector) return integer is
begin
return to_integer(unsigned(V));
end;
begin
-- Sequential process
-- It handles the Writes
process (clk)
begin
if rising_edge(clk) then
-- Write to the RAM
-- Note: there should be only one port.
if wa0_en = '1' then
ram( to_integer(wa0_addr) ) <= wa0_data;
end if;
end if;
end process;
-- The Read side (the outputs)
ra0_data <= ram( to_integer(ra0_addr) ) when to_integer(ra0_addr) < 257 else (others => '-');
end architecture;
|
library ieee;
use ieee.std_logic_1164.all;
library ieee;
use ieee.numeric_std.all;
entity huff_make_dhuff_tb_dc_huffsize is
port (
wa0_data : in std_logic_vector(31 downto 0);
wa0_addr : in std_logic_vector(8 downto 0);
clk : in std_logic;
ra0_addr : in std_logic_vector(8 downto 0);
ra0_data : out std_logic_vector(31 downto 0);
wa0_en : in std_logic
);
end huff_make_dhuff_tb_dc_huffsize;
architecture augh of huff_make_dhuff_tb_dc_huffsize is
-- Embedded RAM
type ram_type is array (0 to 256) of std_logic_vector(31 downto 0);
signal ram : ram_type := (others => (others => '0'));
-- Little utility functions to make VHDL syntactically correct
-- with the syntax to_integer(unsigned(vector)) when 'vector' is a std_logic.
-- This happens when accessing arrays with <= 2 cells, for example.
function to_integer(B: std_logic) return integer is
variable V: std_logic_vector(0 to 0);
begin
V(0) := B;
return to_integer(unsigned(V));
end;
function to_integer(V: std_logic_vector) return integer is
begin
return to_integer(unsigned(V));
end;
begin
-- Sequential process
-- It handles the Writes
process (clk)
begin
if rising_edge(clk) then
-- Write to the RAM
-- Note: there should be only one port.
if wa0_en = '1' then
ram( to_integer(wa0_addr) ) <= wa0_data;
end if;
end if;
end process;
-- The Read side (the outputs)
ra0_data <= ram( to_integer(ra0_addr) ) when to_integer(ra0_addr) < 257 else (others => '-');
end architecture;
|
use std.textio.all; -- Imports the standard textio package.
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
-- Defines a design entity, without any ports.
entity tests is
end tests;
architecture behaviour of tests is
begin
process
variable l : line;
variable a : natural := 1;
begin
report "a = " & natural'simple_name(a);
wait;
end process;
end behaviour;
|
use std.textio.all; -- Imports the standard textio package.
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
-- Defines a design entity, without any ports.
entity tests is
end tests;
architecture behaviour of tests is
begin
process
variable l : line;
variable a : natural := 1;
begin
report "a = " & natural'simple_name(a);
wait;
end process;
end behaviour;
|
--------------------------------------------------------------------------------
-- This file is owned and controlled by Xilinx and must be used solely --
-- for design, simulation, implementation and creation of design files --
-- limited to Xilinx devices or technologies. Use with non-Xilinx --
-- devices or technologies is expressly prohibited and immediately --
-- terminates your license. --
-- --
-- XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" SOLELY --
-- FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR XILINX DEVICES. BY --
-- PROVIDING THIS DESIGN, CODE, OR INFORMATION AS ONE POSSIBLE --
-- IMPLEMENTATION OF THIS FEATURE, APPLICATION OR STANDARD, XILINX IS --
-- MAKING NO REPRESENTATION THAT THIS IMPLEMENTATION IS FREE FROM ANY --
-- CLAIMS OF INFRINGEMENT, AND YOU ARE RESPONSIBLE FOR OBTAINING ANY --
-- RIGHTS YOU MAY REQUIRE FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY --
-- DISCLAIMS ANY WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE --
-- IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR --
-- REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF --
-- INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A --
-- PARTICULAR PURPOSE. --
-- --
-- Xilinx products are not intended for use in life support appliances, --
-- devices, or systems. Use in such applications are expressly --
-- prohibited. --
-- --
-- (c) Copyright 1995-2014 Xilinx, Inc. --
-- All rights reserved. --
--------------------------------------------------------------------------------
--------------------------------------------------------------------------------
-- You must compile the wrapper file vram.vhd when simulating
-- the core, vram. When compiling the wrapper file, be sure to
-- reference the XilinxCoreLib VHDL simulation library. For detailed
-- instructions, please refer to the "CORE Generator Help".
-- The synthesis directives "translate_off/translate_on" specified
-- below are supported by Xilinx, Mentor Graphics and Synplicity
-- synthesis tools. Ensure they are correct for your synthesis tool(s).
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
-- synthesis translate_off
LIBRARY XilinxCoreLib;
-- synthesis translate_on
ENTITY vram IS
PORT (
clka : IN STD_LOGIC;
wea : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
addra : IN STD_LOGIC_VECTOR(13 DOWNTO 0);
dina : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
clkb : IN STD_LOGIC;
addrb : IN STD_LOGIC_VECTOR(13 DOWNTO 0);
doutb : OUT STD_LOGIC_VECTOR(7 DOWNTO 0)
);
END vram;
ARCHITECTURE vram_a OF vram IS
-- synthesis translate_off
COMPONENT wrapped_vram
PORT (
clka : IN STD_LOGIC;
wea : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
addra : IN STD_LOGIC_VECTOR(13 DOWNTO 0);
dina : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
clkb : IN STD_LOGIC;
addrb : IN STD_LOGIC_VECTOR(13 DOWNTO 0);
doutb : OUT STD_LOGIC_VECTOR(7 DOWNTO 0)
);
END COMPONENT;
-- Configuration specification
FOR ALL : wrapped_vram USE ENTITY XilinxCoreLib.blk_mem_gen_v6_3(behavioral)
GENERIC MAP (
c_addra_width => 14,
c_addrb_width => 14,
c_algorithm => 1,
c_axi_id_width => 4,
c_axi_slave_type => 0,
c_axi_type => 1,
c_byte_size => 9,
c_common_clk => 0,
c_default_data => "0",
c_disable_warn_bhv_coll => 0,
c_disable_warn_bhv_range => 0,
c_enable_32bit_address => 0,
c_family => "spartan6",
c_has_axi_id => 0,
c_has_ena => 0,
c_has_enb => 0,
c_has_injecterr => 0,
c_has_mem_output_regs_a => 0,
c_has_mem_output_regs_b => 0,
c_has_mux_output_regs_a => 0,
c_has_mux_output_regs_b => 0,
c_has_regcea => 0,
c_has_regceb => 0,
c_has_rsta => 0,
c_has_rstb => 0,
c_has_softecc_input_regs_a => 0,
c_has_softecc_output_regs_b => 0,
c_init_file_name => "no_coe_file_loaded",
c_inita_val => "0",
c_initb_val => "0",
c_interface_type => 0,
c_load_init_file => 0,
c_mem_type => 1,
c_mux_pipeline_stages => 0,
c_prim_type => 1,
c_read_depth_a => 16384,
c_read_depth_b => 16384,
c_read_width_a => 8,
c_read_width_b => 8,
c_rst_priority_a => "CE",
c_rst_priority_b => "CE",
c_rst_type => "SYNC",
c_rstram_a => 0,
c_rstram_b => 0,
c_sim_collision_check => "ALL",
c_use_byte_wea => 0,
c_use_byte_web => 0,
c_use_default_data => 0,
c_use_ecc => 0,
c_use_softecc => 0,
c_wea_width => 1,
c_web_width => 1,
c_write_depth_a => 16384,
c_write_depth_b => 16384,
c_write_mode_a => "WRITE_FIRST",
c_write_mode_b => "WRITE_FIRST",
c_write_width_a => 8,
c_write_width_b => 8,
c_xdevicefamily => "spartan6"
);
-- synthesis translate_on
BEGIN
-- synthesis translate_off
U0 : wrapped_vram
PORT MAP (
clka => clka,
wea => wea,
addra => addra,
dina => dina,
clkb => clkb,
addrb => addrb,
doutb => doutb
);
-- synthesis translate_on
END vram_a;
|
package pkg1 is
generic (
type value_t);
end package;
package pkg2 is
generic (
type value_t);
package pkg1_inst is new work.pkg1 generic map (value_t => value_t);
use pkg1_inst.all;
end;
|
-- Copyright 1986-2016 Xilinx, Inc. All Rights Reserved.
-- --------------------------------------------------------------------------------
-- Tool Version: Vivado v.2016.4 (win64) Build 1756540 Mon Jan 23 19:11:23 MST 2017
-- Date : Thu Oct 26 22:45:01 2017
-- Host : Juice-Laptop running 64-bit major release (build 9200)
-- Command : write_vhdl -force -mode synth_stub
-- c:/RATCPU/Experiments/Experiment7-Its_Alive/IPI-BD/RAT/ip/RAT_xlconstant_0_0/RAT_xlconstant_0_0_stub.vhdl
-- Design : RAT_xlconstant_0_0
-- Purpose : Stub declaration of top-level module interface
-- Device : xc7a35tcpg236-1
-- --------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
entity RAT_xlconstant_0_0 is
Port (
dout : out STD_LOGIC_VECTOR ( 9 downto 0 )
);
end RAT_xlconstant_0_0;
architecture stub of RAT_xlconstant_0_0 is
attribute syn_black_box : boolean;
attribute black_box_pad_pin : string;
attribute syn_black_box of stub : architecture is true;
attribute black_box_pad_pin of stub : architecture is "dout[9:0]";
begin
end;
|
-- Copyright 1986-2016 Xilinx, Inc. All Rights Reserved.
-- --------------------------------------------------------------------------------
-- Tool Version: Vivado v.2016.4 (win64) Build 1756540 Mon Jan 23 19:11:23 MST 2017
-- Date : Thu Oct 26 22:45:01 2017
-- Host : Juice-Laptop running 64-bit major release (build 9200)
-- Command : write_vhdl -force -mode synth_stub
-- c:/RATCPU/Experiments/Experiment7-Its_Alive/IPI-BD/RAT/ip/RAT_xlconstant_0_0/RAT_xlconstant_0_0_stub.vhdl
-- Design : RAT_xlconstant_0_0
-- Purpose : Stub declaration of top-level module interface
-- Device : xc7a35tcpg236-1
-- --------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
entity RAT_xlconstant_0_0 is
Port (
dout : out STD_LOGIC_VECTOR ( 9 downto 0 )
);
end RAT_xlconstant_0_0;
architecture stub of RAT_xlconstant_0_0 is
attribute syn_black_box : boolean;
attribute black_box_pad_pin : string;
attribute syn_black_box of stub : architecture is true;
attribute black_box_pad_pin of stub : architecture is "dout[9:0]";
begin
end;
|
------------------------------------------------------------------------------
-- This file is a part of the GRLIB VHDL IP LIBRARY
-- Copyright (C) 2003 - 2008, Gaisler Research
-- Copyright (C) 2008 - 2014, Aeroflex Gaisler
-- Copyright (C) 2015 - 2016, Cobham Gaisler
--
-- This program is free software; you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation; either version 2 of the License, or
-- (at your option) any later version.
--
-- This program is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with this program; if not, write to the Free Software
-- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-----------------------------------------------------------------------------
-- Entity: comma_detect
-- File: comma_detect.vhd
-- Author: Andrea Gianarro - Aeroflex Gaisler AB
-- Description: SGMII' comma detector with bitslip output signal
------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
library grlib;
use grlib.config_types.all;
use grlib.config.all;
use grlib.stdlib.all;
use grlib.devices.all;
use grlib.amba.all;
library techmap;
use techmap.gencomp.all;
entity comma_detect is
generic (
bsbreak : integer range 0 to 31 := 0; -- number of extra deassertion cycles between bitslip assertions in a sequence
bswait : integer range 0 to 127 := 7 -- number of cycles to pause recognition after a sequence is issued
);
port (
clk : in std_logic;
rstn : in std_logic;
indata : in std_logic_vector(9 downto 0);
bitslip : out std_logic
);
end entity;
architecture arch of comma_detect is
type fsm_state_type is (idle, bitslip1, bitslip2, bitslip3);
type reg_type is record
data : std_logic_vector(19 downto 0);
state : fsm_state_type;
slipcnt : integer range 0 to 15;
slipbreak : integer range 0 to 31;
slipwait : integer range 0 to 127;
end record;
constant RESET_ALL : boolean := GRLIB_CONFIG_ARRAY(grlib_sync_reset_enable_all) = 1;
constant RES : reg_type := (
data => (others => '0'),
state => idle,
slipcnt => 0,
slipbreak => 0,
slipwait => 0
);
signal r, rin : reg_type;
begin
comb : process( rstn, r, indata )
variable v : reg_type;
--variable vbitslip : std_logic_vector(15 downto 0);
begin
v := r;
v.data(19 downto 10) := r.data(9 downto 0);
v.data(9 downto 0) := indata;
-- -- we match pattern comma+, present in +K.28.x
-- for i in 19 downto 10 loop
-- if r.data(i downto i-6) = "0011111" then
-- vbitslip(9-(i-10)) := '1'; -- unary representation of number of bitslips
-- exit;
-- end if;
-- end loop ;
-- v.slipcnt := unary_to_slv(vbitslip);
case r.state is
when idle =>
-- we match pattern comma+, present in +K.28.x
if r.data(18 downto 12) = "0011111" then
v.slipcnt := 9;
elsif r.data(17 downto 11) = "0011111" then
v.slipcnt := 8;
elsif r.data(16 downto 10) = "0011111" then
v.slipcnt := 7;
elsif r.data(15 downto 9) = "0011111" then
v.slipcnt := 6;
elsif r.data(14 downto 8) = "0011111" then
v.slipcnt := 5;
elsif r.data(13 downto 7) = "0011111" then
v.slipcnt := 4;
elsif r.data(12 downto 6) = "0011111" then
v.slipcnt := 3;
elsif r.data(11 downto 5) = "0011111" then
v.slipcnt := 2;
elsif r.data(10 downto 4) = "0011111" then
v.slipcnt := 1;
else
v.slipcnt := 0;
end if;
if v.slipcnt /= 0 then
v.state := bitslip1;
end if;
when bitslip1 =>
v.slipcnt := r.slipcnt - 1;
v.state := bitslip2;
v.slipbreak := 0;
when bitslip2 =>
if r.slipcnt /= 0 then
if r.slipbreak = bsbreak then
v.state := bitslip1;
else
v.slipbreak := r.slipbreak + 1;
end if;
else
v.slipwait := 0;
v.state := bitslip3;
end if;
when bitslip3 =>
if r.slipwait = bswait then
v.state := idle;
v.data := (others => '0');
else
v.slipwait := r.slipwait + 1;
end if;
when others =>
end case ;
if (not RESET_ALL) and (rstn = '0') then
v.data := (others => '0');
v.state := idle;
end if;
rin <= v;
if r.state = bitslip1 then
bitslip <= '1';
else
bitslip <= '0';
end if;
end process ;
reg : process(clk)
begin
if rising_edge(clk) then
r <= rin;
if RESET_ALL and rstn = '0' then
r <= RES;
end if;
end if;
end process;
end architecture ;
|
--
-- synthesis test 2:
-- * without clock enable
-- * slow
--
--
-- Altera EP2C-8, Quartus 8.0: (same as hw1_grain)
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
entity hw2_grain is
port (
CLK_I : in std_logic;
ARESET_I : in std_logic;
KEY_I : in std_logic;
IV_I : in std_logic;
INIT_I: in std_logic;
KEYSTREAM_O : out std_logic;
KEYSTREAM_VALID_O : out std_logic
);
end entity;
architecture behav of hw2_grain is
begin
top: entity work.grain
generic map (
DEBUG => false,
FAST => false
)
port map (
CLK_I => CLK_I,
CLKEN_I => '1',
ARESET_I => ARESET_I,
KEY_I => KEY_I,
IV_I => IV_I,
INIT_I=> INIT_I,
KEYSTREAM_O => KEYSTREAM_O,
KEYSTREAM_VALID_O => KEYSTREAM_VALID_O
);
end behav;
|
-- Copyright (c) 2015 CERN
-- Maciej Suminski <[email protected]>
--
-- This source code is free software; you can redistribute it
-- and/or modify it in source code form under the terms of the GNU
-- General Public License as published by the Free Software
-- Foundation; either version 2 of the License, or (at your option)
-- any later version.
--
-- This program is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with this program; if not, write to the Free Software
-- Foundation, Inc., 59 Temple Place - Suite 330, Boston, MA 02111-1307, USA
-- Test for concatenation of function call results.
library ieee;
use ieee.std_logic_1164.all;
entity concat_func is
port(in_word : in std_logic_vector(7 downto 0);
out_word : out std_logic_vector(7 downto 0));
end entity concat_func;
architecture test of concat_func is
begin
process(in_word)
begin
out_word <= in_word(7 downto 6) & to_unsigned(in_word, 3) & resize(in_word, 3);
end process;
end architecture test;
|
-- $Id: tb_nexys2_core.vhd 476 2013-01-26 22:23:53Z mueller $
--
-- Copyright 2010-2011 by Walter F.J. Mueller <[email protected]>
--
-- This program is free software; you may redistribute and/or modify it under
-- the terms of the GNU General Public License as published by the Free
-- Software Foundation, either version 2, or at your option any later version.
--
-- This program is distributed in the hope that it will be useful, but
-- WITHOUT ANY WARRANTY, without even the implied warranty of MERCHANTABILITY
-- or FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for complete details.
--
------------------------------------------------------------------------------
-- Module Name: tb_nexys2_core - sim
-- Description: Test bench for nexys2 - core device handling
--
-- Dependencies: vlib/parts/micron/mt45w8mw16b
--
-- To test: generic, any nexys2 target
--
-- Target Devices: generic
-- Tool versions: xst 11.4, 13.1; ghdl 0.26-0.29
-- Revision History:
-- Date Rev Version Comment
-- 2011-11-26 433 1.1.1 remove O_FLA_CE_N from tb_nexys2_core
-- 2011-11-21 432 1.1 update O_FLA_CE_N usage
-- 2011-11-19 427 1.0.1 now numeric_std clean
-- 2010-05-23 294 1.0 Initial version (derived from tb_s3board_core)
------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use ieee.std_logic_textio.all;
use std.textio.all;
use work.slvtypes.all;
use work.serportlib.all;
use work.simbus.all;
entity tb_nexys2_core is
port (
I_SWI : out slv8; -- n2 switches
I_BTN : out slv4; -- n2 buttons
O_MEM_CE_N : in slbit; -- cram: chip enable (act.low)
O_MEM_BE_N : in slv2; -- cram: byte enables (act.low)
O_MEM_WE_N : in slbit; -- cram: write enable (act.low)
O_MEM_OE_N : in slbit; -- cram: output enable (act.low)
O_MEM_ADV_N : in slbit; -- cram: address valid (act.low)
O_MEM_CLK : in slbit; -- cram: clock
O_MEM_CRE : in slbit; -- cram: command register enable
I_MEM_WAIT : out slbit; -- cram: mem wait
O_MEM_ADDR : in slv23; -- cram: address lines
IO_MEM_DATA : inout slv16 -- cram: data lines
);
end tb_nexys2_core;
architecture sim of tb_nexys2_core is
signal R_SWI : slv8 := (others=>'0');
signal R_BTN : slv4 := (others=>'0');
constant sbaddr_swi: slv8 := slv(to_unsigned( 16,8));
constant sbaddr_btn: slv8 := slv(to_unsigned( 17,8));
begin
MEM : entity work.mt45w8mw16b
port map (
CLK => O_MEM_CLK,
CE_N => O_MEM_CE_N,
OE_N => O_MEM_OE_N,
WE_N => O_MEM_WE_N,
UB_N => O_MEM_BE_N(1),
LB_N => O_MEM_BE_N(0),
ADV_N => O_MEM_ADV_N,
CRE => O_MEM_CRE,
MWAIT => I_MEM_WAIT,
ADDR => O_MEM_ADDR,
DATA => IO_MEM_DATA
);
proc_simbus: process (SB_VAL)
begin
if SB_VAL'event and to_x01(SB_VAL)='1' then
if SB_ADDR = sbaddr_swi then
R_SWI <= to_x01(SB_DATA(R_SWI'range));
end if;
if SB_ADDR = sbaddr_btn then
R_BTN <= to_x01(SB_DATA(R_BTN'range));
end if;
end if;
end process proc_simbus;
I_SWI <= R_SWI;
I_BTN <= R_BTN;
end sim;
|
architecture RTL of FIFO is
begin
process
begin
if a = '1' THEN
b <= '0';
elsif c = '1' THEN
b <= '1';
else
if x = '1' THEN
z <= '0';
elsif x = '0' THEN
z <= '1';
else
z <= 'Z';
end if;
end if;
-- Violations below
if a = '1' THEN
b <= '0';
elsif c = '1' THEN
b <= '1';
else
if x = '1' THEN
z <= '0';
elsif x = '0' THEN
z <= '1';
else
z <= 'Z';
end if;
end if;
end process;
end architecture RTL;
|
-- Company: Fachhochschule Dortmund
-- Engineer: Mysara Ibrahim
--
-- Create Date: 27/06/2017 10:20:32 AM
-- Design Name: Adder for Convolutional Codes example project
-- Module Name: MyADDER - Behavioral
-- Project Name: Convolutional Codes example project
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.NUMERIC_STD.ALL;
use work.conf_pkg.all;
entity MyADDER is
port (INP1, INP2, INP3, INP4, INP5, INP6, INP7, INP8 : in integer;
INP9, INP10, INP11, INP12, INP13, INP14, INP15, INP16 : in integer;
ADDer_clk : in std_logic;
ADDer_count : in integer;
OUP1_1, OUP1_5, OUP5_3, OUP5_7, OUP3_2, OUP3_6, OUP7_4, OUP7_8 : out integer:=0;
OUP2_1, OUP2_5, OUP6_3, OUP6_7, OUP4_2, OUP4_6, OUP8_4, OUP8_8 : out integer :=0);
end MyADDER;
architecture Behavioral of MyADDER is
begin
process (ADDer_clk)
type matrix is array(seq downto 0) of integer;
variable sum : matrix := ((0),(0),(0),(0),(0),(0),(0),(0));
variable sum_old : matrix := ((0),(0),(0),(0),(0),(0),(0),(0));
begin
if (falling_edge(ADDer_clk)) then
if (ADDer_count> 0) then
OUP1_1 <= INP1 + sum_old(0);
if (ADDer_count <= 3) then sum(0) := sum_old(0) + INP1; end if;
OUP1_5 <= INP2 + sum_old(0);
if (ADDer_count <= 3) then sum(4) := sum_old(0) + INP2; end if;
if (ADDer_count > 1) then
OUP5_3 <= INP9 + sum_old(4);
if (ADDer_count <= 3) then sum(2) := sum_old(4) + INP9; end if;
OUP5_7 <= INP10 + sum_old(4);
if (ADDer_count <= 3) then sum(6) := sum_old(4) + INP10; end if;
if (ADDer_count > 2) then
OUP3_2 <= INP5 + sum_old(2);
if (ADDer_count <= 3) then sum(1) := sum_old(2) + INP5; end if;
OUP3_6 <= INP6 + sum_old(2);
if (ADDer_count <= 3) then sum(5) := sum_old(2) + INP6; end if;
OUP7_4 <= INP13 + sum_old(6);
if (ADDer_count <= 3) then sum(3) := sum_old(6) + INP13; end if;
OUP7_8 <= INP14 + sum_old(6);
if (ADDer_count <= 3) then sum(7) := sum_old(6) + INP14; end if;
if (ADDer_count > 3) then
OUP2_1 <= INP3 + sum_old(1);
OUP2_5 <= INP4 + sum_old(1);
OUP6_3 <= INP11 + sum_old(5);
OUP6_7 <= INP12 + sum_old(5);
OUP4_2 <= INP7 + sum_old(3);
OUP4_6 <= INP8 + sum_old(3);
OUP8_4 <= INP15 + sum_old(7);
OUP8_8 <= INP16 + sum_old(7);
end if;
end if;
end if;
if (ADDer_count > 3) then
if ((sum_old(0) + INP1)>(sum_old(1) + INP3)) then
sum(0) := sum_old(0) + INP1;
else sum(0) := sum_old(1) + INP3; end if;
if ((sum_old(0) + INP2)>(sum_old(1) + INP4)) then
sum(4) := sum_old(0) + INP2;
else sum(4) := sum_old(1) + INP4; end if;
if ((sum_old(4) + INP9)>(sum_old(5) + INP11)) then
sum(2) := sum_old(4) + INP9;
else sum(2) := sum_old(5) + INP11; end if;
if ((sum_old(4) + INP10)>(sum_old(5) + INP12)) then
sum(6) := sum_old(4) + INP10;
else sum(6) := sum_old(5) + INP12; end if;
if ((sum_old(2) + INP5)>(sum_old(3) + INP7)) then
sum(1) := sum_old(2) + INP5;
else sum(1) := sum_old(3) + INP7; end if;
if ((sum_old(2) + INP6)>(sum_old(3) + INP8)) then
sum(5) := sum_old(2) + INP6;
else sum(5) := sum_old(3) + INP8; end if;
if ((sum_old(6) + INP13)>(sum_old(7) + INP15)) then
sum(3) := sum_old(6) + INP13
else sum(3) := sum_old(7) + INP15; end if;
if ((sum_old(6) + INP14)>(sum_old(7) + INP16)) then
sum(7) := sum_old(6) + INP14
else sum(7) := sum_old(7) + INP16; end if;
end if;
sum_old:=sum;
if (ADDer_count = 0) then
sum_old := ((0),(0),(0),(0),(0),(0),(0),(0));
sum := ((0),(0),(0),(0),(0),(0),(0),(0));
end if;
end if;
end if;
end process;
end Behavioral;
|
----------------------------------------------------------------------------------
-- Company:LAAS-CNRS
-- Author:Jonathan Piat <[email protected]>
--
-- Create Date: 15:31:55 03/22/2013
-- Design Name:
-- Module Name: smal_stack - Behavioral
-- Project Name:
-- Target Devices: Spartan 6
-- Tool versions: ISE 14.1
-- Description:
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
--use IEEE.NUMERIC_STD.ALL;
-- Uncomment the following library declaration if instantiating
-- any Xilinx primitives in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
entity small_fifo is
generic( WIDTH : positive := 8 ; DEPTH : positive := 8; THRESHOLD : positive := 4);
port(clk, resetn : in std_logic ;
push, pop : in std_logic ;
full, empty, limit : out std_logic ;
data_in : in std_logic_vector( WIDTH-1 downto 0);
data_out : out std_logic_vector(WIDTH-1 downto 0)
);
end small_fifo;
architecture Behavioral of small_fifo is
type mem_array is array(0 to DEPTH-1) of std_logic_vector(WIDTH-1 downto 0);
signal fifo : mem_array ;
signal rd_ptr, wr_ptr : integer range 0 to DEPTH-1 ;
signal full_t, empty_t : std_logic ;
signal nb_available : integer range 0 to DEPTH-1 ;
begin
process(clk, resetn)
begin
if resetn = '0' then
rd_ptr <= 0 ;
wr_ptr <= 0 ;
nb_available <= 0 ;
elsif clk'event and clk = '1' then
if push = '1' and full_t = '0' then
wr_ptr <= (wr_ptr + 1) ;
fifo(wr_ptr) <= data_in ;
if pop = '0' then
nb_available <= nb_available + 1 ;
end if ;
end if ;
if pop = '1' and empty_t = '0' then
rd_ptr <= rd_ptr + 1 ;
if push = '0' then
nb_available <= nb_available - 1 ;
end if ;
end if ;
end if ;
end process ;
full_t <= '1' when nb_available = DEPTH-1 else
'0' ;
empty_t <= '1' when nb_available = 0 else
'0' ;
data_out <= fifo(rd_ptr) when empty_t = '0' else
(others => '0');
limit <= '1' when nb_available >= THRESHOLD else
'0' ;
empty <= empty_t ;
full <= full_t ;
end Behavioral;
|
----------------------------------------------------------------------------------
-- Company:LAAS-CNRS
-- Author:Jonathan Piat <[email protected]>
--
-- Create Date: 15:31:55 03/22/2013
-- Design Name:
-- Module Name: smal_stack - Behavioral
-- Project Name:
-- Target Devices: Spartan 6
-- Tool versions: ISE 14.1
-- Description:
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
--use IEEE.NUMERIC_STD.ALL;
-- Uncomment the following library declaration if instantiating
-- any Xilinx primitives in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
entity small_fifo is
generic( WIDTH : positive := 8 ; DEPTH : positive := 8; THRESHOLD : positive := 4);
port(clk, resetn : in std_logic ;
push, pop : in std_logic ;
full, empty, limit : out std_logic ;
data_in : in std_logic_vector( WIDTH-1 downto 0);
data_out : out std_logic_vector(WIDTH-1 downto 0)
);
end small_fifo;
architecture Behavioral of small_fifo is
type mem_array is array(0 to DEPTH-1) of std_logic_vector(WIDTH-1 downto 0);
signal fifo : mem_array ;
signal rd_ptr, wr_ptr : integer range 0 to DEPTH-1 ;
signal full_t, empty_t : std_logic ;
signal nb_available : integer range 0 to DEPTH-1 ;
begin
process(clk, resetn)
begin
if resetn = '0' then
rd_ptr <= 0 ;
wr_ptr <= 0 ;
nb_available <= 0 ;
elsif clk'event and clk = '1' then
if push = '1' and full_t = '0' then
wr_ptr <= (wr_ptr + 1) ;
fifo(wr_ptr) <= data_in ;
if pop = '0' then
nb_available <= nb_available + 1 ;
end if ;
end if ;
if pop = '1' and empty_t = '0' then
rd_ptr <= rd_ptr + 1 ;
if push = '0' then
nb_available <= nb_available - 1 ;
end if ;
end if ;
end if ;
end process ;
full_t <= '1' when nb_available = DEPTH-1 else
'0' ;
empty_t <= '1' when nb_available = 0 else
'0' ;
data_out <= fifo(rd_ptr) when empty_t = '0' else
(others => '0');
limit <= '1' when nb_available >= THRESHOLD else
'0' ;
empty <= empty_t ;
full <= full_t ;
end Behavioral;
|
------------------------------------------------------------------------------
-- This file is a part of the GRLIB VHDL IP LIBRARY
-- Copyright (C) 2003 - 2008, Gaisler Research
-- Copyright (C) 2008 - 2014, Aeroflex Gaisler
--
-- This program is free software; you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation; either version 2 of the License, or
-- (at your option) any later version.
--
-- This program is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with this program; if not, write to the Free Software
-- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-----------------------------------------------------------------------------
-- Entity: pt_pci_target
-- File: pt_pci_target.vhd
-- Author: Nils-Johan Wessman, Aeroflex Gaisler
-- Description: PCI Target emulator.
------------------------------------------------------------------------------
-- pragma translate_off
library ieee;
use ieee.std_logic_1164.all;
library grlib;
use grlib.stdlib.all;
library gaisler;
use gaisler.pt_pkg.all;
--use gaisler.pcilib.all;
--use gaisler.ambatest.all;
library std;
use std.textio.all;
entity pt_pci_target is
generic (
slot : integer := 0;
abits : integer := 10;
bars : integer := 1;
resptime : integer := 2;
latency : integer := 0;
rbuf : integer := 8;
stopwd : boolean := true;
tval : time := 7 ns;
conf : config_header_type := config_init;
dbglevel : integer := 1);
port (
-- PCI signals
pciin : in pci_type;
pciout : out pci_type;
-- TB signals
dbgi : in pt_pci_target_in_type;
dbgo : out pt_pci_target_out_type
);
end pt_pci_target;
architecture tb of pt_pci_target is
constant T_O : integer := 9;
constant word : std_logic_vector(2 downto 0) := "100";
type response_element_type;
type response_element_ptr is access response_element_type;
type response_element_type is record
id : integer;
resp : pt_pci_response_type;
nxt : response_element_ptr;
end record;
signal resp : pt_pci_response_type;
constant non_resp : pt_pci_response_type := ((others => '0'), 0, 0, 0, 0, 0, 0, 0, true);
type resp_print_type is array (0 to 8) of string(1 to 5);
constant resp_print : resp_print_type := ("-----", "retry", "-----", "disw ", "diswo", "abort", "parer", "debug", "-----");
signal pci_core : pt_pci_target_in_type;
signal core_pci : pt_pci_target_out_type;
type mem_type is array(0 to ((2**abits)-1)) of std_logic_vector(31 downto 0);
type state_type is(idle,b_busy,respwait,tabort,write,read,latw,retry,dis);
type reg_type is record
state : state_type;
pci : pci_type;
pcien : std_logic;
aden : std_logic;
paren : std_logic;
erren : std_logic;
write : std_logic;
waitcycles : integer;
latcnt : integer;
curword : integer;
first : boolean;
di : std_logic_vector(31 downto 0);
ad : std_logic_vector(31 downto 0);
comm : std_logic_vector(3 downto 0);
config : config_header_type;
cbe : std_logic_vector(3 downto 0); -- *** sub-word write
retrycnt : std_logic_vector(7 downto 0);
resp : pt_pci_response_type;
resp_addr : std_logic_vector(31 downto 0);
parerr : std_logic;
firstacc : std_logic;
perren : std_logic_vector(1 downto 0);
pcirad : std_logic_vector(31 downto 0);
pcircbe : std_logic_vector(3 downto 0);
sigperr : std_logic_vector(2 downto 0);
end record;
signal r,rin : reg_type;
signal do : std_logic_vector(31 downto 0);
procedure readconf(ad : in std_logic_vector(5 downto 0); data : out std_logic_vector(31 downto 0)) is
begin
case conv_integer(ad) is
when 0 => data(31 downto 16) := (conv_std_logic_vector(slot,4) & r.config.devid(11 downto 0));
data(15 downto 0) := r.config.vendid;
when 1 => data(31 downto 16) := r.config.status; data(15 downto 0) := r.config.command;
when 2 => data(31 downto 8) := r.config.class_code; data(7 downto 0) := r.config.revid;
when 3 => data(31 downto 24) := r.config.bist; data(23 downto 16) := r.config.header_type;
data(15 downto 8) := r.config.lat_timer; data(7 downto 0) := r.config.cache_lsize;
when 4 => data := r.config.bar(0)(31 downto abits) & zero32(abits-1 downto 0);
when 5 => if bars > 1 then data := r.config.bar(1)(31 downto 9) & zero32(8 downto 1) & '1';
else data := (others => '0'); end if;
when 6 => if bars > 2 then data := r.config.bar(2)(31 downto abits) & zero32(abits-1 downto 0);
else data := (others => '0'); end if;
when 7 => if bars > 3 then data := r.config.bar(3)(31 downto abits) & zero32(abits-1 downto 0);
else data := (others => '0'); end if;
when 8 => if bars > 4 then data := r.config.bar(4)(31 downto abits) & zero32(abits-1 downto 0);
else data := (others => '0'); end if;
when 9 => if bars > 5 then data := r.config.bar(5)(31 downto abits) & zero32(abits-1 downto 0);
else data := (others => '0'); end if;
when 10 => data := r.config.cis_p;
when 11 => data(31 downto 16) := r.config.subid; data(15 downto 0) := r.config.subvendid;
when 12 => data := r.config.exp_rom_ba;
when 13 => data(31 downto 24) := r.config.max_lat; data(23 downto 16) := r.config.min_gnt;
data(15 downto 8) := r.config.int_pin; data(7 downto 0) := r.config.int_line;
when others =>
end case;
end procedure;
procedure writeconf(ad : in std_logic_vector(5 downto 0);
data : in std_logic_vector(31 downto 0);
cbe : in std_logic_vector(3 downto 0);
vconfig : out config_header_type) is
variable new_data : std_logic_vector(31 downto 0);
begin
readconf(ad,new_data);
if cbe(3) = '0' then new_data(31 downto 24) := data(31 downto 24); end if;
if cbe(2) = '0' then new_data(23 downto 16) := data(23 downto 16); end if;
if cbe(1) = '0' then new_data(15 downto 8) := data(15 downto 8); end if;
if cbe(0) = '0' then new_data( 7 downto 0) := data( 7 downto 0); end if;
case conv_integer(ad) is
-- when 0 => vconfig.devid := new_data(31 downto 16); vconfig.vendid <= new_data(15 downto 0);
when 1 => vconfig.status := new_data(31 downto 16); vconfig.command := new_data(15 downto 0);
when 2 => vconfig.class_code := new_data(31 downto 8); vconfig.revid := new_data(7 downto 0);
when 3 => vconfig.bist := new_data(31 downto 24); vconfig.header_type := new_data(23 downto 16);
vconfig.lat_timer := new_data(15 downto 8); vconfig.cache_lsize := new_data(7 downto 0);
when 4 => vconfig.bar(0) := new_data;
when 5 => vconfig.bar(1) := new_data;
when 6 => vconfig.bar(2) := new_data;
when 7 => vconfig.bar(3) := new_data;
when 8 => vconfig.bar(4) := new_data;
when 9 => vconfig.bar(5) := new_data;
when 10 => vconfig.cis_p := new_data;
when 11 => vconfig.subid := new_data(31 downto 16); vconfig.subvendid := new_data(15 downto 0);
when 12 => vconfig.exp_rom_ba := new_data;
when 13 => vconfig.max_lat := new_data(31 downto 24); vconfig.min_gnt := new_data(23 downto 16);
vconfig.int_pin := new_data(15 downto 8); vconfig.int_line := new_data(7 downto 0);
when others =>
end case;
end procedure;
function pci_hit(ad : std_logic_vector(31 downto 0);
c : std_logic_vector(3 downto 0);
idsel : std_logic;
con : config_header_type) return boolean is
variable hit : boolean;
begin
hit := false;
if ((c = CONF_READ or c = CONF_WRITE)
and idsel = '1' and ad(1 downto 0) = "00")
then hit := true;
else
for i in 0 to bars-1 loop
if i = 1 then
if ((c = IO_READ or c = IO_WRITE)
and ad(31 downto abits) = con.bar(i)(31 downto abits))
then hit := true; end if;
else
if ((c = MEM_READ or c = MEM_WRITE or c = MEM_R_MULT or c = MEM_R_LINE or c = MEM_W_INV)
and ad(31 downto abits) = con.bar(i)(31 downto abits))
then hit := true; end if;
end if;
end loop;
end if;
return(hit);
end function;
-- Description: Insert a response into the linked list of responses
procedure insert_resp (
constant id : in integer;
variable resp_root : inout response_element_ptr;
signal resp : in pt_pci_response_type) is
variable elem : response_element_ptr;
begin -- insert_resp
elem := resp_root;
if elem /= NULL then
while elem.nxt /= NULL loop elem := elem.nxt; end loop;
elem.nxt := new response_element_type'(id, resp, NULL);
else
resp_root := new response_element_type'(id, resp, NULL);
end if;
end insert_resp;
-- Description: Searches the list for a response to a particular address.
-- If a response is found the response is returned via 'resp' and 'found'
-- is set to true, otherwise 'found' is set to false.
procedure get_resp (
variable resp_root : inout response_element_ptr;
signal addr : in std_logic_vector(31 downto 0);
signal resp : out pt_pci_response_type;
variable found : out boolean) is
variable elem, prev : response_element_ptr;
variable lfound : boolean := false;
begin -- get_resp
prev := resp_root;
elem := resp_root;
--print(tost(NOW/1 ns) & "ns get_resp: addr[" & tost(addr) & "]");
while elem /= NULL and not lfound loop
-- Check if response is a match for address
if addr(abits-1 downto 0) = elem.resp.addr(abits-1 downto 0) then
resp <= elem.resp;
lfound := true;
resp.valid <= true;
--if prev = resp_root then
-- resp_root := elem.nxt;
--else
-- prev.nxt := elem.nxt;
--end if;
--deallocate(elem);
end if;
if not lfound then
prev := elem;
elem := elem.nxt;
end if;
end loop;
--print(tost(NOW/1 ns) & "ns get_resp: found[" & tost(lfound) & "]");
if lfound then found := true;
else
found := false;
resp.retry <= 0;
resp.ws <= 0;
resp.diswithout <= 0;
resp.diswith <= 0;
resp.parerr <= 0;
resp.abort <= 0;
resp.debug <= 0;
resp.valid <= false;
end if;
end get_resp;
-- Description: Searches the list for a response with a particular addr.
-- If a response is found the response is removed and the id
-- will match the input id.
procedure rm_resp (
variable resp_root : inout response_element_ptr;
constant addr : in std_logic_vector(31 downto 0) )is
variable elem, prev : response_element_ptr;
variable lfound : boolean := false;
begin -- rm_resp
prev := resp_root;
elem := resp_root;
while elem /= NULL and not lfound loop
if addr(abits-1 downto 0) = elem.resp.addr(abits-1 downto 0) then
if prev = resp_root then
resp_root := elem.nxt;
else
prev.nxt := elem.nxt;
end if;
deallocate(elem);
lfound := true;
else
prev := elem;
elem := elem.nxt;
end if;
end loop;
end rm_resp;
-- Description: Removes all responses in list
procedure rm_all_resp (
variable resp_root : inout response_element_ptr) is
variable elem, curr : response_element_ptr;
variable lfound : boolean := false;
begin -- rm_all_resp
curr := resp_root;
elem := resp_root;
while elem /= NULL loop
curr := elem;
elem := elem.nxt;
deallocate(curr);
end loop;
resp_root := NULL;
end rm_all_resp;
begin
cont : process
variable first : boolean := true;
variable mem : mem_type;
begin
if first then
for i in 0 to ((2**abits)-1) loop
mem(i) := (others => '0');
end loop;
first := false;
elsif r.ad(0) /= 'U' then
do <= mem(conv_integer(to_x01(r.ad)));
--if r.write = '1' then mem(conv_integer(to_x01(r.ad))) := r.di; end if; -- *** sub-word write
if r.write = '1' then
case r.cbe is
when "1110" =>
mem(conv_integer(to_x01(r.ad)))(7 downto 0) := r.di(7 downto 0);
when "1101" =>
mem(conv_integer(to_x01(r.ad)))(15 downto 8) := r.di(15 downto 8);
when "1011" =>
mem(conv_integer(to_x01(r.ad)))(23 downto 16) := r.di(23 downto 16);
when "0111" =>
mem(conv_integer(to_x01(r.ad)))(31 downto 24) := r.di(31 downto 24);
when "1100" =>
mem(conv_integer(to_x01(r.ad)))(15 downto 0) := r.di(15 downto 0);
when "0011" =>
mem(conv_integer(to_x01(r.ad)))(31 downto 16) := r.di(31 downto 16);
when others =>
mem(conv_integer(to_x01(r.ad))) := r.di;
end case;
end if;
end if;
wait for 1 ns;
end process;
core_resp : process
variable resp_root : response_element_ptr := NULL;
variable found : boolean;
begin
if pci_core.req /= '1' and dbgi.req /= '1' then
wait until pci_core.req = '1' or dbgi.req = '1';
end if;
if dbgi.req = '1' then
if dbgi.insert = '1' then
insert_resp(0, resp_root, dbgi.resp);
elsif dbgi.remove = '1' then
if dbgi.rmall = '1' then
rm_all_resp(resp_root);
else
rm_resp(resp_root, dbgi.addr);
end if;
else
dbgo.valid <= '0';
get_resp(resp_root, pci_core.addr, dbgo.resp, found);
if found = true then dbgo.valid <= '1'; end if;
end if;
dbgo.ack <= '1';
wait until dbgi.req = '0';
dbgo.ack <= '0';
end if;
if pci_core.req = '1' then
if pci_core.insert = '1' then
insert_resp(0, resp_root, pci_core.resp);
else
core_pci.valid <= '0';
get_resp(resp_root, pci_core.addr, core_pci.resp, found);
if found = true then core_pci.valid <= '1'; end if;
end if;
core_pci.ack <= '1';
wait until pci_core.req = '0';
core_pci.ack <= '0';
end if;
end process;
--comb : process(pciin, do)
comb : process
variable v : reg_type;
procedure sync_with_core is
begin
pci_core.req <= '1';
wait until core_pci.ack = '1';
pci_core.req <= '0';
wait until core_pci.ack = '0';
end sync_with_core;
begin
if pciin.syst.rst = '0' then
v.state := idle;
v.config := conf;
v.waitcycles := 1;
v.latcnt := latency;
v.ad := (others => '0');
v.di := (others => '0');
v.retrycnt := (others => '0');
v.resp.valid := false;
v.perren := (others => '0');
v.sigperr := (others => '0');
elsif rising_edge(pciin.syst.clk) then
v := r; v.write := '0';
v.pci.ad.par := xorv(r.pci.ad.ad & pciin.ad.cbe);
v.pci.ad.par := v.pci.ad.par xor r.parerr; -- Add par error
v.paren := r.aden; v.erren := not (r.perren(1) or r.perren(0)); --v.erren := r.paren;
v.perren(1) := v.perren(0);
v.pcirad := pciin.ad.ad; v.pcircbe := pciin.ad.cbe;
v.pci.err.perr := not r.perren(0) or not (xorv(r.pcirad & r.pcircbe & pciin.ad.par) or r.sigperr(1));-- or '1'; -- FIXME: ... disable perr
v.sigperr(1) := r.sigperr(0); v.sigperr(2) := r.sigperr(1); v.sigperr(0) := '0';
case r.state is
when idle =>
v.perren(0) := '0';
v.firstacc := '1';
if (r.pci.ifc.trdy and r.pci.ifc.stop and r.pci.ifc.devsel) = '1' then v.pcien := '1'; end if;
v.aden := '1'; v.waitcycles := 1; v.latcnt := latency; v.first := true;
v.pci.ifc.trdy := '1'; v.pci.ifc.stop := '1'; v.curword := 0;
v.pci.ifc.devsel := '1'; --v.pci.err.perr := '1';
if pciin.ifc.frame = '0' then
v.comm := pciin.ad.cbe;
if pci_hit(pciin.ad.ad,pciin.ad.cbe,pciin.ifc.idsel(slot),v.config) then
pci_core.addr <= zero32(31 downto abits) & pciin.ad.ad(abits-1 downto 0); pci_core.insert <= '0';
pci_core.resp.retry <= 0; pci_core.resp.ws <= 0; pci_core.resp.diswithout <= 0; pci_core.resp.diswith <= 0;
sync_with_core;
if core_pci.valid = '1' and r.resp.valid = false then
if core_pci.resp.debug > 0 then print(tost(NOW/1 ns) & "ns Resp1: " & tost(core_pci.resp.addr) & ", "
& resp_print(core_pci.resp.retry*1) & ", " & "ws:" & tost(core_pci.resp.ws) & ", " & resp_print(core_pci.resp.diswith*3+core_pci.resp.diswithout*4)
& ", " & resp_print(core_pci.resp.abort*5) & ", " & resp_print(core_pci.resp.parerr*6) & ", " & tost(core_pci.resp.valid));
end if;
v.resp := core_pci.resp;
v.resp.valid := true;
--if resptime > core_pci.resp.ws then v.resp.ws := resptime; end if; -- use resptime if grater, else use access waitstates
--v.resp.ws := resptime; -- Always use resptime
elsif r.resp.valid = false then
v.resp := non_resp;
end if;
--if r.retrycnt /= x"00" then -- retry response
-- if r.retrycnt = x"ff" then v.retrycnt := x"02";
-- else v.retrycnt := v.retrycnt - 1; end if;
-- v.state := respwait;
--else
-- v.retrycnt := x"ff";
v.ad := zero32(31 downto abits) & pciin.ad.ad(abits-1 downto 0);
--if r.waitcycles = resptime then
if r.waitcycles = resptime and v.resp.retry = 0 then
--if v.resp.ws = 0 and v.resp.retry = 0 then
v.pci.ifc.devsel := '0'; v.pcien := '0';
if pciin.ad.cbe(0) = '1' then v.state := write; v.pci.ifc.trdy := '0';
--if v.resp.abort = 1 then v.pci.ifc.trdy := '1'; v.pci.ifc.stop := '0'; v.pci.ifc.devsel := '1'; end if;
--if v.resp.abort = 1 then v.pci.ifc.trdy := '1'; end if;
if v.resp.abort = 1 then
v.state := tabort;
v.pci.ifc.trdy := '1'; v.pci.ifc.stop := '1'; v.pci.ifc.devsel := '0';
end if;
if v.resp.parerr = 1 then v.sigperr(0) := '1'; end if;
v.resp_addr := v.ad + "100"; pci_core.addr <= v.resp_addr; pci_core.insert <= '0'; sync_with_core;
if core_pci.valid = '1' then v.resp := core_pci.resp; v.resp.valid := true;
if core_pci.resp.debug > 0 then print(tost(NOW/1 ns) & "ns Resp2: " & tost(core_pci.resp.addr) & ", "
& resp_print(core_pci.resp.retry*1) & ", " & "ws:" & tost(core_pci.resp.ws) & ", " & resp_print(core_pci.resp.diswith*3+core_pci.resp.diswithout*4)
& ", " & resp_print(core_pci.resp.abort*5) & ", " & resp_print(core_pci.resp.parerr*6) & ", " & tost(core_pci.resp.valid));
end if;
else v.resp := non_resp; end if;
else v.state := read; v.aden := '0'; end if;
else v.state := respwait; v.waitcycles := r.waitcycles+1; end if;
--else v.state := respwait; if v.resp.ws /= 0 then v.resp.ws := v.resp.ws - 1; end if; end if;
--end if;
else
v.state := b_busy;
end if;
end if;
when b_busy =>
if (pciin.ifc.frame and pciin.ifc.irdy) = '1' then
v.state := idle;
end if;
when retry => -- retry response
v.resp.ws := 0;
if pciin.ifc.frame = '1' then
v.pci.ifc.devsel := '1'; v.pci.ifc.stop := '1'; v.pcien := '1';
v.state := idle;
if r.resp.retry /= 0 then v.resp.retry := r.resp.retry - 1; end if;
end if;
when respwait => -- Initial response time
--if r.retrycnt /= x"ff" then
if r.resp.valid = true and r.resp.retry /= 0 then
v.pci.ifc.devsel := '0'; v.pci.ifc.stop := '0'; v.pcien := '0';
v.state := retry;
elsif r.waitcycles = resptime then
--elsif r.resp.ws <= 1 then
v.pci.ifc.devsel := '0'; v.pcien := '0';
if r.comm(0) = '1' then v.state := write; v.pci.ifc.trdy := '0';
--if r.resp.diswith = 1 or r.resp.diswithout = 1 then v.pci.ifc.stop := '0'; end if;
--if r.resp.diswithout = 1 then v.pci.ifc.trdy := '1'; end if;
if r.resp.parerr = 1 then v.sigperr(0) := '1'; end if;
v.resp_addr := r.ad + "100"; pci_core.addr <= v.resp_addr; pci_core.insert <= '0'; sync_with_core;
if core_pci.valid = '1' then v.resp := core_pci.resp; v.resp.valid := true;
if core_pci.resp.debug > 0 then print(tost(NOW/1 ns) & "ns Resp: " & tost(core_pci.resp.addr) & ", "
& resp_print(core_pci.resp.retry*1) & ", " & "ws:" & tost(core_pci.resp.ws) & ", " & resp_print(core_pci.resp.diswith*3+core_pci.resp.diswithout*4)
& ", " & resp_print(core_pci.resp.abort*5) & ", " & resp_print(core_pci.resp.parerr*6) & ", " & tost(core_pci.resp.valid));
end if;
else v.resp := non_resp; end if;
else v.state := read; v.aden := '0'; v.resp.ws := 0; end if;
if r.resp.abort = 1 then
v.state := tabort;
v.pci.ifc.trdy := '1'; v.pci.ifc.stop := '1'; v.pci.ifc.devsel := '0';
end if;
else v.waitcycles := r.waitcycles+1; end if;
--v.resp.ws := 0;
--else v.resp.ws := r.resp.ws - 1; end if;
when tabort => -- Target abort on first data phase
v.pci.ifc.trdy := '1'; v.pci.ifc.stop := '0'; v.pci.ifc.devsel := '1';
if pciin.ifc.frame = '1' and pciin.ifc.irdy = '0' and (r.pci.ifc.trdy and r.pci.ifc.stop) = '0' then
v.state := idle;
v.pci.ifc.trdy := '1'; v.pci.ifc.devsel := '1'; v.pci.ifc.stop := '1';
v.resp.valid := false;
end if;
when write => -- Write access
v.perren(0) := '1';
--if pciin.ifc.irdy = '0' then
if pciin.ifc.irdy = '0' and r.pci.ifc.trdy = '0' then
v.curword := r.curword+1;
if r.comm = CONF_WRITE then writeconf(r.ad(7 downto 2),pciin.ad.ad,pciin.ad.cbe,v.config);
--else v.di := pciin.ad.ad; v.write := '1'; end if; -- *** sub-word write
else v.di := pciin.ad.ad; v.write := '1'; v.cbe := pciin.ad.cbe; end if;
if r.resp.ws = 0 then
v.firstacc := '0';
if r.resp.diswith = 1 or r.resp.diswithout = 1 then v.pci.ifc.stop := '0'; v.state := dis; end if;
if r.resp.diswithout = 1 then v.pci.ifc.trdy := '1'; end if;
if r.resp.abort = 1 then v.pci.ifc.trdy := '1'; v.pci.ifc.stop := '0'; v.pci.ifc.devsel := '1'; end if;
if r.resp.parerr = 1 then v.sigperr(0) := '1'; end if;
v.resp_addr := r.resp_addr + "100"; pci_core.addr <= v.resp_addr; pci_core.insert <= '0'; sync_with_core;
if core_pci.valid = '1' then v.resp := core_pci.resp; v.resp.valid := true;
if core_pci.resp.debug > 0 then print(tost(NOW/1 ns) & "ns Resp: " & tost(core_pci.resp.addr) & ", "
& resp_print(core_pci.resp.retry*1) & ", " & "ws:" & tost(core_pci.resp.ws) & ", " & resp_print(core_pci.resp.diswith*3+core_pci.resp.diswithout*4)
& ", " & resp_print(core_pci.resp.abort*5) & ", " & resp_print(core_pci.resp.parerr*6) & ", " & tost(core_pci.resp.valid));
end if;
else v.resp := non_resp; end if;
end if;
--elsif r.resp.abort = 1 then -- Target abort on first data phase
-- v.pci.ifc.trdy := '1'; v.pci.ifc.stop := '0'; v.pci.ifc.devsel := '1';
end if;
if r.write = '1' then v.ad := r.ad + "100"; end if;
if pciin.ifc.frame = '1' and pciin.ifc.irdy = '0' and (r.pci.ifc.trdy and r.pci.ifc.stop) = '0' then
v.state := idle;
v.pci.ifc.trdy := '1'; v.pci.ifc.devsel := '1'; v.pci.ifc.stop := '1';
v.resp.valid := false;
--elsif (r.latcnt > 0 and pciin.ifc.irdy = '0') then v.state := latw; v.pci.ifc.trdy := '1'; v.latcnt := r.latcnt-1;
elsif (r.resp.ws > 0 and pciin.ifc.irdy = '0') then v.state := latw; v.pci.ifc.trdy := '1'; v.resp.ws := r.resp.ws-1;
end if;
when read => -- Read access
v.perren(0) := '0';
v.pci.ifc.trdy := '0';
if (pciin.ifc.irdy = '0' or r.first = true) then
v.ad := r.ad + "100"; v.first := false;
if r.comm = CONF_READ then readconf(r.ad(7 downto 2),v.pci.ad.ad);
else v.pci.ad.ad := do; end if;
if r.resp.parerr = 1 then v.parerr := '1'; else v.parerr := '0'; end if; -- Add par error
if r.resp.ws = 0 then
v.firstacc := '0';
if r.firstacc = '0' and (r.resp.diswith = 1 or r.resp.diswithout = 1) then v.pci.ifc.stop := '0'; v.state := dis; end if;
if r.firstacc = '0' and r.resp.diswithout = 1 then v.pci.ifc.trdy := '1'; end if;
if r.resp.abort = 1 then v.pci.ifc.trdy := '1'; v.pci.ifc.stop := '0'; v.pci.ifc.devsel := '1'; v.state := dis; end if;
pci_core.addr <= v.ad; pci_core.insert <= '0'; sync_with_core;
if core_pci.valid = '1' then v.resp := core_pci.resp; v.resp.valid := true;
if core_pci.resp.debug > 0 then print(tost(NOW/1 ns) & "ns Resp: " & tost(core_pci.resp.addr) & ", "
& resp_print(core_pci.resp.retry*1) & ", " & "ws:" & tost(core_pci.resp.ws) & ", " & resp_print(core_pci.resp.diswith*3+core_pci.resp.diswithout*4)
& ", " & resp_print(core_pci.resp.abort*5) & ", " & resp_print(core_pci.resp.parerr*6) & ", " & tost(core_pci.resp.valid));
end if;
else v.resp := non_resp; end if;
end if;
end if;
if (pciin.ifc.trdy or pciin.ifc.irdy) = '0' then v.curword := r.curword+1; end if;
if (pciin.ifc.frame and not (pciin.ifc.trdy and pciin.ifc.stop)) = '1' then
v.state := idle; v.aden := '1';
v.pci.ifc.trdy := '1'; v.pci.ifc.devsel := '1';
v.resp.valid := false;
--elsif (r.latcnt > 0 and (pciin.ifc.trdy or pciin.ifc.irdy) = '0' and pciin.ifc.stop = '1') then
elsif (r.resp.ws > 0 and (pciin.ifc.trdy or pciin.ifc.irdy) = '0' and pciin.ifc.stop = '1') then
--v.state := latw; v.latcnt := r.latcnt-1; v.pci.ifc.trdy := '1';
v.state := latw; v.resp.ws := r.resp.ws-1; v.pci.ifc.trdy := '1';
end if;
when latw => -- Latency between data phases
v.pci.ifc.trdy := '1';
if r.write = '1' then v.ad := r.ad + "100"; end if;
--if (r.latcnt <= 1 and r.comm(0) = '0') then
if (r.resp.ws <= 1 and r.comm(0) = '0') then
--v.latcnt := latency;
v.resp.ws := 0;
v.state := read; v.aden := '0'; v.pci.ifc.trdy := '0';
--elsif r.latcnt = 0 then
if r.resp.diswith = 1 or r.resp.diswithout = 1 then v.pci.ifc.stop := '0'; v.state := dis; end if;
if r.resp.diswithout = 1 then v.pci.ifc.trdy := '1'; end if;
if r.resp.abort = 1 then v.pci.ifc.trdy := '1'; v.pci.ifc.stop := '0'; v.pci.ifc.devsel := '1'; end if;
pci_core.addr <= r.ad; pci_core.insert <= '0'; sync_with_core;
if core_pci.valid = '1' then v.resp := core_pci.resp; v.resp.valid := true;
if core_pci.resp.debug > 0 then print(tost(NOW/1 ns) & "ns Resp: " & tost(core_pci.resp.addr) & ", "
& resp_print(core_pci.resp.retry*1) & ", " & "ws:" & tost(core_pci.resp.ws) & ", " & resp_print(core_pci.resp.diswith*3+core_pci.resp.diswithout*4)
& ", " & resp_print(core_pci.resp.abort*5) & ", " & resp_print(core_pci.resp.parerr*6) & ", " & tost(core_pci.resp.valid));
end if;
else v.resp := non_resp; end if;
elsif r.resp.ws = 0 then
--v.latcnt := latency;
v.resp.ws := 0;
v.state := write; v.pci.ifc.trdy := '0';
if r.resp.diswith = 1 or r.resp.diswithout = 1 then v.pci.ifc.stop := '0'; v.state := dis; end if;
if r.resp.diswithout = 1 then v.pci.ifc.trdy := '1'; end if;
if r.resp.abort = 1 then v.pci.ifc.trdy := '1'; v.pci.ifc.stop := '0'; v.pci.ifc.devsel := '1'; end if;
v.resp_addr := r.resp_addr + "100"; pci_core.addr <= v.resp_addr; pci_core.insert <= '0'; sync_with_core;
if core_pci.valid = '1' then v.resp := core_pci.resp; v.resp.valid := true;
if core_pci.resp.debug > 0 then print(tost(NOW/1 ns) & "ns Resp: " & tost(core_pci.resp.addr) & ", "
& resp_print(core_pci.resp.retry*1) & ", " & "ws:" & tost(core_pci.resp.ws) & ", " & resp_print(core_pci.resp.diswith*3+core_pci.resp.diswithout*4)
& ", " & resp_print(core_pci.resp.abort*5) & ", " & resp_print(core_pci.resp.parerr*6) & ", " & tost(core_pci.resp.valid));
end if;
else v.resp := non_resp; end if;
--else v.latcnt := r.latcnt-1; end if;
else v.resp.ws := r.resp.ws-1; end if;
if (pciin.ifc.frame and not r.pci.ifc.stop) = '1' then -- done if disconnect ???
v.state := idle;
v.pci.ifc.trdy := '1'; v.pci.ifc.devsel := '1';
v.resp.valid := false;
end if;
when dis =>
v.perren(0) := '0';
v.pci.ifc.stop := '0';
if r.write = '1' then v.ad := r.ad + "100"; end if;
if pciin.ifc.irdy = '0' then
v.pci.ifc.trdy := '1';
if r.pci.ifc.trdy = '0' then
if r.comm = CONF_WRITE then writeconf(r.ad(7 downto 2),pciin.ad.ad,pciin.ad.cbe,v.config);
elsif r.comm(0) = '1' then v.di := pciin.ad.ad; v.write := '1'; v.cbe := pciin.ad.cbe; end if;
end if;
end if;
if pciin.ifc.frame = '1' then
v.state := idle;
v.pci.ifc.trdy := '1'; v.pci.ifc.devsel := '1'; v.pci.ifc.stop := '1';
v.resp.valid := false;
end if;
when others =>
end case;
-- Disconnect type
--if ((v.curword+1) >= rbuf) then
-- if pciin.ifc.frame = '1' then
-- v.pci.ifc.stop := '1';
-- elsif stopwd then
-- if r.pci.ifc.stop = '1' then
-- v.pci.ifc.stop := v.pci.ifc.trdy;
-- else
-- if pciin.ifc.irdy = '0' then v.pci.ifc.trdy := '1'; end if;
-- v.pci.ifc.stop := '0';
-- end if;
-- else
-- v.pci.ifc.stop := '0';
-- v.pci.ifc.trdy := '1';
-- end if;
--end if;
end if;
r <= v;
--rin <= v;
wait on pciin.syst.clk, pciin.syst.rst;
end process;
--clockreg : process(pciin.syst)
--begin
-- if rising_edge(pciin.syst.clk) then
-- r <= rin;
-- end if;
--end process;
pciout.ad.ad <= r.pci.ad.ad after tval when r.aden = '0' else (others => 'Z') after tval;
pciout.ad.par <= r.pci.ad.par after tval when (r.paren = '0' and (r.pci.ad.par = '1' or r.pci.ad.par = '0')) else 'Z' after tval;
pciout.ifc.trdy <= r.pci.ifc.trdy after tval when r.pcien = '0' else 'Z' after tval;
pciout.ifc.stop <= r.pci.ifc.stop after tval when r.pcien = '0' else 'Z' after tval;
pciout.ifc.devsel <= r.pci.ifc.devsel after tval when r.pcien = '0' else 'Z' after tval;
pciout.err.perr <= r.pci.err.perr after tval when r.erren = '0' else 'Z' after tval;
-- Unused signals
pciout.ad.cbe <= (others => 'Z');
pciout.ifc.frame <= 'Z';
pciout.ifc.irdy <= 'Z';
pciout.ifc.lock <= 'Z';
pciout.ifc.idsel <= (others => 'Z');
pciout.err.serr <= 'Z';
pciout.arb <= arb_const;
pciout.syst <= syst_const;
pciout.ext64 <= ext64_const;
pciout.cache <= cache_const;
pciout.int <= (others => 'Z');
end;
-- pragma translate_on
|
-- NEED RESULT: ARCH00659: The predefined attributes of an interface object (port) of mode 'inout' for an entity may be read passed
-- NEED RESULT: ARCH00659: The predefined attributes of an interface object (port) of mode 'inout' for a block may be read failed
-- NEED RESULT: ARCH00659: The predefined signal attributes of an interface object (port) of mode 'inout' for an entity may be read failed
-- NEED RESULT: ARCH00659.Proc2: The predefined attributes of an interface object (variable parameter) of mode 'inout' for a subp may be read failed
-- NEED RESULT: ARCH00659: The predefined attributes of an interface object (signal parameter) of mode 'inout' for a subp may be read failed
-- NEED RESULT: ARCH00659: The predefined signal attributes of an interface object (signal parameter) of mode 'inout' for a subp may be read passed
-- NEED RESULT: ARCH00659: The predefined signal attributes of an interface object (port) of mode 'inout' for a block may be read passed
-------------------------------------------------------------------------------
--
-- Copyright (c) 1989 by Intermetrics, Inc.
-- All rights reserved.
--
-------------------------------------------------------------------------------
--
-- TEST NAME:
--
-- CT00659
--
-- AUTHOR:
--
-- G. Tominovich
--
-- TEST OBJECTIVES:
--
-- 4.3.3 (17)
--
-- DESIGN UNIT ORDERING:
--
-- PKG00659
-- PKG00659/BODY
-- ENT00659(ARCH00659)
-- ENT00659_Test_Bench(ARCH00659_Test_Bench)
--
-- REVISION HISTORY:
--
-- 26-AUG-1987 - initial revision
--
-- NOTES:
--
-- self-checking
--
--
package PKG00659 is
subtype ST is Bit_Vector ( 10 to 20 ) ;
type Bit_Vector_Array is array (Integer range <>) of ST;
function bf_BV (P : Bit_Vector_Array) return ST;
subtype rBV is bf_BV ST ;
type Int_Arr is array ( Integer range <> ) of Integer ;
function bf_I ( P : Int_Arr ) return Integer ;
subtype rI is bf_I Integer ;
end PKG00659 ;
package body PKG00659 is
function bf_BV (P : Bit_Vector_Array) return ST is
begin
return P(P'Left) ;
end bf_BV ;
function bf_I ( P : Int_Arr ) return Integer is
begin
return P(P'Left) ;
end bf_I ;
end PKG00659 ;
use WORK.STANDARD_TYPES.all, WORK.PKG00659.all ;
entity ENT00659 is
port ( Pt1 : inout rBV ;
Pt2 : inout rI ) ;
end ENT00659 ;
--
architecture ARCH00659 of ENT00659 is
function To_Real ( P : Integer ) return Real is
begin
if P = -1 then
return -1.0 ;
else
return -2.0 ;
end if ;
end To_Real ;
function To_Integer ( P : Real ) return Integer is
begin
if P = -1.0 then
return -1 ;
else
return -2 ;
end if ;
end To_Integer ;
procedure Proc2 ( variable G : inout Bit_Vector ) is
subtype ST_Up is integer range G'RANGE ;
subtype ST_Down is integer range G'REVERSE_RANGE(1) ;
begin
test_report ( "ARCH00659.Proc2" ,
"The predefined attributes of an interface "&
"object (variable parameter) of mode 'inout' for a "&
"subp may be read" ,
(G'LEFT = 10) and
(G'RIGHT(1) = 20) and
(G'HIGH(1) = 20) and
(G'LOW = 10) and
(G'LENGTH = 11) and
(ST_Up'LEFT = 10) and
(ST_Up'RIGHT = 20) and
(ST_Down'LEFT = 20) and
(ST_Down'RIGHT = 10) ) ;
end Proc2 ;
Procedure Proc3 ( signal Pt1 : inout Bit_Vector;
variable correct : out boolean ) is
subtype ST_Up is integer range Pt1'RANGE ;
subtype ST_Down is integer range Pt1'REVERSE_RANGE(1) ;
begin
correct := (Pt1'LEFT = 10) and
(Pt1'RIGHT(1) = 20) and
(Pt1'HIGH(1) = 20) and
(Pt1'LOW = 10) and
(Pt1'LENGTH = 11) and
(ST_Up'LEFT = 10) and
(ST_Up'RIGHT = 20) and
(ST_Down'LEFT = 20) and
(ST_Down'RIGHT = 10)
;
end Proc3 ;
procedure Proc4 ( signal Pt2 : inout Integer;
variable correct : out boolean ) is
begin
correct :=
(Pt2'EVENT) and
(Pt2'ACTIVE) and
(STD.STANDARD.NOW - Pt2'LAST_EVENT = 10 ns) and
(STD.STANDARD.NOW - Pt2'LAST_ACTIVE = 10 ns) and
(Pt2'LAST_VALUE = -2)
;
end Proc4 ;
begin
P2 :
process -- Check formal port on entity (no signal attributes)
subtype ST_Up is integer range Pt1'RANGE ;
subtype ST_Down is integer range Pt1'REVERSE_RANGE(1) ;
begin
test_report ( "ARCH00659" ,
"The predefined attributes of an interface "&
"object (port) of mode 'inout' for an entity may be read" ,
(Pt1'LEFT = 10) and
(Pt1'RIGHT(1) = 20) and
(Pt1'HIGH(1) = 20) and
(Pt1'LOW = 10) and
(Pt1'LENGTH = 11) and
(ST_Up'LEFT = 10) and
(ST_Up'RIGHT = 20) and
(ST_Down'LEFT = 20) and
(ST_Down'RIGHT = 10)
) ;
wait ;
end process P2 ;
P3 :
process ( Pt2 ) -- Check formal port on entity (signal attributes)
variable First_Time : boolean := True ;
begin
if First_Time then
First_Time := false ;
else
test_report ( "ARCH00659" ,
"The predefined signal attributes of an interface "&
"object (port) of mode 'inout' for an entity may "&
"be read" ,
(Pt2'DELAYED(10 ns) = -2) and
(Not Pt2'STABLE(10 ns)) and
(Not Pt2'QUIET(10 ns)) and
(Pt2'EVENT) and
(Pt2'ACTIVE) and
(STD.STANDARD.NOW - Pt2'LAST_EVENT = 10 ns) and
(STD.STANDARD.NOW - Pt2'LAST_ACTIVE = 10 ns) and
(Pt2'LAST_VALUE = -2)
) ;
end if ;
end process P3 ;
P4 :
process ( Pt2 ) -- Check formal parameters in a subprogram
variable V : Bit_Vector (10 to 20) ;
variable First_Time : boolean := True ;
variable correct : boolean ;
begin
if First_Time then
First_Time := false ;
else
Proc2 (G => V) ;
Proc3 (Pt1, correct) ;
test_report ( "ARCH00659" ,
"The predefined attributes of an interface "&
"object (signal parameter) of mode 'inout' for a subp "&
"may be read" ,
correct
) ;
Proc4 (Pt2, correct) ;
test_report ( "ARCH00659" ,
"The predefined signal attributes of an interface "&
"object (signal parameter) of mode 'inout' for a subp "&
"may be read" ,
correct
) ;
end if ;
end process P4 ;
L1 : -- Check block ports/generics
block
port ( Pt1 : inout Bit_Vector ;
Pt2 : inout Real ) ;
port map ( Pt1 => Pt1, To_Integer(Pt2) => To_Real(Pt2) ) ;
begin
BP2 :
process -- Check formal port on block (no signal attributes)
subtype ST_Up is integer range Pt1'RANGE ;
subtype ST_Down is integer range Pt1'REVERSE_RANGE(1) ;
begin
test_report ( "ARCH00659" ,
"The predefined attributes of an interface "&
"object (port) of mode 'inout' for a block may be read" ,
(Pt1'LEFT = 10) and
(Pt1'RIGHT(1) = 20) and
(Pt1'HIGH(1) = 20) and
(Pt1'LOW = 10) and
(Pt1'LENGTH = 11) and
(ST_Up'LEFT = 10) and
(ST_Up'RIGHT = 20) and
(ST_Down'LEFT = 20) and
(ST_Down'RIGHT = 10)
) ;
wait ;
end process BP2 ;
BP3 :
process ( Pt2 ) -- Check formal port on a block (signal attributes)
variable First_Time : boolean := True ;
begin
if First_Time then
First_Time := false ;
else
test_report ( "ARCH00659" ,
"The predefined signal attributes of an interface "&
"object (port) of mode 'inout' for a block may be read" ,
(Pt2'DELAYED(10 ns) = -2.0) and
(Not Pt2'STABLE(10 ns)) and
(Not Pt2'QUIET(10 ns)) and
(Pt2'EVENT) and
(Pt2'ACTIVE) and
(STD.STANDARD.NOW - Pt2'LAST_EVENT = 10 ns) and
(STD.STANDARD.NOW - Pt2'LAST_ACTIVE = 10 ns) and
(Pt2'LAST_VALUE = -2.0)
) ;
end if ;
end process BP3 ;
end block L1 ;
end ARCH00659 ;
--
use WORK.PKG00659.all;
entity ENT00659_Test_Bench is
end ENT00659_Test_Bench ;
architecture ARCH00659_Test_Bench of ENT00659_Test_Bench is
begin
L1:
block
component UUT
end component ;
constant C : ST := B"10101010101" ;
signal S1 : rBV := C;
signal S2 : rI := -2;
for CIS1 : UUT use entity WORK.ENT00659 ( ARCH00659 )
port map ( S1, S2 ) ;
begin
SigA : S2 <= transport -1 after 10 ns ;
CIS1 : UUT ;
end block L1 ;
end ARCH00659_Test_Bench ;
--
|
---------------------------------------------------------------------------
--
-- Module : decode_8b10b_bram.vhd
--
-- Version : 1.1
--
-- Last Update : 2008-10-31
--
-- Project : 8b/10b Decoder Reference Design
--
-- Description : Block memory-based Decoder for decoding 8b/10b encoded symbols
--
-- Company : Xilinx, Inc.
--
-- DISCLAIMER OF LIABILITY
--
-- This file contains proprietary and confidential information of
-- Xilinx, Inc. ("Xilinx"), that is distributed under a license
-- from Xilinx, and may be used, copied and/or disclosed only
-- pursuant to the terms of a valid license agreement with Xilinx.
--
-- XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION
-- ("MATERIALS") "AS IS" WITHOUT WARRANTY OF ANY KIND, EITHER
-- EXPRESSED, IMPLIED, OR STATUTORY, INCLUDING WITHOUT
-- LIMITATION, ANY WARRANTY WITH RESPECT TO NONINFRINGEMENT,
-- MERCHANTABILITY OR FITNESS FOR ANY PARTICULAR PURPOSE. Xilinx
-- does not warrant that functions included in the Materials will
-- meet the requirements of Licensee, or that the operation of the
-- Materials will be uninterrupted or error-free, or that defects
-- in the Materials will be corrected. Furthermore, Xilinx does
-- not warrant or make any representations regarding use, or the
-- results of the use, of the Materials in terms of correctness,
-- accuracy, reliability or otherwise.
--
-- Xilinx products are not designed or intended to be fail-safe,
-- or for use in any application requiring fail-safe performance,
-- such as life-support or safety devices or systems, Class III
-- medical devices, nuclear facilities, applications related to
-- the deployment of airbags, or any other applications that could
-- lead to death, personal injury or severe property or
-- environmental damage (individually and collectively, "critical
-- applications"). Customer assumes the sole risk and liability
-- of any use of Xilinx products in critical applications,
-- subject only to applicable laws and regulations governing
-- limitations on product liability.
--
-- Copyright 2000, 2001, 2002, 2003, 2004, 2005, 2008 Xilinx, Inc.
-- All rights reserved.
--
-- This disclaimer and copyright notice must be retained as part
-- of this file at all times.
--
-------------------------------------------------------------------------------
--
-- History
--
-- Date Version Description
--
-- 10/31/2008 1.1 Initial release
--
-------------------------------------------------------------------------------
LIBRARY IEEE;
USE IEEE.std_logic_1164.ALL;
USE IEEE.std_logic_arith.ALL;
USE IEEE.std_logic_unsigned.ALL;
USE STD.textio.ALL; -- required to initialize bram from .mif
LIBRARY decode_8b10b;
USE decode_8b10b.decode_8b10b_pkg.ALL;
-----------------------------------------------------------------------------
-- Entity Declaration
-----------------------------------------------------------------------------
ENTITY decode_8b10b_bram IS
GENERIC (
C_ELABORATION_DIR : STRING := "./../../src/";
C_HAS_BPORTS : INTEGER := 0;
C_HAS_DISP_IN : INTEGER := 0;
C_HAS_DISP_IN_B : INTEGER := 0;
C_HAS_DISP_ERR : INTEGER := 0;
C_HAS_DISP_ERR_B : INTEGER := 0;
C_HAS_RUN_DISP : INTEGER := 0;
C_HAS_RUN_DISP_B : INTEGER := 0;
C_HAS_SYM_DISP : INTEGER := 0;
C_HAS_SYM_DISP_B : INTEGER := 0;
C_HAS_ND : INTEGER := 0;
C_HAS_ND_B : INTEGER := 0;
C_SINIT_DOUT : STRING := "00000000";
C_SINIT_DOUT_B : STRING := "00000000";
C_SINIT_KOUT : INTEGER := 0;
C_SINIT_KOUT_B : INTEGER := 0;
C_SINIT_RUN_DISP : INTEGER := 0;
C_SINIT_RUN_DISP_B : INTEGER := 0
);
PORT (
CLK : IN STD_LOGIC := '0';
DIN : IN STD_LOGIC_VECTOR(9 DOWNTO 0) := (OTHERS => '0');
DOUT : OUT STD_LOGIC_VECTOR(7 DOWNTO 0) ;
KOUT : OUT STD_LOGIC ;
CE : IN STD_LOGIC := '0';
CE_B : IN STD_LOGIC := '0';
CLK_B : IN STD_LOGIC := '0';
DIN_B : IN STD_LOGIC_VECTOR(9 DOWNTO 0) := "0000000000";
DISP_IN : IN STD_LOGIC := '0';
DISP_IN_B : IN STD_LOGIC := '0';
SINIT : IN STD_LOGIC := '0';
SINIT_B : IN STD_LOGIC := '0';
CODE_ERR : OUT STD_LOGIC := '0';
CODE_ERR_B : OUT STD_LOGIC := '0';
DISP_ERR : OUT STD_LOGIC := '0';
DISP_ERR_B : OUT STD_LOGIC := '0';
DOUT_B : OUT STD_LOGIC_VECTOR(7 DOWNTO 0) ;
KOUT_B : OUT STD_LOGIC ;
ND : OUT STD_LOGIC := '0';
ND_B : OUT STD_LOGIC := '0';
RUN_DISP : OUT STD_LOGIC ;
RUN_DISP_B : OUT STD_LOGIC ;
SYM_DISP : OUT STD_LOGIC_VECTOR(1 DOWNTO 0) ;
SYM_DISP_B : OUT STD_LOGIC_VECTOR(1 DOWNTO 0)
);
END decode_8b10b_bram;
-----------------------------------------------------------------------------
-- Architecture
-----------------------------------------------------------------------------
ARCHITECTURE xilinx OF decode_8b10b_bram IS
-----------------------------------------------------------------------------
-- .MIF file support
-----------------------------------------------------------------------------
-- Specify relative path for .mif file
CONSTANT mif_file_name : STRING := "dec.mif";
-- Initialize inferred ROM from mif file
TYPE RomType IS ARRAY(0 TO 1023) OF BIT_VECTOR(13 DOWNTO 0);
IMPURE FUNCTION InitRomFromFile (RomFileName : IN STRING) RETURN RomType IS
FILE RomFile : TEXT OPEN READ_MODE IS RomFileName;
VARIABLE RomFileLine : LINE;
VARIABLE ROM : RomType;
BEGIN
FOR I IN RomType'range LOOP
READLINE (RomFile, RomFileLine);
READ (RomFileLine, ROM(I));
END LOOP;
RETURN ROM;
END FUNCTION;
SIGNAL ROM : RomType := InitRomFromFile(mif_file_name);
-----------------------------------------------------------------------------
-- Constant initialization values for internal signals ROM_data(_b)
-----------------------------------------------------------------------------
CONSTANT INIT_DATA : STRING :=
concat_sinit(C_SINIT_RUN_DISP,C_SINIT_KOUT, C_SINIT_DOUT);
CONSTANT INIT_DATA_B : STRING :=
concat_sinit(C_SINIT_RUN_DISP_B,C_SINIT_KOUT_B, C_SINIT_DOUT_B);
-----------------------------------------------------------------------------
-- Signal Declarations
-----------------------------------------------------------------------------
SIGNAL dout_i : STD_LOGIC_VECTOR(7 DOWNTO 0) :=
str_to_slv(C_SINIT_DOUT,8);
SIGNAL kout_i : STD_LOGIC :=
bint_2_sl(C_SINIT_KOUT);
SIGNAL dout_b_i : STD_LOGIC_VECTOR(7 DOWNTO 0) :=
str_to_slv(C_SINIT_DOUT_B,8);
SIGNAL kout_b_i : STD_LOGIC :=
bint_2_sl(C_SINIT_KOUT_B);
SIGNAL run_disp_i : STD_LOGIC :=
bint_2_sl(C_SINIT_RUN_DISP);
SIGNAL run_disp_b_i : STD_LOGIC :=
bint_2_sl(C_SINIT_RUN_DISP_B);
SIGNAL sym_disp_i : STD_LOGIC_VECTOR(1 DOWNTO 0) :=
conv_std_logic_vector(C_SINIT_RUN_DISP,2);
SIGNAL sym_disp_b_i : STD_LOGIC_VECTOR(1 DOWNTO 0) :=
conv_std_logic_vector(C_SINIT_RUN_DISP_B,2);
--Internal signals tied to the 14x1k block memory----------------------------
SIGNAL ROM_address : STD_LOGIC_VECTOR(9 DOWNTO 0) := (OTHERS => '0');
SIGNAL ROM_data : STD_LOGIC_VECTOR(13 DOWNTO 0) :=
str_to_slv(INIT_DATA, 14);
-----------------------------------------------------------------------------
-- BEGIN ARCHITECTURE
-----------------------------------------------------------------------------
BEGIN
-- Map internal signals to outputs
DOUT <= dout_i;
KOUT <= kout_i;
DOUT_B <= dout_b_i;
KOUT_B <= kout_b_i;
RUN_DISP <= run_disp_i;
RUN_DISP_B <= run_disp_b_i;
SYM_DISP <= sym_disp_i;
SYM_DISP_B <= sym_disp_b_i;
-----------------------------------------------------------------------------
-- Decoder A
-----------------------------------------------------------------------------
ROM_address <= DIN;
PROCESS (CLK)
BEGIN
IF (CLK'event AND CLK = '1') THEN
IF (CE = '1') THEN
IF (SINIT = '1') THEN
ROM_data <= str_to_slv(INIT_DATA, 14) AFTER TFF;
ELSE
ROM_data <= to_stdlogicvector(ROM(conv_integer(ROM_address))) AFTER TFF;
END IF;
END IF;
END IF;
END PROCESS;
-- Map ROM data into dout, kout, and code_err outputs
dout_i <= ROM_data(7 DOWNTO 0);
kout_i <= ROM_data(8);
CODE_ERR <= ROM_data(9);
-----------------------------------------------------------------------------
-- Instantiate disparity logic block for Decoder A
-----------------------------------------------------------------------------
dla : ENTITY decode_8b10b.decode_8b10b_disp
GENERIC MAP(
C_SINIT_DOUT => C_SINIT_DOUT,
C_SINIT_RUN_DISP => C_SINIT_RUN_DISP,
C_HAS_DISP_IN => C_HAS_DISP_IN,
C_HAS_DISP_ERR => C_HAS_DISP_ERR,
C_HAS_RUN_DISP => C_HAS_RUN_DISP,
C_HAS_SYM_DISP => C_HAS_SYM_DISP
)
PORT MAP(
SINIT => SINIT,
CE => CE,
CLK => CLK,
SYM_DISP => ROM_data(13 DOWNTO 10),
DISP_IN => DISP_IN,
RUN_DISP => run_disp_i,
DISP_ERR => DISP_ERR,
USER_SYM_DISP => sym_disp_i
);
-- create ND output
gndr : IF (C_HAS_ND = 1) GENERATE
PROCESS (CLK)
BEGIN
IF (CLK'event AND CLK = '1') THEN
IF ((SINIT = '1') AND (CE = '1')) THEN
ND <= '0' AFTER TFF;
ELSE
ND <= CE AFTER TFF;
END IF;
END IF;
END PROCESS;
END GENERATE gndr;
-------------------------------------------------------------------------------
-- Generate Decoder B
-------------------------------------------------------------------------------
gdp : IF (C_HAS_BPORTS=1) GENERATE
--Internal signals tied to the 14x1k block memory (B)----------------------
SIGNAL ROM_address_b : STD_LOGIC_VECTOR(9 DOWNTO 0) := (OTHERS => '0');
SIGNAL ROM_data_b : STD_LOGIC_VECTOR(13 DOWNTO 0) :=
str_to_slv(INIT_DATA_B, 14);
BEGIN
ROM_address_b <= DIN_B;
PROCESS (CLK_B)
BEGIN
IF (CLK_B'event AND CLK_B = '1') THEN
IF (CE_B = '1') THEN
IF (SINIT_B = '1') THEN
ROM_data_b <= str_to_slv(INIT_DATA_B, 14) AFTER TFF;
ELSE
ROM_data_b <= to_stdlogicvector(ROM(conv_integer(ROM_address_b)))
AFTER TFF;
END IF;
END IF;
END IF;
END PROCESS;
-- Map ROM_data_b into dout_b, kout_b, and code_err_b outputs
dout_b_i <= ROM_data_b(7 DOWNTO 0);
kout_b_i <= ROM_data_b(8);
CODE_ERR_B <= ROM_data_b(9);
-----------------------------------------------------------------------------
-- Instantiate disparity logic block for Decoder B
-----------------------------------------------------------------------------
dlb : ENTITY decode_8b10b.decode_8b10b_disp
GENERIC MAP(
C_SINIT_DOUT => C_SINIT_DOUT_B,
C_SINIT_RUN_DISP => C_SINIT_RUN_DISP_B,
C_HAS_DISP_IN => C_HAS_DISP_IN_B,
C_HAS_DISP_ERR => C_HAS_DISP_ERR_B,
C_HAS_RUN_DISP => C_HAS_RUN_DISP_B,
C_HAS_SYM_DISP => C_HAS_SYM_DISP_B
)
PORT MAP(
SINIT => SINIT_B,
CE => CE_B,
CLK => CLK_B,
SYM_DISP => ROM_data_b(13 DOWNTO 10),
DISP_IN => DISP_IN_B,
RUN_DISP => run_disp_b_i,
DISP_ERR => DISP_ERR_B,
USER_SYM_DISP => sym_disp_b_i
);
-- create ND_B output
gndbr : IF (C_HAS_ND_B = 1) GENERATE
PROCESS (CLK_B)
BEGIN
IF (CLK_B'event AND CLK_B = '1') THEN
IF ((SINIT_B = '1') AND (CE_B = '1')) THEN
ND_B <= '0' AFTER TFF;
ELSE
ND_B <= CE_B AFTER TFF;
END IF;
END IF;
END PROCESS;
END GENERATE gndbr;
END GENERATE gdp;
END xilinx;
|
-------------------------------------------------------------------------------
-- $Id: srl_fifo2.vhd,v 1.1.2.1 2009/10/06 21:15:02 gburch Exp $
-------------------------------------------------------------------------------
-- srl_fifo2 - entity / architecture pair
-------------------------------------------------------------------------------
--
-- *************************************************************************
-- ** **
-- ** DISCLAIMER OF LIABILITY **
-- ** **
-- ** This text/file contains proprietary, confidential **
-- ** information of Xilinx, Inc., is distributed under **
-- ** license from Xilinx, Inc., and may be used, copied **
-- ** and/or disclosed only pursuant to the terms of a valid **
-- ** license agreement with Xilinx, Inc. Xilinx hereby **
-- ** grants you a license to use this text/file solely for **
-- ** design, simulation, implementation and creation of **
-- ** design files limited to Xilinx devices or technologies. **
-- ** Use with non-Xilinx devices or technologies is expressly **
-- ** prohibited and immediately terminates your license unless **
-- ** covered by a separate agreement. **
-- ** **
-- ** Xilinx is providing this design, code, or information **
-- ** "as-is" solely for use in developing programs and **
-- ** solutions for Xilinx devices, with no obligation on the **
-- ** part of Xilinx to provide support. By providing this design, **
-- ** code, or information as one possible implementation of **
-- ** this feature, application or standard, Xilinx is making no **
-- ** representation that this implementation is free from any **
-- ** claims of infringement. You are responsible for obtaining **
-- ** any rights you may require for your implementation. **
-- ** Xilinx expressly disclaims any warranty whatsoever with **
-- ** respect to the adequacy of the implementation, including **
-- ** but not limited to any warranties or representations that this **
-- ** implementation is free from claims of infringement, implied **
-- ** warranties of merchantability or fitness for a particular **
-- ** purpose. **
-- ** **
-- ** Xilinx products are not intended for use in life support **
-- ** appliances, devices, or systems. Use in such applications is **
-- ** expressly prohibited. **
-- ** **
-- ** Any modifications that are made to the Source Code are **
-- ** done at the users sole risk and will be unsupported. **
-- ** The Xilinx Support Hotline does not have access to source **
-- ** code and therefore cannot answer specific questions related **
-- ** to source HDL. The Xilinx Hotline support of original source **
-- ** code IP shall only address issues and questions related **
-- ** to the standard Netlist version of the core (and thus **
-- ** indirectly, the original core source). **
-- ** **
-- ** Copyright (c) 2003,2009 Xilinx, Inc. All rights reserved. **
-- ** **
-- ** This copyright and support notice must be retained as part **
-- ** of this text at all times. **
-- ** **
-- *************************************************************************
--
-------------------------------------------------------------------------------
-- Filename: srl_fifo2.vhd
--
-- Description: same as srl_fifo except the Addr port has the correct bit
-- ordering, there is a true FIFO_Empty port, and the C_DEPTH
-- generic actually controlls how many elements the fifo will
-- hold (up to 16). includes an assertion statement to check
-- that C_DEPTH is less than or equal to 16. changed
-- C_DATA_BITS to C_DWIDTH and changed it from natural to
-- positive (the width should be 1 or greater, zero width
-- didn't make sense to me!). Changed C_DEPTH from natural
-- to positive (zero elements doesn't make sense).
-- The Addr port in srl_fifo has the bits reversed which
-- made it more difficult to use. C_DEPTH was not used in
-- srl_fifo. Data_Exists is delayed by one clock so it is
-- not usefull for generating an empty flag. FIFO_Empty is
-- generated directly from the address, the same way that
-- FIFO_Full is generated.
--
-- VHDL-Standard: VHDL'93
-------------------------------------------------------------------------------
-- Structure:
-- srl_fifo2.vhd
--
-------------------------------------------------------------------------------
-- Author: jam
--
-- History:
-- jam 02/20/02 First Version - modified from original srl_fifo
--
-- DCW 2002-03-12 Structural implementation of synchronous reset for
-- Data_Exists DFF (using FDR)
-- jam 04/12/02 Added C_XON generic for mixed vhdl/verilog sims
--
-- als 2002-04-18 added default for XON generic in SRL16E, FDRE, and FDR
-- component declarations
-- jam 2002-05-01 changed FIFO_Empty output from buffer_Empty, which had a
-- clock delay, to the not of data_Exists_I, which doesn't
-- have any delay
--
-- GAB 10/05/09
-- ^^^^^^
-- Moved all helper libraries proc_common_v2_00_a, opb_ipif_v3_01_a, and
-- opb_arbiter_v1_02_e locally into opb_v20_v1_10_d
--
-- Updated legal header
-- ~~~~~~
-------------------------------------------------------------------------------
-- Naming Conventions:
-- active low signals: "*_n"
-- clock signals: "clk", "clk_div#", "clk_#x"
-- reset signals: "rst", "rst_n"
-- generics: "C_*"
-- user defined types: "*_TYPE"
-- state machine next state: "*_ns"
-- state machine current state: "*_cs"
-- combinatorial signals: "*_com"
-- pipelined or register delay signals: "*_d#"
-- counter signals: "*cnt*"
-- clock enable signals: "*_ce"
-- internal version of output port "*_i"
-- device pins: "*_pin"
-- ports: - Names begin with Uppercase
-- processes: "*_PROCESS"
-- component instantiations: "<ENTITY_>I_<#|FUNC>
-------------------------------------------------------------------------------
library ieee;
library unisim;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all; -- conv_std_logic_vector
use unisim.all;
entity srl_fifo2 is
generic (
C_DWIDTH : positive := 8; -- changed to positive
C_DEPTH : positive := 16; -- changed to positive
C_XON : boolean := false -- added for mixed mode sims
);
port (
Clk : in std_logic;
Reset : in std_logic;
FIFO_Write : in std_logic;
Data_In : in std_logic_vector(0 to C_DWIDTH-1);
FIFO_Read : in std_logic;
Data_Out : out std_logic_vector(0 to C_DWIDTH-1);
FIFO_Full : out std_logic;
FIFO_Empty : out std_logic; -- new port
Data_Exists : out std_logic;
Addr : out std_logic_vector(0 to 3)
);
end entity srl_fifo2;
architecture imp of srl_fifo2 is
-- convert C_DEPTH to a std_logic_vector so FIFO_Full can be generated
-- based on the selected depth rather than fixed at 16
constant DEPTH : std_logic_vector(0 to 3) :=
conv_std_logic_vector(C_DEPTH-1,4);
component SRL16E is
-- pragma translate_off
generic (
INIT : bit_vector := X"0000"
);
-- pragma translate_on
port (
CE : in std_logic;
D : in std_logic;
Clk : in std_logic;
A0 : in std_logic;
A1 : in std_logic;
A2 : in std_logic;
A3 : in std_logic;
Q : out std_logic);
end component SRL16E;
-- component LUT4
-- generic(
-- INIT : bit_vector := X"0000"
-- );
-- port (
-- O : out std_logic;
-- I0 : in std_logic;
-- I1 : in std_logic;
-- I2 : in std_logic;
-- I3 : in std_logic);
-- end component;
component MULT_AND
port (
I0 : in std_logic;
I1 : in std_logic;
LO : out std_logic);
end component;
component MUXCY_L
port (
DI : in std_logic;
CI : in std_logic;
S : in std_logic;
LO : out std_logic);
end component;
component XORCY
port (
LI : in std_logic;
CI : in std_logic;
O : out std_logic);
end component;
component FDRE is
port (
Q : out std_logic;
C : in std_logic;
CE : in std_logic;
D : in std_logic;
R : in std_logic);
end component FDRE;
component FDR is
port (
Q : out std_logic;
C : in std_logic;
D : in std_logic;
R : in std_logic);
end component FDR;
signal addr_i : std_logic_vector(0 to 3);
signal buffer_Full : std_logic;
signal buffer_Empty : std_logic;
signal next_Data_Exists : std_logic;
signal data_Exists_I : std_logic;
signal valid_Write : std_logic;
signal hsum_A : std_logic_vector(0 to 3);
signal sum_A : std_logic_vector(0 to 3);
signal addr_cy : std_logic_vector(0 to 4);
begin -- architecture IMP
-- C_DEPTH is positive so that ensures the fifo is at least 1 element deep
-- make sure it is not greater than 16 locations deep
-- pragma translate_off
assert C_DEPTH <= 16
report "SRL Fifo's must be 16 or less elements deep"
severity FAILURE;
-- pragma translate_on
-- since srl16 address is 3 downto 0 need to compare individual bits
-- didn't muck with addr_i since the basic addressing works - Addr output
-- is generated correctly below
buffer_Full <= '1' when (addr_i(0) = DEPTH(3) and
addr_i(1) = DEPTH(2) and
addr_i(2) = DEPTH(1) and
addr_i(3) = DEPTH(0)
) else '0';
FIFO_Full <= buffer_Full;
buffer_Empty <= '1' when (addr_i = "0000") else '0';
FIFO_Empty <= not data_Exists_I; -- generate a true empty flag with no delay
-- was buffer_Empty, which had a clock dly
next_Data_Exists <= (data_Exists_I and not buffer_Empty) or
(buffer_Empty and FIFO_Write) or
(data_Exists_I and not FIFO_Read);
Data_Exists_DFF : FDR
port map (
Q => data_Exists_I, -- [out std_logic]
C => Clk, -- [in std_logic]
D => next_Data_Exists, -- [in std_logic]
R => Reset); -- [in std_logic]
Data_Exists <= data_Exists_I;
valid_Write <= FIFO_Write and (FIFO_Read or not buffer_Full);
addr_cy(0) <= valid_Write;
Addr_Counters : for I in 0 to 3 generate
hsum_A(I) <= (FIFO_Read xor addr_i(I)) and (FIFO_Write or not buffer_Empty);
MUXCY_L_I : MUXCY_L
port map (
DI => addr_i(I), -- [in std_logic]
CI => addr_cy(I), -- [in std_logic]
S => hsum_A(I), -- [in std_logic]
LO => addr_cy(I+1)); -- [out std_logic]
XORCY_I : XORCY
port map (
LI => hsum_A(I), -- [in std_logic]
CI => addr_cy(I), -- [in std_logic]
O => sum_A(I)); -- [out std_logic]
FDRE_I : FDRE
port map (
Q => addr_i(I), -- [out std_logic]
C => Clk, -- [in std_logic]
CE => data_Exists_I, -- [in std_logic]
D => sum_A(I), -- [in std_logic]
R => Reset); -- [in std_logic]
end generate Addr_Counters;
FIFO_RAM : for I in 0 to C_DWIDTH-1 generate
SRL16E_I : SRL16E
-- pragma translate_off
generic map (
INIT => x"0000")
-- pragma translate_on
port map (
CE => valid_Write, -- [in std_logic]
D => Data_In(I), -- [in std_logic]
Clk => Clk, -- [in std_logic]
A0 => addr_i(0), -- [in std_logic]
A1 => addr_i(1), -- [in std_logic]
A2 => addr_i(2), -- [in std_logic]
A3 => addr_i(3), -- [in std_logic]
Q => Data_Out(I)); -- [out std_logic]
end generate FIFO_RAM;
-------------------------------------------------------------------------------
-- INT_ADDR_PROCESS
-------------------------------------------------------------------------------
-- This process assigns the internal address to the output port
-------------------------------------------------------------------------------
-- modified the process to flip the bits since the address bits from the
-- srl16 are 3 downto 0 and Addr needs to be 0 to 3
INT_ADDR_PROCESS:process (addr_i)
begin -- process
for i in Addr'range
loop
Addr(i) <= addr_i(3 - i); -- flip the bits to account for srl16 addr
end loop;
end process;
end architecture imp;
|
-------------------------------------------------------------------------------
-- $Id: srl_fifo2.vhd,v 1.1.2.1 2009/10/06 21:15:02 gburch Exp $
-------------------------------------------------------------------------------
-- srl_fifo2 - entity / architecture pair
-------------------------------------------------------------------------------
--
-- *************************************************************************
-- ** **
-- ** DISCLAIMER OF LIABILITY **
-- ** **
-- ** This text/file contains proprietary, confidential **
-- ** information of Xilinx, Inc., is distributed under **
-- ** license from Xilinx, Inc., and may be used, copied **
-- ** and/or disclosed only pursuant to the terms of a valid **
-- ** license agreement with Xilinx, Inc. Xilinx hereby **
-- ** grants you a license to use this text/file solely for **
-- ** design, simulation, implementation and creation of **
-- ** design files limited to Xilinx devices or technologies. **
-- ** Use with non-Xilinx devices or technologies is expressly **
-- ** prohibited and immediately terminates your license unless **
-- ** covered by a separate agreement. **
-- ** **
-- ** Xilinx is providing this design, code, or information **
-- ** "as-is" solely for use in developing programs and **
-- ** solutions for Xilinx devices, with no obligation on the **
-- ** part of Xilinx to provide support. By providing this design, **
-- ** code, or information as one possible implementation of **
-- ** this feature, application or standard, Xilinx is making no **
-- ** representation that this implementation is free from any **
-- ** claims of infringement. You are responsible for obtaining **
-- ** any rights you may require for your implementation. **
-- ** Xilinx expressly disclaims any warranty whatsoever with **
-- ** respect to the adequacy of the implementation, including **
-- ** but not limited to any warranties or representations that this **
-- ** implementation is free from claims of infringement, implied **
-- ** warranties of merchantability or fitness for a particular **
-- ** purpose. **
-- ** **
-- ** Xilinx products are not intended for use in life support **
-- ** appliances, devices, or systems. Use in such applications is **
-- ** expressly prohibited. **
-- ** **
-- ** Any modifications that are made to the Source Code are **
-- ** done at the users sole risk and will be unsupported. **
-- ** The Xilinx Support Hotline does not have access to source **
-- ** code and therefore cannot answer specific questions related **
-- ** to source HDL. The Xilinx Hotline support of original source **
-- ** code IP shall only address issues and questions related **
-- ** to the standard Netlist version of the core (and thus **
-- ** indirectly, the original core source). **
-- ** **
-- ** Copyright (c) 2003,2009 Xilinx, Inc. All rights reserved. **
-- ** **
-- ** This copyright and support notice must be retained as part **
-- ** of this text at all times. **
-- ** **
-- *************************************************************************
--
-------------------------------------------------------------------------------
-- Filename: srl_fifo2.vhd
--
-- Description: same as srl_fifo except the Addr port has the correct bit
-- ordering, there is a true FIFO_Empty port, and the C_DEPTH
-- generic actually controlls how many elements the fifo will
-- hold (up to 16). includes an assertion statement to check
-- that C_DEPTH is less than or equal to 16. changed
-- C_DATA_BITS to C_DWIDTH and changed it from natural to
-- positive (the width should be 1 or greater, zero width
-- didn't make sense to me!). Changed C_DEPTH from natural
-- to positive (zero elements doesn't make sense).
-- The Addr port in srl_fifo has the bits reversed which
-- made it more difficult to use. C_DEPTH was not used in
-- srl_fifo. Data_Exists is delayed by one clock so it is
-- not usefull for generating an empty flag. FIFO_Empty is
-- generated directly from the address, the same way that
-- FIFO_Full is generated.
--
-- VHDL-Standard: VHDL'93
-------------------------------------------------------------------------------
-- Structure:
-- srl_fifo2.vhd
--
-------------------------------------------------------------------------------
-- Author: jam
--
-- History:
-- jam 02/20/02 First Version - modified from original srl_fifo
--
-- DCW 2002-03-12 Structural implementation of synchronous reset for
-- Data_Exists DFF (using FDR)
-- jam 04/12/02 Added C_XON generic for mixed vhdl/verilog sims
--
-- als 2002-04-18 added default for XON generic in SRL16E, FDRE, and FDR
-- component declarations
-- jam 2002-05-01 changed FIFO_Empty output from buffer_Empty, which had a
-- clock delay, to the not of data_Exists_I, which doesn't
-- have any delay
--
-- GAB 10/05/09
-- ^^^^^^
-- Moved all helper libraries proc_common_v2_00_a, opb_ipif_v3_01_a, and
-- opb_arbiter_v1_02_e locally into opb_v20_v1_10_d
--
-- Updated legal header
-- ~~~~~~
-------------------------------------------------------------------------------
-- Naming Conventions:
-- active low signals: "*_n"
-- clock signals: "clk", "clk_div#", "clk_#x"
-- reset signals: "rst", "rst_n"
-- generics: "C_*"
-- user defined types: "*_TYPE"
-- state machine next state: "*_ns"
-- state machine current state: "*_cs"
-- combinatorial signals: "*_com"
-- pipelined or register delay signals: "*_d#"
-- counter signals: "*cnt*"
-- clock enable signals: "*_ce"
-- internal version of output port "*_i"
-- device pins: "*_pin"
-- ports: - Names begin with Uppercase
-- processes: "*_PROCESS"
-- component instantiations: "<ENTITY_>I_<#|FUNC>
-------------------------------------------------------------------------------
library ieee;
library unisim;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all; -- conv_std_logic_vector
use unisim.all;
entity srl_fifo2 is
generic (
C_DWIDTH : positive := 8; -- changed to positive
C_DEPTH : positive := 16; -- changed to positive
C_XON : boolean := false -- added for mixed mode sims
);
port (
Clk : in std_logic;
Reset : in std_logic;
FIFO_Write : in std_logic;
Data_In : in std_logic_vector(0 to C_DWIDTH-1);
FIFO_Read : in std_logic;
Data_Out : out std_logic_vector(0 to C_DWIDTH-1);
FIFO_Full : out std_logic;
FIFO_Empty : out std_logic; -- new port
Data_Exists : out std_logic;
Addr : out std_logic_vector(0 to 3)
);
end entity srl_fifo2;
architecture imp of srl_fifo2 is
-- convert C_DEPTH to a std_logic_vector so FIFO_Full can be generated
-- based on the selected depth rather than fixed at 16
constant DEPTH : std_logic_vector(0 to 3) :=
conv_std_logic_vector(C_DEPTH-1,4);
component SRL16E is
-- pragma translate_off
generic (
INIT : bit_vector := X"0000"
);
-- pragma translate_on
port (
CE : in std_logic;
D : in std_logic;
Clk : in std_logic;
A0 : in std_logic;
A1 : in std_logic;
A2 : in std_logic;
A3 : in std_logic;
Q : out std_logic);
end component SRL16E;
-- component LUT4
-- generic(
-- INIT : bit_vector := X"0000"
-- );
-- port (
-- O : out std_logic;
-- I0 : in std_logic;
-- I1 : in std_logic;
-- I2 : in std_logic;
-- I3 : in std_logic);
-- end component;
component MULT_AND
port (
I0 : in std_logic;
I1 : in std_logic;
LO : out std_logic);
end component;
component MUXCY_L
port (
DI : in std_logic;
CI : in std_logic;
S : in std_logic;
LO : out std_logic);
end component;
component XORCY
port (
LI : in std_logic;
CI : in std_logic;
O : out std_logic);
end component;
component FDRE is
port (
Q : out std_logic;
C : in std_logic;
CE : in std_logic;
D : in std_logic;
R : in std_logic);
end component FDRE;
component FDR is
port (
Q : out std_logic;
C : in std_logic;
D : in std_logic;
R : in std_logic);
end component FDR;
signal addr_i : std_logic_vector(0 to 3);
signal buffer_Full : std_logic;
signal buffer_Empty : std_logic;
signal next_Data_Exists : std_logic;
signal data_Exists_I : std_logic;
signal valid_Write : std_logic;
signal hsum_A : std_logic_vector(0 to 3);
signal sum_A : std_logic_vector(0 to 3);
signal addr_cy : std_logic_vector(0 to 4);
begin -- architecture IMP
-- C_DEPTH is positive so that ensures the fifo is at least 1 element deep
-- make sure it is not greater than 16 locations deep
-- pragma translate_off
assert C_DEPTH <= 16
report "SRL Fifo's must be 16 or less elements deep"
severity FAILURE;
-- pragma translate_on
-- since srl16 address is 3 downto 0 need to compare individual bits
-- didn't muck with addr_i since the basic addressing works - Addr output
-- is generated correctly below
buffer_Full <= '1' when (addr_i(0) = DEPTH(3) and
addr_i(1) = DEPTH(2) and
addr_i(2) = DEPTH(1) and
addr_i(3) = DEPTH(0)
) else '0';
FIFO_Full <= buffer_Full;
buffer_Empty <= '1' when (addr_i = "0000") else '0';
FIFO_Empty <= not data_Exists_I; -- generate a true empty flag with no delay
-- was buffer_Empty, which had a clock dly
next_Data_Exists <= (data_Exists_I and not buffer_Empty) or
(buffer_Empty and FIFO_Write) or
(data_Exists_I and not FIFO_Read);
Data_Exists_DFF : FDR
port map (
Q => data_Exists_I, -- [out std_logic]
C => Clk, -- [in std_logic]
D => next_Data_Exists, -- [in std_logic]
R => Reset); -- [in std_logic]
Data_Exists <= data_Exists_I;
valid_Write <= FIFO_Write and (FIFO_Read or not buffer_Full);
addr_cy(0) <= valid_Write;
Addr_Counters : for I in 0 to 3 generate
hsum_A(I) <= (FIFO_Read xor addr_i(I)) and (FIFO_Write or not buffer_Empty);
MUXCY_L_I : MUXCY_L
port map (
DI => addr_i(I), -- [in std_logic]
CI => addr_cy(I), -- [in std_logic]
S => hsum_A(I), -- [in std_logic]
LO => addr_cy(I+1)); -- [out std_logic]
XORCY_I : XORCY
port map (
LI => hsum_A(I), -- [in std_logic]
CI => addr_cy(I), -- [in std_logic]
O => sum_A(I)); -- [out std_logic]
FDRE_I : FDRE
port map (
Q => addr_i(I), -- [out std_logic]
C => Clk, -- [in std_logic]
CE => data_Exists_I, -- [in std_logic]
D => sum_A(I), -- [in std_logic]
R => Reset); -- [in std_logic]
end generate Addr_Counters;
FIFO_RAM : for I in 0 to C_DWIDTH-1 generate
SRL16E_I : SRL16E
-- pragma translate_off
generic map (
INIT => x"0000")
-- pragma translate_on
port map (
CE => valid_Write, -- [in std_logic]
D => Data_In(I), -- [in std_logic]
Clk => Clk, -- [in std_logic]
A0 => addr_i(0), -- [in std_logic]
A1 => addr_i(1), -- [in std_logic]
A2 => addr_i(2), -- [in std_logic]
A3 => addr_i(3), -- [in std_logic]
Q => Data_Out(I)); -- [out std_logic]
end generate FIFO_RAM;
-------------------------------------------------------------------------------
-- INT_ADDR_PROCESS
-------------------------------------------------------------------------------
-- This process assigns the internal address to the output port
-------------------------------------------------------------------------------
-- modified the process to flip the bits since the address bits from the
-- srl16 are 3 downto 0 and Addr needs to be 0 to 3
INT_ADDR_PROCESS:process (addr_i)
begin -- process
for i in Addr'range
loop
Addr(i) <= addr_i(3 - i); -- flip the bits to account for srl16 addr
end loop;
end process;
end architecture imp;
|
--------------------------------------------------------------------------------------------------
-- Interpolator Testbench
--------------------------------------------------------------------------------------------------
-- Matthew Dallmeyer - [email protected]
--------------------------------------------------------------------------------------------------
-- ENTITY
--------------------------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
library work;
use work.tb_clockgen_pkg.all;
use work.tb_read_csv_pkg.all;
use work.tb_write_csv_pkg.all;
use work.dsp_pkg.all;
use work.interpolator_pkg.all;
--This module is a test-bench for simulating the fir filter
entity tb_interpolator is
end tb_interpolator;
--------------------------------------------------------------------------------------------------
-- ARCHITECTURE
--------------------------------------------------------------------------------------------------
architecture sim of tb_interpolator is
-- constant INPUT_FILE : string
-- := "X:\Education\Masters Thesis\matlab\fir_filters\singleSig_decimated.csv";
-- constant OUTPUT_FILE : string
-- := "X:\Education\Masters Thesis\matlab\fir_filters\singleSig_interpolated.csv";
-- constant INPUT_FILE : string
-- := "X:\Education\Masters Thesis\matlab\fir_filters\mixedSigs_decimated.csv";
-- constant OUTPUT_FILE : string
-- := "X:\Education\Masters Thesis\matlab\fir_filters\mixedSigs_interpolated.csv";
constant INPUT_FILE : string
:= "X:\Education\Masters Thesis\matlab\fir_filters\chirp_decimated.csv";
constant OUTPUT_FILE : string
:= "X:\Education\Masters Thesis\matlab\fir_filters\chirp_interpolated.csv";
signal rst : std_logic := '0';
signal clk_10ns : std_logic := '0';
signal clk_20ns : std_logic := '0';
signal sig_in : sig := (others => '0');
signal sig_out : sig := (others => '0');
begin
--Instantiate clock generator
clk1 : tb_clockgen
generic map(PERIOD => 10ns,
DUTY_CYCLE => 0.50)
port map( clk => clk_10ns);
clk2 : tb_clockgen
generic map(PERIOD => 20ns,
DUTY_CYCLE => 0.50)
port map( clk => clk_20ns);
--Instantiate file reader
reader : tb_read_csv
generic map(FILENAME => INPUT_FILE)
port map( clk => clk_20ns,
sig(data) => sig_in);
--Instantiate unit under test
uut : entity work.interpolator(behave)
-- generic map(h => LOW_PASS)
generic map(h => PR_SYNTHESIS_LOW)
port map( clk_high => clk_10ns,
clk_low => clk_20ns,
rst => rst,
sig_low => sig_in,
sig_high => sig_out);
--Instantiate a file writer
writer : tb_write_csv
generic map(FILENAME => OUTPUT_FILE)
port map( clk => clk_10ns,
data => std_logic_vector(sig_out));
--Main Process
--TODO: Add a check for end of file, once reached terminate simulation.
main: process
begin
rst <= '1';
wait for 36ns;
rst <= '0';
wait;
end process;
end sim;
|
-- Copyright (c) 2015-2016 CERN
-- Maciej Suminski <[email protected]>
--
-- This source code is free software; you can redistribute it
-- and/or modify it in source code form under the terms of the GNU
-- General Public License as published by the Free Software
-- Foundation; either version 2 of the License, or (at your option)
-- any later version.
--
-- This program is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with this program; if not, write to the Free Software
-- Foundation, Inc., 59 Temple Place - Suite 330, Boston, MA 02111-1307, USA
-- Test for shift operators (logical and arithmetic)
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_bit.all;
entity shifter is
port(input : in signed(7 downto 0);
out_srl, out_sll, out_sra, out_sla : out signed(7 downto 0);
out_shl_u, out_shr_u : out unsigned(7 downto 0);
out_shl_s, out_shr_s : out signed(7 downto 0)
);
end entity shifter;
architecture test of shifter is
begin
process(input)
-- test the unsigned variant of shift_left/right() functions
variable unsigned_input : unsigned(7 downto 0);
begin
unsigned_input := input;
out_srl <= input srl 1;
out_sll <= input sll 1;
out_sra <= input sra 1;
out_sla <= input sla 1;
out_shl_s <= shift_left(input, 2);
out_shr_s <= shift_right(input, 2);
out_shl_u <= shift_left(unsigned_input, 2);
out_shr_u <= shift_right(unsigned_input, 2);
end process;
end architecture test;
|
-- Copyright (c) 2015-2016 CERN
-- Maciej Suminski <[email protected]>
--
-- This source code is free software; you can redistribute it
-- and/or modify it in source code form under the terms of the GNU
-- General Public License as published by the Free Software
-- Foundation; either version 2 of the License, or (at your option)
-- any later version.
--
-- This program is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with this program; if not, write to the Free Software
-- Foundation, Inc., 59 Temple Place - Suite 330, Boston, MA 02111-1307, USA
-- Test for shift operators (logical and arithmetic)
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_bit.all;
entity shifter is
port(input : in signed(7 downto 0);
out_srl, out_sll, out_sra, out_sla : out signed(7 downto 0);
out_shl_u, out_shr_u : out unsigned(7 downto 0);
out_shl_s, out_shr_s : out signed(7 downto 0)
);
end entity shifter;
architecture test of shifter is
begin
process(input)
-- test the unsigned variant of shift_left/right() functions
variable unsigned_input : unsigned(7 downto 0);
begin
unsigned_input := input;
out_srl <= input srl 1;
out_sll <= input sll 1;
out_sra <= input sra 1;
out_sla <= input sla 1;
out_shl_s <= shift_left(input, 2);
out_shr_s <= shift_right(input, 2);
out_shl_u <= shift_left(unsigned_input, 2);
out_shr_u <= shift_right(unsigned_input, 2);
end process;
end architecture test;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc100.vhd,v 1.2 2001-10-26 16:29:38 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
package c04s03b02x00p29n06i00100pkg is
type int_1 is range 1 to 32;
attribute pin_number : int_1;
end c04s03b02x00p29n06i00100pkg;
use work.c04s03b02x00p29n06i00100pkg.all;
ENTITY c04s03b02x00p29n06i00100ent IS
port ( P2 : out bit) ;
attribute pin_number of P2 : signal is 1;
END c04s03b02x00p29n06i00100ent;
ARCHITECTURE c04s03b02x00p29n06i00100arch OF c04s03b02x00p29n06i00100ent IS
BEGIN
TESTING: PROCESS
variable pn : int_1;
BEGIN
pn := 1;
assert NOT( P2'pin_number = pn )
report "***PASSED TEST: c04s03b02x00p29n06i00100" severity NOTE;
assert ( P2'pin_number = pn )
report "***FAILED TEST: c04s03b02x00p29n06i00100 - Reading user defined attributes of interface elements of mode 'out' should be permitted."
severity ERROR;
wait;
END PROCESS TESTING;
END c04s03b02x00p29n06i00100arch;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc100.vhd,v 1.2 2001-10-26 16:29:38 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
package c04s03b02x00p29n06i00100pkg is
type int_1 is range 1 to 32;
attribute pin_number : int_1;
end c04s03b02x00p29n06i00100pkg;
use work.c04s03b02x00p29n06i00100pkg.all;
ENTITY c04s03b02x00p29n06i00100ent IS
port ( P2 : out bit) ;
attribute pin_number of P2 : signal is 1;
END c04s03b02x00p29n06i00100ent;
ARCHITECTURE c04s03b02x00p29n06i00100arch OF c04s03b02x00p29n06i00100ent IS
BEGIN
TESTING: PROCESS
variable pn : int_1;
BEGIN
pn := 1;
assert NOT( P2'pin_number = pn )
report "***PASSED TEST: c04s03b02x00p29n06i00100" severity NOTE;
assert ( P2'pin_number = pn )
report "***FAILED TEST: c04s03b02x00p29n06i00100 - Reading user defined attributes of interface elements of mode 'out' should be permitted."
severity ERROR;
wait;
END PROCESS TESTING;
END c04s03b02x00p29n06i00100arch;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc100.vhd,v 1.2 2001-10-26 16:29:38 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
package c04s03b02x00p29n06i00100pkg is
type int_1 is range 1 to 32;
attribute pin_number : int_1;
end c04s03b02x00p29n06i00100pkg;
use work.c04s03b02x00p29n06i00100pkg.all;
ENTITY c04s03b02x00p29n06i00100ent IS
port ( P2 : out bit) ;
attribute pin_number of P2 : signal is 1;
END c04s03b02x00p29n06i00100ent;
ARCHITECTURE c04s03b02x00p29n06i00100arch OF c04s03b02x00p29n06i00100ent IS
BEGIN
TESTING: PROCESS
variable pn : int_1;
BEGIN
pn := 1;
assert NOT( P2'pin_number = pn )
report "***PASSED TEST: c04s03b02x00p29n06i00100" severity NOTE;
assert ( P2'pin_number = pn )
report "***FAILED TEST: c04s03b02x00p29n06i00100 - Reading user defined attributes of interface elements of mode 'out' should be permitted."
severity ERROR;
wait;
END PROCESS TESTING;
END c04s03b02x00p29n06i00100arch;
|
-----------------------------------------------------------------------------
-- LEON3 Xilinx KC705 Demonstration design
------------------------------------------------------------------------------
-- This file is a part of the GRLIB VHDL IP LIBRARY
-- Copyright (C) 2003 - 2008, Gaisler Research
-- Copyright (C) 2008 - 2014, Aeroflex Gaisler
--
-- This program is free software; you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation; either version 2 of the License, or
-- (at your option) any later version.
--
-- This program is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with this program; if not, write to the Free Software
-- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
library grlib, techmap;
use grlib.amba.all;
use grlib.stdlib.all;
use techmap.gencomp.all;
use techmap.allclkgen.all;
library gaisler;
use gaisler.memctrl.all;
use gaisler.leon3.all;
use gaisler.uart.all;
use gaisler.misc.all;
use gaisler.i2c.all;
use gaisler.net.all;
use gaisler.jtag.all;
-- pragma translate_off
use gaisler.sim.all;
library unisim;
use unisim.all;
-- pragma translate_on
library esa;
use esa.memoryctrl.all;
use work.config.all;
entity leon3mp is
generic (
fabtech : integer := CFG_FABTECH;
memtech : integer := CFG_MEMTECH;
padtech : integer := CFG_PADTECH;
clktech : integer := CFG_CLKTECH;
disas : integer := CFG_DISAS; -- Enable disassembly to console
dbguart : integer := CFG_DUART; -- Print UART on console
pclow : integer := CFG_PCLOW;
testahb : boolean := false;
SIM_BYPASS_INIT_CAL : string := "OFF";
SIMULATION : string := "FALSE";
USE_MIG_INTERFACE_MODEL : boolean := false
);
port (
reset : in std_ulogic;
clk200p : in std_ulogic; -- 200 MHz clock
clk200n : in std_ulogic; -- 200 MHz clock
address : out std_logic_vector(25 downto 0);
data : inout std_logic_vector(15 downto 0);
oen : out std_ulogic;
writen : out std_ulogic;
romsn : out std_logic;
adv : out std_logic;
ddr3_dq : inout std_logic_vector(63 downto 0);
ddr3_dqs_p : inout std_logic_vector(7 downto 0);
ddr3_dqs_n : inout std_logic_vector(7 downto 0);
ddr3_addr : out std_logic_vector(13 downto 0);
ddr3_ba : out std_logic_vector(2 downto 0);
ddr3_ras_n : out std_logic;
ddr3_cas_n : out std_logic;
ddr3_we_n : out std_logic;
ddr3_reset_n : out std_logic;
ddr3_ck_p : out std_logic_vector(0 downto 0);
ddr3_ck_n : out std_logic_vector(0 downto 0);
ddr3_cke : out std_logic_vector(0 downto 0);
ddr3_cs_n : out std_logic_vector(0 downto 0);
ddr3_dm : out std_logic_vector(7 downto 0);
ddr3_odt : out std_logic_vector(0 downto 0);
dsurx : in std_ulogic;
dsutx : out std_ulogic;
dsuctsn : in std_ulogic;
dsurtsn : out std_ulogic;
button : in std_logic_vector(3 downto 0);
switch : inout std_logic_vector(3 downto 0);
led : out std_logic_vector(6 downto 0);
iic_scl : inout std_ulogic;
iic_sda : inout std_ulogic;
gtrefclk_p : in std_logic;
gtrefclk_n : in std_logic;
phy_gtxclk : out std_logic;
phy_txd : out std_logic_vector(3 downto 0);
phy_txctl_txen : out std_ulogic;
phy_rxd : in std_logic_vector(3 downto 0);
phy_rxctl_rxdv : in std_ulogic;
phy_rxclk : in std_ulogic;
phy_reset : out std_ulogic;
phy_mdio : inout std_logic;
phy_mdc : out std_ulogic;
phy_int : in std_ulogic
);
end;
architecture rtl of leon3mp is
component ahb2mig_series7
generic(
hindex : integer := 0;
haddr : integer := 0;
hmask : integer := 16#f00#;
pindex : integer := 0;
paddr : integer := 0;
pmask : integer := 16#fff#;
SIM_BYPASS_INIT_CAL : string := "OFF";
SIMULATION : string := "FALSE";
USE_MIG_INTERFACE_MODEL : boolean := false
);
port(
ddr3_dq : inout std_logic_vector(63 downto 0);
ddr3_dqs_p : inout std_logic_vector(7 downto 0);
ddr3_dqs_n : inout std_logic_vector(7 downto 0);
ddr3_addr : out std_logic_vector(13 downto 0);
ddr3_ba : out std_logic_vector(2 downto 0);
ddr3_ras_n : out std_logic;
ddr3_cas_n : out std_logic;
ddr3_we_n : out std_logic;
ddr3_reset_n : out std_logic;
ddr3_ck_p : out std_logic_vector(0 downto 0);
ddr3_ck_n : out std_logic_vector(0 downto 0);
ddr3_cke : out std_logic_vector(0 downto 0);
ddr3_cs_n : out std_logic_vector(0 downto 0);
ddr3_dm : out std_logic_vector(7 downto 0);
ddr3_odt : out std_logic_vector(0 downto 0);
ahbso : out ahb_slv_out_type;
ahbsi : in ahb_slv_in_type;
apbi : in apb_slv_in_type;
apbo : out apb_slv_out_type;
calib_done : out std_logic;
rst_n_syn : in std_logic;
rst_n_async : in std_logic;
clk_amba : in std_logic;
sys_clk_p : in std_logic;
sys_clk_n : in std_logic;
clk_ref_i : in std_logic;
ui_clk : out std_logic;
ui_clk_sync_rst : out std_logic
);
end component ;
component ddr_dummy
port (
ddr_dq : inout std_logic_vector(63 downto 0);
ddr_dqs : inout std_logic_vector(7 downto 0);
ddr_dqs_n : inout std_logic_vector(7 downto 0);
ddr_addr : out std_logic_vector(13 downto 0);
ddr_ba : out std_logic_vector(2 downto 0);
ddr_ras_n : out std_logic;
ddr_cas_n : out std_logic;
ddr_we_n : out std_logic;
ddr_reset_n : out std_logic;
ddr_ck_p : out std_logic_vector(0 downto 0);
ddr_ck_n : out std_logic_vector(0 downto 0);
ddr_cke : out std_logic_vector(0 downto 0);
ddr_cs_n : out std_logic_vector(0 downto 0);
ddr_dm : out std_logic_vector(7 downto 0);
ddr_odt : out std_logic_vector(0 downto 0)
);
end component ;
-- pragma translate_off
component ahbram_sim
generic (
hindex : integer := 0;
haddr : integer := 0;
hmask : integer := 16#fff#;
tech : integer := DEFMEMTECH;
kbytes : integer := 1;
pipe : integer := 0;
maccsz : integer := AHBDW;
fname : string := "ram.dat"
);
port (
rst : in std_ulogic;
clk : in std_ulogic;
ahbsi : in ahb_slv_in_type;
ahbso : out ahb_slv_out_type
);
end component ;
-- pragma translate_on
component IBUFDS_GTE2
port (
O : out std_ulogic;
ODIV2 : out std_ulogic;
CEB : in std_ulogic;
I : in std_ulogic;
IB : in std_ulogic
);
end component;
component IDELAYCTRL
port (
RDY : out std_ulogic;
REFCLK : in std_ulogic;
RST : in std_ulogic
);
end component;
component IODELAYE1
generic (
DELAY_SRC : string := "I";
IDELAY_TYPE : string := "DEFAULT";
IDELAY_VALUE : integer := 0
);
port (
CNTVALUEOUT : out std_logic_vector(4 downto 0);
DATAOUT : out std_ulogic;
C : in std_ulogic;
CE : in std_ulogic;
CINVCTRL : in std_ulogic;
CLKIN : in std_ulogic;
CNTVALUEIN : in std_logic_vector(4 downto 0);
DATAIN : in std_ulogic;
IDATAIN : in std_ulogic;
INC : in std_ulogic;
ODATAIN : in std_ulogic;
RST : in std_ulogic;
T : in std_ulogic
);
end component;
component BUFG port (O : out std_logic; I : in std_logic); end component;
--constant maxahbm : integer := CFG_NCPU+CFG_AHB_UART+CFG_AHB_JTAG+CFG_GRETH;
constant maxahbm : integer := 16;
--constant maxahbs : integer := 1+CFG_DSU+CFG_MCTRL_LEON2+CFG_AHBROMEN+CFG_AHBRAMEN+2;
constant maxahbs : integer := 16;
constant maxapbs : integer := CFG_IRQ3_ENABLE+CFG_GPT_ENABLE+CFG_GRGPIO_ENABLE+CFG_AHBSTAT+CFG_AHBSTAT;
signal vcc, gnd : std_logic;
signal memi : memory_in_type;
signal memo : memory_out_type;
signal wpo : wprot_out_type;
signal sdi : sdctrl_in_type;
signal sdo : sdram_out_type;
signal sdo2, sdo3 : sdctrl_out_type;
signal apbi : apb_slv_in_type;
signal apbo : apb_slv_out_vector := (others => apb_none);
signal ahbsi : ahb_slv_in_type;
signal ahbso : ahb_slv_out_vector := (others => ahbs_none);
signal ahbmi : ahb_mst_in_type;
signal vahbmi : ahb_mst_in_type;
signal ahbmo : ahb_mst_out_vector := (others => ahbm_none);
signal vahbmo : ahb_mst_out_type;
signal ui_clk : std_ulogic;
signal clkm : std_ulogic := '0';
signal rstn, rstraw, sdclkl : std_ulogic;
signal clk_200 : std_ulogic;
signal clk25, clk40, clk65 : std_ulogic;
signal cgi, cgi2 : clkgen_in_type;
signal cgo, cgo2 : clkgen_out_type;
signal u1i, u2i, dui : uart_in_type;
signal u1o, u2o, duo : uart_out_type;
signal irqi : irq_in_vector(0 to CFG_NCPU-1);
signal irqo : irq_out_vector(0 to CFG_NCPU-1);
signal dbgi : l3_debug_in_vector(0 to CFG_NCPU-1);
signal dbgo : l3_debug_out_vector(0 to CFG_NCPU-1);
signal dsui : dsu_in_type;
signal dsuo : dsu_out_type;
signal gmiii : eth_in_type;
signal gmiio : eth_out_type;
signal rgmiii,rgmiii_buf : eth_in_type;
signal rgmiio : eth_out_type;
signal sgmiii : eth_sgmii_in_type;
signal sgmiio : eth_sgmii_out_type;
signal sgmiirst : std_logic;
signal ethernet_phy_int : std_logic;
signal rxd1 : std_logic;
signal txd1 : std_logic;
signal ethi : eth_in_type;
signal etho : eth_out_type;
signal gtx_clk,gtx_clk_nobuf,gtx_clk90 : std_ulogic;
signal rstgtxn : std_logic;
signal gpti : gptimer_in_type;
signal gpto : gptimer_out_type;
signal gpioi : gpio_in_type;
signal gpioo : gpio_out_type;
signal clklock, elock, ulock : std_ulogic;
signal lock, calib_done, clkml, lclk, rst, ndsuact : std_ulogic;
signal tck, tckn, tms, tdi, tdo : std_ulogic;
signal lcd_datal : std_logic_vector(11 downto 0);
signal lcd_hsyncl, lcd_vsyncl, lcd_del, lcd_reset_bl : std_ulogic;
signal i2ci, dvi_i2ci : i2c_in_type;
signal i2co, dvi_i2co : i2c_out_type;
constant BOARD_FREQ : integer := 200000; -- input frequency in KHz
constant CPU_FREQ : integer := BOARD_FREQ * CFG_CLKMUL / CFG_CLKDIV; -- cpu frequency in KHz
signal stati : ahbstat_in_type;
signal fpi : grfpu_in_vector_type;
signal fpo : grfpu_out_vector_type;
signal dsurx_int : std_logic;
signal dsutx_int : std_logic;
signal dsuctsn_int : std_logic;
signal dsurtsn_int : std_logic;
signal dsu_sel : std_logic;
signal idelay_reset_cnt : std_logic_vector(3 downto 0);
signal idelayctrl_reset : std_logic;
signal io_ref : std_logic;
signal clkref : std_logic;
signal migrstn : std_logic;
begin
----------------------------------------------------------------------
--- Reset and Clock generation -------------------------------------
----------------------------------------------------------------------
vcc <= '1'; gnd <= '0';
cgi.pllctrl <= "00"; cgi.pllrst <= rstraw;
clk_gen0 : if (CFG_MIG_SERIES7 = 0) generate
clk_pad_ds : clkpad_ds generic map (tech => padtech, level => sstl, voltage => x15v) port map (clk200p, clk200n, lclk);
clkgen0 : clkgen -- clock generator
generic map (clktech, CFG_CLKMUL, CFG_CLKDIV, CFG_MCTRL_SDEN,CFG_CLK_NOFB, 0, 0, 0, BOARD_FREQ)
port map (lclk, lclk, clkm, open, open, open, open, cgi, cgo, open, open, open);
end generate;
reset_pad : inpad generic map (tech => padtech, level => cmos, voltage => x15v) port map (reset, rst);
rst0 : rstgen -- reset generator
generic map (acthigh => 1, syncin => 1)
port map (rst, clkm, lock, rstn, rstraw);
lock <= calib_done when CFG_MIG_SERIES7 = 1 else cgo.clklock;
rst1 : rstgen -- reset generator
generic map (acthigh => 1)
port map (rst, clkm, '1', migrstn, open);
----------------------------------------------------------------------
--- AHB CONTROLLER --------------------------------------------------
----------------------------------------------------------------------
ahb0 : ahbctrl -- AHB arbiter/multiplexer
generic map (defmast => CFG_DEFMST, split => CFG_SPLIT,
rrobin => CFG_RROBIN, ioaddr => CFG_AHBIO, fpnpen => CFG_FPNPEN,
nahbm => maxahbm, nahbs => maxahbs)
port map (rstn, clkm, ahbmi, ahbmo, ahbsi, ahbso);
----------------------------------------------------------------------
--- LEON3 processor and DSU -----------------------------------------
----------------------------------------------------------------------
nosh : if CFG_GRFPUSH = 0 generate
cpu : for i in 0 to CFG_NCPU-1 generate
l3ft : if CFG_LEON3FT_EN /= 0 generate
leon3ft0 : leon3ft -- LEON3 processor
generic map (i, fabtech, memtech, CFG_NWIN, CFG_DSU, CFG_FPU, CFG_V8,
0, CFG_MAC, pclow, CFG_NOTAG, CFG_NWP, CFG_ICEN, CFG_IREPL, CFG_ISETS, CFG_ILINE,
CFG_ISETSZ, CFG_ILOCK, CFG_DCEN, CFG_DREPL, CFG_DSETS, CFG_DLINE, CFG_DSETSZ,
CFG_DLOCK, CFG_DSNOOP, CFG_ILRAMEN, CFG_ILRAMSZ, CFG_ILRAMADDR, CFG_DLRAMEN,
CFG_DLRAMSZ, CFG_DLRAMADDR, CFG_MMUEN, CFG_ITLBNUM, CFG_DTLBNUM, CFG_TLB_TYPE, CFG_TLB_REP,
CFG_LDDEL, disas, CFG_ITBSZ, CFG_PWD, CFG_SVT, CFG_RSTADDR, CFG_NCPU-1,
CFG_IUFT_EN, CFG_FPUFT_EN, CFG_CACHE_FT_EN, CFG_RF_ERRINJ,
CFG_CACHE_ERRINJ, CFG_DFIXED, CFG_LEON3_NETLIST, CFG_SCAN, CFG_MMU_PAGE)
port map (clkm, rstn, ahbmi, ahbmo(i), ahbsi, ahbso,
irqi(i), irqo(i), dbgi(i), dbgo(i), clkm);
end generate;
l3s : if CFG_LEON3FT_EN = 0 generate
u0 : leon3s -- LEON3 processor
generic map (i, fabtech, memtech, CFG_NWIN, CFG_DSU, CFG_FPU, CFG_V8,
0, CFG_MAC, pclow, CFG_NOTAG, CFG_NWP, CFG_ICEN, CFG_IREPL, CFG_ISETS, CFG_ILINE,
CFG_ISETSZ, CFG_ILOCK, CFG_DCEN, CFG_DREPL, CFG_DSETS, CFG_DLINE, CFG_DSETSZ,
CFG_DLOCK, CFG_DSNOOP, CFG_ILRAMEN, CFG_ILRAMSZ, CFG_ILRAMADDR, CFG_DLRAMEN,
CFG_DLRAMSZ, CFG_DLRAMADDR, CFG_MMUEN, CFG_ITLBNUM, CFG_DTLBNUM, CFG_TLB_TYPE, CFG_TLB_REP,
CFG_LDDEL, disas, CFG_ITBSZ, CFG_PWD, CFG_SVT, CFG_RSTADDR, CFG_NCPU-1,
CFG_DFIXED, CFG_SCAN, CFG_MMU_PAGE, CFG_BP)
port map (clkm, rstn, ahbmi, ahbmo(i), ahbsi, ahbso,
irqi(i), irqo(i), dbgi(i), dbgo(i));
end generate;
end generate;
end generate;
sh : if CFG_GRFPUSH = 1 generate
cpu : for i in 0 to CFG_NCPU-1 generate
l3ft : if CFG_LEON3FT_EN /= 0 generate
leon3ft0 : leon3ftsh -- LEON3 processor
generic map (i, fabtech, memtech, CFG_NWIN, CFG_DSU, CFG_FPU, CFG_V8,
0, CFG_MAC, pclow, CFG_NOTAG, CFG_NWP, CFG_ICEN, CFG_IREPL, CFG_ISETS, CFG_ILINE,
CFG_ISETSZ, CFG_ILOCK, CFG_DCEN, CFG_DREPL, CFG_DSETS, CFG_DLINE, CFG_DSETSZ,
CFG_DLOCK, CFG_DSNOOP, CFG_ILRAMEN, CFG_ILRAMSZ, CFG_ILRAMADDR, CFG_DLRAMEN,
CFG_DLRAMSZ, CFG_DLRAMADDR, CFG_MMUEN, CFG_ITLBNUM, CFG_DTLBNUM, CFG_TLB_TYPE, CFG_TLB_REP,
CFG_LDDEL, disas, CFG_ITBSZ, CFG_PWD, CFG_SVT, CFG_RSTADDR, CFG_NCPU-1,
CFG_IUFT_EN, CFG_FPUFT_EN, CFG_CACHE_FT_EN, CFG_RF_ERRINJ,
CFG_CACHE_ERRINJ, CFG_DFIXED, CFG_LEON3_NETLIST, CFG_SCAN, CFG_MMU_PAGE)
port map (clkm, rstn, ahbmi, ahbmo(i), ahbsi, ahbso,
irqi(i), irqo(i), dbgi(i), dbgo(i), clkm, fpi(i), fpo(i));
end generate;
l3s : if CFG_LEON3FT_EN = 0 generate
u0 : leon3sh -- LEON3 processor
generic map (i, fabtech, memtech, CFG_NWIN, CFG_DSU, CFG_FPU, CFG_V8,
0, CFG_MAC, pclow, CFG_NOTAG, CFG_NWP, CFG_ICEN, CFG_IREPL, CFG_ISETS, CFG_ILINE,
CFG_ISETSZ, CFG_ILOCK, CFG_DCEN, CFG_DREPL, CFG_DSETS, CFG_DLINE, CFG_DSETSZ,
CFG_DLOCK, CFG_DSNOOP, CFG_ILRAMEN, CFG_ILRAMSZ, CFG_ILRAMADDR, CFG_DLRAMEN,
CFG_DLRAMSZ, CFG_DLRAMADDR, CFG_MMUEN, CFG_ITLBNUM, CFG_DTLBNUM, CFG_TLB_TYPE, CFG_TLB_REP,
CFG_LDDEL, disas, CFG_ITBSZ, CFG_PWD, CFG_SVT, CFG_RSTADDR, CFG_NCPU-1,
CFG_DFIXED, CFG_SCAN, CFG_MMU_PAGE)
port map (clkm, rstn, ahbmi, ahbmo(i), ahbsi, ahbso,
irqi(i), irqo(i), dbgi(i), dbgo(i), fpi(i), fpo(i));
end generate;
end generate;
grfpush0 : grfpushwx generic map ((CFG_FPU-1), CFG_NCPU, fabtech)
port map (clkm, rstn, fpi, fpo);
end generate;
led1_pad : outpad generic map (tech => padtech, level => cmos, voltage => x15v) port map (led(1), dbgo(0).error);
-- LEON3 Debug Support Unit
dsugen : if CFG_DSU = 1 generate
dsu0 : dsu3 -- LEON3 Debug Support Unit
generic map (hindex => 2, haddr => 16#900#, hmask => 16#F00#,
ncpu => CFG_NCPU, tbits => 30, tech => memtech, irq => 0, kbytes => CFG_ATBSZ)
port map (rstn, clkm, ahbmi, ahbsi, ahbso(2), dbgo, dbgi, dsui, dsuo);
dsui.enable <= '1';
dsui_break_pad : inpad generic map (level => cmos, voltage => x25v, tech => padtech) port map (button(0), dsui.break);
dsuact_pad : outpad generic map (tech => padtech, level => cmos, voltage => x15v) port map (led(0), ndsuact);
ndsuact <= not dsuo.active;
end generate;
nodsu : if CFG_DSU = 0 generate
dsuo.tstop <= '0'; dsuo.active <= '0'; ahbso(2) <= ahbs_none;
end generate;
-- Debug UART
dcomgen : if CFG_AHB_UART = 1 generate
dcom0 : ahbuart
generic map (hindex => CFG_NCPU, pindex => 7, paddr => 7)
port map (rstn, clkm, dui, duo, apbi, apbo(7), ahbmi, ahbmo(CFG_NCPU));
dui.extclk <= '0';
end generate;
nouah : if CFG_AHB_UART = 0 generate
apbo(7) <= apb_none;
duo.txd <= '0';
duo.rtsn <= '0';
dui.extclk <= '0';
end generate;
sw4_pad : iopad generic map (tech => padtech, level => cmos, voltage => x25v)
port map (switch(3), '0', '1', dsu_sel);
dsutx_int <= duo.txd when dsu_sel = '1' else u1o.txd;
dui.rxd <= dsurx_int when dsu_sel = '1' else '1';
u1i.rxd <= dsurx_int when dsu_sel = '0' else '1';
dsurtsn_int <= duo.rtsn when dsu_sel = '1' else u1o.rtsn;
dui.ctsn <= dsuctsn_int when dsu_sel = '1' else '1';
u1i.ctsn <= dsuctsn_int when dsu_sel = '0' else '1';
dsurx_pad : inpad generic map (level => cmos, voltage => x25v, tech => padtech) port map (dsurx, dsurx_int);
dsutx_pad : outpad generic map (level => cmos, voltage => x25v, tech => padtech) port map (dsutx, dsutx_int);
dsuctsn_pad : inpad generic map (level => cmos, voltage => x25v, tech => padtech) port map (dsuctsn, dsuctsn_int);
dsurtsn_pad : outpad generic map (level => cmos, voltage => x25v, tech => padtech) port map (dsurtsn, dsurtsn_int);
ahbjtaggen0 :if CFG_AHB_JTAG = 1 generate
ahbjtag0 : ahbjtag generic map(tech => fabtech, hindex => CFG_NCPU+1)
port map(rstn, clkm, tck, tms, tdi, tdo, ahbmi, ahbmo(CFG_NCPU+1),
open, open, open, open, open, open, open, gnd);
end generate;
nojtag : if CFG_AHB_JTAG = 0 generate apbo(CFG_NCPU+1) <= apb_none; end generate;
----------------------------------------------------------------------
--- Memory controllers ----------------------------------------------
----------------------------------------------------------------------
memi.writen <= '1'; memi.wrn <= "1111"; memi.bwidth <= "01";
memi.brdyn <= '0'; memi.bexcn <= '1';
mctrl_gen : if CFG_MCTRL_LEON2 /= 0 generate
mctrl0 : mctrl generic map (hindex => 0, pindex => 0,
paddr => 0, srbanks => 2, ram8 => CFG_MCTRL_RAM8BIT,
ram16 => CFG_MCTRL_RAM16BIT, sden => CFG_MCTRL_SDEN,
invclk => CFG_CLK_NOFB, sepbus => CFG_MCTRL_SEPBUS,
pageburst => CFG_MCTRL_PAGE, rammask => 0, iomask => 0)
port map (rstn, clkm, memi, memo, ahbsi, ahbso(0), apbi, apbo(0), wpo, sdo);
addr_pad : outpadv generic map (width => 26, tech => padtech, level => cmos, voltage => x25v)
port map (address(25 downto 0), memo.address(26 downto 1));
roms_pad : outpad generic map (tech => padtech, level => cmos, voltage => x25v)
port map (romsn, memo.romsn(0));
oen_pad : outpad generic map (tech => padtech, level => cmos, voltage => x25v)
port map (oen, memo.oen);
adv_pad : outpad generic map (tech => padtech, level => cmos, voltage => x25v)
port map (adv, '0');
wri_pad : outpad generic map (tech => padtech, level => cmos, voltage => x25v)
port map (writen, memo.writen);
data_pad : iopadvv generic map (tech => padtech, width => 16, level => cmos, voltage => x25v)
port map (data(15 downto 0), memo.data(31 downto 16),
memo.vbdrive(31 downto 16), memi.data(31 downto 16));
end generate;
nomctrl : if CFG_MCTRL_LEON2 = 0 generate
roms_pad : outpad generic map (tech => padtech, level => cmos, voltage => x25v)
port map (romsn, vcc); --ahbso(0) <= ahbso_none;
end generate;
----------------------------------------------------------------------
--- DDR3 memory controller ------------------------------------------
----------------------------------------------------------------------
mig_gen : if (CFG_MIG_SERIES7 = 1) generate
gen_mig : if (USE_MIG_INTERFACE_MODEL /= true) generate
ddrc : ahb2mig_series7 generic map(
hindex => 4, haddr => 16#400#, hmask => 16#C00#,
pindex => 4, paddr => 4,
SIM_BYPASS_INIT_CAL => SIM_BYPASS_INIT_CAL,
SIMULATION => SIMULATION, USE_MIG_INTERFACE_MODEL => USE_MIG_INTERFACE_MODEL)
port map(
ddr3_dq => ddr3_dq,
ddr3_dqs_p => ddr3_dqs_p,
ddr3_dqs_n => ddr3_dqs_n,
ddr3_addr => ddr3_addr,
ddr3_ba => ddr3_ba,
ddr3_ras_n => ddr3_ras_n,
ddr3_cas_n => ddr3_cas_n,
ddr3_we_n => ddr3_we_n,
ddr3_reset_n => ddr3_reset_n,
ddr3_ck_p => ddr3_ck_p,
ddr3_ck_n => ddr3_ck_n,
ddr3_cke => ddr3_cke,
ddr3_cs_n => ddr3_cs_n,
ddr3_dm => ddr3_dm,
ddr3_odt => ddr3_odt,
ahbsi => ahbsi,
ahbso => ahbso(4),
apbi => apbi,
apbo => apbo(4),
calib_done => calib_done,
rst_n_syn => migrstn,
rst_n_async => rstraw,
clk_amba => clkm,
sys_clk_p => clk200p,
sys_clk_n => clk200n,
clk_ref_i => clkref,
ui_clk => clkm,
ui_clk_sync_rst => open
);
clkgenmigref0 : clkgen
generic map (clktech, 16, 8, 0,CFG_CLK_NOFB, 0, 0, 0, 100000)
port map (clkm, clkm, clkref, open, open, open, open, cgi, cgo, open, open, open);
end generate gen_mig;
gen_mig_model : if (USE_MIG_INTERFACE_MODEL = true) generate
-- pragma translate_off
mig_ahbram : ahbram_sim
generic map (
hindex => 4,
haddr => 16#400#,
hmask => 16#C00#,
tech => 0,
kbytes => 1000,
pipe => 0,
maccsz => AHBDW,
fname => "ram.srec"
)
port map(
rst => rstn,
clk => clkm,
ahbsi => ahbsi,
ahbso => ahbso(4)
);
ddr3_dq <= (others => 'Z');
ddr3_dqs_p <= (others => 'Z');
ddr3_dqs_n <= (others => 'Z');
ddr3_addr <= (others => '0');
ddr3_ba <= (others => '0');
ddr3_ras_n <= '0';
ddr3_cas_n <= '0';
ddr3_we_n <= '0';
ddr3_reset_n <= '1';
ddr3_ck_p <= (others => '0');
ddr3_ck_n <= (others => '0');
ddr3_cke <= (others => '0');
ddr3_cs_n <= (others => '0');
ddr3_dm <= (others => '0');
ddr3_odt <= (others => '0');
--calib_done : out std_logic;
calib_done <= '1';
--ui_clk : out std_logic;
clkm <= not clkm after 5.0 ns;
--ui_clk_sync_rst : out std_logic
-- n/a
-- pragma translate_on
end generate gen_mig_model;
end generate;
no_mig_gen : if (CFG_MIG_SERIES7 = 0) generate
ahbram0 : ahbram
generic map (hindex => 4, haddr => 16#400#, tech => CFG_MEMTECH, kbytes => 32)
port map ( rstn, clkm, ahbsi, ahbso(4));
ddrdummy0 : ddr_dummy
port map (
ddr_dq => ddr3_dq,
ddr_dqs => ddr3_dqs_p,
ddr_dqs_n => ddr3_dqs_n,
ddr_addr => ddr3_addr,
ddr_ba => ddr3_ba,
ddr_ras_n => ddr3_ras_n,
ddr_cas_n => ddr3_cas_n,
ddr_we_n => ddr3_we_n,
ddr_reset_n => ddr3_reset_n,
ddr_ck_p => ddr3_ck_p,
ddr_ck_n => ddr3_ck_n,
ddr_cke => ddr3_cke,
ddr_cs_n => ddr3_cs_n,
ddr_dm => ddr3_dm,
ddr_odt => ddr3_odt
);
calib_done <= '1';
end generate;
led2_pad : outpad generic map (tech => padtech, level => cmos, voltage => x15v)
port map (led(2), calib_done);
led3_pad : outpad generic map (tech => padtech, level => cmos, voltage => x15v)
port map (led(3), lock);
led4_pad : outpad generic map (tech => padtech, level => cmos, voltage => x25v)
port map (led(4), ahbso(4).hready);
-----------------------------------------------------------------------
--- ETHERNET ---------------------------------------------------------
-----------------------------------------------------------------------
eth0 : if CFG_GRETH = 1 generate -- Gaisler ethernet MAC
e1 : grethm
generic map(
hindex => CFG_NCPU+CFG_AHB_UART+CFG_AHB_JTAG,
pindex => 14, paddr => 16#C00#, pmask => 16#C00#, pirq => 14, memtech => memtech,
mdcscaler => CPU_FREQ/1000, rmii => 0, enable_mdio => 1, fifosize => CFG_ETH_FIFO,
nsync => 2, edcl => CFG_DSU_ETH, edclbufsz => CFG_ETH_BUF, phyrstadr => 7,
macaddrh => CFG_ETH_ENM, macaddrl => CFG_ETH_ENL, enable_mdint => 1,
ipaddrh => CFG_ETH_IPM, ipaddrl => CFG_ETH_IPL,
giga => CFG_GRETH1G, ramdebug => 2)
port map( rst => rstn, clk => clkm, ahbmi => ahbmi,
ahbmo => ahbmo(CFG_NCPU+CFG_AHB_UART+CFG_AHB_JTAG),
apbi => apbi, apbo => apbo(14), ethi => ethi, etho => etho);
-----------------------------------------------------------------------------
-- An IDELAYCTRL primitive needs to be instantiated for the Fixed Tap Delay
-- mode of the IDELAY.
-- All IDELAYs in Fixed Tap Delay mode and the IDELAYCTRL primitives have
-- to be LOC'ed in the UCF file.
-----------------------------------------------------------------------------
dlyctrl0 : IDELAYCTRL port map (
RDY => OPEN,
REFCLK => io_ref,
RST => idelayctrl_reset
);
delay_rgmii_rx_ctl0 : IODELAYE1 generic map(
DELAY_SRC => "I",
IDELAY_TYPE => "FIXED",
IDELAY_VALUE => 20
)
port map(
IDATAIN => rgmiii_buf.rx_dv,
ODATAIN => '0',
DATAOUT => rgmiii.rx_dv,
DATAIN => '0',
C => '0',
T => '1',
CE => '0',
INC => '0',
CINVCTRL => '0',
CLKIN => '0',
CNTVALUEIN => "00000",
CNTVALUEOUT => OPEN,
RST => '0'
);
rgmii_rxd : for i in 0 to 3 generate
delay_rgmii_rxd0 : IODELAYE1 generic map(
DELAY_SRC => "I",
IDELAY_TYPE => "FIXED",
IDELAY_VALUE => 20
)
port map(
IDATAIN => rgmiii_buf.rxd(i),
ODATAIN => '0',
DATAOUT => rgmiii.rxd(i),
DATAIN => '0',
C => '0',
T => '1',
CE => '0',
INC => '0',
CINVCTRL => '0',
CLKIN => '0',
CNTVALUEIN => "00000",
CNTVALUEOUT => OPEN,
RST => '0'
);
end generate;
-- Generate a synchron delayed reset for Xilinx IO delay
rst1 : rstgen
generic map (acthigh => 1)
port map (rst, io_ref, lock, rstgtxn, OPEN);
process (io_ref,rstgtxn)
begin
if (rstgtxn = '0') then
idelay_reset_cnt <= (others => '0');
idelayctrl_reset <= '1';
elsif rising_edge(io_ref) then
if (idelay_reset_cnt > "1110") then
idelay_reset_cnt <= (others => '1');
idelayctrl_reset <= '0';
else
idelay_reset_cnt <= idelay_reset_cnt + 1;
idelayctrl_reset <= '1';
end if;
end if;
end process;
-- RGMII Interface
rgmii0 : rgmii generic map (pindex => 11, paddr => 16#010#, pmask => 16#ff0#, tech => fabtech,
gmii => CFG_GRETH1G, debugmem => 1, abits => 8, no_clk_mux => 1,
pirq => 11, use90degtxclk => 1)
port map (rstn, ethi, etho, rgmiii, rgmiio, clkm, rstn, apbi, apbo(11));
egtxc_pad : outpad generic map (tech => padtech, level => cmos, voltage => x25v, slew => 1)
port map (phy_gtxclk, rgmiio.tx_clk);
erxc_pad : clkpad generic map (tech => padtech, level => cmos, voltage => x25v, arch => 4)
port map (phy_rxclk, rgmiii.rx_clk);
erxd_pad : inpadv generic map (tech => padtech, level => cmos, voltage => x25v, width => 4)
port map (phy_rxd, rgmiii_buf.rxd(3 downto 0));
erxdv_pad : inpad generic map (tech => padtech, level => cmos, voltage => x25v)
port map (phy_rxctl_rxdv, rgmiii_buf.rx_dv);
etxd_pad : outpadv generic map (tech => padtech, level => cmos, voltage => x25v, slew => 1, width => 4)
port map (phy_txd, rgmiio.txd(3 downto 0));
etxen_pad : outpad generic map (tech => padtech, level => cmos, voltage => x25v, slew => 1)
port map (phy_txctl_txen, rgmiio.tx_en);
emdio_pad : iopad generic map (tech => padtech, level => cmos, voltage => x25v)
port map (phy_mdio, rgmiio.mdio_o, rgmiio.mdio_oe, rgmiii.mdio_i);
emdc_pad : outpad generic map (tech => padtech, level => cmos, voltage => x25v)
port map (phy_mdc, rgmiio.mdc);
eint_pad : inpad generic map (tech => padtech, level => cmos, voltage => x25v)
port map (phy_int, rgmiii.mdint);
erst_pad : outpad generic map (tech => padtech, level => cmos, voltage => x25v)
port map (phy_reset, rgmiio.reset);
-- GTX Clock
rgmiii.gtx_clk <= gtx_clk;
-- 125MHz input clock
ibufds_gtrefclk : IBUFDS_GTE2
port map (
I => gtrefclk_p,
IB => gtrefclk_n,
CEB => '0',
O => gtx_clk_nobuf,
ODIV2 => open
);
cgi2.pllctrl <= "00"; cgi2.pllrst <= rstraw;
clkgen_gtrefclk : clkgen
generic map (clktech, 8, 8, 0, 0, 0, 0, 0, 125000)
port map (gtx_clk_nobuf, gtx_clk_nobuf, gtx_clk, rgmiii.tx_clk_90, io_ref, open, open, cgi2, cgo2, open, open, open);
end generate;
noeth0 : if CFG_GRETH = 0 generate
-- TODO:
end generate;
----------------------------------------------------------------------
--- I2C Controller --------------------------------------------------
----------------------------------------------------------------------
--i2cm: if CFG_I2C_ENABLE = 1 generate -- I2C master
i2c0 : i2cmst generic map (pindex => 9, paddr => 9, pmask => 16#FFF#, pirq => 9, filter => 9)
port map (rstn, clkm, apbi, apbo(9), i2ci, i2co);
i2c_scl_pad : iopad generic map (tech => padtech, level => cmos, voltage => x25v)
port map (iic_scl, i2co.scl, i2co.scloen, i2ci.scl);
i2c_sda_pad : iopad generic map (tech => padtech, level => cmos, voltage => x25v)
port map (iic_sda, i2co.sda, i2co.sdaoen, i2ci.sda);
--end generate i2cm;
----------------------------------------------------------------------
--- APB Bridge and various periherals -------------------------------
----------------------------------------------------------------------
apb0 : apbctrl -- AHB/APB bridge
generic map (hindex => 1, haddr => CFG_APBADDR, nslaves => 16, debug => 2)
port map (rstn, clkm, ahbsi, ahbso(1), apbi, apbo );
irqctrl : if CFG_IRQ3_ENABLE /= 0 generate
irqctrl0 : irqmp -- interrupt controller
generic map (pindex => 2, paddr => 2, ncpu => CFG_NCPU)
port map (rstn, clkm, apbi, apbo(2), irqo, irqi);
end generate;
irq3 : if CFG_IRQ3_ENABLE = 0 generate
x : for i in 0 to CFG_NCPU-1 generate
irqi(i).irl <= "0000";
end generate;
apbo(2) <= apb_none;
end generate;
gpt : if CFG_GPT_ENABLE /= 0 generate
timer0 : gptimer -- timer unit
generic map (pindex => 3, paddr => 3, pirq => CFG_GPT_IRQ,
sepirq => CFG_GPT_SEPIRQ, sbits => CFG_GPT_SW, ntimers => CFG_GPT_NTIM,
nbits => CFG_GPT_TW, wdog => CFG_GPT_WDOGEN*CFG_GPT_WDOG)
port map (rstn, clkm, apbi, apbo(3), gpti, gpto);
gpti.dhalt <= dsuo.tstop; gpti.extclk <= '0';
end generate;
nogpt : if CFG_GPT_ENABLE = 0 generate apbo(3) <= apb_none; end generate;
gpio0 : if CFG_GRGPIO_ENABLE /= 0 generate -- GPIO unit
grgpio0: grgpio
generic map(pindex => 10, paddr => 10, imask => CFG_GRGPIO_IMASK, nbits => 7)
port map(rst => rstn, clk => clkm, apbi => apbi, apbo => apbo(10),
gpioi => gpioi, gpioo => gpioo);
pio_pads : for i in 0 to 2 generate
pio_pad : iopad generic map (tech => padtech, level => cmos, voltage => x25v)
port map (switch(i), gpioo.dout(i), gpioo.oen(i), gpioi.din(i));
end generate;
pio_pads2 : for i in 3 to 5 generate
pio_pad : inpad generic map (tech => padtech, level => cmos, voltage => x15v)
port map (button(i-2), gpioi.din(i));
end generate;
end generate;
ua1 : if CFG_UART1_ENABLE /= 0 generate
uart1 : apbuart -- UART 1
generic map (pindex => 1, paddr => 1, pirq => 2, console => dbguart,
fifosize => CFG_UART1_FIFO)
port map (rstn, clkm, apbi, apbo(1), u1i, u1o);
u1i.extclk <= '0';
serrx_pad : outpad generic map (level => cmos, voltage => x25v, tech => padtech)
port map (led(5), rxd1);
sertx_pad : outpad generic map (level => cmos, voltage => x25v, tech => padtech)
port map (led(6), txd1);
end generate;
noua0 : if CFG_UART1_ENABLE = 0 generate apbo(1) <= apb_none; end generate;
ahbs : if CFG_AHBSTAT = 1 generate -- AHB status register
ahbstat0 : ahbstat generic map (pindex => 15, paddr => 15, pirq => 7,
nftslv => CFG_AHBSTATN)
port map (rstn, clkm, ahbmi, ahbsi, stati, apbi, apbo(15));
end generate;
-----------------------------------------------------------------------
--- AHB ROM ----------------------------------------------------------
-----------------------------------------------------------------------
bpromgen : if CFG_AHBROMEN /= 0 generate
brom : entity work.ahbrom
generic map (hindex => 7, haddr => CFG_AHBRODDR, pipe => CFG_AHBROPIP)
port map ( rstn, clkm, ahbsi, ahbso(7));
end generate;
-----------------------------------------------------------------------
--- AHB RAM ----------------------------------------------------------
-----------------------------------------------------------------------
ocram : if CFG_AHBRAMEN = 1 generate
ahbram0 : ahbram generic map (hindex => 5, haddr => CFG_AHBRADDR,
tech => CFG_MEMTECH, kbytes => CFG_AHBRSZ)
port map ( rstn, clkm, ahbsi, ahbso(5));
end generate;
-----------------------------------------------------------------------
--- Test report module ----------------------------------------------
-----------------------------------------------------------------------
-- pragma translate_off
test0_gen : if (testahb = true) generate
test0 : ahbrep generic map (hindex => 3, haddr => 16#200#)
port map (rstn, clkm, ahbsi, ahbso(3));
end generate;
-- pragma translate_on
test1_gen : if (testahb = false) generate
ahbram0 : ahbram generic map (hindex => 3, haddr => 16#200#,
tech => CFG_MEMTECH, kbytes => CFG_AHBRSZ)
port map ( rstn, clkm, ahbsi, ahbso(3));
end generate;
-----------------------------------------------------------------------
--- Drive unused bus elements ---------------------------------------
-----------------------------------------------------------------------
nam1 : for i in (CFG_NCPU+CFG_AHB_UART+CFG_AHB_JTAG+CFG_GRETH+1) to NAHBMST-1 generate
ahbmo(i) <= ahbm_none;
end generate;
-----------------------------------------------------------------------
--- Boot message ----------------------------------------------------
-----------------------------------------------------------------------
-- pragma translate_off
x : report_design
generic map (
msg1 => "LEON3 Xilinx KC705 Demonstration design",
fabtech => tech_table(fabtech), memtech => tech_table(memtech),
mdel => 1
);
-- pragma translate_on
end;
|
----------------------------------------------------------------------------------
-- Engineer: Mike Field <[email protected]>
--
-- Create Date: 05.06.2016 22:31:14
-- Module Name: tcp_tx_packet - Behavioral
--
-- Description: Construct and send out TCP packets
--
------------------------------------------------------------------------------------
-- FPGA_Webserver from https://github.com/hamsternz/FPGA_Webserver
------------------------------------------------------------------------------------
-- The MIT License (MIT)
--
-- Copyright (c) 2015 Michael Alan Field <[email protected]>
--
-- Permission is hereby granted, free of charge, to any person obtaining a copy
-- of this software and associated documentation files (the "Software"), to deal
-- in the Software without restriction, including without limitation the rights
-- to use, copy, modify, merge, publish, distribute, sublicense, and/or sell
-- copies of the Software, and to permit persons to whom the Software is
-- furnished to do so, subject to the following conditions:
--
-- The above copyright notice and this permission notice shall be included in
-- all copies or substantial portions of the Software.
--
-- THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR
-- IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY,
-- FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE
-- AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER
-- LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING FROM,
-- OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN
-- THE SOFTWARE.
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.NUMERIC_STD.ALL;
entity tcp_tx_packet is
generic (
our_ip : std_logic_vector(31 downto 0) := (others => '0');
our_mac : std_logic_vector(47 downto 0) := (others => '0'));
port(
clk : in STD_LOGIC;
tcp_tx_busy : out std_logic;
tcp_tx_data_valid : in std_logic := '0';
tcp_tx_data : in std_logic_vector(7 downto 0) := (others => '0');
tcp_tx_hdr_valid : in std_logic := '0';
tcp_tx_dst_mac : in std_logic_vector(47 downto 0) := (others => '0');
tcp_tx_dst_ip : in std_logic_vector(31 downto 0) := (others => '0');
tcp_tx_src_port : in std_logic_vector(15 downto 0) := (others => '0');
tcp_tx_dst_port : in std_logic_vector(15 downto 0) := (others => '0');
tcp_tx_seq_num : in std_logic_vector(31 downto 0) := (others => '0');
tcp_tx_ack_num : in std_logic_vector(31 downto 0) := (others => '0');
tcp_tx_window : in std_logic_vector(15 downto 0) := (others => '0');
tcp_tx_flag_urg : in std_logic := '0';
tcp_tx_flag_ack : in std_logic := '0';
tcp_tx_flag_psh : in std_logic := '0';
tcp_tx_flag_rst : in std_logic := '0';
tcp_tx_flag_syn : in std_logic := '0';
tcp_tx_flag_fin : in std_logic := '0';
tcp_tx_urgent_ptr : in std_logic_vector(15 downto 0) := (others => '0');
packet_out_request : out std_logic := '0';
packet_out_granted : in std_logic := '0';
packet_out_valid : out std_logic := '0';
packet_out_data : out std_logic_vector(7 downto 0) := (others => '0'));
end tcp_tx_packet;
architecture Behavioral of tcp_tx_packet is
signal busy_countdown : unsigned(7 downto 0) := (others => '0');
-- For holding the destination and port details on the first data transfer
signal tcp_tx_hdr_valid_last : STD_LOGIC := '0';
signal tx_src_port : std_logic_vector(15 downto 0) := (others => '0');
signal tx_dst_mac : std_logic_vector(47 downto 0) := (others => '0');
signal tx_dst_ip : std_logic_vector(31 downto 0) := (others => '0');
signal tx_dst_port : std_logic_vector(15 downto 0) := (others => '0');
signal tcp_tx_length : std_logic_vector(15 downto 0) := (others => '0');
signal tcp_tx_checksum : std_logic_vector(15 downto 0) := (others => '0');
signal pre_tcp_valid : STD_LOGIC := '0';
signal pre_tcp_data : STD_LOGIC_VECTOR (7 downto 0);
component buffer_count_and_checksum_data is
generic (min_length : natural);
Port ( clk : in STD_LOGIC;
hdr_valid_in : in STD_LOGIC;
data_valid_in : in STD_LOGIC;
data_in : in STD_LOGIC_VECTOR (7 downto 0);
data_valid_out : out STD_LOGIC := '0';
data_out : out STD_LOGIC_VECTOR (7 downto 0) := (others => '0');
data_length : out std_logic_vector(15 downto 0);
data_checksum : out std_logic_vector(15 downto 0));
end component;
signal data_length : std_logic_vector(15 downto 0);
signal data_checksum : std_logic_vector(15 downto 0);
component tcp_add_header is
Port ( clk : in STD_LOGIC;
data_valid_in : in STD_LOGIC;
data_in : in STD_LOGIC_VECTOR (7 downto 0);
data_valid_out : out STD_LOGIC := '0';
data_out : out STD_LOGIC_VECTOR (7 downto 0) := (others => '0');
ip_src_ip : in STD_LOGIC_VECTOR (31 downto 0) := (others => '0');
ip_dst_ip : in STD_LOGIC_VECTOR (31 downto 0) := (others => '0');
tcp_src_port : in std_logic_vector(15 downto 0);
tcp_dst_port : in std_logic_vector(15 downto 0);
tcp_seq_num : in std_logic_vector(31 downto 0) := (others => '0');
tcp_ack_num : in std_logic_vector(31 downto 0) := (others => '0');
tcp_window : in std_logic_vector(15 downto 0) := (others => '0');
tcp_flag_urg : in std_logic := '0';
tcp_flag_ack : in std_logic := '0';
tcp_flag_psh : in std_logic := '0';
tcp_flag_rst : in std_logic := '0';
tcp_flag_syn : in std_logic := '0';
tcp_flag_fin : in std_logic := '0';
tcp_urgent_ptr : in std_logic_vector(15 downto 0) := (others => '0');
data_length : in std_logic_vector(15 downto 0);
data_checksum : in std_logic_vector(15 downto 0));
end component;
signal pre_ip_valid : STD_LOGIC := '0';
signal pre_ip_data : STD_LOGIC_VECTOR (7 downto 0);
signal ip_length : STD_LOGIC_VECTOR (15 downto 0) := (others => '0');
signal ip_data_length : std_logic_vector(15 downto 0);
component ip_add_header is
Port ( clk : in STD_LOGIC;
data_valid_in : in STD_LOGIC;
data_in : in STD_LOGIC_VECTOR (7 downto 0);
data_valid_out : out STD_LOGIC := '0';
data_out : out STD_LOGIC_VECTOR (7 downto 0) := (others => '0');
ip_data_length : in STD_LOGIC_VECTOR (15 downto 0) := (others => '0');
ip_protocol : in STD_LOGIC_VECTOR ( 7 downto 0) := (others => '0');
ip_src_ip : in STD_LOGIC_VECTOR (31 downto 0) := (others => '0');
ip_dst_ip : in STD_LOGIC_VECTOR (31 downto 0) := (others => '0'));
end component;
signal pre_header_valid : STD_LOGIC := '0';
signal pre_header_data : STD_LOGIC_VECTOR (7 downto 0);
component ethernet_add_header is
Port ( clk : in STD_LOGIC;
data_valid_in : in STD_LOGIC;
data_in : in STD_LOGIC_VECTOR (7 downto 0);
data_valid_out : out STD_LOGIC := '0';
data_out : out STD_LOGIC_VECTOR (7 downto 0) := (others => '0');
ether_type : in STD_LOGIC_VECTOR (15 downto 0) := (others => '0');
ether_dst_mac : in STD_LOGIC_VECTOR (47 downto 0) := (others => '0');
ether_src_mac : in STD_LOGIC_VECTOR (47 downto 0) := (others => '0'));
end component;
signal complete_valid : STD_LOGIC := '0';
signal complete_data : STD_LOGIC_VECTOR (7 downto 0) := (others => '0');
component transport_commit_buffer
Port ( clk : in STD_LOGIC;
data_valid_in : in STD_LOGIC;
data_in : in STD_LOGIC_VECTOR (7 downto 0);
packet_out_request : out std_logic := '0';
packet_out_granted : in std_logic := '0';
packet_out_valid : out std_logic := '0';
packet_out_data : out std_logic_vector(7 downto 0) := (others => '0'));
end component;
begin
process(clk)
begin
if rising_edge(clk) then
-- Capture the destination address data on the first cycle of the data packet
if tcp_tx_hdr_valid = '1' then
if tcp_tx_hdr_valid_last = '0' then
tx_src_port <= tcp_tx_src_port;
tx_dst_mac <= tcp_tx_dst_mac;
tx_dst_ip <= tcp_tx_dst_ip;
tx_dst_port <= tcp_tx_dst_port;
busy_countdown <= to_unsigned(8+64+12-4,8);
-- 8 = preamble
-- 64 = minimum ethernet header
-- 12 = minimum inter-packet gap
-- and -4 is a fix for latency
tcp_tx_busy <= '1';
else
-- Allow for the bytes that will be added
if busy_countdown > 8+14+20+8+4+12 -3 then
-- allow for premable (8)
-- and ethernet Header(14)
-- and ip header (20)
-- and udp hereader (8)
-- and ethernet FCS (4)
-- and minimum inter-packet gap
-- and -3 is a fix for latency
busy_countdown <= busy_countdown-1;
end if;
end if;
else
-- Keep udp_tx_busy asserted to allow for
-- everything to be wrapped around the data
if busy_countdown > 0 then
busy_countdown <= busy_countdown - 1;
else
tcp_tx_busy <= '0';
end if;
end if;
tcp_tx_hdr_valid_last <= tcp_tx_hdr_valid;
end if;
end process;
i_buffer_count_and_checksum_data: buffer_count_and_checksum_data generic map (
min_length => 60-14-20-20
) port map (
clk => clk,
hdr_valid_in => tcp_tx_hdr_valid,
data_valid_in => tcp_tx_data_valid,
data_in => tcp_tx_data,
data_valid_out => pre_tcp_valid,
data_out => pre_tcp_data,
data_length => data_length,
data_checksum => data_checksum);
i_tcp_add_header: tcp_add_header port map (
clk => clk,
data_valid_in => pre_tcp_valid,
data_in => pre_tcp_data,
data_valid_out => pre_ip_valid,
data_out => pre_ip_data,
data_length => data_length,
data_checksum => data_checksum,
ip_src_ip => our_ip,
ip_dst_ip => tcp_tx_dst_ip,
tcp_src_port => tcp_tx_src_port,
tcp_dst_port => tcp_tx_dst_port,
tcp_seq_num => tcp_tx_seq_num,
tcp_ack_num => tcp_tx_ack_num,
tcp_window => tcp_tx_window,
tcp_flag_urg => tcp_tx_flag_urg,
tcp_flag_ack => tcp_tx_flag_ack,
tcp_flag_psh => tcp_tx_flag_psh,
tcp_flag_rst => tcp_tx_flag_rst,
tcp_flag_syn => tcp_tx_flag_syn,
tcp_flag_fin => tcp_tx_flag_fin,
tcp_urgent_ptr => tcp_tx_urgent_ptr);
ip_data_length <= std_logic_vector(unsigned(data_length)+20);
i_ip_add_header: ip_add_header port map (
clk => clk,
data_valid_in => pre_ip_valid,
data_in => pre_ip_data,
data_valid_out => pre_header_valid,
data_out => pre_header_data,
ip_data_length => ip_data_length,
ip_protocol => x"06",
ip_src_ip => our_ip,
ip_dst_ip => tx_dst_ip);
i_ethernet_add_header: ethernet_add_header port map (
clk => clk,
data_valid_in => pre_header_valid,
data_in => pre_header_data,
data_valid_out => complete_valid,
data_out => complete_data,
ether_type => x"0800",
ether_dst_mac => tx_dst_mac,
ether_src_mac => our_mac);
i_transport_commit_buffer: transport_commit_buffer port map (
clk => clk,
data_valid_in => complete_valid,
data_in => complete_data,
packet_out_request => packet_out_request,
packet_out_granted => packet_out_granted,
packet_out_valid => packet_out_valid,
packet_out_data => packet_out_data);
end Behavioral; |
-------------------------------------------------------------------------------------
-- Copyright (c) 2006, University of Kansas - Hybridthreads Group
-- All rights reserved.
--
-- Redistribution and use in source and binary forms, with or without
-- modification, are permitted provided that the following conditions are met:
--
-- * Redistributions of source code must retain the above copyright notice,
-- this list of conditions and the following disclaimer.
-- * Redistributions in binary form must reproduce the above copyright notice,
-- this list of conditions and the following disclaimer in the documentation
-- and/or other materials provided with the distribution.
-- * Neither the name of the University of Kansas nor the name of the
-- Hybridthreads Group nor the names of its contributors may be used to
-- endorse or promote products derived from this software without specific
-- prior written permission.
--
-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND
-- ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED
-- WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE
-- DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE LIABLE FOR
-- ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES
-- (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES;
-- LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON
-- ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT
-- (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS
-- SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
-------------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
use ieee.std_logic_misc.all;
use work.common.all;
entity slave is
generic
(
C_NUM_THREADS : integer := 256;
C_NUM_MUTEXES : integer := 64;
C_AWIDTH : integer := 32;
C_DWIDTH : integer := 32;
C_MAX_AR_DWIDTH : integer := 32;
C_NUM_ADDR_RNG : integer := 7;
C_NUM_CE : integer := 1
);
port
(
Bus2IP_Clk : in std_logic;
Bus2IP_Reset : in std_logic;
Bus2IP_Addr : in std_logic_vector(0 to C_AWIDTH-1);
Bus2IP_Data : in std_logic_vector(0 to C_DWIDTH-1);
Bus2IP_BE : in std_logic_vector(0 to C_DWIDTH/8-1);
Bus2IP_CS : in std_logic_vector(0 to C_NUM_ADDR_RNG-1);
Bus2IP_RNW : in std_logic;
IP2Bus_Data : out std_logic_vector(0 to C_DWIDTH-1);
IP2Bus_Error : out std_logic;
IP2Bus_RdAck : out std_logic;
IP2Bus_WrAck : out std_logic;
system_reset : in std_logic;
system_resetdone : out std_logic;
send_ena : out std_logic;
send_id : out std_logic_vector(0 to log2(C_NUM_THREADS)-1);
send_ack : in std_logic;
siaddr : in std_logic_vector(0 to log2(C_NUM_THREADS)-1);
siena : in std_logic;
siwea : in std_logic;
sinext : in std_logic_vector(0 to log2(C_NUM_THREADS)-1);
sonext : out std_logic_vector(0 to log2(C_NUM_THREADS)-1)
);
end slave;
architecture behavioral of slave is
-- Declare constants for bits needed for threads, mutexes, commands, and kinds
constant MTX_BIT : integer := log2( C_NUM_MUTEXES );
constant THR_BIT : integer := log2( C_NUM_THREADS );
constant CMD_BIT : integer := 3;
constant CNT_BIT : integer := 8;
constant KND_BIT : integer := 2;
-- Declare signals for clock, reset, rnw, and data input
signal clk : std_logic;
signal rst : std_logic;
signal rnw : std_logic;
signal datain : std_logic_vector(0 to C_DWIDTH-1);
-- Declare finish signals for the state machines
signal IP2Bus_RdAck_internal, IP2Bus_WrAck_internal : std_logic;
signal lock_finish : std_logic;
signal unlock_finish : std_logic;
signal trylock_finish : std_logic;
signal count_finish : std_logic;
signal kind_finish : std_logic;
signal owner_finish : std_logic;
signal result_finish : std_logic;
-- Declare data signals for the state machines
signal lock_data : std_logic_vector(0 to C_DWIDTH-1);
signal unlock_data : std_logic_vector(0 to C_DWIDTH-1);
signal trylock_data : std_logic_vector(0 to C_DWIDTH-1);
signal count_data : std_logic_vector(0 to C_DWIDTH-1);
signal kind_data : std_logic_vector(0 to C_DWIDTH-1);
signal owner_data : std_logic_vector(0 to C_DWIDTH-1);
signal result_data : std_logic_vector(0 to C_DWIDTH-1);
-- Declare mutex address signals for the state machines
signal lock_maddr : std_logic_vector(0 to MTX_BIT-1);
signal unlock_maddr : std_logic_vector(0 to MTX_BIT-1);
signal trylock_maddr : std_logic_vector(0 to MTX_BIT-1);
signal count_maddr : std_logic_vector(0 to MTX_BIT-1);
signal kind_maddr : std_logic_vector(0 to MTX_BIT-1);
signal owner_maddr : std_logic_vector(0 to MTX_BIT-1);
-- Declare mutex enable signals for the state machines
signal lock_mena : std_logic;
signal unlock_mena : std_logic;
signal trylock_mena : std_logic;
signal count_mena : std_logic;
signal kind_mena : std_logic;
signal owner_mena : std_logic;
-- Declare mutex write enable signals for the state machines
signal lock_mwea : std_logic;
signal unlock_mwea : std_logic;
signal trylock_mwea : std_logic;
signal count_mwea : std_logic;
signal kind_mwea : std_logic;
signal owner_mwea : std_logic;
-- Declare mutex owner signals for the state machies
signal lock_mowner : std_logic_vector(0 to THR_BIT-1);
signal unlock_mowner : std_logic_vector(0 to THR_BIT-1);
signal trylock_mowner : std_logic_vector(0 to THR_BIT-1);
signal count_mowner : std_logic_vector(0 to THR_BIT-1);
signal kind_mowner : std_logic_vector(0 to THR_BIT-1);
signal owner_mowner : std_logic_vector(0 to THR_BIT-1);
-- Declare mutex next signals for the state machines
signal lock_mnext : std_logic_vector(0 to THR_BIT-1);
signal unlock_mnext : std_logic_vector(0 to THR_BIT-1);
signal trylock_mnext : std_logic_vector(0 to THR_BIT-1);
signal count_mnext : std_logic_vector(0 to THR_BIT-1);
signal kind_mnext : std_logic_vector(0 to THR_BIT-1);
signal owner_mnext : std_logic_vector(0 to THR_BIT-1);
-- Declare mutex last signals for the state machines
signal lock_mlast : std_logic_vector(0 to THR_BIT-1);
signal unlock_mlast : std_logic_vector(0 to THR_BIT-1);
signal trylock_mlast : std_logic_vector(0 to THR_BIT-1);
signal count_mlast : std_logic_vector(0 to THR_BIT-1);
signal kind_mlast : std_logic_vector(0 to THR_BIT-1);
signal owner_mlast : std_logic_vector(0 to THR_BIT-1);
-- Declare mutex count signals for the state machines
signal lock_mcount : std_logic_vector(0 to CNT_BIT-1);
signal unlock_mcount : std_logic_vector(0 to CNT_BIT-1);
signal trylock_mcount : std_logic_vector(0 to CNT_BIT-1);
signal count_mcount : std_logic_vector(0 to CNT_BIT-1);
signal kind_mcount : std_logic_vector(0 to CNT_BIT-1);
signal owner_mcount : std_logic_vector(0 to CNT_BIT-1);
-- Declare mutex kind signals for the state machines
signal lock_mkind : std_logic_vector(0 to KND_BIT-1);
signal unlock_mkind : std_logic_vector(0 to KND_BIT-1);
signal trylock_mkind : std_logic_vector(0 to KND_BIT-1);
signal count_mkind : std_logic_vector(0 to KND_BIT-1);
signal kind_mkind : std_logic_vector(0 to KND_BIT-1);
signal owner_mkind : std_logic_vector(0 to KND_BIT-1);
-- Declare thread address signals for the state machines
signal lock_taddr : std_logic_vector(0 to THR_BIT-1);
signal unlock_taddr : std_logic_vector(0 to THR_BIT-1);
signal trylock_taddr : std_logic_vector(0 to THR_BIT-1);
signal count_taddr : std_logic_vector(0 to THR_BIT-1);
signal kind_taddr : std_logic_vector(0 to THR_BIT-1);
signal owner_taddr : std_logic_vector(0 to THR_BIT-1);
-- Declare thread enable signals for the state machines
signal lock_tena : std_logic;
signal unlock_tena : std_logic;
signal trylock_tena : std_logic;
signal count_tena : std_logic;
signal kind_tena : std_logic;
signal owner_tena : std_logic;
-- Declare thread write enable signals for the state machines
signal lock_twea : std_logic;
signal unlock_twea : std_logic;
signal trylock_twea : std_logic;
signal count_twea : std_logic;
signal kind_twea : std_logic;
signal owner_twea : std_logic;
-- Declare thread next signals for the state machines
signal lock_tnext : std_logic_vector(0 to THR_BIT-1);
signal unlock_tnext : std_logic_vector(0 to THR_BIT-1);
signal trylock_tnext : std_logic_vector(0 to THR_BIT-1);
signal count_tnext : std_logic_vector(0 to THR_BIT-1);
signal kind_tnext : std_logic_vector(0 to THR_BIT-1);
signal owner_tnext : std_logic_vector(0 to THR_BIT-1);
-- Declare send enable signals for the state machines
signal unlock_sena : std_logic;
-- Declare send identifier signals for the state machines
signal unlock_sid : std_logic_vector(0 to THR_BIT-1);
-- Declare signals for the mutex store
signal miaddr : std_logic_vector(0 to MTX_BIT-1);
signal miena : std_logic;
signal miwea : std_logic;
signal miowner : std_logic_vector(0 to THR_BIT-1);
signal minext : std_logic_vector(0 to THR_BIT-1);
signal milast : std_logic_vector(0 to THR_BIT-1);
signal micount : std_logic_vector(0 to CNT_BIT-1);
signal mikind : std_logic_vector(0 to KND_BIT-1);
signal moowner : std_logic_vector(0 to THR_BIT-1);
signal monext : std_logic_vector(0 to THR_BIT-1);
signal molast : std_logic_vector(0 to THR_BIT-1);
signal mocount : std_logic_vector(0 to CNT_BIT-1);
signal mokind : std_logic_vector(0 to KND_BIT-1);
-- Declare signals for the thread store
signal tiaddr : std_logic_vector(0 to THR_BIT-1);
signal tiena : std_logic;
signal tiwea : std_logic;
signal tinext : std_logic_vector(0 to THR_BIT-1);
signal tonext : std_logic_vector(0 to THR_BIT-1);
-- Declare signals for the system reset
signal lock_resetdone : std_logic;
signal unlock_resetdone : std_logic;
signal trylock_resetdone : std_logic;
signal owner_resetdone : std_logic;
signal kind_resetdone : std_logic;
signal count_resetdone : std_logic;
signal result_resetdone : std_logic;
signal thread_resetdone : std_logic;
signal send_resetdone : std_logic;
signal mutex_resetdone : std_logic;
-- Declare aliases for the start signals
alias lock_start : std_logic is Bus2IP_CS(0);
alias unlock_start : std_logic is Bus2IP_CS(1);
alias trylock_start : std_logic is Bus2IP_CS(2);
alias owner_start : std_logic is Bus2IP_CS(3);
alias kind_start : std_logic is Bus2IP_CS(4);
alias count_start : std_logic is Bus2IP_CS(5);
alias result_start : std_logic is Bus2IP_CS(6);
-- Declare constants for the bit index positions
constant KND_SRT : integer := C_AWIDTH - 2;
constant KND_END : integer := C_AWIDTH - 1;
constant MTX_SRT : integer := KND_SRT - MTX_BIT;
constant MTX_END : integer := KND_SRT - 1;
constant THR_SRT : integer := MTX_SRT - THR_BIT;
constant THR_END : integer := MTX_SRT - 1;
constant CMD_SRT : integer := THR_SRT - CMD_BIT;
constant CMD_END : integer := THR_SRT - 1;
-- Declare aliases for the encoded parameters
alias knd_number : std_logic_vector(0 to KND_BIT-1) is
Bus2IP_Data(KND_SRT to KND_END);
alias mtx_number : std_logic_vector(0 to MTX_BIT-1) is
Bus2IP_Addr(MTX_SRT to MTX_END);
alias thr_number : std_logic_vector(0 to THR_BIT-1) is
Bus2IP_Addr(THR_SRT to THR_END);
alias cmd_number : std_logic_vector(0 to CMD_BIT-1) is
Bus2IP_Addr(CMD_SRT to CMD_END);
begin
clk <= Bus2IP_Clk; -- Use the bus clock for the core clock
rst <= Bus2IP_Reset; -- Use the bus reset for the core reset
rnw <= Bus2IP_RNW; -- Use the bus rnw for the core rnw
datain <= Bus2IP_Data; -- Use the bus data for the core data
send_ena <= unlock_sena; -- Output the send enable signal
send_id <= unlock_sid; -- Output the send identifier
--IP2Bus_Data <= (others => '0'); -- Never use bus data lines (see ArData)
IP2Bus_Error <= '0'; -- Never cause a bus error
system_resetdone <= lock_resetdone and
unlock_resetdone and
trylock_resetdone and
owner_resetdone and
count_resetdone and
kind_resetdone and
result_resetdone and
thread_resetdone and
send_resetdone and
mutex_resetdone;
-- **********************
-- Ack router
-- **********************
IP2Bus_RdAck <= IP2Bus_RdAck_internal when rnw = '1' else '0';
IP2Bus_WrAck <= IP2Bus_WrAck_internal when rnw = '0' else '0';
-- **********************
IP2Bus_RdAck_internal <= lock_finish or
unlock_finish or
trylock_finish or
owner_finish or
count_finish or
kind_finish or
result_finish;
IP2Bus_WrAck_internal <= lock_finish or
unlock_finish or
trylock_finish or
owner_finish or
count_finish or
kind_finish or
result_finish;
IP2Bus_Data <= lock_data or
unlock_data or
trylock_data or
owner_data or
count_data or
kind_data or
result_data;
miaddr <= lock_maddr or
unlock_maddr or
trylock_maddr or
owner_maddr or
count_maddr or
kind_maddr;
miena <= lock_mena or
unlock_mena or
trylock_mena or
owner_mena or
count_mena or
kind_mena;
miwea <= lock_mwea or
unlock_mwea or
trylock_mwea or
owner_mwea or
count_mwea or
kind_mwea;
miowner <= lock_mowner or
unlock_mowner or
trylock_mowner or
owner_mowner or
count_mowner or
kind_mowner;
minext <= lock_mnext or
unlock_mnext or
trylock_mnext or
owner_mnext or
count_mnext or
kind_mnext;
milast <= lock_mlast or
unlock_mlast or
trylock_mlast or
owner_mlast or
count_mlast or
kind_mlast;
micount <= lock_mcount or
unlock_mcount or
trylock_mcount or
owner_mcount or
count_mcount or
kind_mcount;
mikind <= lock_mkind or
unlock_mkind or
trylock_mkind or
owner_mkind or
count_mkind or
kind_mkind;
tiaddr <= lock_taddr or
unlock_taddr or
trylock_taddr or
owner_taddr or
count_taddr or
kind_taddr;
tiena <= lock_tena or
unlock_tena or
trylock_tena or
owner_tena or
count_tena or
kind_tena;
tiwea <= lock_twea or
unlock_twea or
trylock_twea or
owner_twea or
count_twea or
kind_twea;
tinext <= lock_tnext or
unlock_tnext or
trylock_tnext or
owner_tnext or
count_tnext or
kind_tnext;
mutex_i : entity work.mutex_store
generic map
(
C_AWIDTH => C_AWIDTH,
C_DWIDTH => C_DWIDTH,
C_TWIDTH => THR_BIT,
C_MWIDTH => MTX_BIT,
C_CWIDTH => CNT_BIT
)
port map
(
clk => clk,
rst => rst,
miaddr => miaddr,
miena => miena,
miwea => miwea,
miowner => miowner,
minext => minext,
milast => milast,
mikind => mikind,
micount => micount,
moowner => moowner,
monext => monext,
molast => molast,
mokind => mokind,
mocount => mocount,
sysrst => system_reset,
rstdone => mutex_resetdone
);
thread_i : entity work.thread_store
generic map
(
C_AWIDTH => C_AWIDTH,
C_DWIDTH => C_DWIDTH,
C_TWIDTH => THR_BIT,
C_MWIDTH => MTX_BIT,
C_CWIDTH => CNT_BIT
)
port map
(
clk => clk,
rst => rst,
tiaddr => tiaddr,
tiena => tiena,
tiwea => tiwea,
tinext => tinext,
tonext => tonext,
sysrst => system_reset,
rstdone => thread_resetdone
);
send_i : entity work.send_store
generic map
(
C_AWIDTH => C_AWIDTH,
C_DWIDTH => C_DWIDTH,
C_TWIDTH => THR_BIT,
C_MWIDTH => MTX_BIT,
C_CWIDTH => CNT_BIT
)
port map
(
clk => clk,
rst => rst,
siaddr => siaddr,
siena => siena,
siwea => siwea,
sinext => sinext,
sonext => sonext,
sysrst => system_reset,
rstdone => send_resetdone
);
lock_i : entity work.lock_fsm
generic map
(
C_AWIDTH => C_AWIDTH,
C_DWIDTH => C_DWIDTH,
C_TWIDTH => THR_BIT,
C_MWIDTH => MTX_BIT,
C_CWIDTH => CNT_BIT
)
port map
(
clk => clk,
rst => rst,
start => lock_start,
finish => lock_finish,
data => lock_data,
mutex => mtx_number,
thread => thr_number,
miowner => moowner,
minext => monext,
milast => molast,
micount => mocount,
mikind => mokind,
tinext => tonext,
moaddr => lock_maddr,
moena => lock_mena,
mowea => lock_mwea,
moowner => lock_mowner,
monext => lock_mnext,
molast => lock_mlast,
mocount => lock_mcount,
mokind => lock_mkind,
toaddr => lock_taddr,
toena => lock_tena,
towea => lock_twea,
tonext => lock_tnext,
sysrst => system_reset,
rstdone => lock_resetdone
);
unlock_i : entity work.unlock_fsm
generic map
(
C_AWIDTH => C_AWIDTH,
C_DWIDTH => C_DWIDTH,
C_TWIDTH => THR_BIT,
C_MWIDTH => MTX_BIT,
C_CWIDTH => CNT_BIT
)
port map
(
clk => clk,
rst => rst,
start => unlock_start,
finish => unlock_finish,
data => unlock_data,
mutex => mtx_number,
thread => thr_number,
miowner => moowner,
minext => monext,
milast => molast,
micount => mocount,
mikind => mokind,
tinext => tonext,
moaddr => unlock_maddr,
moena => unlock_mena,
mowea => unlock_mwea,
moowner => unlock_mowner,
monext => unlock_mnext,
molast => unlock_mlast,
mocount => unlock_mcount,
mokind => unlock_mkind,
toaddr => unlock_taddr,
toena => unlock_tena,
towea => unlock_twea,
tonext => unlock_tnext,
sena => unlock_sena,
sid => unlock_sid,
sack => send_ack,
sysrst => system_reset,
rstdone => unlock_resetdone
);
trylock_i : entity work.trylock_fsm
generic map
(
C_AWIDTH => C_AWIDTH,
C_DWIDTH => C_DWIDTH,
C_TWIDTH => THR_BIT,
C_MWIDTH => MTX_BIT,
C_CWIDTH => CNT_BIT
)
port map
(
clk => clk,
rst => rst,
start => trylock_start,
finish => trylock_finish,
data => trylock_data,
mutex => mtx_number,
thread => thr_number,
miowner => moowner,
minext => monext,
milast => molast,
micount => mocount,
mikind => mokind,
tinext => tonext,
moaddr => trylock_maddr,
moena => trylock_mena,
mowea => trylock_mwea,
moowner => trylock_mowner,
monext => trylock_mnext,
molast => trylock_mlast,
mocount => trylock_mcount,
mokind => trylock_mkind,
toaddr => trylock_taddr,
toena => trylock_tena,
towea => trylock_twea,
tonext => trylock_tnext,
sysrst => system_reset,
rstdone => trylock_resetdone
);
count_i : entity work.count_fsm
generic map
(
C_AWIDTH => C_AWIDTH,
C_DWIDTH => C_DWIDTH,
C_TWIDTH => THR_BIT,
C_MWIDTH => MTX_BIT,
C_CWIDTH => CNT_BIT
)
port map
(
clk => clk,
rst => rst,
start => count_start,
finish => count_finish,
data => count_data,
mutex => mtx_number,
thread => thr_number,
miowner => moowner,
minext => monext,
milast => molast,
micount => mocount,
mikind => mokind,
tinext => tonext,
moaddr => count_maddr,
moena => count_mena,
mowea => count_mwea,
moowner => count_mowner,
monext => count_mnext,
molast => count_mlast,
mocount => count_mcount,
mokind => count_mkind,
toaddr => count_taddr,
toena => count_tena,
towea => count_twea,
tonext => count_tnext,
sysrst => system_reset,
rstdone => count_resetdone
);
kind_i : entity work.kind_fsm
generic map
(
C_AWIDTH => C_AWIDTH,
C_DWIDTH => C_DWIDTH,
C_TWIDTH => THR_BIT,
C_MWIDTH => MTX_BIT,
C_CWIDTH => CNT_BIT
)
port map
(
clk => clk,
rst => rst,
start => kind_start,
finish => kind_finish,
data => kind_data,
datain => datain,
mutex => mtx_number,
thread => thr_number,
miowner => moowner,
minext => monext,
milast => molast,
micount => mocount,
mikind => mokind,
tinext => tonext,
moaddr => kind_maddr,
moena => kind_mena,
mowea => kind_mwea,
moowner => kind_mowner,
monext => kind_mnext,
molast => kind_mlast,
mocount => kind_mcount,
mokind => kind_mkind,
toaddr => kind_taddr,
toena => kind_tena,
towea => kind_twea,
tonext => kind_tnext,
rnw => rnw,
sysrst => system_reset,
rstdone => kind_resetdone
);
owner_i : entity work.owner_fsm
generic map
(
C_AWIDTH => C_AWIDTH,
C_DWIDTH => C_DWIDTH,
C_TWIDTH => THR_BIT,
C_MWIDTH => MTX_BIT,
C_CWIDTH => CNT_BIT
)
port map
(
clk => clk,
rst => rst,
start => owner_start,
finish => owner_finish,
data => owner_data,
mutex => mtx_number,
thread => thr_number,
miowner => moowner,
minext => monext,
milast => molast,
micount => mocount,
mikind => mokind,
tinext => tonext,
moaddr => owner_maddr,
moena => owner_mena,
mowea => owner_mwea,
moowner => owner_mowner,
monext => owner_mnext,
molast => owner_mlast,
mocount => owner_mcount,
mokind => owner_mkind,
toaddr => owner_taddr,
toena => owner_tena,
towea => owner_twea,
tonext => owner_tnext,
sysrst => system_reset,
rstdone => owner_resetdone
);
result_i : entity work.result_fsm
generic map
(
C_AWIDTH => C_AWIDTH,
C_DWIDTH => C_DWIDTH,
C_TWIDTH => THR_BIT,
C_MWIDTH => MTX_BIT,
C_CWIDTH => CNT_BIT
)
port map
(
clk => clk,
rst => rst,
start => result_start,
finish => result_finish,
data => result_data,
datain => datain,
rnw => rnw,
sysrst => system_reset,
rstdone => result_resetdone
);
end behavioral;
|
-------------------------------------------------------------------------------------
-- Copyright (c) 2006, University of Kansas - Hybridthreads Group
-- All rights reserved.
--
-- Redistribution and use in source and binary forms, with or without
-- modification, are permitted provided that the following conditions are met:
--
-- * Redistributions of source code must retain the above copyright notice,
-- this list of conditions and the following disclaimer.
-- * Redistributions in binary form must reproduce the above copyright notice,
-- this list of conditions and the following disclaimer in the documentation
-- and/or other materials provided with the distribution.
-- * Neither the name of the University of Kansas nor the name of the
-- Hybridthreads Group nor the names of its contributors may be used to
-- endorse or promote products derived from this software without specific
-- prior written permission.
--
-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND
-- ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED
-- WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE
-- DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE LIABLE FOR
-- ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES
-- (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES;
-- LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON
-- ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT
-- (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS
-- SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
-------------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
use ieee.std_logic_misc.all;
use work.common.all;
entity slave is
generic
(
C_NUM_THREADS : integer := 256;
C_NUM_MUTEXES : integer := 64;
C_AWIDTH : integer := 32;
C_DWIDTH : integer := 32;
C_MAX_AR_DWIDTH : integer := 32;
C_NUM_ADDR_RNG : integer := 7;
C_NUM_CE : integer := 1
);
port
(
Bus2IP_Clk : in std_logic;
Bus2IP_Reset : in std_logic;
Bus2IP_Addr : in std_logic_vector(0 to C_AWIDTH-1);
Bus2IP_Data : in std_logic_vector(0 to C_DWIDTH-1);
Bus2IP_BE : in std_logic_vector(0 to C_DWIDTH/8-1);
Bus2IP_CS : in std_logic_vector(0 to C_NUM_ADDR_RNG-1);
Bus2IP_RNW : in std_logic;
IP2Bus_Data : out std_logic_vector(0 to C_DWIDTH-1);
IP2Bus_Error : out std_logic;
IP2Bus_RdAck : out std_logic;
IP2Bus_WrAck : out std_logic;
system_reset : in std_logic;
system_resetdone : out std_logic;
send_ena : out std_logic;
send_id : out std_logic_vector(0 to log2(C_NUM_THREADS)-1);
send_ack : in std_logic;
siaddr : in std_logic_vector(0 to log2(C_NUM_THREADS)-1);
siena : in std_logic;
siwea : in std_logic;
sinext : in std_logic_vector(0 to log2(C_NUM_THREADS)-1);
sonext : out std_logic_vector(0 to log2(C_NUM_THREADS)-1)
);
end slave;
architecture behavioral of slave is
-- Declare constants for bits needed for threads, mutexes, commands, and kinds
constant MTX_BIT : integer := log2( C_NUM_MUTEXES );
constant THR_BIT : integer := log2( C_NUM_THREADS );
constant CMD_BIT : integer := 3;
constant CNT_BIT : integer := 8;
constant KND_BIT : integer := 2;
-- Declare signals for clock, reset, rnw, and data input
signal clk : std_logic;
signal rst : std_logic;
signal rnw : std_logic;
signal datain : std_logic_vector(0 to C_DWIDTH-1);
-- Declare finish signals for the state machines
signal IP2Bus_RdAck_internal, IP2Bus_WrAck_internal : std_logic;
signal lock_finish : std_logic;
signal unlock_finish : std_logic;
signal trylock_finish : std_logic;
signal count_finish : std_logic;
signal kind_finish : std_logic;
signal owner_finish : std_logic;
signal result_finish : std_logic;
-- Declare data signals for the state machines
signal lock_data : std_logic_vector(0 to C_DWIDTH-1);
signal unlock_data : std_logic_vector(0 to C_DWIDTH-1);
signal trylock_data : std_logic_vector(0 to C_DWIDTH-1);
signal count_data : std_logic_vector(0 to C_DWIDTH-1);
signal kind_data : std_logic_vector(0 to C_DWIDTH-1);
signal owner_data : std_logic_vector(0 to C_DWIDTH-1);
signal result_data : std_logic_vector(0 to C_DWIDTH-1);
-- Declare mutex address signals for the state machines
signal lock_maddr : std_logic_vector(0 to MTX_BIT-1);
signal unlock_maddr : std_logic_vector(0 to MTX_BIT-1);
signal trylock_maddr : std_logic_vector(0 to MTX_BIT-1);
signal count_maddr : std_logic_vector(0 to MTX_BIT-1);
signal kind_maddr : std_logic_vector(0 to MTX_BIT-1);
signal owner_maddr : std_logic_vector(0 to MTX_BIT-1);
-- Declare mutex enable signals for the state machines
signal lock_mena : std_logic;
signal unlock_mena : std_logic;
signal trylock_mena : std_logic;
signal count_mena : std_logic;
signal kind_mena : std_logic;
signal owner_mena : std_logic;
-- Declare mutex write enable signals for the state machines
signal lock_mwea : std_logic;
signal unlock_mwea : std_logic;
signal trylock_mwea : std_logic;
signal count_mwea : std_logic;
signal kind_mwea : std_logic;
signal owner_mwea : std_logic;
-- Declare mutex owner signals for the state machies
signal lock_mowner : std_logic_vector(0 to THR_BIT-1);
signal unlock_mowner : std_logic_vector(0 to THR_BIT-1);
signal trylock_mowner : std_logic_vector(0 to THR_BIT-1);
signal count_mowner : std_logic_vector(0 to THR_BIT-1);
signal kind_mowner : std_logic_vector(0 to THR_BIT-1);
signal owner_mowner : std_logic_vector(0 to THR_BIT-1);
-- Declare mutex next signals for the state machines
signal lock_mnext : std_logic_vector(0 to THR_BIT-1);
signal unlock_mnext : std_logic_vector(0 to THR_BIT-1);
signal trylock_mnext : std_logic_vector(0 to THR_BIT-1);
signal count_mnext : std_logic_vector(0 to THR_BIT-1);
signal kind_mnext : std_logic_vector(0 to THR_BIT-1);
signal owner_mnext : std_logic_vector(0 to THR_BIT-1);
-- Declare mutex last signals for the state machines
signal lock_mlast : std_logic_vector(0 to THR_BIT-1);
signal unlock_mlast : std_logic_vector(0 to THR_BIT-1);
signal trylock_mlast : std_logic_vector(0 to THR_BIT-1);
signal count_mlast : std_logic_vector(0 to THR_BIT-1);
signal kind_mlast : std_logic_vector(0 to THR_BIT-1);
signal owner_mlast : std_logic_vector(0 to THR_BIT-1);
-- Declare mutex count signals for the state machines
signal lock_mcount : std_logic_vector(0 to CNT_BIT-1);
signal unlock_mcount : std_logic_vector(0 to CNT_BIT-1);
signal trylock_mcount : std_logic_vector(0 to CNT_BIT-1);
signal count_mcount : std_logic_vector(0 to CNT_BIT-1);
signal kind_mcount : std_logic_vector(0 to CNT_BIT-1);
signal owner_mcount : std_logic_vector(0 to CNT_BIT-1);
-- Declare mutex kind signals for the state machines
signal lock_mkind : std_logic_vector(0 to KND_BIT-1);
signal unlock_mkind : std_logic_vector(0 to KND_BIT-1);
signal trylock_mkind : std_logic_vector(0 to KND_BIT-1);
signal count_mkind : std_logic_vector(0 to KND_BIT-1);
signal kind_mkind : std_logic_vector(0 to KND_BIT-1);
signal owner_mkind : std_logic_vector(0 to KND_BIT-1);
-- Declare thread address signals for the state machines
signal lock_taddr : std_logic_vector(0 to THR_BIT-1);
signal unlock_taddr : std_logic_vector(0 to THR_BIT-1);
signal trylock_taddr : std_logic_vector(0 to THR_BIT-1);
signal count_taddr : std_logic_vector(0 to THR_BIT-1);
signal kind_taddr : std_logic_vector(0 to THR_BIT-1);
signal owner_taddr : std_logic_vector(0 to THR_BIT-1);
-- Declare thread enable signals for the state machines
signal lock_tena : std_logic;
signal unlock_tena : std_logic;
signal trylock_tena : std_logic;
signal count_tena : std_logic;
signal kind_tena : std_logic;
signal owner_tena : std_logic;
-- Declare thread write enable signals for the state machines
signal lock_twea : std_logic;
signal unlock_twea : std_logic;
signal trylock_twea : std_logic;
signal count_twea : std_logic;
signal kind_twea : std_logic;
signal owner_twea : std_logic;
-- Declare thread next signals for the state machines
signal lock_tnext : std_logic_vector(0 to THR_BIT-1);
signal unlock_tnext : std_logic_vector(0 to THR_BIT-1);
signal trylock_tnext : std_logic_vector(0 to THR_BIT-1);
signal count_tnext : std_logic_vector(0 to THR_BIT-1);
signal kind_tnext : std_logic_vector(0 to THR_BIT-1);
signal owner_tnext : std_logic_vector(0 to THR_BIT-1);
-- Declare send enable signals for the state machines
signal unlock_sena : std_logic;
-- Declare send identifier signals for the state machines
signal unlock_sid : std_logic_vector(0 to THR_BIT-1);
-- Declare signals for the mutex store
signal miaddr : std_logic_vector(0 to MTX_BIT-1);
signal miena : std_logic;
signal miwea : std_logic;
signal miowner : std_logic_vector(0 to THR_BIT-1);
signal minext : std_logic_vector(0 to THR_BIT-1);
signal milast : std_logic_vector(0 to THR_BIT-1);
signal micount : std_logic_vector(0 to CNT_BIT-1);
signal mikind : std_logic_vector(0 to KND_BIT-1);
signal moowner : std_logic_vector(0 to THR_BIT-1);
signal monext : std_logic_vector(0 to THR_BIT-1);
signal molast : std_logic_vector(0 to THR_BIT-1);
signal mocount : std_logic_vector(0 to CNT_BIT-1);
signal mokind : std_logic_vector(0 to KND_BIT-1);
-- Declare signals for the thread store
signal tiaddr : std_logic_vector(0 to THR_BIT-1);
signal tiena : std_logic;
signal tiwea : std_logic;
signal tinext : std_logic_vector(0 to THR_BIT-1);
signal tonext : std_logic_vector(0 to THR_BIT-1);
-- Declare signals for the system reset
signal lock_resetdone : std_logic;
signal unlock_resetdone : std_logic;
signal trylock_resetdone : std_logic;
signal owner_resetdone : std_logic;
signal kind_resetdone : std_logic;
signal count_resetdone : std_logic;
signal result_resetdone : std_logic;
signal thread_resetdone : std_logic;
signal send_resetdone : std_logic;
signal mutex_resetdone : std_logic;
-- Declare aliases for the start signals
alias lock_start : std_logic is Bus2IP_CS(0);
alias unlock_start : std_logic is Bus2IP_CS(1);
alias trylock_start : std_logic is Bus2IP_CS(2);
alias owner_start : std_logic is Bus2IP_CS(3);
alias kind_start : std_logic is Bus2IP_CS(4);
alias count_start : std_logic is Bus2IP_CS(5);
alias result_start : std_logic is Bus2IP_CS(6);
-- Declare constants for the bit index positions
constant KND_SRT : integer := C_AWIDTH - 2;
constant KND_END : integer := C_AWIDTH - 1;
constant MTX_SRT : integer := KND_SRT - MTX_BIT;
constant MTX_END : integer := KND_SRT - 1;
constant THR_SRT : integer := MTX_SRT - THR_BIT;
constant THR_END : integer := MTX_SRT - 1;
constant CMD_SRT : integer := THR_SRT - CMD_BIT;
constant CMD_END : integer := THR_SRT - 1;
-- Declare aliases for the encoded parameters
alias knd_number : std_logic_vector(0 to KND_BIT-1) is
Bus2IP_Data(KND_SRT to KND_END);
alias mtx_number : std_logic_vector(0 to MTX_BIT-1) is
Bus2IP_Addr(MTX_SRT to MTX_END);
alias thr_number : std_logic_vector(0 to THR_BIT-1) is
Bus2IP_Addr(THR_SRT to THR_END);
alias cmd_number : std_logic_vector(0 to CMD_BIT-1) is
Bus2IP_Addr(CMD_SRT to CMD_END);
begin
clk <= Bus2IP_Clk; -- Use the bus clock for the core clock
rst <= Bus2IP_Reset; -- Use the bus reset for the core reset
rnw <= Bus2IP_RNW; -- Use the bus rnw for the core rnw
datain <= Bus2IP_Data; -- Use the bus data for the core data
send_ena <= unlock_sena; -- Output the send enable signal
send_id <= unlock_sid; -- Output the send identifier
--IP2Bus_Data <= (others => '0'); -- Never use bus data lines (see ArData)
IP2Bus_Error <= '0'; -- Never cause a bus error
system_resetdone <= lock_resetdone and
unlock_resetdone and
trylock_resetdone and
owner_resetdone and
count_resetdone and
kind_resetdone and
result_resetdone and
thread_resetdone and
send_resetdone and
mutex_resetdone;
-- **********************
-- Ack router
-- **********************
IP2Bus_RdAck <= IP2Bus_RdAck_internal when rnw = '1' else '0';
IP2Bus_WrAck <= IP2Bus_WrAck_internal when rnw = '0' else '0';
-- **********************
IP2Bus_RdAck_internal <= lock_finish or
unlock_finish or
trylock_finish or
owner_finish or
count_finish or
kind_finish or
result_finish;
IP2Bus_WrAck_internal <= lock_finish or
unlock_finish or
trylock_finish or
owner_finish or
count_finish or
kind_finish or
result_finish;
IP2Bus_Data <= lock_data or
unlock_data or
trylock_data or
owner_data or
count_data or
kind_data or
result_data;
miaddr <= lock_maddr or
unlock_maddr or
trylock_maddr or
owner_maddr or
count_maddr or
kind_maddr;
miena <= lock_mena or
unlock_mena or
trylock_mena or
owner_mena or
count_mena or
kind_mena;
miwea <= lock_mwea or
unlock_mwea or
trylock_mwea or
owner_mwea or
count_mwea or
kind_mwea;
miowner <= lock_mowner or
unlock_mowner or
trylock_mowner or
owner_mowner or
count_mowner or
kind_mowner;
minext <= lock_mnext or
unlock_mnext or
trylock_mnext or
owner_mnext or
count_mnext or
kind_mnext;
milast <= lock_mlast or
unlock_mlast or
trylock_mlast or
owner_mlast or
count_mlast or
kind_mlast;
micount <= lock_mcount or
unlock_mcount or
trylock_mcount or
owner_mcount or
count_mcount or
kind_mcount;
mikind <= lock_mkind or
unlock_mkind or
trylock_mkind or
owner_mkind or
count_mkind or
kind_mkind;
tiaddr <= lock_taddr or
unlock_taddr or
trylock_taddr or
owner_taddr or
count_taddr or
kind_taddr;
tiena <= lock_tena or
unlock_tena or
trylock_tena or
owner_tena or
count_tena or
kind_tena;
tiwea <= lock_twea or
unlock_twea or
trylock_twea or
owner_twea or
count_twea or
kind_twea;
tinext <= lock_tnext or
unlock_tnext or
trylock_tnext or
owner_tnext or
count_tnext or
kind_tnext;
mutex_i : entity work.mutex_store
generic map
(
C_AWIDTH => C_AWIDTH,
C_DWIDTH => C_DWIDTH,
C_TWIDTH => THR_BIT,
C_MWIDTH => MTX_BIT,
C_CWIDTH => CNT_BIT
)
port map
(
clk => clk,
rst => rst,
miaddr => miaddr,
miena => miena,
miwea => miwea,
miowner => miowner,
minext => minext,
milast => milast,
mikind => mikind,
micount => micount,
moowner => moowner,
monext => monext,
molast => molast,
mokind => mokind,
mocount => mocount,
sysrst => system_reset,
rstdone => mutex_resetdone
);
thread_i : entity work.thread_store
generic map
(
C_AWIDTH => C_AWIDTH,
C_DWIDTH => C_DWIDTH,
C_TWIDTH => THR_BIT,
C_MWIDTH => MTX_BIT,
C_CWIDTH => CNT_BIT
)
port map
(
clk => clk,
rst => rst,
tiaddr => tiaddr,
tiena => tiena,
tiwea => tiwea,
tinext => tinext,
tonext => tonext,
sysrst => system_reset,
rstdone => thread_resetdone
);
send_i : entity work.send_store
generic map
(
C_AWIDTH => C_AWIDTH,
C_DWIDTH => C_DWIDTH,
C_TWIDTH => THR_BIT,
C_MWIDTH => MTX_BIT,
C_CWIDTH => CNT_BIT
)
port map
(
clk => clk,
rst => rst,
siaddr => siaddr,
siena => siena,
siwea => siwea,
sinext => sinext,
sonext => sonext,
sysrst => system_reset,
rstdone => send_resetdone
);
lock_i : entity work.lock_fsm
generic map
(
C_AWIDTH => C_AWIDTH,
C_DWIDTH => C_DWIDTH,
C_TWIDTH => THR_BIT,
C_MWIDTH => MTX_BIT,
C_CWIDTH => CNT_BIT
)
port map
(
clk => clk,
rst => rst,
start => lock_start,
finish => lock_finish,
data => lock_data,
mutex => mtx_number,
thread => thr_number,
miowner => moowner,
minext => monext,
milast => molast,
micount => mocount,
mikind => mokind,
tinext => tonext,
moaddr => lock_maddr,
moena => lock_mena,
mowea => lock_mwea,
moowner => lock_mowner,
monext => lock_mnext,
molast => lock_mlast,
mocount => lock_mcount,
mokind => lock_mkind,
toaddr => lock_taddr,
toena => lock_tena,
towea => lock_twea,
tonext => lock_tnext,
sysrst => system_reset,
rstdone => lock_resetdone
);
unlock_i : entity work.unlock_fsm
generic map
(
C_AWIDTH => C_AWIDTH,
C_DWIDTH => C_DWIDTH,
C_TWIDTH => THR_BIT,
C_MWIDTH => MTX_BIT,
C_CWIDTH => CNT_BIT
)
port map
(
clk => clk,
rst => rst,
start => unlock_start,
finish => unlock_finish,
data => unlock_data,
mutex => mtx_number,
thread => thr_number,
miowner => moowner,
minext => monext,
milast => molast,
micount => mocount,
mikind => mokind,
tinext => tonext,
moaddr => unlock_maddr,
moena => unlock_mena,
mowea => unlock_mwea,
moowner => unlock_mowner,
monext => unlock_mnext,
molast => unlock_mlast,
mocount => unlock_mcount,
mokind => unlock_mkind,
toaddr => unlock_taddr,
toena => unlock_tena,
towea => unlock_twea,
tonext => unlock_tnext,
sena => unlock_sena,
sid => unlock_sid,
sack => send_ack,
sysrst => system_reset,
rstdone => unlock_resetdone
);
trylock_i : entity work.trylock_fsm
generic map
(
C_AWIDTH => C_AWIDTH,
C_DWIDTH => C_DWIDTH,
C_TWIDTH => THR_BIT,
C_MWIDTH => MTX_BIT,
C_CWIDTH => CNT_BIT
)
port map
(
clk => clk,
rst => rst,
start => trylock_start,
finish => trylock_finish,
data => trylock_data,
mutex => mtx_number,
thread => thr_number,
miowner => moowner,
minext => monext,
milast => molast,
micount => mocount,
mikind => mokind,
tinext => tonext,
moaddr => trylock_maddr,
moena => trylock_mena,
mowea => trylock_mwea,
moowner => trylock_mowner,
monext => trylock_mnext,
molast => trylock_mlast,
mocount => trylock_mcount,
mokind => trylock_mkind,
toaddr => trylock_taddr,
toena => trylock_tena,
towea => trylock_twea,
tonext => trylock_tnext,
sysrst => system_reset,
rstdone => trylock_resetdone
);
count_i : entity work.count_fsm
generic map
(
C_AWIDTH => C_AWIDTH,
C_DWIDTH => C_DWIDTH,
C_TWIDTH => THR_BIT,
C_MWIDTH => MTX_BIT,
C_CWIDTH => CNT_BIT
)
port map
(
clk => clk,
rst => rst,
start => count_start,
finish => count_finish,
data => count_data,
mutex => mtx_number,
thread => thr_number,
miowner => moowner,
minext => monext,
milast => molast,
micount => mocount,
mikind => mokind,
tinext => tonext,
moaddr => count_maddr,
moena => count_mena,
mowea => count_mwea,
moowner => count_mowner,
monext => count_mnext,
molast => count_mlast,
mocount => count_mcount,
mokind => count_mkind,
toaddr => count_taddr,
toena => count_tena,
towea => count_twea,
tonext => count_tnext,
sysrst => system_reset,
rstdone => count_resetdone
);
kind_i : entity work.kind_fsm
generic map
(
C_AWIDTH => C_AWIDTH,
C_DWIDTH => C_DWIDTH,
C_TWIDTH => THR_BIT,
C_MWIDTH => MTX_BIT,
C_CWIDTH => CNT_BIT
)
port map
(
clk => clk,
rst => rst,
start => kind_start,
finish => kind_finish,
data => kind_data,
datain => datain,
mutex => mtx_number,
thread => thr_number,
miowner => moowner,
minext => monext,
milast => molast,
micount => mocount,
mikind => mokind,
tinext => tonext,
moaddr => kind_maddr,
moena => kind_mena,
mowea => kind_mwea,
moowner => kind_mowner,
monext => kind_mnext,
molast => kind_mlast,
mocount => kind_mcount,
mokind => kind_mkind,
toaddr => kind_taddr,
toena => kind_tena,
towea => kind_twea,
tonext => kind_tnext,
rnw => rnw,
sysrst => system_reset,
rstdone => kind_resetdone
);
owner_i : entity work.owner_fsm
generic map
(
C_AWIDTH => C_AWIDTH,
C_DWIDTH => C_DWIDTH,
C_TWIDTH => THR_BIT,
C_MWIDTH => MTX_BIT,
C_CWIDTH => CNT_BIT
)
port map
(
clk => clk,
rst => rst,
start => owner_start,
finish => owner_finish,
data => owner_data,
mutex => mtx_number,
thread => thr_number,
miowner => moowner,
minext => monext,
milast => molast,
micount => mocount,
mikind => mokind,
tinext => tonext,
moaddr => owner_maddr,
moena => owner_mena,
mowea => owner_mwea,
moowner => owner_mowner,
monext => owner_mnext,
molast => owner_mlast,
mocount => owner_mcount,
mokind => owner_mkind,
toaddr => owner_taddr,
toena => owner_tena,
towea => owner_twea,
tonext => owner_tnext,
sysrst => system_reset,
rstdone => owner_resetdone
);
result_i : entity work.result_fsm
generic map
(
C_AWIDTH => C_AWIDTH,
C_DWIDTH => C_DWIDTH,
C_TWIDTH => THR_BIT,
C_MWIDTH => MTX_BIT,
C_CWIDTH => CNT_BIT
)
port map
(
clk => clk,
rst => rst,
start => result_start,
finish => result_finish,
data => result_data,
datain => datain,
rnw => rnw,
sysrst => system_reset,
rstdone => result_resetdone
);
end behavioral;
|
-------------------------------------------------------------------------------------
-- Copyright (c) 2006, University of Kansas - Hybridthreads Group
-- All rights reserved.
--
-- Redistribution and use in source and binary forms, with or without
-- modification, are permitted provided that the following conditions are met:
--
-- * Redistributions of source code must retain the above copyright notice,
-- this list of conditions and the following disclaimer.
-- * Redistributions in binary form must reproduce the above copyright notice,
-- this list of conditions and the following disclaimer in the documentation
-- and/or other materials provided with the distribution.
-- * Neither the name of the University of Kansas nor the name of the
-- Hybridthreads Group nor the names of its contributors may be used to
-- endorse or promote products derived from this software without specific
-- prior written permission.
--
-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND
-- ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED
-- WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE
-- DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE LIABLE FOR
-- ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES
-- (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES;
-- LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON
-- ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT
-- (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS
-- SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
-------------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
use ieee.std_logic_misc.all;
use work.common.all;
entity slave is
generic
(
C_NUM_THREADS : integer := 256;
C_NUM_MUTEXES : integer := 64;
C_AWIDTH : integer := 32;
C_DWIDTH : integer := 32;
C_MAX_AR_DWIDTH : integer := 32;
C_NUM_ADDR_RNG : integer := 7;
C_NUM_CE : integer := 1
);
port
(
Bus2IP_Clk : in std_logic;
Bus2IP_Reset : in std_logic;
Bus2IP_Addr : in std_logic_vector(0 to C_AWIDTH-1);
Bus2IP_Data : in std_logic_vector(0 to C_DWIDTH-1);
Bus2IP_BE : in std_logic_vector(0 to C_DWIDTH/8-1);
Bus2IP_CS : in std_logic_vector(0 to C_NUM_ADDR_RNG-1);
Bus2IP_RNW : in std_logic;
IP2Bus_Data : out std_logic_vector(0 to C_DWIDTH-1);
IP2Bus_Error : out std_logic;
IP2Bus_RdAck : out std_logic;
IP2Bus_WrAck : out std_logic;
system_reset : in std_logic;
system_resetdone : out std_logic;
send_ena : out std_logic;
send_id : out std_logic_vector(0 to log2(C_NUM_THREADS)-1);
send_ack : in std_logic;
siaddr : in std_logic_vector(0 to log2(C_NUM_THREADS)-1);
siena : in std_logic;
siwea : in std_logic;
sinext : in std_logic_vector(0 to log2(C_NUM_THREADS)-1);
sonext : out std_logic_vector(0 to log2(C_NUM_THREADS)-1)
);
end slave;
architecture behavioral of slave is
-- Declare constants for bits needed for threads, mutexes, commands, and kinds
constant MTX_BIT : integer := log2( C_NUM_MUTEXES );
constant THR_BIT : integer := log2( C_NUM_THREADS );
constant CMD_BIT : integer := 3;
constant CNT_BIT : integer := 8;
constant KND_BIT : integer := 2;
-- Declare signals for clock, reset, rnw, and data input
signal clk : std_logic;
signal rst : std_logic;
signal rnw : std_logic;
signal datain : std_logic_vector(0 to C_DWIDTH-1);
-- Declare finish signals for the state machines
signal IP2Bus_RdAck_internal, IP2Bus_WrAck_internal : std_logic;
signal lock_finish : std_logic;
signal unlock_finish : std_logic;
signal trylock_finish : std_logic;
signal count_finish : std_logic;
signal kind_finish : std_logic;
signal owner_finish : std_logic;
signal result_finish : std_logic;
-- Declare data signals for the state machines
signal lock_data : std_logic_vector(0 to C_DWIDTH-1);
signal unlock_data : std_logic_vector(0 to C_DWIDTH-1);
signal trylock_data : std_logic_vector(0 to C_DWIDTH-1);
signal count_data : std_logic_vector(0 to C_DWIDTH-1);
signal kind_data : std_logic_vector(0 to C_DWIDTH-1);
signal owner_data : std_logic_vector(0 to C_DWIDTH-1);
signal result_data : std_logic_vector(0 to C_DWIDTH-1);
-- Declare mutex address signals for the state machines
signal lock_maddr : std_logic_vector(0 to MTX_BIT-1);
signal unlock_maddr : std_logic_vector(0 to MTX_BIT-1);
signal trylock_maddr : std_logic_vector(0 to MTX_BIT-1);
signal count_maddr : std_logic_vector(0 to MTX_BIT-1);
signal kind_maddr : std_logic_vector(0 to MTX_BIT-1);
signal owner_maddr : std_logic_vector(0 to MTX_BIT-1);
-- Declare mutex enable signals for the state machines
signal lock_mena : std_logic;
signal unlock_mena : std_logic;
signal trylock_mena : std_logic;
signal count_mena : std_logic;
signal kind_mena : std_logic;
signal owner_mena : std_logic;
-- Declare mutex write enable signals for the state machines
signal lock_mwea : std_logic;
signal unlock_mwea : std_logic;
signal trylock_mwea : std_logic;
signal count_mwea : std_logic;
signal kind_mwea : std_logic;
signal owner_mwea : std_logic;
-- Declare mutex owner signals for the state machies
signal lock_mowner : std_logic_vector(0 to THR_BIT-1);
signal unlock_mowner : std_logic_vector(0 to THR_BIT-1);
signal trylock_mowner : std_logic_vector(0 to THR_BIT-1);
signal count_mowner : std_logic_vector(0 to THR_BIT-1);
signal kind_mowner : std_logic_vector(0 to THR_BIT-1);
signal owner_mowner : std_logic_vector(0 to THR_BIT-1);
-- Declare mutex next signals for the state machines
signal lock_mnext : std_logic_vector(0 to THR_BIT-1);
signal unlock_mnext : std_logic_vector(0 to THR_BIT-1);
signal trylock_mnext : std_logic_vector(0 to THR_BIT-1);
signal count_mnext : std_logic_vector(0 to THR_BIT-1);
signal kind_mnext : std_logic_vector(0 to THR_BIT-1);
signal owner_mnext : std_logic_vector(0 to THR_BIT-1);
-- Declare mutex last signals for the state machines
signal lock_mlast : std_logic_vector(0 to THR_BIT-1);
signal unlock_mlast : std_logic_vector(0 to THR_BIT-1);
signal trylock_mlast : std_logic_vector(0 to THR_BIT-1);
signal count_mlast : std_logic_vector(0 to THR_BIT-1);
signal kind_mlast : std_logic_vector(0 to THR_BIT-1);
signal owner_mlast : std_logic_vector(0 to THR_BIT-1);
-- Declare mutex count signals for the state machines
signal lock_mcount : std_logic_vector(0 to CNT_BIT-1);
signal unlock_mcount : std_logic_vector(0 to CNT_BIT-1);
signal trylock_mcount : std_logic_vector(0 to CNT_BIT-1);
signal count_mcount : std_logic_vector(0 to CNT_BIT-1);
signal kind_mcount : std_logic_vector(0 to CNT_BIT-1);
signal owner_mcount : std_logic_vector(0 to CNT_BIT-1);
-- Declare mutex kind signals for the state machines
signal lock_mkind : std_logic_vector(0 to KND_BIT-1);
signal unlock_mkind : std_logic_vector(0 to KND_BIT-1);
signal trylock_mkind : std_logic_vector(0 to KND_BIT-1);
signal count_mkind : std_logic_vector(0 to KND_BIT-1);
signal kind_mkind : std_logic_vector(0 to KND_BIT-1);
signal owner_mkind : std_logic_vector(0 to KND_BIT-1);
-- Declare thread address signals for the state machines
signal lock_taddr : std_logic_vector(0 to THR_BIT-1);
signal unlock_taddr : std_logic_vector(0 to THR_BIT-1);
signal trylock_taddr : std_logic_vector(0 to THR_BIT-1);
signal count_taddr : std_logic_vector(0 to THR_BIT-1);
signal kind_taddr : std_logic_vector(0 to THR_BIT-1);
signal owner_taddr : std_logic_vector(0 to THR_BIT-1);
-- Declare thread enable signals for the state machines
signal lock_tena : std_logic;
signal unlock_tena : std_logic;
signal trylock_tena : std_logic;
signal count_tena : std_logic;
signal kind_tena : std_logic;
signal owner_tena : std_logic;
-- Declare thread write enable signals for the state machines
signal lock_twea : std_logic;
signal unlock_twea : std_logic;
signal trylock_twea : std_logic;
signal count_twea : std_logic;
signal kind_twea : std_logic;
signal owner_twea : std_logic;
-- Declare thread next signals for the state machines
signal lock_tnext : std_logic_vector(0 to THR_BIT-1);
signal unlock_tnext : std_logic_vector(0 to THR_BIT-1);
signal trylock_tnext : std_logic_vector(0 to THR_BIT-1);
signal count_tnext : std_logic_vector(0 to THR_BIT-1);
signal kind_tnext : std_logic_vector(0 to THR_BIT-1);
signal owner_tnext : std_logic_vector(0 to THR_BIT-1);
-- Declare send enable signals for the state machines
signal unlock_sena : std_logic;
-- Declare send identifier signals for the state machines
signal unlock_sid : std_logic_vector(0 to THR_BIT-1);
-- Declare signals for the mutex store
signal miaddr : std_logic_vector(0 to MTX_BIT-1);
signal miena : std_logic;
signal miwea : std_logic;
signal miowner : std_logic_vector(0 to THR_BIT-1);
signal minext : std_logic_vector(0 to THR_BIT-1);
signal milast : std_logic_vector(0 to THR_BIT-1);
signal micount : std_logic_vector(0 to CNT_BIT-1);
signal mikind : std_logic_vector(0 to KND_BIT-1);
signal moowner : std_logic_vector(0 to THR_BIT-1);
signal monext : std_logic_vector(0 to THR_BIT-1);
signal molast : std_logic_vector(0 to THR_BIT-1);
signal mocount : std_logic_vector(0 to CNT_BIT-1);
signal mokind : std_logic_vector(0 to KND_BIT-1);
-- Declare signals for the thread store
signal tiaddr : std_logic_vector(0 to THR_BIT-1);
signal tiena : std_logic;
signal tiwea : std_logic;
signal tinext : std_logic_vector(0 to THR_BIT-1);
signal tonext : std_logic_vector(0 to THR_BIT-1);
-- Declare signals for the system reset
signal lock_resetdone : std_logic;
signal unlock_resetdone : std_logic;
signal trylock_resetdone : std_logic;
signal owner_resetdone : std_logic;
signal kind_resetdone : std_logic;
signal count_resetdone : std_logic;
signal result_resetdone : std_logic;
signal thread_resetdone : std_logic;
signal send_resetdone : std_logic;
signal mutex_resetdone : std_logic;
-- Declare aliases for the start signals
alias lock_start : std_logic is Bus2IP_CS(0);
alias unlock_start : std_logic is Bus2IP_CS(1);
alias trylock_start : std_logic is Bus2IP_CS(2);
alias owner_start : std_logic is Bus2IP_CS(3);
alias kind_start : std_logic is Bus2IP_CS(4);
alias count_start : std_logic is Bus2IP_CS(5);
alias result_start : std_logic is Bus2IP_CS(6);
-- Declare constants for the bit index positions
constant KND_SRT : integer := C_AWIDTH - 2;
constant KND_END : integer := C_AWIDTH - 1;
constant MTX_SRT : integer := KND_SRT - MTX_BIT;
constant MTX_END : integer := KND_SRT - 1;
constant THR_SRT : integer := MTX_SRT - THR_BIT;
constant THR_END : integer := MTX_SRT - 1;
constant CMD_SRT : integer := THR_SRT - CMD_BIT;
constant CMD_END : integer := THR_SRT - 1;
-- Declare aliases for the encoded parameters
alias knd_number : std_logic_vector(0 to KND_BIT-1) is
Bus2IP_Data(KND_SRT to KND_END);
alias mtx_number : std_logic_vector(0 to MTX_BIT-1) is
Bus2IP_Addr(MTX_SRT to MTX_END);
alias thr_number : std_logic_vector(0 to THR_BIT-1) is
Bus2IP_Addr(THR_SRT to THR_END);
alias cmd_number : std_logic_vector(0 to CMD_BIT-1) is
Bus2IP_Addr(CMD_SRT to CMD_END);
begin
clk <= Bus2IP_Clk; -- Use the bus clock for the core clock
rst <= Bus2IP_Reset; -- Use the bus reset for the core reset
rnw <= Bus2IP_RNW; -- Use the bus rnw for the core rnw
datain <= Bus2IP_Data; -- Use the bus data for the core data
send_ena <= unlock_sena; -- Output the send enable signal
send_id <= unlock_sid; -- Output the send identifier
--IP2Bus_Data <= (others => '0'); -- Never use bus data lines (see ArData)
IP2Bus_Error <= '0'; -- Never cause a bus error
system_resetdone <= lock_resetdone and
unlock_resetdone and
trylock_resetdone and
owner_resetdone and
count_resetdone and
kind_resetdone and
result_resetdone and
thread_resetdone and
send_resetdone and
mutex_resetdone;
-- **********************
-- Ack router
-- **********************
IP2Bus_RdAck <= IP2Bus_RdAck_internal when rnw = '1' else '0';
IP2Bus_WrAck <= IP2Bus_WrAck_internal when rnw = '0' else '0';
-- **********************
IP2Bus_RdAck_internal <= lock_finish or
unlock_finish or
trylock_finish or
owner_finish or
count_finish or
kind_finish or
result_finish;
IP2Bus_WrAck_internal <= lock_finish or
unlock_finish or
trylock_finish or
owner_finish or
count_finish or
kind_finish or
result_finish;
IP2Bus_Data <= lock_data or
unlock_data or
trylock_data or
owner_data or
count_data or
kind_data or
result_data;
miaddr <= lock_maddr or
unlock_maddr or
trylock_maddr or
owner_maddr or
count_maddr or
kind_maddr;
miena <= lock_mena or
unlock_mena or
trylock_mena or
owner_mena or
count_mena or
kind_mena;
miwea <= lock_mwea or
unlock_mwea or
trylock_mwea or
owner_mwea or
count_mwea or
kind_mwea;
miowner <= lock_mowner or
unlock_mowner or
trylock_mowner or
owner_mowner or
count_mowner or
kind_mowner;
minext <= lock_mnext or
unlock_mnext or
trylock_mnext or
owner_mnext or
count_mnext or
kind_mnext;
milast <= lock_mlast or
unlock_mlast or
trylock_mlast or
owner_mlast or
count_mlast or
kind_mlast;
micount <= lock_mcount or
unlock_mcount or
trylock_mcount or
owner_mcount or
count_mcount or
kind_mcount;
mikind <= lock_mkind or
unlock_mkind or
trylock_mkind or
owner_mkind or
count_mkind or
kind_mkind;
tiaddr <= lock_taddr or
unlock_taddr or
trylock_taddr or
owner_taddr or
count_taddr or
kind_taddr;
tiena <= lock_tena or
unlock_tena or
trylock_tena or
owner_tena or
count_tena or
kind_tena;
tiwea <= lock_twea or
unlock_twea or
trylock_twea or
owner_twea or
count_twea or
kind_twea;
tinext <= lock_tnext or
unlock_tnext or
trylock_tnext or
owner_tnext or
count_tnext or
kind_tnext;
mutex_i : entity work.mutex_store
generic map
(
C_AWIDTH => C_AWIDTH,
C_DWIDTH => C_DWIDTH,
C_TWIDTH => THR_BIT,
C_MWIDTH => MTX_BIT,
C_CWIDTH => CNT_BIT
)
port map
(
clk => clk,
rst => rst,
miaddr => miaddr,
miena => miena,
miwea => miwea,
miowner => miowner,
minext => minext,
milast => milast,
mikind => mikind,
micount => micount,
moowner => moowner,
monext => monext,
molast => molast,
mokind => mokind,
mocount => mocount,
sysrst => system_reset,
rstdone => mutex_resetdone
);
thread_i : entity work.thread_store
generic map
(
C_AWIDTH => C_AWIDTH,
C_DWIDTH => C_DWIDTH,
C_TWIDTH => THR_BIT,
C_MWIDTH => MTX_BIT,
C_CWIDTH => CNT_BIT
)
port map
(
clk => clk,
rst => rst,
tiaddr => tiaddr,
tiena => tiena,
tiwea => tiwea,
tinext => tinext,
tonext => tonext,
sysrst => system_reset,
rstdone => thread_resetdone
);
send_i : entity work.send_store
generic map
(
C_AWIDTH => C_AWIDTH,
C_DWIDTH => C_DWIDTH,
C_TWIDTH => THR_BIT,
C_MWIDTH => MTX_BIT,
C_CWIDTH => CNT_BIT
)
port map
(
clk => clk,
rst => rst,
siaddr => siaddr,
siena => siena,
siwea => siwea,
sinext => sinext,
sonext => sonext,
sysrst => system_reset,
rstdone => send_resetdone
);
lock_i : entity work.lock_fsm
generic map
(
C_AWIDTH => C_AWIDTH,
C_DWIDTH => C_DWIDTH,
C_TWIDTH => THR_BIT,
C_MWIDTH => MTX_BIT,
C_CWIDTH => CNT_BIT
)
port map
(
clk => clk,
rst => rst,
start => lock_start,
finish => lock_finish,
data => lock_data,
mutex => mtx_number,
thread => thr_number,
miowner => moowner,
minext => monext,
milast => molast,
micount => mocount,
mikind => mokind,
tinext => tonext,
moaddr => lock_maddr,
moena => lock_mena,
mowea => lock_mwea,
moowner => lock_mowner,
monext => lock_mnext,
molast => lock_mlast,
mocount => lock_mcount,
mokind => lock_mkind,
toaddr => lock_taddr,
toena => lock_tena,
towea => lock_twea,
tonext => lock_tnext,
sysrst => system_reset,
rstdone => lock_resetdone
);
unlock_i : entity work.unlock_fsm
generic map
(
C_AWIDTH => C_AWIDTH,
C_DWIDTH => C_DWIDTH,
C_TWIDTH => THR_BIT,
C_MWIDTH => MTX_BIT,
C_CWIDTH => CNT_BIT
)
port map
(
clk => clk,
rst => rst,
start => unlock_start,
finish => unlock_finish,
data => unlock_data,
mutex => mtx_number,
thread => thr_number,
miowner => moowner,
minext => monext,
milast => molast,
micount => mocount,
mikind => mokind,
tinext => tonext,
moaddr => unlock_maddr,
moena => unlock_mena,
mowea => unlock_mwea,
moowner => unlock_mowner,
monext => unlock_mnext,
molast => unlock_mlast,
mocount => unlock_mcount,
mokind => unlock_mkind,
toaddr => unlock_taddr,
toena => unlock_tena,
towea => unlock_twea,
tonext => unlock_tnext,
sena => unlock_sena,
sid => unlock_sid,
sack => send_ack,
sysrst => system_reset,
rstdone => unlock_resetdone
);
trylock_i : entity work.trylock_fsm
generic map
(
C_AWIDTH => C_AWIDTH,
C_DWIDTH => C_DWIDTH,
C_TWIDTH => THR_BIT,
C_MWIDTH => MTX_BIT,
C_CWIDTH => CNT_BIT
)
port map
(
clk => clk,
rst => rst,
start => trylock_start,
finish => trylock_finish,
data => trylock_data,
mutex => mtx_number,
thread => thr_number,
miowner => moowner,
minext => monext,
milast => molast,
micount => mocount,
mikind => mokind,
tinext => tonext,
moaddr => trylock_maddr,
moena => trylock_mena,
mowea => trylock_mwea,
moowner => trylock_mowner,
monext => trylock_mnext,
molast => trylock_mlast,
mocount => trylock_mcount,
mokind => trylock_mkind,
toaddr => trylock_taddr,
toena => trylock_tena,
towea => trylock_twea,
tonext => trylock_tnext,
sysrst => system_reset,
rstdone => trylock_resetdone
);
count_i : entity work.count_fsm
generic map
(
C_AWIDTH => C_AWIDTH,
C_DWIDTH => C_DWIDTH,
C_TWIDTH => THR_BIT,
C_MWIDTH => MTX_BIT,
C_CWIDTH => CNT_BIT
)
port map
(
clk => clk,
rst => rst,
start => count_start,
finish => count_finish,
data => count_data,
mutex => mtx_number,
thread => thr_number,
miowner => moowner,
minext => monext,
milast => molast,
micount => mocount,
mikind => mokind,
tinext => tonext,
moaddr => count_maddr,
moena => count_mena,
mowea => count_mwea,
moowner => count_mowner,
monext => count_mnext,
molast => count_mlast,
mocount => count_mcount,
mokind => count_mkind,
toaddr => count_taddr,
toena => count_tena,
towea => count_twea,
tonext => count_tnext,
sysrst => system_reset,
rstdone => count_resetdone
);
kind_i : entity work.kind_fsm
generic map
(
C_AWIDTH => C_AWIDTH,
C_DWIDTH => C_DWIDTH,
C_TWIDTH => THR_BIT,
C_MWIDTH => MTX_BIT,
C_CWIDTH => CNT_BIT
)
port map
(
clk => clk,
rst => rst,
start => kind_start,
finish => kind_finish,
data => kind_data,
datain => datain,
mutex => mtx_number,
thread => thr_number,
miowner => moowner,
minext => monext,
milast => molast,
micount => mocount,
mikind => mokind,
tinext => tonext,
moaddr => kind_maddr,
moena => kind_mena,
mowea => kind_mwea,
moowner => kind_mowner,
monext => kind_mnext,
molast => kind_mlast,
mocount => kind_mcount,
mokind => kind_mkind,
toaddr => kind_taddr,
toena => kind_tena,
towea => kind_twea,
tonext => kind_tnext,
rnw => rnw,
sysrst => system_reset,
rstdone => kind_resetdone
);
owner_i : entity work.owner_fsm
generic map
(
C_AWIDTH => C_AWIDTH,
C_DWIDTH => C_DWIDTH,
C_TWIDTH => THR_BIT,
C_MWIDTH => MTX_BIT,
C_CWIDTH => CNT_BIT
)
port map
(
clk => clk,
rst => rst,
start => owner_start,
finish => owner_finish,
data => owner_data,
mutex => mtx_number,
thread => thr_number,
miowner => moowner,
minext => monext,
milast => molast,
micount => mocount,
mikind => mokind,
tinext => tonext,
moaddr => owner_maddr,
moena => owner_mena,
mowea => owner_mwea,
moowner => owner_mowner,
monext => owner_mnext,
molast => owner_mlast,
mocount => owner_mcount,
mokind => owner_mkind,
toaddr => owner_taddr,
toena => owner_tena,
towea => owner_twea,
tonext => owner_tnext,
sysrst => system_reset,
rstdone => owner_resetdone
);
result_i : entity work.result_fsm
generic map
(
C_AWIDTH => C_AWIDTH,
C_DWIDTH => C_DWIDTH,
C_TWIDTH => THR_BIT,
C_MWIDTH => MTX_BIT,
C_CWIDTH => CNT_BIT
)
port map
(
clk => clk,
rst => rst,
start => result_start,
finish => result_finish,
data => result_data,
datain => datain,
rnw => rnw,
sysrst => system_reset,
rstdone => result_resetdone
);
end behavioral;
|
-------------------------------------------------------------------------------------
-- Copyright (c) 2006, University of Kansas - Hybridthreads Group
-- All rights reserved.
--
-- Redistribution and use in source and binary forms, with or without
-- modification, are permitted provided that the following conditions are met:
--
-- * Redistributions of source code must retain the above copyright notice,
-- this list of conditions and the following disclaimer.
-- * Redistributions in binary form must reproduce the above copyright notice,
-- this list of conditions and the following disclaimer in the documentation
-- and/or other materials provided with the distribution.
-- * Neither the name of the University of Kansas nor the name of the
-- Hybridthreads Group nor the names of its contributors may be used to
-- endorse or promote products derived from this software without specific
-- prior written permission.
--
-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND
-- ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED
-- WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE
-- DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE LIABLE FOR
-- ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES
-- (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES;
-- LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON
-- ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT
-- (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS
-- SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
-------------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
use ieee.std_logic_misc.all;
use work.common.all;
entity slave is
generic
(
C_NUM_THREADS : integer := 256;
C_NUM_MUTEXES : integer := 64;
C_AWIDTH : integer := 32;
C_DWIDTH : integer := 32;
C_MAX_AR_DWIDTH : integer := 32;
C_NUM_ADDR_RNG : integer := 7;
C_NUM_CE : integer := 1
);
port
(
Bus2IP_Clk : in std_logic;
Bus2IP_Reset : in std_logic;
Bus2IP_Addr : in std_logic_vector(0 to C_AWIDTH-1);
Bus2IP_Data : in std_logic_vector(0 to C_DWIDTH-1);
Bus2IP_BE : in std_logic_vector(0 to C_DWIDTH/8-1);
Bus2IP_CS : in std_logic_vector(0 to C_NUM_ADDR_RNG-1);
Bus2IP_RNW : in std_logic;
IP2Bus_Data : out std_logic_vector(0 to C_DWIDTH-1);
IP2Bus_Error : out std_logic;
IP2Bus_RdAck : out std_logic;
IP2Bus_WrAck : out std_logic;
system_reset : in std_logic;
system_resetdone : out std_logic;
send_ena : out std_logic;
send_id : out std_logic_vector(0 to log2(C_NUM_THREADS)-1);
send_ack : in std_logic;
siaddr : in std_logic_vector(0 to log2(C_NUM_THREADS)-1);
siena : in std_logic;
siwea : in std_logic;
sinext : in std_logic_vector(0 to log2(C_NUM_THREADS)-1);
sonext : out std_logic_vector(0 to log2(C_NUM_THREADS)-1)
);
end slave;
architecture behavioral of slave is
-- Declare constants for bits needed for threads, mutexes, commands, and kinds
constant MTX_BIT : integer := log2( C_NUM_MUTEXES );
constant THR_BIT : integer := log2( C_NUM_THREADS );
constant CMD_BIT : integer := 3;
constant CNT_BIT : integer := 8;
constant KND_BIT : integer := 2;
-- Declare signals for clock, reset, rnw, and data input
signal clk : std_logic;
signal rst : std_logic;
signal rnw : std_logic;
signal datain : std_logic_vector(0 to C_DWIDTH-1);
-- Declare finish signals for the state machines
signal IP2Bus_RdAck_internal, IP2Bus_WrAck_internal : std_logic;
signal lock_finish : std_logic;
signal unlock_finish : std_logic;
signal trylock_finish : std_logic;
signal count_finish : std_logic;
signal kind_finish : std_logic;
signal owner_finish : std_logic;
signal result_finish : std_logic;
-- Declare data signals for the state machines
signal lock_data : std_logic_vector(0 to C_DWIDTH-1);
signal unlock_data : std_logic_vector(0 to C_DWIDTH-1);
signal trylock_data : std_logic_vector(0 to C_DWIDTH-1);
signal count_data : std_logic_vector(0 to C_DWIDTH-1);
signal kind_data : std_logic_vector(0 to C_DWIDTH-1);
signal owner_data : std_logic_vector(0 to C_DWIDTH-1);
signal result_data : std_logic_vector(0 to C_DWIDTH-1);
-- Declare mutex address signals for the state machines
signal lock_maddr : std_logic_vector(0 to MTX_BIT-1);
signal unlock_maddr : std_logic_vector(0 to MTX_BIT-1);
signal trylock_maddr : std_logic_vector(0 to MTX_BIT-1);
signal count_maddr : std_logic_vector(0 to MTX_BIT-1);
signal kind_maddr : std_logic_vector(0 to MTX_BIT-1);
signal owner_maddr : std_logic_vector(0 to MTX_BIT-1);
-- Declare mutex enable signals for the state machines
signal lock_mena : std_logic;
signal unlock_mena : std_logic;
signal trylock_mena : std_logic;
signal count_mena : std_logic;
signal kind_mena : std_logic;
signal owner_mena : std_logic;
-- Declare mutex write enable signals for the state machines
signal lock_mwea : std_logic;
signal unlock_mwea : std_logic;
signal trylock_mwea : std_logic;
signal count_mwea : std_logic;
signal kind_mwea : std_logic;
signal owner_mwea : std_logic;
-- Declare mutex owner signals for the state machies
signal lock_mowner : std_logic_vector(0 to THR_BIT-1);
signal unlock_mowner : std_logic_vector(0 to THR_BIT-1);
signal trylock_mowner : std_logic_vector(0 to THR_BIT-1);
signal count_mowner : std_logic_vector(0 to THR_BIT-1);
signal kind_mowner : std_logic_vector(0 to THR_BIT-1);
signal owner_mowner : std_logic_vector(0 to THR_BIT-1);
-- Declare mutex next signals for the state machines
signal lock_mnext : std_logic_vector(0 to THR_BIT-1);
signal unlock_mnext : std_logic_vector(0 to THR_BIT-1);
signal trylock_mnext : std_logic_vector(0 to THR_BIT-1);
signal count_mnext : std_logic_vector(0 to THR_BIT-1);
signal kind_mnext : std_logic_vector(0 to THR_BIT-1);
signal owner_mnext : std_logic_vector(0 to THR_BIT-1);
-- Declare mutex last signals for the state machines
signal lock_mlast : std_logic_vector(0 to THR_BIT-1);
signal unlock_mlast : std_logic_vector(0 to THR_BIT-1);
signal trylock_mlast : std_logic_vector(0 to THR_BIT-1);
signal count_mlast : std_logic_vector(0 to THR_BIT-1);
signal kind_mlast : std_logic_vector(0 to THR_BIT-1);
signal owner_mlast : std_logic_vector(0 to THR_BIT-1);
-- Declare mutex count signals for the state machines
signal lock_mcount : std_logic_vector(0 to CNT_BIT-1);
signal unlock_mcount : std_logic_vector(0 to CNT_BIT-1);
signal trylock_mcount : std_logic_vector(0 to CNT_BIT-1);
signal count_mcount : std_logic_vector(0 to CNT_BIT-1);
signal kind_mcount : std_logic_vector(0 to CNT_BIT-1);
signal owner_mcount : std_logic_vector(0 to CNT_BIT-1);
-- Declare mutex kind signals for the state machines
signal lock_mkind : std_logic_vector(0 to KND_BIT-1);
signal unlock_mkind : std_logic_vector(0 to KND_BIT-1);
signal trylock_mkind : std_logic_vector(0 to KND_BIT-1);
signal count_mkind : std_logic_vector(0 to KND_BIT-1);
signal kind_mkind : std_logic_vector(0 to KND_BIT-1);
signal owner_mkind : std_logic_vector(0 to KND_BIT-1);
-- Declare thread address signals for the state machines
signal lock_taddr : std_logic_vector(0 to THR_BIT-1);
signal unlock_taddr : std_logic_vector(0 to THR_BIT-1);
signal trylock_taddr : std_logic_vector(0 to THR_BIT-1);
signal count_taddr : std_logic_vector(0 to THR_BIT-1);
signal kind_taddr : std_logic_vector(0 to THR_BIT-1);
signal owner_taddr : std_logic_vector(0 to THR_BIT-1);
-- Declare thread enable signals for the state machines
signal lock_tena : std_logic;
signal unlock_tena : std_logic;
signal trylock_tena : std_logic;
signal count_tena : std_logic;
signal kind_tena : std_logic;
signal owner_tena : std_logic;
-- Declare thread write enable signals for the state machines
signal lock_twea : std_logic;
signal unlock_twea : std_logic;
signal trylock_twea : std_logic;
signal count_twea : std_logic;
signal kind_twea : std_logic;
signal owner_twea : std_logic;
-- Declare thread next signals for the state machines
signal lock_tnext : std_logic_vector(0 to THR_BIT-1);
signal unlock_tnext : std_logic_vector(0 to THR_BIT-1);
signal trylock_tnext : std_logic_vector(0 to THR_BIT-1);
signal count_tnext : std_logic_vector(0 to THR_BIT-1);
signal kind_tnext : std_logic_vector(0 to THR_BIT-1);
signal owner_tnext : std_logic_vector(0 to THR_BIT-1);
-- Declare send enable signals for the state machines
signal unlock_sena : std_logic;
-- Declare send identifier signals for the state machines
signal unlock_sid : std_logic_vector(0 to THR_BIT-1);
-- Declare signals for the mutex store
signal miaddr : std_logic_vector(0 to MTX_BIT-1);
signal miena : std_logic;
signal miwea : std_logic;
signal miowner : std_logic_vector(0 to THR_BIT-1);
signal minext : std_logic_vector(0 to THR_BIT-1);
signal milast : std_logic_vector(0 to THR_BIT-1);
signal micount : std_logic_vector(0 to CNT_BIT-1);
signal mikind : std_logic_vector(0 to KND_BIT-1);
signal moowner : std_logic_vector(0 to THR_BIT-1);
signal monext : std_logic_vector(0 to THR_BIT-1);
signal molast : std_logic_vector(0 to THR_BIT-1);
signal mocount : std_logic_vector(0 to CNT_BIT-1);
signal mokind : std_logic_vector(0 to KND_BIT-1);
-- Declare signals for the thread store
signal tiaddr : std_logic_vector(0 to THR_BIT-1);
signal tiena : std_logic;
signal tiwea : std_logic;
signal tinext : std_logic_vector(0 to THR_BIT-1);
signal tonext : std_logic_vector(0 to THR_BIT-1);
-- Declare signals for the system reset
signal lock_resetdone : std_logic;
signal unlock_resetdone : std_logic;
signal trylock_resetdone : std_logic;
signal owner_resetdone : std_logic;
signal kind_resetdone : std_logic;
signal count_resetdone : std_logic;
signal result_resetdone : std_logic;
signal thread_resetdone : std_logic;
signal send_resetdone : std_logic;
signal mutex_resetdone : std_logic;
-- Declare aliases for the start signals
alias lock_start : std_logic is Bus2IP_CS(0);
alias unlock_start : std_logic is Bus2IP_CS(1);
alias trylock_start : std_logic is Bus2IP_CS(2);
alias owner_start : std_logic is Bus2IP_CS(3);
alias kind_start : std_logic is Bus2IP_CS(4);
alias count_start : std_logic is Bus2IP_CS(5);
alias result_start : std_logic is Bus2IP_CS(6);
-- Declare constants for the bit index positions
constant KND_SRT : integer := C_AWIDTH - 2;
constant KND_END : integer := C_AWIDTH - 1;
constant MTX_SRT : integer := KND_SRT - MTX_BIT;
constant MTX_END : integer := KND_SRT - 1;
constant THR_SRT : integer := MTX_SRT - THR_BIT;
constant THR_END : integer := MTX_SRT - 1;
constant CMD_SRT : integer := THR_SRT - CMD_BIT;
constant CMD_END : integer := THR_SRT - 1;
-- Declare aliases for the encoded parameters
alias knd_number : std_logic_vector(0 to KND_BIT-1) is
Bus2IP_Data(KND_SRT to KND_END);
alias mtx_number : std_logic_vector(0 to MTX_BIT-1) is
Bus2IP_Addr(MTX_SRT to MTX_END);
alias thr_number : std_logic_vector(0 to THR_BIT-1) is
Bus2IP_Addr(THR_SRT to THR_END);
alias cmd_number : std_logic_vector(0 to CMD_BIT-1) is
Bus2IP_Addr(CMD_SRT to CMD_END);
begin
clk <= Bus2IP_Clk; -- Use the bus clock for the core clock
rst <= Bus2IP_Reset; -- Use the bus reset for the core reset
rnw <= Bus2IP_RNW; -- Use the bus rnw for the core rnw
datain <= Bus2IP_Data; -- Use the bus data for the core data
send_ena <= unlock_sena; -- Output the send enable signal
send_id <= unlock_sid; -- Output the send identifier
--IP2Bus_Data <= (others => '0'); -- Never use bus data lines (see ArData)
IP2Bus_Error <= '0'; -- Never cause a bus error
system_resetdone <= lock_resetdone and
unlock_resetdone and
trylock_resetdone and
owner_resetdone and
count_resetdone and
kind_resetdone and
result_resetdone and
thread_resetdone and
send_resetdone and
mutex_resetdone;
-- **********************
-- Ack router
-- **********************
IP2Bus_RdAck <= IP2Bus_RdAck_internal when rnw = '1' else '0';
IP2Bus_WrAck <= IP2Bus_WrAck_internal when rnw = '0' else '0';
-- **********************
IP2Bus_RdAck_internal <= lock_finish or
unlock_finish or
trylock_finish or
owner_finish or
count_finish or
kind_finish or
result_finish;
IP2Bus_WrAck_internal <= lock_finish or
unlock_finish or
trylock_finish or
owner_finish or
count_finish or
kind_finish or
result_finish;
IP2Bus_Data <= lock_data or
unlock_data or
trylock_data or
owner_data or
count_data or
kind_data or
result_data;
miaddr <= lock_maddr or
unlock_maddr or
trylock_maddr or
owner_maddr or
count_maddr or
kind_maddr;
miena <= lock_mena or
unlock_mena or
trylock_mena or
owner_mena or
count_mena or
kind_mena;
miwea <= lock_mwea or
unlock_mwea or
trylock_mwea or
owner_mwea or
count_mwea or
kind_mwea;
miowner <= lock_mowner or
unlock_mowner or
trylock_mowner or
owner_mowner or
count_mowner or
kind_mowner;
minext <= lock_mnext or
unlock_mnext or
trylock_mnext or
owner_mnext or
count_mnext or
kind_mnext;
milast <= lock_mlast or
unlock_mlast or
trylock_mlast or
owner_mlast or
count_mlast or
kind_mlast;
micount <= lock_mcount or
unlock_mcount or
trylock_mcount or
owner_mcount or
count_mcount or
kind_mcount;
mikind <= lock_mkind or
unlock_mkind or
trylock_mkind or
owner_mkind or
count_mkind or
kind_mkind;
tiaddr <= lock_taddr or
unlock_taddr or
trylock_taddr or
owner_taddr or
count_taddr or
kind_taddr;
tiena <= lock_tena or
unlock_tena or
trylock_tena or
owner_tena or
count_tena or
kind_tena;
tiwea <= lock_twea or
unlock_twea or
trylock_twea or
owner_twea or
count_twea or
kind_twea;
tinext <= lock_tnext or
unlock_tnext or
trylock_tnext or
owner_tnext or
count_tnext or
kind_tnext;
mutex_i : entity work.mutex_store
generic map
(
C_AWIDTH => C_AWIDTH,
C_DWIDTH => C_DWIDTH,
C_TWIDTH => THR_BIT,
C_MWIDTH => MTX_BIT,
C_CWIDTH => CNT_BIT
)
port map
(
clk => clk,
rst => rst,
miaddr => miaddr,
miena => miena,
miwea => miwea,
miowner => miowner,
minext => minext,
milast => milast,
mikind => mikind,
micount => micount,
moowner => moowner,
monext => monext,
molast => molast,
mokind => mokind,
mocount => mocount,
sysrst => system_reset,
rstdone => mutex_resetdone
);
thread_i : entity work.thread_store
generic map
(
C_AWIDTH => C_AWIDTH,
C_DWIDTH => C_DWIDTH,
C_TWIDTH => THR_BIT,
C_MWIDTH => MTX_BIT,
C_CWIDTH => CNT_BIT
)
port map
(
clk => clk,
rst => rst,
tiaddr => tiaddr,
tiena => tiena,
tiwea => tiwea,
tinext => tinext,
tonext => tonext,
sysrst => system_reset,
rstdone => thread_resetdone
);
send_i : entity work.send_store
generic map
(
C_AWIDTH => C_AWIDTH,
C_DWIDTH => C_DWIDTH,
C_TWIDTH => THR_BIT,
C_MWIDTH => MTX_BIT,
C_CWIDTH => CNT_BIT
)
port map
(
clk => clk,
rst => rst,
siaddr => siaddr,
siena => siena,
siwea => siwea,
sinext => sinext,
sonext => sonext,
sysrst => system_reset,
rstdone => send_resetdone
);
lock_i : entity work.lock_fsm
generic map
(
C_AWIDTH => C_AWIDTH,
C_DWIDTH => C_DWIDTH,
C_TWIDTH => THR_BIT,
C_MWIDTH => MTX_BIT,
C_CWIDTH => CNT_BIT
)
port map
(
clk => clk,
rst => rst,
start => lock_start,
finish => lock_finish,
data => lock_data,
mutex => mtx_number,
thread => thr_number,
miowner => moowner,
minext => monext,
milast => molast,
micount => mocount,
mikind => mokind,
tinext => tonext,
moaddr => lock_maddr,
moena => lock_mena,
mowea => lock_mwea,
moowner => lock_mowner,
monext => lock_mnext,
molast => lock_mlast,
mocount => lock_mcount,
mokind => lock_mkind,
toaddr => lock_taddr,
toena => lock_tena,
towea => lock_twea,
tonext => lock_tnext,
sysrst => system_reset,
rstdone => lock_resetdone
);
unlock_i : entity work.unlock_fsm
generic map
(
C_AWIDTH => C_AWIDTH,
C_DWIDTH => C_DWIDTH,
C_TWIDTH => THR_BIT,
C_MWIDTH => MTX_BIT,
C_CWIDTH => CNT_BIT
)
port map
(
clk => clk,
rst => rst,
start => unlock_start,
finish => unlock_finish,
data => unlock_data,
mutex => mtx_number,
thread => thr_number,
miowner => moowner,
minext => monext,
milast => molast,
micount => mocount,
mikind => mokind,
tinext => tonext,
moaddr => unlock_maddr,
moena => unlock_mena,
mowea => unlock_mwea,
moowner => unlock_mowner,
monext => unlock_mnext,
molast => unlock_mlast,
mocount => unlock_mcount,
mokind => unlock_mkind,
toaddr => unlock_taddr,
toena => unlock_tena,
towea => unlock_twea,
tonext => unlock_tnext,
sena => unlock_sena,
sid => unlock_sid,
sack => send_ack,
sysrst => system_reset,
rstdone => unlock_resetdone
);
trylock_i : entity work.trylock_fsm
generic map
(
C_AWIDTH => C_AWIDTH,
C_DWIDTH => C_DWIDTH,
C_TWIDTH => THR_BIT,
C_MWIDTH => MTX_BIT,
C_CWIDTH => CNT_BIT
)
port map
(
clk => clk,
rst => rst,
start => trylock_start,
finish => trylock_finish,
data => trylock_data,
mutex => mtx_number,
thread => thr_number,
miowner => moowner,
minext => monext,
milast => molast,
micount => mocount,
mikind => mokind,
tinext => tonext,
moaddr => trylock_maddr,
moena => trylock_mena,
mowea => trylock_mwea,
moowner => trylock_mowner,
monext => trylock_mnext,
molast => trylock_mlast,
mocount => trylock_mcount,
mokind => trylock_mkind,
toaddr => trylock_taddr,
toena => trylock_tena,
towea => trylock_twea,
tonext => trylock_tnext,
sysrst => system_reset,
rstdone => trylock_resetdone
);
count_i : entity work.count_fsm
generic map
(
C_AWIDTH => C_AWIDTH,
C_DWIDTH => C_DWIDTH,
C_TWIDTH => THR_BIT,
C_MWIDTH => MTX_BIT,
C_CWIDTH => CNT_BIT
)
port map
(
clk => clk,
rst => rst,
start => count_start,
finish => count_finish,
data => count_data,
mutex => mtx_number,
thread => thr_number,
miowner => moowner,
minext => monext,
milast => molast,
micount => mocount,
mikind => mokind,
tinext => tonext,
moaddr => count_maddr,
moena => count_mena,
mowea => count_mwea,
moowner => count_mowner,
monext => count_mnext,
molast => count_mlast,
mocount => count_mcount,
mokind => count_mkind,
toaddr => count_taddr,
toena => count_tena,
towea => count_twea,
tonext => count_tnext,
sysrst => system_reset,
rstdone => count_resetdone
);
kind_i : entity work.kind_fsm
generic map
(
C_AWIDTH => C_AWIDTH,
C_DWIDTH => C_DWIDTH,
C_TWIDTH => THR_BIT,
C_MWIDTH => MTX_BIT,
C_CWIDTH => CNT_BIT
)
port map
(
clk => clk,
rst => rst,
start => kind_start,
finish => kind_finish,
data => kind_data,
datain => datain,
mutex => mtx_number,
thread => thr_number,
miowner => moowner,
minext => monext,
milast => molast,
micount => mocount,
mikind => mokind,
tinext => tonext,
moaddr => kind_maddr,
moena => kind_mena,
mowea => kind_mwea,
moowner => kind_mowner,
monext => kind_mnext,
molast => kind_mlast,
mocount => kind_mcount,
mokind => kind_mkind,
toaddr => kind_taddr,
toena => kind_tena,
towea => kind_twea,
tonext => kind_tnext,
rnw => rnw,
sysrst => system_reset,
rstdone => kind_resetdone
);
owner_i : entity work.owner_fsm
generic map
(
C_AWIDTH => C_AWIDTH,
C_DWIDTH => C_DWIDTH,
C_TWIDTH => THR_BIT,
C_MWIDTH => MTX_BIT,
C_CWIDTH => CNT_BIT
)
port map
(
clk => clk,
rst => rst,
start => owner_start,
finish => owner_finish,
data => owner_data,
mutex => mtx_number,
thread => thr_number,
miowner => moowner,
minext => monext,
milast => molast,
micount => mocount,
mikind => mokind,
tinext => tonext,
moaddr => owner_maddr,
moena => owner_mena,
mowea => owner_mwea,
moowner => owner_mowner,
monext => owner_mnext,
molast => owner_mlast,
mocount => owner_mcount,
mokind => owner_mkind,
toaddr => owner_taddr,
toena => owner_tena,
towea => owner_twea,
tonext => owner_tnext,
sysrst => system_reset,
rstdone => owner_resetdone
);
result_i : entity work.result_fsm
generic map
(
C_AWIDTH => C_AWIDTH,
C_DWIDTH => C_DWIDTH,
C_TWIDTH => THR_BIT,
C_MWIDTH => MTX_BIT,
C_CWIDTH => CNT_BIT
)
port map
(
clk => clk,
rst => rst,
start => result_start,
finish => result_finish,
data => result_data,
datain => datain,
rnw => rnw,
sysrst => system_reset,
rstdone => result_resetdone
);
end behavioral;
|
-------------------------------------------------------------------------------------
-- Copyright (c) 2006, University of Kansas - Hybridthreads Group
-- All rights reserved.
--
-- Redistribution and use in source and binary forms, with or without
-- modification, are permitted provided that the following conditions are met:
--
-- * Redistributions of source code must retain the above copyright notice,
-- this list of conditions and the following disclaimer.
-- * Redistributions in binary form must reproduce the above copyright notice,
-- this list of conditions and the following disclaimer in the documentation
-- and/or other materials provided with the distribution.
-- * Neither the name of the University of Kansas nor the name of the
-- Hybridthreads Group nor the names of its contributors may be used to
-- endorse or promote products derived from this software without specific
-- prior written permission.
--
-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND
-- ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED
-- WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE
-- DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE LIABLE FOR
-- ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES
-- (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES;
-- LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON
-- ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT
-- (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS
-- SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
-------------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
use ieee.std_logic_misc.all;
use work.common.all;
entity slave is
generic
(
C_NUM_THREADS : integer := 256;
C_NUM_MUTEXES : integer := 64;
C_AWIDTH : integer := 32;
C_DWIDTH : integer := 32;
C_MAX_AR_DWIDTH : integer := 32;
C_NUM_ADDR_RNG : integer := 7;
C_NUM_CE : integer := 1
);
port
(
Bus2IP_Clk : in std_logic;
Bus2IP_Reset : in std_logic;
Bus2IP_Addr : in std_logic_vector(0 to C_AWIDTH-1);
Bus2IP_Data : in std_logic_vector(0 to C_DWIDTH-1);
Bus2IP_BE : in std_logic_vector(0 to C_DWIDTH/8-1);
Bus2IP_CS : in std_logic_vector(0 to C_NUM_ADDR_RNG-1);
Bus2IP_RNW : in std_logic;
IP2Bus_Data : out std_logic_vector(0 to C_DWIDTH-1);
IP2Bus_Error : out std_logic;
IP2Bus_RdAck : out std_logic;
IP2Bus_WrAck : out std_logic;
system_reset : in std_logic;
system_resetdone : out std_logic;
send_ena : out std_logic;
send_id : out std_logic_vector(0 to log2(C_NUM_THREADS)-1);
send_ack : in std_logic;
siaddr : in std_logic_vector(0 to log2(C_NUM_THREADS)-1);
siena : in std_logic;
siwea : in std_logic;
sinext : in std_logic_vector(0 to log2(C_NUM_THREADS)-1);
sonext : out std_logic_vector(0 to log2(C_NUM_THREADS)-1)
);
end slave;
architecture behavioral of slave is
-- Declare constants for bits needed for threads, mutexes, commands, and kinds
constant MTX_BIT : integer := log2( C_NUM_MUTEXES );
constant THR_BIT : integer := log2( C_NUM_THREADS );
constant CMD_BIT : integer := 3;
constant CNT_BIT : integer := 8;
constant KND_BIT : integer := 2;
-- Declare signals for clock, reset, rnw, and data input
signal clk : std_logic;
signal rst : std_logic;
signal rnw : std_logic;
signal datain : std_logic_vector(0 to C_DWIDTH-1);
-- Declare finish signals for the state machines
signal IP2Bus_RdAck_internal, IP2Bus_WrAck_internal : std_logic;
signal lock_finish : std_logic;
signal unlock_finish : std_logic;
signal trylock_finish : std_logic;
signal count_finish : std_logic;
signal kind_finish : std_logic;
signal owner_finish : std_logic;
signal result_finish : std_logic;
-- Declare data signals for the state machines
signal lock_data : std_logic_vector(0 to C_DWIDTH-1);
signal unlock_data : std_logic_vector(0 to C_DWIDTH-1);
signal trylock_data : std_logic_vector(0 to C_DWIDTH-1);
signal count_data : std_logic_vector(0 to C_DWIDTH-1);
signal kind_data : std_logic_vector(0 to C_DWIDTH-1);
signal owner_data : std_logic_vector(0 to C_DWIDTH-1);
signal result_data : std_logic_vector(0 to C_DWIDTH-1);
-- Declare mutex address signals for the state machines
signal lock_maddr : std_logic_vector(0 to MTX_BIT-1);
signal unlock_maddr : std_logic_vector(0 to MTX_BIT-1);
signal trylock_maddr : std_logic_vector(0 to MTX_BIT-1);
signal count_maddr : std_logic_vector(0 to MTX_BIT-1);
signal kind_maddr : std_logic_vector(0 to MTX_BIT-1);
signal owner_maddr : std_logic_vector(0 to MTX_BIT-1);
-- Declare mutex enable signals for the state machines
signal lock_mena : std_logic;
signal unlock_mena : std_logic;
signal trylock_mena : std_logic;
signal count_mena : std_logic;
signal kind_mena : std_logic;
signal owner_mena : std_logic;
-- Declare mutex write enable signals for the state machines
signal lock_mwea : std_logic;
signal unlock_mwea : std_logic;
signal trylock_mwea : std_logic;
signal count_mwea : std_logic;
signal kind_mwea : std_logic;
signal owner_mwea : std_logic;
-- Declare mutex owner signals for the state machies
signal lock_mowner : std_logic_vector(0 to THR_BIT-1);
signal unlock_mowner : std_logic_vector(0 to THR_BIT-1);
signal trylock_mowner : std_logic_vector(0 to THR_BIT-1);
signal count_mowner : std_logic_vector(0 to THR_BIT-1);
signal kind_mowner : std_logic_vector(0 to THR_BIT-1);
signal owner_mowner : std_logic_vector(0 to THR_BIT-1);
-- Declare mutex next signals for the state machines
signal lock_mnext : std_logic_vector(0 to THR_BIT-1);
signal unlock_mnext : std_logic_vector(0 to THR_BIT-1);
signal trylock_mnext : std_logic_vector(0 to THR_BIT-1);
signal count_mnext : std_logic_vector(0 to THR_BIT-1);
signal kind_mnext : std_logic_vector(0 to THR_BIT-1);
signal owner_mnext : std_logic_vector(0 to THR_BIT-1);
-- Declare mutex last signals for the state machines
signal lock_mlast : std_logic_vector(0 to THR_BIT-1);
signal unlock_mlast : std_logic_vector(0 to THR_BIT-1);
signal trylock_mlast : std_logic_vector(0 to THR_BIT-1);
signal count_mlast : std_logic_vector(0 to THR_BIT-1);
signal kind_mlast : std_logic_vector(0 to THR_BIT-1);
signal owner_mlast : std_logic_vector(0 to THR_BIT-1);
-- Declare mutex count signals for the state machines
signal lock_mcount : std_logic_vector(0 to CNT_BIT-1);
signal unlock_mcount : std_logic_vector(0 to CNT_BIT-1);
signal trylock_mcount : std_logic_vector(0 to CNT_BIT-1);
signal count_mcount : std_logic_vector(0 to CNT_BIT-1);
signal kind_mcount : std_logic_vector(0 to CNT_BIT-1);
signal owner_mcount : std_logic_vector(0 to CNT_BIT-1);
-- Declare mutex kind signals for the state machines
signal lock_mkind : std_logic_vector(0 to KND_BIT-1);
signal unlock_mkind : std_logic_vector(0 to KND_BIT-1);
signal trylock_mkind : std_logic_vector(0 to KND_BIT-1);
signal count_mkind : std_logic_vector(0 to KND_BIT-1);
signal kind_mkind : std_logic_vector(0 to KND_BIT-1);
signal owner_mkind : std_logic_vector(0 to KND_BIT-1);
-- Declare thread address signals for the state machines
signal lock_taddr : std_logic_vector(0 to THR_BIT-1);
signal unlock_taddr : std_logic_vector(0 to THR_BIT-1);
signal trylock_taddr : std_logic_vector(0 to THR_BIT-1);
signal count_taddr : std_logic_vector(0 to THR_BIT-1);
signal kind_taddr : std_logic_vector(0 to THR_BIT-1);
signal owner_taddr : std_logic_vector(0 to THR_BIT-1);
-- Declare thread enable signals for the state machines
signal lock_tena : std_logic;
signal unlock_tena : std_logic;
signal trylock_tena : std_logic;
signal count_tena : std_logic;
signal kind_tena : std_logic;
signal owner_tena : std_logic;
-- Declare thread write enable signals for the state machines
signal lock_twea : std_logic;
signal unlock_twea : std_logic;
signal trylock_twea : std_logic;
signal count_twea : std_logic;
signal kind_twea : std_logic;
signal owner_twea : std_logic;
-- Declare thread next signals for the state machines
signal lock_tnext : std_logic_vector(0 to THR_BIT-1);
signal unlock_tnext : std_logic_vector(0 to THR_BIT-1);
signal trylock_tnext : std_logic_vector(0 to THR_BIT-1);
signal count_tnext : std_logic_vector(0 to THR_BIT-1);
signal kind_tnext : std_logic_vector(0 to THR_BIT-1);
signal owner_tnext : std_logic_vector(0 to THR_BIT-1);
-- Declare send enable signals for the state machines
signal unlock_sena : std_logic;
-- Declare send identifier signals for the state machines
signal unlock_sid : std_logic_vector(0 to THR_BIT-1);
-- Declare signals for the mutex store
signal miaddr : std_logic_vector(0 to MTX_BIT-1);
signal miena : std_logic;
signal miwea : std_logic;
signal miowner : std_logic_vector(0 to THR_BIT-1);
signal minext : std_logic_vector(0 to THR_BIT-1);
signal milast : std_logic_vector(0 to THR_BIT-1);
signal micount : std_logic_vector(0 to CNT_BIT-1);
signal mikind : std_logic_vector(0 to KND_BIT-1);
signal moowner : std_logic_vector(0 to THR_BIT-1);
signal monext : std_logic_vector(0 to THR_BIT-1);
signal molast : std_logic_vector(0 to THR_BIT-1);
signal mocount : std_logic_vector(0 to CNT_BIT-1);
signal mokind : std_logic_vector(0 to KND_BIT-1);
-- Declare signals for the thread store
signal tiaddr : std_logic_vector(0 to THR_BIT-1);
signal tiena : std_logic;
signal tiwea : std_logic;
signal tinext : std_logic_vector(0 to THR_BIT-1);
signal tonext : std_logic_vector(0 to THR_BIT-1);
-- Declare signals for the system reset
signal lock_resetdone : std_logic;
signal unlock_resetdone : std_logic;
signal trylock_resetdone : std_logic;
signal owner_resetdone : std_logic;
signal kind_resetdone : std_logic;
signal count_resetdone : std_logic;
signal result_resetdone : std_logic;
signal thread_resetdone : std_logic;
signal send_resetdone : std_logic;
signal mutex_resetdone : std_logic;
-- Declare aliases for the start signals
alias lock_start : std_logic is Bus2IP_CS(0);
alias unlock_start : std_logic is Bus2IP_CS(1);
alias trylock_start : std_logic is Bus2IP_CS(2);
alias owner_start : std_logic is Bus2IP_CS(3);
alias kind_start : std_logic is Bus2IP_CS(4);
alias count_start : std_logic is Bus2IP_CS(5);
alias result_start : std_logic is Bus2IP_CS(6);
-- Declare constants for the bit index positions
constant KND_SRT : integer := C_AWIDTH - 2;
constant KND_END : integer := C_AWIDTH - 1;
constant MTX_SRT : integer := KND_SRT - MTX_BIT;
constant MTX_END : integer := KND_SRT - 1;
constant THR_SRT : integer := MTX_SRT - THR_BIT;
constant THR_END : integer := MTX_SRT - 1;
constant CMD_SRT : integer := THR_SRT - CMD_BIT;
constant CMD_END : integer := THR_SRT - 1;
-- Declare aliases for the encoded parameters
alias knd_number : std_logic_vector(0 to KND_BIT-1) is
Bus2IP_Data(KND_SRT to KND_END);
alias mtx_number : std_logic_vector(0 to MTX_BIT-1) is
Bus2IP_Addr(MTX_SRT to MTX_END);
alias thr_number : std_logic_vector(0 to THR_BIT-1) is
Bus2IP_Addr(THR_SRT to THR_END);
alias cmd_number : std_logic_vector(0 to CMD_BIT-1) is
Bus2IP_Addr(CMD_SRT to CMD_END);
begin
clk <= Bus2IP_Clk; -- Use the bus clock for the core clock
rst <= Bus2IP_Reset; -- Use the bus reset for the core reset
rnw <= Bus2IP_RNW; -- Use the bus rnw for the core rnw
datain <= Bus2IP_Data; -- Use the bus data for the core data
send_ena <= unlock_sena; -- Output the send enable signal
send_id <= unlock_sid; -- Output the send identifier
--IP2Bus_Data <= (others => '0'); -- Never use bus data lines (see ArData)
IP2Bus_Error <= '0'; -- Never cause a bus error
system_resetdone <= lock_resetdone and
unlock_resetdone and
trylock_resetdone and
owner_resetdone and
count_resetdone and
kind_resetdone and
result_resetdone and
thread_resetdone and
send_resetdone and
mutex_resetdone;
-- **********************
-- Ack router
-- **********************
IP2Bus_RdAck <= IP2Bus_RdAck_internal when rnw = '1' else '0';
IP2Bus_WrAck <= IP2Bus_WrAck_internal when rnw = '0' else '0';
-- **********************
IP2Bus_RdAck_internal <= lock_finish or
unlock_finish or
trylock_finish or
owner_finish or
count_finish or
kind_finish or
result_finish;
IP2Bus_WrAck_internal <= lock_finish or
unlock_finish or
trylock_finish or
owner_finish or
count_finish or
kind_finish or
result_finish;
IP2Bus_Data <= lock_data or
unlock_data or
trylock_data or
owner_data or
count_data or
kind_data or
result_data;
miaddr <= lock_maddr or
unlock_maddr or
trylock_maddr or
owner_maddr or
count_maddr or
kind_maddr;
miena <= lock_mena or
unlock_mena or
trylock_mena or
owner_mena or
count_mena or
kind_mena;
miwea <= lock_mwea or
unlock_mwea or
trylock_mwea or
owner_mwea or
count_mwea or
kind_mwea;
miowner <= lock_mowner or
unlock_mowner or
trylock_mowner or
owner_mowner or
count_mowner or
kind_mowner;
minext <= lock_mnext or
unlock_mnext or
trylock_mnext or
owner_mnext or
count_mnext or
kind_mnext;
milast <= lock_mlast or
unlock_mlast or
trylock_mlast or
owner_mlast or
count_mlast or
kind_mlast;
micount <= lock_mcount or
unlock_mcount or
trylock_mcount or
owner_mcount or
count_mcount or
kind_mcount;
mikind <= lock_mkind or
unlock_mkind or
trylock_mkind or
owner_mkind or
count_mkind or
kind_mkind;
tiaddr <= lock_taddr or
unlock_taddr or
trylock_taddr or
owner_taddr or
count_taddr or
kind_taddr;
tiena <= lock_tena or
unlock_tena or
trylock_tena or
owner_tena or
count_tena or
kind_tena;
tiwea <= lock_twea or
unlock_twea or
trylock_twea or
owner_twea or
count_twea or
kind_twea;
tinext <= lock_tnext or
unlock_tnext or
trylock_tnext or
owner_tnext or
count_tnext or
kind_tnext;
mutex_i : entity work.mutex_store
generic map
(
C_AWIDTH => C_AWIDTH,
C_DWIDTH => C_DWIDTH,
C_TWIDTH => THR_BIT,
C_MWIDTH => MTX_BIT,
C_CWIDTH => CNT_BIT
)
port map
(
clk => clk,
rst => rst,
miaddr => miaddr,
miena => miena,
miwea => miwea,
miowner => miowner,
minext => minext,
milast => milast,
mikind => mikind,
micount => micount,
moowner => moowner,
monext => monext,
molast => molast,
mokind => mokind,
mocount => mocount,
sysrst => system_reset,
rstdone => mutex_resetdone
);
thread_i : entity work.thread_store
generic map
(
C_AWIDTH => C_AWIDTH,
C_DWIDTH => C_DWIDTH,
C_TWIDTH => THR_BIT,
C_MWIDTH => MTX_BIT,
C_CWIDTH => CNT_BIT
)
port map
(
clk => clk,
rst => rst,
tiaddr => tiaddr,
tiena => tiena,
tiwea => tiwea,
tinext => tinext,
tonext => tonext,
sysrst => system_reset,
rstdone => thread_resetdone
);
send_i : entity work.send_store
generic map
(
C_AWIDTH => C_AWIDTH,
C_DWIDTH => C_DWIDTH,
C_TWIDTH => THR_BIT,
C_MWIDTH => MTX_BIT,
C_CWIDTH => CNT_BIT
)
port map
(
clk => clk,
rst => rst,
siaddr => siaddr,
siena => siena,
siwea => siwea,
sinext => sinext,
sonext => sonext,
sysrst => system_reset,
rstdone => send_resetdone
);
lock_i : entity work.lock_fsm
generic map
(
C_AWIDTH => C_AWIDTH,
C_DWIDTH => C_DWIDTH,
C_TWIDTH => THR_BIT,
C_MWIDTH => MTX_BIT,
C_CWIDTH => CNT_BIT
)
port map
(
clk => clk,
rst => rst,
start => lock_start,
finish => lock_finish,
data => lock_data,
mutex => mtx_number,
thread => thr_number,
miowner => moowner,
minext => monext,
milast => molast,
micount => mocount,
mikind => mokind,
tinext => tonext,
moaddr => lock_maddr,
moena => lock_mena,
mowea => lock_mwea,
moowner => lock_mowner,
monext => lock_mnext,
molast => lock_mlast,
mocount => lock_mcount,
mokind => lock_mkind,
toaddr => lock_taddr,
toena => lock_tena,
towea => lock_twea,
tonext => lock_tnext,
sysrst => system_reset,
rstdone => lock_resetdone
);
unlock_i : entity work.unlock_fsm
generic map
(
C_AWIDTH => C_AWIDTH,
C_DWIDTH => C_DWIDTH,
C_TWIDTH => THR_BIT,
C_MWIDTH => MTX_BIT,
C_CWIDTH => CNT_BIT
)
port map
(
clk => clk,
rst => rst,
start => unlock_start,
finish => unlock_finish,
data => unlock_data,
mutex => mtx_number,
thread => thr_number,
miowner => moowner,
minext => monext,
milast => molast,
micount => mocount,
mikind => mokind,
tinext => tonext,
moaddr => unlock_maddr,
moena => unlock_mena,
mowea => unlock_mwea,
moowner => unlock_mowner,
monext => unlock_mnext,
molast => unlock_mlast,
mocount => unlock_mcount,
mokind => unlock_mkind,
toaddr => unlock_taddr,
toena => unlock_tena,
towea => unlock_twea,
tonext => unlock_tnext,
sena => unlock_sena,
sid => unlock_sid,
sack => send_ack,
sysrst => system_reset,
rstdone => unlock_resetdone
);
trylock_i : entity work.trylock_fsm
generic map
(
C_AWIDTH => C_AWIDTH,
C_DWIDTH => C_DWIDTH,
C_TWIDTH => THR_BIT,
C_MWIDTH => MTX_BIT,
C_CWIDTH => CNT_BIT
)
port map
(
clk => clk,
rst => rst,
start => trylock_start,
finish => trylock_finish,
data => trylock_data,
mutex => mtx_number,
thread => thr_number,
miowner => moowner,
minext => monext,
milast => molast,
micount => mocount,
mikind => mokind,
tinext => tonext,
moaddr => trylock_maddr,
moena => trylock_mena,
mowea => trylock_mwea,
moowner => trylock_mowner,
monext => trylock_mnext,
molast => trylock_mlast,
mocount => trylock_mcount,
mokind => trylock_mkind,
toaddr => trylock_taddr,
toena => trylock_tena,
towea => trylock_twea,
tonext => trylock_tnext,
sysrst => system_reset,
rstdone => trylock_resetdone
);
count_i : entity work.count_fsm
generic map
(
C_AWIDTH => C_AWIDTH,
C_DWIDTH => C_DWIDTH,
C_TWIDTH => THR_BIT,
C_MWIDTH => MTX_BIT,
C_CWIDTH => CNT_BIT
)
port map
(
clk => clk,
rst => rst,
start => count_start,
finish => count_finish,
data => count_data,
mutex => mtx_number,
thread => thr_number,
miowner => moowner,
minext => monext,
milast => molast,
micount => mocount,
mikind => mokind,
tinext => tonext,
moaddr => count_maddr,
moena => count_mena,
mowea => count_mwea,
moowner => count_mowner,
monext => count_mnext,
molast => count_mlast,
mocount => count_mcount,
mokind => count_mkind,
toaddr => count_taddr,
toena => count_tena,
towea => count_twea,
tonext => count_tnext,
sysrst => system_reset,
rstdone => count_resetdone
);
kind_i : entity work.kind_fsm
generic map
(
C_AWIDTH => C_AWIDTH,
C_DWIDTH => C_DWIDTH,
C_TWIDTH => THR_BIT,
C_MWIDTH => MTX_BIT,
C_CWIDTH => CNT_BIT
)
port map
(
clk => clk,
rst => rst,
start => kind_start,
finish => kind_finish,
data => kind_data,
datain => datain,
mutex => mtx_number,
thread => thr_number,
miowner => moowner,
minext => monext,
milast => molast,
micount => mocount,
mikind => mokind,
tinext => tonext,
moaddr => kind_maddr,
moena => kind_mena,
mowea => kind_mwea,
moowner => kind_mowner,
monext => kind_mnext,
molast => kind_mlast,
mocount => kind_mcount,
mokind => kind_mkind,
toaddr => kind_taddr,
toena => kind_tena,
towea => kind_twea,
tonext => kind_tnext,
rnw => rnw,
sysrst => system_reset,
rstdone => kind_resetdone
);
owner_i : entity work.owner_fsm
generic map
(
C_AWIDTH => C_AWIDTH,
C_DWIDTH => C_DWIDTH,
C_TWIDTH => THR_BIT,
C_MWIDTH => MTX_BIT,
C_CWIDTH => CNT_BIT
)
port map
(
clk => clk,
rst => rst,
start => owner_start,
finish => owner_finish,
data => owner_data,
mutex => mtx_number,
thread => thr_number,
miowner => moowner,
minext => monext,
milast => molast,
micount => mocount,
mikind => mokind,
tinext => tonext,
moaddr => owner_maddr,
moena => owner_mena,
mowea => owner_mwea,
moowner => owner_mowner,
monext => owner_mnext,
molast => owner_mlast,
mocount => owner_mcount,
mokind => owner_mkind,
toaddr => owner_taddr,
toena => owner_tena,
towea => owner_twea,
tonext => owner_tnext,
sysrst => system_reset,
rstdone => owner_resetdone
);
result_i : entity work.result_fsm
generic map
(
C_AWIDTH => C_AWIDTH,
C_DWIDTH => C_DWIDTH,
C_TWIDTH => THR_BIT,
C_MWIDTH => MTX_BIT,
C_CWIDTH => CNT_BIT
)
port map
(
clk => clk,
rst => rst,
start => result_start,
finish => result_finish,
data => result_data,
datain => datain,
rnw => rnw,
sysrst => system_reset,
rstdone => result_resetdone
);
end behavioral;
|
-------------------------------------------------------------------------------------
-- Copyright (c) 2006, University of Kansas - Hybridthreads Group
-- All rights reserved.
--
-- Redistribution and use in source and binary forms, with or without
-- modification, are permitted provided that the following conditions are met:
--
-- * Redistributions of source code must retain the above copyright notice,
-- this list of conditions and the following disclaimer.
-- * Redistributions in binary form must reproduce the above copyright notice,
-- this list of conditions and the following disclaimer in the documentation
-- and/or other materials provided with the distribution.
-- * Neither the name of the University of Kansas nor the name of the
-- Hybridthreads Group nor the names of its contributors may be used to
-- endorse or promote products derived from this software without specific
-- prior written permission.
--
-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND
-- ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED
-- WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE
-- DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE LIABLE FOR
-- ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES
-- (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES;
-- LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON
-- ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT
-- (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS
-- SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
-------------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
use ieee.std_logic_misc.all;
use work.common.all;
entity slave is
generic
(
C_NUM_THREADS : integer := 256;
C_NUM_MUTEXES : integer := 64;
C_AWIDTH : integer := 32;
C_DWIDTH : integer := 32;
C_MAX_AR_DWIDTH : integer := 32;
C_NUM_ADDR_RNG : integer := 7;
C_NUM_CE : integer := 1
);
port
(
Bus2IP_Clk : in std_logic;
Bus2IP_Reset : in std_logic;
Bus2IP_Addr : in std_logic_vector(0 to C_AWIDTH-1);
Bus2IP_Data : in std_logic_vector(0 to C_DWIDTH-1);
Bus2IP_BE : in std_logic_vector(0 to C_DWIDTH/8-1);
Bus2IP_CS : in std_logic_vector(0 to C_NUM_ADDR_RNG-1);
Bus2IP_RNW : in std_logic;
IP2Bus_Data : out std_logic_vector(0 to C_DWIDTH-1);
IP2Bus_Error : out std_logic;
IP2Bus_RdAck : out std_logic;
IP2Bus_WrAck : out std_logic;
system_reset : in std_logic;
system_resetdone : out std_logic;
send_ena : out std_logic;
send_id : out std_logic_vector(0 to log2(C_NUM_THREADS)-1);
send_ack : in std_logic;
siaddr : in std_logic_vector(0 to log2(C_NUM_THREADS)-1);
siena : in std_logic;
siwea : in std_logic;
sinext : in std_logic_vector(0 to log2(C_NUM_THREADS)-1);
sonext : out std_logic_vector(0 to log2(C_NUM_THREADS)-1)
);
end slave;
architecture behavioral of slave is
-- Declare constants for bits needed for threads, mutexes, commands, and kinds
constant MTX_BIT : integer := log2( C_NUM_MUTEXES );
constant THR_BIT : integer := log2( C_NUM_THREADS );
constant CMD_BIT : integer := 3;
constant CNT_BIT : integer := 8;
constant KND_BIT : integer := 2;
-- Declare signals for clock, reset, rnw, and data input
signal clk : std_logic;
signal rst : std_logic;
signal rnw : std_logic;
signal datain : std_logic_vector(0 to C_DWIDTH-1);
-- Declare finish signals for the state machines
signal IP2Bus_RdAck_internal, IP2Bus_WrAck_internal : std_logic;
signal lock_finish : std_logic;
signal unlock_finish : std_logic;
signal trylock_finish : std_logic;
signal count_finish : std_logic;
signal kind_finish : std_logic;
signal owner_finish : std_logic;
signal result_finish : std_logic;
-- Declare data signals for the state machines
signal lock_data : std_logic_vector(0 to C_DWIDTH-1);
signal unlock_data : std_logic_vector(0 to C_DWIDTH-1);
signal trylock_data : std_logic_vector(0 to C_DWIDTH-1);
signal count_data : std_logic_vector(0 to C_DWIDTH-1);
signal kind_data : std_logic_vector(0 to C_DWIDTH-1);
signal owner_data : std_logic_vector(0 to C_DWIDTH-1);
signal result_data : std_logic_vector(0 to C_DWIDTH-1);
-- Declare mutex address signals for the state machines
signal lock_maddr : std_logic_vector(0 to MTX_BIT-1);
signal unlock_maddr : std_logic_vector(0 to MTX_BIT-1);
signal trylock_maddr : std_logic_vector(0 to MTX_BIT-1);
signal count_maddr : std_logic_vector(0 to MTX_BIT-1);
signal kind_maddr : std_logic_vector(0 to MTX_BIT-1);
signal owner_maddr : std_logic_vector(0 to MTX_BIT-1);
-- Declare mutex enable signals for the state machines
signal lock_mena : std_logic;
signal unlock_mena : std_logic;
signal trylock_mena : std_logic;
signal count_mena : std_logic;
signal kind_mena : std_logic;
signal owner_mena : std_logic;
-- Declare mutex write enable signals for the state machines
signal lock_mwea : std_logic;
signal unlock_mwea : std_logic;
signal trylock_mwea : std_logic;
signal count_mwea : std_logic;
signal kind_mwea : std_logic;
signal owner_mwea : std_logic;
-- Declare mutex owner signals for the state machies
signal lock_mowner : std_logic_vector(0 to THR_BIT-1);
signal unlock_mowner : std_logic_vector(0 to THR_BIT-1);
signal trylock_mowner : std_logic_vector(0 to THR_BIT-1);
signal count_mowner : std_logic_vector(0 to THR_BIT-1);
signal kind_mowner : std_logic_vector(0 to THR_BIT-1);
signal owner_mowner : std_logic_vector(0 to THR_BIT-1);
-- Declare mutex next signals for the state machines
signal lock_mnext : std_logic_vector(0 to THR_BIT-1);
signal unlock_mnext : std_logic_vector(0 to THR_BIT-1);
signal trylock_mnext : std_logic_vector(0 to THR_BIT-1);
signal count_mnext : std_logic_vector(0 to THR_BIT-1);
signal kind_mnext : std_logic_vector(0 to THR_BIT-1);
signal owner_mnext : std_logic_vector(0 to THR_BIT-1);
-- Declare mutex last signals for the state machines
signal lock_mlast : std_logic_vector(0 to THR_BIT-1);
signal unlock_mlast : std_logic_vector(0 to THR_BIT-1);
signal trylock_mlast : std_logic_vector(0 to THR_BIT-1);
signal count_mlast : std_logic_vector(0 to THR_BIT-1);
signal kind_mlast : std_logic_vector(0 to THR_BIT-1);
signal owner_mlast : std_logic_vector(0 to THR_BIT-1);
-- Declare mutex count signals for the state machines
signal lock_mcount : std_logic_vector(0 to CNT_BIT-1);
signal unlock_mcount : std_logic_vector(0 to CNT_BIT-1);
signal trylock_mcount : std_logic_vector(0 to CNT_BIT-1);
signal count_mcount : std_logic_vector(0 to CNT_BIT-1);
signal kind_mcount : std_logic_vector(0 to CNT_BIT-1);
signal owner_mcount : std_logic_vector(0 to CNT_BIT-1);
-- Declare mutex kind signals for the state machines
signal lock_mkind : std_logic_vector(0 to KND_BIT-1);
signal unlock_mkind : std_logic_vector(0 to KND_BIT-1);
signal trylock_mkind : std_logic_vector(0 to KND_BIT-1);
signal count_mkind : std_logic_vector(0 to KND_BIT-1);
signal kind_mkind : std_logic_vector(0 to KND_BIT-1);
signal owner_mkind : std_logic_vector(0 to KND_BIT-1);
-- Declare thread address signals for the state machines
signal lock_taddr : std_logic_vector(0 to THR_BIT-1);
signal unlock_taddr : std_logic_vector(0 to THR_BIT-1);
signal trylock_taddr : std_logic_vector(0 to THR_BIT-1);
signal count_taddr : std_logic_vector(0 to THR_BIT-1);
signal kind_taddr : std_logic_vector(0 to THR_BIT-1);
signal owner_taddr : std_logic_vector(0 to THR_BIT-1);
-- Declare thread enable signals for the state machines
signal lock_tena : std_logic;
signal unlock_tena : std_logic;
signal trylock_tena : std_logic;
signal count_tena : std_logic;
signal kind_tena : std_logic;
signal owner_tena : std_logic;
-- Declare thread write enable signals for the state machines
signal lock_twea : std_logic;
signal unlock_twea : std_logic;
signal trylock_twea : std_logic;
signal count_twea : std_logic;
signal kind_twea : std_logic;
signal owner_twea : std_logic;
-- Declare thread next signals for the state machines
signal lock_tnext : std_logic_vector(0 to THR_BIT-1);
signal unlock_tnext : std_logic_vector(0 to THR_BIT-1);
signal trylock_tnext : std_logic_vector(0 to THR_BIT-1);
signal count_tnext : std_logic_vector(0 to THR_BIT-1);
signal kind_tnext : std_logic_vector(0 to THR_BIT-1);
signal owner_tnext : std_logic_vector(0 to THR_BIT-1);
-- Declare send enable signals for the state machines
signal unlock_sena : std_logic;
-- Declare send identifier signals for the state machines
signal unlock_sid : std_logic_vector(0 to THR_BIT-1);
-- Declare signals for the mutex store
signal miaddr : std_logic_vector(0 to MTX_BIT-1);
signal miena : std_logic;
signal miwea : std_logic;
signal miowner : std_logic_vector(0 to THR_BIT-1);
signal minext : std_logic_vector(0 to THR_BIT-1);
signal milast : std_logic_vector(0 to THR_BIT-1);
signal micount : std_logic_vector(0 to CNT_BIT-1);
signal mikind : std_logic_vector(0 to KND_BIT-1);
signal moowner : std_logic_vector(0 to THR_BIT-1);
signal monext : std_logic_vector(0 to THR_BIT-1);
signal molast : std_logic_vector(0 to THR_BIT-1);
signal mocount : std_logic_vector(0 to CNT_BIT-1);
signal mokind : std_logic_vector(0 to KND_BIT-1);
-- Declare signals for the thread store
signal tiaddr : std_logic_vector(0 to THR_BIT-1);
signal tiena : std_logic;
signal tiwea : std_logic;
signal tinext : std_logic_vector(0 to THR_BIT-1);
signal tonext : std_logic_vector(0 to THR_BIT-1);
-- Declare signals for the system reset
signal lock_resetdone : std_logic;
signal unlock_resetdone : std_logic;
signal trylock_resetdone : std_logic;
signal owner_resetdone : std_logic;
signal kind_resetdone : std_logic;
signal count_resetdone : std_logic;
signal result_resetdone : std_logic;
signal thread_resetdone : std_logic;
signal send_resetdone : std_logic;
signal mutex_resetdone : std_logic;
-- Declare aliases for the start signals
alias lock_start : std_logic is Bus2IP_CS(0);
alias unlock_start : std_logic is Bus2IP_CS(1);
alias trylock_start : std_logic is Bus2IP_CS(2);
alias owner_start : std_logic is Bus2IP_CS(3);
alias kind_start : std_logic is Bus2IP_CS(4);
alias count_start : std_logic is Bus2IP_CS(5);
alias result_start : std_logic is Bus2IP_CS(6);
-- Declare constants for the bit index positions
constant KND_SRT : integer := C_AWIDTH - 2;
constant KND_END : integer := C_AWIDTH - 1;
constant MTX_SRT : integer := KND_SRT - MTX_BIT;
constant MTX_END : integer := KND_SRT - 1;
constant THR_SRT : integer := MTX_SRT - THR_BIT;
constant THR_END : integer := MTX_SRT - 1;
constant CMD_SRT : integer := THR_SRT - CMD_BIT;
constant CMD_END : integer := THR_SRT - 1;
-- Declare aliases for the encoded parameters
alias knd_number : std_logic_vector(0 to KND_BIT-1) is
Bus2IP_Data(KND_SRT to KND_END);
alias mtx_number : std_logic_vector(0 to MTX_BIT-1) is
Bus2IP_Addr(MTX_SRT to MTX_END);
alias thr_number : std_logic_vector(0 to THR_BIT-1) is
Bus2IP_Addr(THR_SRT to THR_END);
alias cmd_number : std_logic_vector(0 to CMD_BIT-1) is
Bus2IP_Addr(CMD_SRT to CMD_END);
begin
clk <= Bus2IP_Clk; -- Use the bus clock for the core clock
rst <= Bus2IP_Reset; -- Use the bus reset for the core reset
rnw <= Bus2IP_RNW; -- Use the bus rnw for the core rnw
datain <= Bus2IP_Data; -- Use the bus data for the core data
send_ena <= unlock_sena; -- Output the send enable signal
send_id <= unlock_sid; -- Output the send identifier
--IP2Bus_Data <= (others => '0'); -- Never use bus data lines (see ArData)
IP2Bus_Error <= '0'; -- Never cause a bus error
system_resetdone <= lock_resetdone and
unlock_resetdone and
trylock_resetdone and
owner_resetdone and
count_resetdone and
kind_resetdone and
result_resetdone and
thread_resetdone and
send_resetdone and
mutex_resetdone;
-- **********************
-- Ack router
-- **********************
IP2Bus_RdAck <= IP2Bus_RdAck_internal when rnw = '1' else '0';
IP2Bus_WrAck <= IP2Bus_WrAck_internal when rnw = '0' else '0';
-- **********************
IP2Bus_RdAck_internal <= lock_finish or
unlock_finish or
trylock_finish or
owner_finish or
count_finish or
kind_finish or
result_finish;
IP2Bus_WrAck_internal <= lock_finish or
unlock_finish or
trylock_finish or
owner_finish or
count_finish or
kind_finish or
result_finish;
IP2Bus_Data <= lock_data or
unlock_data or
trylock_data or
owner_data or
count_data or
kind_data or
result_data;
miaddr <= lock_maddr or
unlock_maddr or
trylock_maddr or
owner_maddr or
count_maddr or
kind_maddr;
miena <= lock_mena or
unlock_mena or
trylock_mena or
owner_mena or
count_mena or
kind_mena;
miwea <= lock_mwea or
unlock_mwea or
trylock_mwea or
owner_mwea or
count_mwea or
kind_mwea;
miowner <= lock_mowner or
unlock_mowner or
trylock_mowner or
owner_mowner or
count_mowner or
kind_mowner;
minext <= lock_mnext or
unlock_mnext or
trylock_mnext or
owner_mnext or
count_mnext or
kind_mnext;
milast <= lock_mlast or
unlock_mlast or
trylock_mlast or
owner_mlast or
count_mlast or
kind_mlast;
micount <= lock_mcount or
unlock_mcount or
trylock_mcount or
owner_mcount or
count_mcount or
kind_mcount;
mikind <= lock_mkind or
unlock_mkind or
trylock_mkind or
owner_mkind or
count_mkind or
kind_mkind;
tiaddr <= lock_taddr or
unlock_taddr or
trylock_taddr or
owner_taddr or
count_taddr or
kind_taddr;
tiena <= lock_tena or
unlock_tena or
trylock_tena or
owner_tena or
count_tena or
kind_tena;
tiwea <= lock_twea or
unlock_twea or
trylock_twea or
owner_twea or
count_twea or
kind_twea;
tinext <= lock_tnext or
unlock_tnext or
trylock_tnext or
owner_tnext or
count_tnext or
kind_tnext;
mutex_i : entity work.mutex_store
generic map
(
C_AWIDTH => C_AWIDTH,
C_DWIDTH => C_DWIDTH,
C_TWIDTH => THR_BIT,
C_MWIDTH => MTX_BIT,
C_CWIDTH => CNT_BIT
)
port map
(
clk => clk,
rst => rst,
miaddr => miaddr,
miena => miena,
miwea => miwea,
miowner => miowner,
minext => minext,
milast => milast,
mikind => mikind,
micount => micount,
moowner => moowner,
monext => monext,
molast => molast,
mokind => mokind,
mocount => mocount,
sysrst => system_reset,
rstdone => mutex_resetdone
);
thread_i : entity work.thread_store
generic map
(
C_AWIDTH => C_AWIDTH,
C_DWIDTH => C_DWIDTH,
C_TWIDTH => THR_BIT,
C_MWIDTH => MTX_BIT,
C_CWIDTH => CNT_BIT
)
port map
(
clk => clk,
rst => rst,
tiaddr => tiaddr,
tiena => tiena,
tiwea => tiwea,
tinext => tinext,
tonext => tonext,
sysrst => system_reset,
rstdone => thread_resetdone
);
send_i : entity work.send_store
generic map
(
C_AWIDTH => C_AWIDTH,
C_DWIDTH => C_DWIDTH,
C_TWIDTH => THR_BIT,
C_MWIDTH => MTX_BIT,
C_CWIDTH => CNT_BIT
)
port map
(
clk => clk,
rst => rst,
siaddr => siaddr,
siena => siena,
siwea => siwea,
sinext => sinext,
sonext => sonext,
sysrst => system_reset,
rstdone => send_resetdone
);
lock_i : entity work.lock_fsm
generic map
(
C_AWIDTH => C_AWIDTH,
C_DWIDTH => C_DWIDTH,
C_TWIDTH => THR_BIT,
C_MWIDTH => MTX_BIT,
C_CWIDTH => CNT_BIT
)
port map
(
clk => clk,
rst => rst,
start => lock_start,
finish => lock_finish,
data => lock_data,
mutex => mtx_number,
thread => thr_number,
miowner => moowner,
minext => monext,
milast => molast,
micount => mocount,
mikind => mokind,
tinext => tonext,
moaddr => lock_maddr,
moena => lock_mena,
mowea => lock_mwea,
moowner => lock_mowner,
monext => lock_mnext,
molast => lock_mlast,
mocount => lock_mcount,
mokind => lock_mkind,
toaddr => lock_taddr,
toena => lock_tena,
towea => lock_twea,
tonext => lock_tnext,
sysrst => system_reset,
rstdone => lock_resetdone
);
unlock_i : entity work.unlock_fsm
generic map
(
C_AWIDTH => C_AWIDTH,
C_DWIDTH => C_DWIDTH,
C_TWIDTH => THR_BIT,
C_MWIDTH => MTX_BIT,
C_CWIDTH => CNT_BIT
)
port map
(
clk => clk,
rst => rst,
start => unlock_start,
finish => unlock_finish,
data => unlock_data,
mutex => mtx_number,
thread => thr_number,
miowner => moowner,
minext => monext,
milast => molast,
micount => mocount,
mikind => mokind,
tinext => tonext,
moaddr => unlock_maddr,
moena => unlock_mena,
mowea => unlock_mwea,
moowner => unlock_mowner,
monext => unlock_mnext,
molast => unlock_mlast,
mocount => unlock_mcount,
mokind => unlock_mkind,
toaddr => unlock_taddr,
toena => unlock_tena,
towea => unlock_twea,
tonext => unlock_tnext,
sena => unlock_sena,
sid => unlock_sid,
sack => send_ack,
sysrst => system_reset,
rstdone => unlock_resetdone
);
trylock_i : entity work.trylock_fsm
generic map
(
C_AWIDTH => C_AWIDTH,
C_DWIDTH => C_DWIDTH,
C_TWIDTH => THR_BIT,
C_MWIDTH => MTX_BIT,
C_CWIDTH => CNT_BIT
)
port map
(
clk => clk,
rst => rst,
start => trylock_start,
finish => trylock_finish,
data => trylock_data,
mutex => mtx_number,
thread => thr_number,
miowner => moowner,
minext => monext,
milast => molast,
micount => mocount,
mikind => mokind,
tinext => tonext,
moaddr => trylock_maddr,
moena => trylock_mena,
mowea => trylock_mwea,
moowner => trylock_mowner,
monext => trylock_mnext,
molast => trylock_mlast,
mocount => trylock_mcount,
mokind => trylock_mkind,
toaddr => trylock_taddr,
toena => trylock_tena,
towea => trylock_twea,
tonext => trylock_tnext,
sysrst => system_reset,
rstdone => trylock_resetdone
);
count_i : entity work.count_fsm
generic map
(
C_AWIDTH => C_AWIDTH,
C_DWIDTH => C_DWIDTH,
C_TWIDTH => THR_BIT,
C_MWIDTH => MTX_BIT,
C_CWIDTH => CNT_BIT
)
port map
(
clk => clk,
rst => rst,
start => count_start,
finish => count_finish,
data => count_data,
mutex => mtx_number,
thread => thr_number,
miowner => moowner,
minext => monext,
milast => molast,
micount => mocount,
mikind => mokind,
tinext => tonext,
moaddr => count_maddr,
moena => count_mena,
mowea => count_mwea,
moowner => count_mowner,
monext => count_mnext,
molast => count_mlast,
mocount => count_mcount,
mokind => count_mkind,
toaddr => count_taddr,
toena => count_tena,
towea => count_twea,
tonext => count_tnext,
sysrst => system_reset,
rstdone => count_resetdone
);
kind_i : entity work.kind_fsm
generic map
(
C_AWIDTH => C_AWIDTH,
C_DWIDTH => C_DWIDTH,
C_TWIDTH => THR_BIT,
C_MWIDTH => MTX_BIT,
C_CWIDTH => CNT_BIT
)
port map
(
clk => clk,
rst => rst,
start => kind_start,
finish => kind_finish,
data => kind_data,
datain => datain,
mutex => mtx_number,
thread => thr_number,
miowner => moowner,
minext => monext,
milast => molast,
micount => mocount,
mikind => mokind,
tinext => tonext,
moaddr => kind_maddr,
moena => kind_mena,
mowea => kind_mwea,
moowner => kind_mowner,
monext => kind_mnext,
molast => kind_mlast,
mocount => kind_mcount,
mokind => kind_mkind,
toaddr => kind_taddr,
toena => kind_tena,
towea => kind_twea,
tonext => kind_tnext,
rnw => rnw,
sysrst => system_reset,
rstdone => kind_resetdone
);
owner_i : entity work.owner_fsm
generic map
(
C_AWIDTH => C_AWIDTH,
C_DWIDTH => C_DWIDTH,
C_TWIDTH => THR_BIT,
C_MWIDTH => MTX_BIT,
C_CWIDTH => CNT_BIT
)
port map
(
clk => clk,
rst => rst,
start => owner_start,
finish => owner_finish,
data => owner_data,
mutex => mtx_number,
thread => thr_number,
miowner => moowner,
minext => monext,
milast => molast,
micount => mocount,
mikind => mokind,
tinext => tonext,
moaddr => owner_maddr,
moena => owner_mena,
mowea => owner_mwea,
moowner => owner_mowner,
monext => owner_mnext,
molast => owner_mlast,
mocount => owner_mcount,
mokind => owner_mkind,
toaddr => owner_taddr,
toena => owner_tena,
towea => owner_twea,
tonext => owner_tnext,
sysrst => system_reset,
rstdone => owner_resetdone
);
result_i : entity work.result_fsm
generic map
(
C_AWIDTH => C_AWIDTH,
C_DWIDTH => C_DWIDTH,
C_TWIDTH => THR_BIT,
C_MWIDTH => MTX_BIT,
C_CWIDTH => CNT_BIT
)
port map
(
clk => clk,
rst => rst,
start => result_start,
finish => result_finish,
data => result_data,
datain => datain,
rnw => rnw,
sysrst => system_reset,
rstdone => result_resetdone
);
end behavioral;
|
-------------------------------------------------------------------------------------
-- Copyright (c) 2006, University of Kansas - Hybridthreads Group
-- All rights reserved.
--
-- Redistribution and use in source and binary forms, with or without
-- modification, are permitted provided that the following conditions are met:
--
-- * Redistributions of source code must retain the above copyright notice,
-- this list of conditions and the following disclaimer.
-- * Redistributions in binary form must reproduce the above copyright notice,
-- this list of conditions and the following disclaimer in the documentation
-- and/or other materials provided with the distribution.
-- * Neither the name of the University of Kansas nor the name of the
-- Hybridthreads Group nor the names of its contributors may be used to
-- endorse or promote products derived from this software without specific
-- prior written permission.
--
-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND
-- ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED
-- WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE
-- DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE LIABLE FOR
-- ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES
-- (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES;
-- LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON
-- ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT
-- (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS
-- SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
-------------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
use ieee.std_logic_misc.all;
use work.common.all;
entity slave is
generic
(
C_NUM_THREADS : integer := 256;
C_NUM_MUTEXES : integer := 64;
C_AWIDTH : integer := 32;
C_DWIDTH : integer := 32;
C_MAX_AR_DWIDTH : integer := 32;
C_NUM_ADDR_RNG : integer := 7;
C_NUM_CE : integer := 1
);
port
(
Bus2IP_Clk : in std_logic;
Bus2IP_Reset : in std_logic;
Bus2IP_Addr : in std_logic_vector(0 to C_AWIDTH-1);
Bus2IP_Data : in std_logic_vector(0 to C_DWIDTH-1);
Bus2IP_BE : in std_logic_vector(0 to C_DWIDTH/8-1);
Bus2IP_CS : in std_logic_vector(0 to C_NUM_ADDR_RNG-1);
Bus2IP_RNW : in std_logic;
IP2Bus_Data : out std_logic_vector(0 to C_DWIDTH-1);
IP2Bus_Error : out std_logic;
IP2Bus_RdAck : out std_logic;
IP2Bus_WrAck : out std_logic;
system_reset : in std_logic;
system_resetdone : out std_logic;
send_ena : out std_logic;
send_id : out std_logic_vector(0 to log2(C_NUM_THREADS)-1);
send_ack : in std_logic;
siaddr : in std_logic_vector(0 to log2(C_NUM_THREADS)-1);
siena : in std_logic;
siwea : in std_logic;
sinext : in std_logic_vector(0 to log2(C_NUM_THREADS)-1);
sonext : out std_logic_vector(0 to log2(C_NUM_THREADS)-1)
);
end slave;
architecture behavioral of slave is
-- Declare constants for bits needed for threads, mutexes, commands, and kinds
constant MTX_BIT : integer := log2( C_NUM_MUTEXES );
constant THR_BIT : integer := log2( C_NUM_THREADS );
constant CMD_BIT : integer := 3;
constant CNT_BIT : integer := 8;
constant KND_BIT : integer := 2;
-- Declare signals for clock, reset, rnw, and data input
signal clk : std_logic;
signal rst : std_logic;
signal rnw : std_logic;
signal datain : std_logic_vector(0 to C_DWIDTH-1);
-- Declare finish signals for the state machines
signal IP2Bus_RdAck_internal, IP2Bus_WrAck_internal : std_logic;
signal lock_finish : std_logic;
signal unlock_finish : std_logic;
signal trylock_finish : std_logic;
signal count_finish : std_logic;
signal kind_finish : std_logic;
signal owner_finish : std_logic;
signal result_finish : std_logic;
-- Declare data signals for the state machines
signal lock_data : std_logic_vector(0 to C_DWIDTH-1);
signal unlock_data : std_logic_vector(0 to C_DWIDTH-1);
signal trylock_data : std_logic_vector(0 to C_DWIDTH-1);
signal count_data : std_logic_vector(0 to C_DWIDTH-1);
signal kind_data : std_logic_vector(0 to C_DWIDTH-1);
signal owner_data : std_logic_vector(0 to C_DWIDTH-1);
signal result_data : std_logic_vector(0 to C_DWIDTH-1);
-- Declare mutex address signals for the state machines
signal lock_maddr : std_logic_vector(0 to MTX_BIT-1);
signal unlock_maddr : std_logic_vector(0 to MTX_BIT-1);
signal trylock_maddr : std_logic_vector(0 to MTX_BIT-1);
signal count_maddr : std_logic_vector(0 to MTX_BIT-1);
signal kind_maddr : std_logic_vector(0 to MTX_BIT-1);
signal owner_maddr : std_logic_vector(0 to MTX_BIT-1);
-- Declare mutex enable signals for the state machines
signal lock_mena : std_logic;
signal unlock_mena : std_logic;
signal trylock_mena : std_logic;
signal count_mena : std_logic;
signal kind_mena : std_logic;
signal owner_mena : std_logic;
-- Declare mutex write enable signals for the state machines
signal lock_mwea : std_logic;
signal unlock_mwea : std_logic;
signal trylock_mwea : std_logic;
signal count_mwea : std_logic;
signal kind_mwea : std_logic;
signal owner_mwea : std_logic;
-- Declare mutex owner signals for the state machies
signal lock_mowner : std_logic_vector(0 to THR_BIT-1);
signal unlock_mowner : std_logic_vector(0 to THR_BIT-1);
signal trylock_mowner : std_logic_vector(0 to THR_BIT-1);
signal count_mowner : std_logic_vector(0 to THR_BIT-1);
signal kind_mowner : std_logic_vector(0 to THR_BIT-1);
signal owner_mowner : std_logic_vector(0 to THR_BIT-1);
-- Declare mutex next signals for the state machines
signal lock_mnext : std_logic_vector(0 to THR_BIT-1);
signal unlock_mnext : std_logic_vector(0 to THR_BIT-1);
signal trylock_mnext : std_logic_vector(0 to THR_BIT-1);
signal count_mnext : std_logic_vector(0 to THR_BIT-1);
signal kind_mnext : std_logic_vector(0 to THR_BIT-1);
signal owner_mnext : std_logic_vector(0 to THR_BIT-1);
-- Declare mutex last signals for the state machines
signal lock_mlast : std_logic_vector(0 to THR_BIT-1);
signal unlock_mlast : std_logic_vector(0 to THR_BIT-1);
signal trylock_mlast : std_logic_vector(0 to THR_BIT-1);
signal count_mlast : std_logic_vector(0 to THR_BIT-1);
signal kind_mlast : std_logic_vector(0 to THR_BIT-1);
signal owner_mlast : std_logic_vector(0 to THR_BIT-1);
-- Declare mutex count signals for the state machines
signal lock_mcount : std_logic_vector(0 to CNT_BIT-1);
signal unlock_mcount : std_logic_vector(0 to CNT_BIT-1);
signal trylock_mcount : std_logic_vector(0 to CNT_BIT-1);
signal count_mcount : std_logic_vector(0 to CNT_BIT-1);
signal kind_mcount : std_logic_vector(0 to CNT_BIT-1);
signal owner_mcount : std_logic_vector(0 to CNT_BIT-1);
-- Declare mutex kind signals for the state machines
signal lock_mkind : std_logic_vector(0 to KND_BIT-1);
signal unlock_mkind : std_logic_vector(0 to KND_BIT-1);
signal trylock_mkind : std_logic_vector(0 to KND_BIT-1);
signal count_mkind : std_logic_vector(0 to KND_BIT-1);
signal kind_mkind : std_logic_vector(0 to KND_BIT-1);
signal owner_mkind : std_logic_vector(0 to KND_BIT-1);
-- Declare thread address signals for the state machines
signal lock_taddr : std_logic_vector(0 to THR_BIT-1);
signal unlock_taddr : std_logic_vector(0 to THR_BIT-1);
signal trylock_taddr : std_logic_vector(0 to THR_BIT-1);
signal count_taddr : std_logic_vector(0 to THR_BIT-1);
signal kind_taddr : std_logic_vector(0 to THR_BIT-1);
signal owner_taddr : std_logic_vector(0 to THR_BIT-1);
-- Declare thread enable signals for the state machines
signal lock_tena : std_logic;
signal unlock_tena : std_logic;
signal trylock_tena : std_logic;
signal count_tena : std_logic;
signal kind_tena : std_logic;
signal owner_tena : std_logic;
-- Declare thread write enable signals for the state machines
signal lock_twea : std_logic;
signal unlock_twea : std_logic;
signal trylock_twea : std_logic;
signal count_twea : std_logic;
signal kind_twea : std_logic;
signal owner_twea : std_logic;
-- Declare thread next signals for the state machines
signal lock_tnext : std_logic_vector(0 to THR_BIT-1);
signal unlock_tnext : std_logic_vector(0 to THR_BIT-1);
signal trylock_tnext : std_logic_vector(0 to THR_BIT-1);
signal count_tnext : std_logic_vector(0 to THR_BIT-1);
signal kind_tnext : std_logic_vector(0 to THR_BIT-1);
signal owner_tnext : std_logic_vector(0 to THR_BIT-1);
-- Declare send enable signals for the state machines
signal unlock_sena : std_logic;
-- Declare send identifier signals for the state machines
signal unlock_sid : std_logic_vector(0 to THR_BIT-1);
-- Declare signals for the mutex store
signal miaddr : std_logic_vector(0 to MTX_BIT-1);
signal miena : std_logic;
signal miwea : std_logic;
signal miowner : std_logic_vector(0 to THR_BIT-1);
signal minext : std_logic_vector(0 to THR_BIT-1);
signal milast : std_logic_vector(0 to THR_BIT-1);
signal micount : std_logic_vector(0 to CNT_BIT-1);
signal mikind : std_logic_vector(0 to KND_BIT-1);
signal moowner : std_logic_vector(0 to THR_BIT-1);
signal monext : std_logic_vector(0 to THR_BIT-1);
signal molast : std_logic_vector(0 to THR_BIT-1);
signal mocount : std_logic_vector(0 to CNT_BIT-1);
signal mokind : std_logic_vector(0 to KND_BIT-1);
-- Declare signals for the thread store
signal tiaddr : std_logic_vector(0 to THR_BIT-1);
signal tiena : std_logic;
signal tiwea : std_logic;
signal tinext : std_logic_vector(0 to THR_BIT-1);
signal tonext : std_logic_vector(0 to THR_BIT-1);
-- Declare signals for the system reset
signal lock_resetdone : std_logic;
signal unlock_resetdone : std_logic;
signal trylock_resetdone : std_logic;
signal owner_resetdone : std_logic;
signal kind_resetdone : std_logic;
signal count_resetdone : std_logic;
signal result_resetdone : std_logic;
signal thread_resetdone : std_logic;
signal send_resetdone : std_logic;
signal mutex_resetdone : std_logic;
-- Declare aliases for the start signals
alias lock_start : std_logic is Bus2IP_CS(0);
alias unlock_start : std_logic is Bus2IP_CS(1);
alias trylock_start : std_logic is Bus2IP_CS(2);
alias owner_start : std_logic is Bus2IP_CS(3);
alias kind_start : std_logic is Bus2IP_CS(4);
alias count_start : std_logic is Bus2IP_CS(5);
alias result_start : std_logic is Bus2IP_CS(6);
-- Declare constants for the bit index positions
constant KND_SRT : integer := C_AWIDTH - 2;
constant KND_END : integer := C_AWIDTH - 1;
constant MTX_SRT : integer := KND_SRT - MTX_BIT;
constant MTX_END : integer := KND_SRT - 1;
constant THR_SRT : integer := MTX_SRT - THR_BIT;
constant THR_END : integer := MTX_SRT - 1;
constant CMD_SRT : integer := THR_SRT - CMD_BIT;
constant CMD_END : integer := THR_SRT - 1;
-- Declare aliases for the encoded parameters
alias knd_number : std_logic_vector(0 to KND_BIT-1) is
Bus2IP_Data(KND_SRT to KND_END);
alias mtx_number : std_logic_vector(0 to MTX_BIT-1) is
Bus2IP_Addr(MTX_SRT to MTX_END);
alias thr_number : std_logic_vector(0 to THR_BIT-1) is
Bus2IP_Addr(THR_SRT to THR_END);
alias cmd_number : std_logic_vector(0 to CMD_BIT-1) is
Bus2IP_Addr(CMD_SRT to CMD_END);
begin
clk <= Bus2IP_Clk; -- Use the bus clock for the core clock
rst <= Bus2IP_Reset; -- Use the bus reset for the core reset
rnw <= Bus2IP_RNW; -- Use the bus rnw for the core rnw
datain <= Bus2IP_Data; -- Use the bus data for the core data
send_ena <= unlock_sena; -- Output the send enable signal
send_id <= unlock_sid; -- Output the send identifier
--IP2Bus_Data <= (others => '0'); -- Never use bus data lines (see ArData)
IP2Bus_Error <= '0'; -- Never cause a bus error
system_resetdone <= lock_resetdone and
unlock_resetdone and
trylock_resetdone and
owner_resetdone and
count_resetdone and
kind_resetdone and
result_resetdone and
thread_resetdone and
send_resetdone and
mutex_resetdone;
-- **********************
-- Ack router
-- **********************
IP2Bus_RdAck <= IP2Bus_RdAck_internal when rnw = '1' else '0';
IP2Bus_WrAck <= IP2Bus_WrAck_internal when rnw = '0' else '0';
-- **********************
IP2Bus_RdAck_internal <= lock_finish or
unlock_finish or
trylock_finish or
owner_finish or
count_finish or
kind_finish or
result_finish;
IP2Bus_WrAck_internal <= lock_finish or
unlock_finish or
trylock_finish or
owner_finish or
count_finish or
kind_finish or
result_finish;
IP2Bus_Data <= lock_data or
unlock_data or
trylock_data or
owner_data or
count_data or
kind_data or
result_data;
miaddr <= lock_maddr or
unlock_maddr or
trylock_maddr or
owner_maddr or
count_maddr or
kind_maddr;
miena <= lock_mena or
unlock_mena or
trylock_mena or
owner_mena or
count_mena or
kind_mena;
miwea <= lock_mwea or
unlock_mwea or
trylock_mwea or
owner_mwea or
count_mwea or
kind_mwea;
miowner <= lock_mowner or
unlock_mowner or
trylock_mowner or
owner_mowner or
count_mowner or
kind_mowner;
minext <= lock_mnext or
unlock_mnext or
trylock_mnext or
owner_mnext or
count_mnext or
kind_mnext;
milast <= lock_mlast or
unlock_mlast or
trylock_mlast or
owner_mlast or
count_mlast or
kind_mlast;
micount <= lock_mcount or
unlock_mcount or
trylock_mcount or
owner_mcount or
count_mcount or
kind_mcount;
mikind <= lock_mkind or
unlock_mkind or
trylock_mkind or
owner_mkind or
count_mkind or
kind_mkind;
tiaddr <= lock_taddr or
unlock_taddr or
trylock_taddr or
owner_taddr or
count_taddr or
kind_taddr;
tiena <= lock_tena or
unlock_tena or
trylock_tena or
owner_tena or
count_tena or
kind_tena;
tiwea <= lock_twea or
unlock_twea or
trylock_twea or
owner_twea or
count_twea or
kind_twea;
tinext <= lock_tnext or
unlock_tnext or
trylock_tnext or
owner_tnext or
count_tnext or
kind_tnext;
mutex_i : entity work.mutex_store
generic map
(
C_AWIDTH => C_AWIDTH,
C_DWIDTH => C_DWIDTH,
C_TWIDTH => THR_BIT,
C_MWIDTH => MTX_BIT,
C_CWIDTH => CNT_BIT
)
port map
(
clk => clk,
rst => rst,
miaddr => miaddr,
miena => miena,
miwea => miwea,
miowner => miowner,
minext => minext,
milast => milast,
mikind => mikind,
micount => micount,
moowner => moowner,
monext => monext,
molast => molast,
mokind => mokind,
mocount => mocount,
sysrst => system_reset,
rstdone => mutex_resetdone
);
thread_i : entity work.thread_store
generic map
(
C_AWIDTH => C_AWIDTH,
C_DWIDTH => C_DWIDTH,
C_TWIDTH => THR_BIT,
C_MWIDTH => MTX_BIT,
C_CWIDTH => CNT_BIT
)
port map
(
clk => clk,
rst => rst,
tiaddr => tiaddr,
tiena => tiena,
tiwea => tiwea,
tinext => tinext,
tonext => tonext,
sysrst => system_reset,
rstdone => thread_resetdone
);
send_i : entity work.send_store
generic map
(
C_AWIDTH => C_AWIDTH,
C_DWIDTH => C_DWIDTH,
C_TWIDTH => THR_BIT,
C_MWIDTH => MTX_BIT,
C_CWIDTH => CNT_BIT
)
port map
(
clk => clk,
rst => rst,
siaddr => siaddr,
siena => siena,
siwea => siwea,
sinext => sinext,
sonext => sonext,
sysrst => system_reset,
rstdone => send_resetdone
);
lock_i : entity work.lock_fsm
generic map
(
C_AWIDTH => C_AWIDTH,
C_DWIDTH => C_DWIDTH,
C_TWIDTH => THR_BIT,
C_MWIDTH => MTX_BIT,
C_CWIDTH => CNT_BIT
)
port map
(
clk => clk,
rst => rst,
start => lock_start,
finish => lock_finish,
data => lock_data,
mutex => mtx_number,
thread => thr_number,
miowner => moowner,
minext => monext,
milast => molast,
micount => mocount,
mikind => mokind,
tinext => tonext,
moaddr => lock_maddr,
moena => lock_mena,
mowea => lock_mwea,
moowner => lock_mowner,
monext => lock_mnext,
molast => lock_mlast,
mocount => lock_mcount,
mokind => lock_mkind,
toaddr => lock_taddr,
toena => lock_tena,
towea => lock_twea,
tonext => lock_tnext,
sysrst => system_reset,
rstdone => lock_resetdone
);
unlock_i : entity work.unlock_fsm
generic map
(
C_AWIDTH => C_AWIDTH,
C_DWIDTH => C_DWIDTH,
C_TWIDTH => THR_BIT,
C_MWIDTH => MTX_BIT,
C_CWIDTH => CNT_BIT
)
port map
(
clk => clk,
rst => rst,
start => unlock_start,
finish => unlock_finish,
data => unlock_data,
mutex => mtx_number,
thread => thr_number,
miowner => moowner,
minext => monext,
milast => molast,
micount => mocount,
mikind => mokind,
tinext => tonext,
moaddr => unlock_maddr,
moena => unlock_mena,
mowea => unlock_mwea,
moowner => unlock_mowner,
monext => unlock_mnext,
molast => unlock_mlast,
mocount => unlock_mcount,
mokind => unlock_mkind,
toaddr => unlock_taddr,
toena => unlock_tena,
towea => unlock_twea,
tonext => unlock_tnext,
sena => unlock_sena,
sid => unlock_sid,
sack => send_ack,
sysrst => system_reset,
rstdone => unlock_resetdone
);
trylock_i : entity work.trylock_fsm
generic map
(
C_AWIDTH => C_AWIDTH,
C_DWIDTH => C_DWIDTH,
C_TWIDTH => THR_BIT,
C_MWIDTH => MTX_BIT,
C_CWIDTH => CNT_BIT
)
port map
(
clk => clk,
rst => rst,
start => trylock_start,
finish => trylock_finish,
data => trylock_data,
mutex => mtx_number,
thread => thr_number,
miowner => moowner,
minext => monext,
milast => molast,
micount => mocount,
mikind => mokind,
tinext => tonext,
moaddr => trylock_maddr,
moena => trylock_mena,
mowea => trylock_mwea,
moowner => trylock_mowner,
monext => trylock_mnext,
molast => trylock_mlast,
mocount => trylock_mcount,
mokind => trylock_mkind,
toaddr => trylock_taddr,
toena => trylock_tena,
towea => trylock_twea,
tonext => trylock_tnext,
sysrst => system_reset,
rstdone => trylock_resetdone
);
count_i : entity work.count_fsm
generic map
(
C_AWIDTH => C_AWIDTH,
C_DWIDTH => C_DWIDTH,
C_TWIDTH => THR_BIT,
C_MWIDTH => MTX_BIT,
C_CWIDTH => CNT_BIT
)
port map
(
clk => clk,
rst => rst,
start => count_start,
finish => count_finish,
data => count_data,
mutex => mtx_number,
thread => thr_number,
miowner => moowner,
minext => monext,
milast => molast,
micount => mocount,
mikind => mokind,
tinext => tonext,
moaddr => count_maddr,
moena => count_mena,
mowea => count_mwea,
moowner => count_mowner,
monext => count_mnext,
molast => count_mlast,
mocount => count_mcount,
mokind => count_mkind,
toaddr => count_taddr,
toena => count_tena,
towea => count_twea,
tonext => count_tnext,
sysrst => system_reset,
rstdone => count_resetdone
);
kind_i : entity work.kind_fsm
generic map
(
C_AWIDTH => C_AWIDTH,
C_DWIDTH => C_DWIDTH,
C_TWIDTH => THR_BIT,
C_MWIDTH => MTX_BIT,
C_CWIDTH => CNT_BIT
)
port map
(
clk => clk,
rst => rst,
start => kind_start,
finish => kind_finish,
data => kind_data,
datain => datain,
mutex => mtx_number,
thread => thr_number,
miowner => moowner,
minext => monext,
milast => molast,
micount => mocount,
mikind => mokind,
tinext => tonext,
moaddr => kind_maddr,
moena => kind_mena,
mowea => kind_mwea,
moowner => kind_mowner,
monext => kind_mnext,
molast => kind_mlast,
mocount => kind_mcount,
mokind => kind_mkind,
toaddr => kind_taddr,
toena => kind_tena,
towea => kind_twea,
tonext => kind_tnext,
rnw => rnw,
sysrst => system_reset,
rstdone => kind_resetdone
);
owner_i : entity work.owner_fsm
generic map
(
C_AWIDTH => C_AWIDTH,
C_DWIDTH => C_DWIDTH,
C_TWIDTH => THR_BIT,
C_MWIDTH => MTX_BIT,
C_CWIDTH => CNT_BIT
)
port map
(
clk => clk,
rst => rst,
start => owner_start,
finish => owner_finish,
data => owner_data,
mutex => mtx_number,
thread => thr_number,
miowner => moowner,
minext => monext,
milast => molast,
micount => mocount,
mikind => mokind,
tinext => tonext,
moaddr => owner_maddr,
moena => owner_mena,
mowea => owner_mwea,
moowner => owner_mowner,
monext => owner_mnext,
molast => owner_mlast,
mocount => owner_mcount,
mokind => owner_mkind,
toaddr => owner_taddr,
toena => owner_tena,
towea => owner_twea,
tonext => owner_tnext,
sysrst => system_reset,
rstdone => owner_resetdone
);
result_i : entity work.result_fsm
generic map
(
C_AWIDTH => C_AWIDTH,
C_DWIDTH => C_DWIDTH,
C_TWIDTH => THR_BIT,
C_MWIDTH => MTX_BIT,
C_CWIDTH => CNT_BIT
)
port map
(
clk => clk,
rst => rst,
start => result_start,
finish => result_finish,
data => result_data,
datain => datain,
rnw => rnw,
sysrst => system_reset,
rstdone => result_resetdone
);
end behavioral;
|
-------------------------------------------------------------------------------------
-- Copyright (c) 2006, University of Kansas - Hybridthreads Group
-- All rights reserved.
--
-- Redistribution and use in source and binary forms, with or without
-- modification, are permitted provided that the following conditions are met:
--
-- * Redistributions of source code must retain the above copyright notice,
-- this list of conditions and the following disclaimer.
-- * Redistributions in binary form must reproduce the above copyright notice,
-- this list of conditions and the following disclaimer in the documentation
-- and/or other materials provided with the distribution.
-- * Neither the name of the University of Kansas nor the name of the
-- Hybridthreads Group nor the names of its contributors may be used to
-- endorse or promote products derived from this software without specific
-- prior written permission.
--
-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND
-- ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED
-- WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE
-- DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE LIABLE FOR
-- ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES
-- (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES;
-- LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON
-- ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT
-- (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS
-- SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
-------------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
use ieee.std_logic_misc.all;
use work.common.all;
entity slave is
generic
(
C_NUM_THREADS : integer := 256;
C_NUM_MUTEXES : integer := 64;
C_AWIDTH : integer := 32;
C_DWIDTH : integer := 32;
C_MAX_AR_DWIDTH : integer := 32;
C_NUM_ADDR_RNG : integer := 7;
C_NUM_CE : integer := 1
);
port
(
Bus2IP_Clk : in std_logic;
Bus2IP_Reset : in std_logic;
Bus2IP_Addr : in std_logic_vector(0 to C_AWIDTH-1);
Bus2IP_Data : in std_logic_vector(0 to C_DWIDTH-1);
Bus2IP_BE : in std_logic_vector(0 to C_DWIDTH/8-1);
Bus2IP_CS : in std_logic_vector(0 to C_NUM_ADDR_RNG-1);
Bus2IP_RNW : in std_logic;
IP2Bus_Data : out std_logic_vector(0 to C_DWIDTH-1);
IP2Bus_Error : out std_logic;
IP2Bus_RdAck : out std_logic;
IP2Bus_WrAck : out std_logic;
system_reset : in std_logic;
system_resetdone : out std_logic;
send_ena : out std_logic;
send_id : out std_logic_vector(0 to log2(C_NUM_THREADS)-1);
send_ack : in std_logic;
siaddr : in std_logic_vector(0 to log2(C_NUM_THREADS)-1);
siena : in std_logic;
siwea : in std_logic;
sinext : in std_logic_vector(0 to log2(C_NUM_THREADS)-1);
sonext : out std_logic_vector(0 to log2(C_NUM_THREADS)-1)
);
end slave;
architecture behavioral of slave is
-- Declare constants for bits needed for threads, mutexes, commands, and kinds
constant MTX_BIT : integer := log2( C_NUM_MUTEXES );
constant THR_BIT : integer := log2( C_NUM_THREADS );
constant CMD_BIT : integer := 3;
constant CNT_BIT : integer := 8;
constant KND_BIT : integer := 2;
-- Declare signals for clock, reset, rnw, and data input
signal clk : std_logic;
signal rst : std_logic;
signal rnw : std_logic;
signal datain : std_logic_vector(0 to C_DWIDTH-1);
-- Declare finish signals for the state machines
signal IP2Bus_RdAck_internal, IP2Bus_WrAck_internal : std_logic;
signal lock_finish : std_logic;
signal unlock_finish : std_logic;
signal trylock_finish : std_logic;
signal count_finish : std_logic;
signal kind_finish : std_logic;
signal owner_finish : std_logic;
signal result_finish : std_logic;
-- Declare data signals for the state machines
signal lock_data : std_logic_vector(0 to C_DWIDTH-1);
signal unlock_data : std_logic_vector(0 to C_DWIDTH-1);
signal trylock_data : std_logic_vector(0 to C_DWIDTH-1);
signal count_data : std_logic_vector(0 to C_DWIDTH-1);
signal kind_data : std_logic_vector(0 to C_DWIDTH-1);
signal owner_data : std_logic_vector(0 to C_DWIDTH-1);
signal result_data : std_logic_vector(0 to C_DWIDTH-1);
-- Declare mutex address signals for the state machines
signal lock_maddr : std_logic_vector(0 to MTX_BIT-1);
signal unlock_maddr : std_logic_vector(0 to MTX_BIT-1);
signal trylock_maddr : std_logic_vector(0 to MTX_BIT-1);
signal count_maddr : std_logic_vector(0 to MTX_BIT-1);
signal kind_maddr : std_logic_vector(0 to MTX_BIT-1);
signal owner_maddr : std_logic_vector(0 to MTX_BIT-1);
-- Declare mutex enable signals for the state machines
signal lock_mena : std_logic;
signal unlock_mena : std_logic;
signal trylock_mena : std_logic;
signal count_mena : std_logic;
signal kind_mena : std_logic;
signal owner_mena : std_logic;
-- Declare mutex write enable signals for the state machines
signal lock_mwea : std_logic;
signal unlock_mwea : std_logic;
signal trylock_mwea : std_logic;
signal count_mwea : std_logic;
signal kind_mwea : std_logic;
signal owner_mwea : std_logic;
-- Declare mutex owner signals for the state machies
signal lock_mowner : std_logic_vector(0 to THR_BIT-1);
signal unlock_mowner : std_logic_vector(0 to THR_BIT-1);
signal trylock_mowner : std_logic_vector(0 to THR_BIT-1);
signal count_mowner : std_logic_vector(0 to THR_BIT-1);
signal kind_mowner : std_logic_vector(0 to THR_BIT-1);
signal owner_mowner : std_logic_vector(0 to THR_BIT-1);
-- Declare mutex next signals for the state machines
signal lock_mnext : std_logic_vector(0 to THR_BIT-1);
signal unlock_mnext : std_logic_vector(0 to THR_BIT-1);
signal trylock_mnext : std_logic_vector(0 to THR_BIT-1);
signal count_mnext : std_logic_vector(0 to THR_BIT-1);
signal kind_mnext : std_logic_vector(0 to THR_BIT-1);
signal owner_mnext : std_logic_vector(0 to THR_BIT-1);
-- Declare mutex last signals for the state machines
signal lock_mlast : std_logic_vector(0 to THR_BIT-1);
signal unlock_mlast : std_logic_vector(0 to THR_BIT-1);
signal trylock_mlast : std_logic_vector(0 to THR_BIT-1);
signal count_mlast : std_logic_vector(0 to THR_BIT-1);
signal kind_mlast : std_logic_vector(0 to THR_BIT-1);
signal owner_mlast : std_logic_vector(0 to THR_BIT-1);
-- Declare mutex count signals for the state machines
signal lock_mcount : std_logic_vector(0 to CNT_BIT-1);
signal unlock_mcount : std_logic_vector(0 to CNT_BIT-1);
signal trylock_mcount : std_logic_vector(0 to CNT_BIT-1);
signal count_mcount : std_logic_vector(0 to CNT_BIT-1);
signal kind_mcount : std_logic_vector(0 to CNT_BIT-1);
signal owner_mcount : std_logic_vector(0 to CNT_BIT-1);
-- Declare mutex kind signals for the state machines
signal lock_mkind : std_logic_vector(0 to KND_BIT-1);
signal unlock_mkind : std_logic_vector(0 to KND_BIT-1);
signal trylock_mkind : std_logic_vector(0 to KND_BIT-1);
signal count_mkind : std_logic_vector(0 to KND_BIT-1);
signal kind_mkind : std_logic_vector(0 to KND_BIT-1);
signal owner_mkind : std_logic_vector(0 to KND_BIT-1);
-- Declare thread address signals for the state machines
signal lock_taddr : std_logic_vector(0 to THR_BIT-1);
signal unlock_taddr : std_logic_vector(0 to THR_BIT-1);
signal trylock_taddr : std_logic_vector(0 to THR_BIT-1);
signal count_taddr : std_logic_vector(0 to THR_BIT-1);
signal kind_taddr : std_logic_vector(0 to THR_BIT-1);
signal owner_taddr : std_logic_vector(0 to THR_BIT-1);
-- Declare thread enable signals for the state machines
signal lock_tena : std_logic;
signal unlock_tena : std_logic;
signal trylock_tena : std_logic;
signal count_tena : std_logic;
signal kind_tena : std_logic;
signal owner_tena : std_logic;
-- Declare thread write enable signals for the state machines
signal lock_twea : std_logic;
signal unlock_twea : std_logic;
signal trylock_twea : std_logic;
signal count_twea : std_logic;
signal kind_twea : std_logic;
signal owner_twea : std_logic;
-- Declare thread next signals for the state machines
signal lock_tnext : std_logic_vector(0 to THR_BIT-1);
signal unlock_tnext : std_logic_vector(0 to THR_BIT-1);
signal trylock_tnext : std_logic_vector(0 to THR_BIT-1);
signal count_tnext : std_logic_vector(0 to THR_BIT-1);
signal kind_tnext : std_logic_vector(0 to THR_BIT-1);
signal owner_tnext : std_logic_vector(0 to THR_BIT-1);
-- Declare send enable signals for the state machines
signal unlock_sena : std_logic;
-- Declare send identifier signals for the state machines
signal unlock_sid : std_logic_vector(0 to THR_BIT-1);
-- Declare signals for the mutex store
signal miaddr : std_logic_vector(0 to MTX_BIT-1);
signal miena : std_logic;
signal miwea : std_logic;
signal miowner : std_logic_vector(0 to THR_BIT-1);
signal minext : std_logic_vector(0 to THR_BIT-1);
signal milast : std_logic_vector(0 to THR_BIT-1);
signal micount : std_logic_vector(0 to CNT_BIT-1);
signal mikind : std_logic_vector(0 to KND_BIT-1);
signal moowner : std_logic_vector(0 to THR_BIT-1);
signal monext : std_logic_vector(0 to THR_BIT-1);
signal molast : std_logic_vector(0 to THR_BIT-1);
signal mocount : std_logic_vector(0 to CNT_BIT-1);
signal mokind : std_logic_vector(0 to KND_BIT-1);
-- Declare signals for the thread store
signal tiaddr : std_logic_vector(0 to THR_BIT-1);
signal tiena : std_logic;
signal tiwea : std_logic;
signal tinext : std_logic_vector(0 to THR_BIT-1);
signal tonext : std_logic_vector(0 to THR_BIT-1);
-- Declare signals for the system reset
signal lock_resetdone : std_logic;
signal unlock_resetdone : std_logic;
signal trylock_resetdone : std_logic;
signal owner_resetdone : std_logic;
signal kind_resetdone : std_logic;
signal count_resetdone : std_logic;
signal result_resetdone : std_logic;
signal thread_resetdone : std_logic;
signal send_resetdone : std_logic;
signal mutex_resetdone : std_logic;
-- Declare aliases for the start signals
alias lock_start : std_logic is Bus2IP_CS(0);
alias unlock_start : std_logic is Bus2IP_CS(1);
alias trylock_start : std_logic is Bus2IP_CS(2);
alias owner_start : std_logic is Bus2IP_CS(3);
alias kind_start : std_logic is Bus2IP_CS(4);
alias count_start : std_logic is Bus2IP_CS(5);
alias result_start : std_logic is Bus2IP_CS(6);
-- Declare constants for the bit index positions
constant KND_SRT : integer := C_AWIDTH - 2;
constant KND_END : integer := C_AWIDTH - 1;
constant MTX_SRT : integer := KND_SRT - MTX_BIT;
constant MTX_END : integer := KND_SRT - 1;
constant THR_SRT : integer := MTX_SRT - THR_BIT;
constant THR_END : integer := MTX_SRT - 1;
constant CMD_SRT : integer := THR_SRT - CMD_BIT;
constant CMD_END : integer := THR_SRT - 1;
-- Declare aliases for the encoded parameters
alias knd_number : std_logic_vector(0 to KND_BIT-1) is
Bus2IP_Data(KND_SRT to KND_END);
alias mtx_number : std_logic_vector(0 to MTX_BIT-1) is
Bus2IP_Addr(MTX_SRT to MTX_END);
alias thr_number : std_logic_vector(0 to THR_BIT-1) is
Bus2IP_Addr(THR_SRT to THR_END);
alias cmd_number : std_logic_vector(0 to CMD_BIT-1) is
Bus2IP_Addr(CMD_SRT to CMD_END);
begin
clk <= Bus2IP_Clk; -- Use the bus clock for the core clock
rst <= Bus2IP_Reset; -- Use the bus reset for the core reset
rnw <= Bus2IP_RNW; -- Use the bus rnw for the core rnw
datain <= Bus2IP_Data; -- Use the bus data for the core data
send_ena <= unlock_sena; -- Output the send enable signal
send_id <= unlock_sid; -- Output the send identifier
--IP2Bus_Data <= (others => '0'); -- Never use bus data lines (see ArData)
IP2Bus_Error <= '0'; -- Never cause a bus error
system_resetdone <= lock_resetdone and
unlock_resetdone and
trylock_resetdone and
owner_resetdone and
count_resetdone and
kind_resetdone and
result_resetdone and
thread_resetdone and
send_resetdone and
mutex_resetdone;
-- **********************
-- Ack router
-- **********************
IP2Bus_RdAck <= IP2Bus_RdAck_internal when rnw = '1' else '0';
IP2Bus_WrAck <= IP2Bus_WrAck_internal when rnw = '0' else '0';
-- **********************
IP2Bus_RdAck_internal <= lock_finish or
unlock_finish or
trylock_finish or
owner_finish or
count_finish or
kind_finish or
result_finish;
IP2Bus_WrAck_internal <= lock_finish or
unlock_finish or
trylock_finish or
owner_finish or
count_finish or
kind_finish or
result_finish;
IP2Bus_Data <= lock_data or
unlock_data or
trylock_data or
owner_data or
count_data or
kind_data or
result_data;
miaddr <= lock_maddr or
unlock_maddr or
trylock_maddr or
owner_maddr or
count_maddr or
kind_maddr;
miena <= lock_mena or
unlock_mena or
trylock_mena or
owner_mena or
count_mena or
kind_mena;
miwea <= lock_mwea or
unlock_mwea or
trylock_mwea or
owner_mwea or
count_mwea or
kind_mwea;
miowner <= lock_mowner or
unlock_mowner or
trylock_mowner or
owner_mowner or
count_mowner or
kind_mowner;
minext <= lock_mnext or
unlock_mnext or
trylock_mnext or
owner_mnext or
count_mnext or
kind_mnext;
milast <= lock_mlast or
unlock_mlast or
trylock_mlast or
owner_mlast or
count_mlast or
kind_mlast;
micount <= lock_mcount or
unlock_mcount or
trylock_mcount or
owner_mcount or
count_mcount or
kind_mcount;
mikind <= lock_mkind or
unlock_mkind or
trylock_mkind or
owner_mkind or
count_mkind or
kind_mkind;
tiaddr <= lock_taddr or
unlock_taddr or
trylock_taddr or
owner_taddr or
count_taddr or
kind_taddr;
tiena <= lock_tena or
unlock_tena or
trylock_tena or
owner_tena or
count_tena or
kind_tena;
tiwea <= lock_twea or
unlock_twea or
trylock_twea or
owner_twea or
count_twea or
kind_twea;
tinext <= lock_tnext or
unlock_tnext or
trylock_tnext or
owner_tnext or
count_tnext or
kind_tnext;
mutex_i : entity work.mutex_store
generic map
(
C_AWIDTH => C_AWIDTH,
C_DWIDTH => C_DWIDTH,
C_TWIDTH => THR_BIT,
C_MWIDTH => MTX_BIT,
C_CWIDTH => CNT_BIT
)
port map
(
clk => clk,
rst => rst,
miaddr => miaddr,
miena => miena,
miwea => miwea,
miowner => miowner,
minext => minext,
milast => milast,
mikind => mikind,
micount => micount,
moowner => moowner,
monext => monext,
molast => molast,
mokind => mokind,
mocount => mocount,
sysrst => system_reset,
rstdone => mutex_resetdone
);
thread_i : entity work.thread_store
generic map
(
C_AWIDTH => C_AWIDTH,
C_DWIDTH => C_DWIDTH,
C_TWIDTH => THR_BIT,
C_MWIDTH => MTX_BIT,
C_CWIDTH => CNT_BIT
)
port map
(
clk => clk,
rst => rst,
tiaddr => tiaddr,
tiena => tiena,
tiwea => tiwea,
tinext => tinext,
tonext => tonext,
sysrst => system_reset,
rstdone => thread_resetdone
);
send_i : entity work.send_store
generic map
(
C_AWIDTH => C_AWIDTH,
C_DWIDTH => C_DWIDTH,
C_TWIDTH => THR_BIT,
C_MWIDTH => MTX_BIT,
C_CWIDTH => CNT_BIT
)
port map
(
clk => clk,
rst => rst,
siaddr => siaddr,
siena => siena,
siwea => siwea,
sinext => sinext,
sonext => sonext,
sysrst => system_reset,
rstdone => send_resetdone
);
lock_i : entity work.lock_fsm
generic map
(
C_AWIDTH => C_AWIDTH,
C_DWIDTH => C_DWIDTH,
C_TWIDTH => THR_BIT,
C_MWIDTH => MTX_BIT,
C_CWIDTH => CNT_BIT
)
port map
(
clk => clk,
rst => rst,
start => lock_start,
finish => lock_finish,
data => lock_data,
mutex => mtx_number,
thread => thr_number,
miowner => moowner,
minext => monext,
milast => molast,
micount => mocount,
mikind => mokind,
tinext => tonext,
moaddr => lock_maddr,
moena => lock_mena,
mowea => lock_mwea,
moowner => lock_mowner,
monext => lock_mnext,
molast => lock_mlast,
mocount => lock_mcount,
mokind => lock_mkind,
toaddr => lock_taddr,
toena => lock_tena,
towea => lock_twea,
tonext => lock_tnext,
sysrst => system_reset,
rstdone => lock_resetdone
);
unlock_i : entity work.unlock_fsm
generic map
(
C_AWIDTH => C_AWIDTH,
C_DWIDTH => C_DWIDTH,
C_TWIDTH => THR_BIT,
C_MWIDTH => MTX_BIT,
C_CWIDTH => CNT_BIT
)
port map
(
clk => clk,
rst => rst,
start => unlock_start,
finish => unlock_finish,
data => unlock_data,
mutex => mtx_number,
thread => thr_number,
miowner => moowner,
minext => monext,
milast => molast,
micount => mocount,
mikind => mokind,
tinext => tonext,
moaddr => unlock_maddr,
moena => unlock_mena,
mowea => unlock_mwea,
moowner => unlock_mowner,
monext => unlock_mnext,
molast => unlock_mlast,
mocount => unlock_mcount,
mokind => unlock_mkind,
toaddr => unlock_taddr,
toena => unlock_tena,
towea => unlock_twea,
tonext => unlock_tnext,
sena => unlock_sena,
sid => unlock_sid,
sack => send_ack,
sysrst => system_reset,
rstdone => unlock_resetdone
);
trylock_i : entity work.trylock_fsm
generic map
(
C_AWIDTH => C_AWIDTH,
C_DWIDTH => C_DWIDTH,
C_TWIDTH => THR_BIT,
C_MWIDTH => MTX_BIT,
C_CWIDTH => CNT_BIT
)
port map
(
clk => clk,
rst => rst,
start => trylock_start,
finish => trylock_finish,
data => trylock_data,
mutex => mtx_number,
thread => thr_number,
miowner => moowner,
minext => monext,
milast => molast,
micount => mocount,
mikind => mokind,
tinext => tonext,
moaddr => trylock_maddr,
moena => trylock_mena,
mowea => trylock_mwea,
moowner => trylock_mowner,
monext => trylock_mnext,
molast => trylock_mlast,
mocount => trylock_mcount,
mokind => trylock_mkind,
toaddr => trylock_taddr,
toena => trylock_tena,
towea => trylock_twea,
tonext => trylock_tnext,
sysrst => system_reset,
rstdone => trylock_resetdone
);
count_i : entity work.count_fsm
generic map
(
C_AWIDTH => C_AWIDTH,
C_DWIDTH => C_DWIDTH,
C_TWIDTH => THR_BIT,
C_MWIDTH => MTX_BIT,
C_CWIDTH => CNT_BIT
)
port map
(
clk => clk,
rst => rst,
start => count_start,
finish => count_finish,
data => count_data,
mutex => mtx_number,
thread => thr_number,
miowner => moowner,
minext => monext,
milast => molast,
micount => mocount,
mikind => mokind,
tinext => tonext,
moaddr => count_maddr,
moena => count_mena,
mowea => count_mwea,
moowner => count_mowner,
monext => count_mnext,
molast => count_mlast,
mocount => count_mcount,
mokind => count_mkind,
toaddr => count_taddr,
toena => count_tena,
towea => count_twea,
tonext => count_tnext,
sysrst => system_reset,
rstdone => count_resetdone
);
kind_i : entity work.kind_fsm
generic map
(
C_AWIDTH => C_AWIDTH,
C_DWIDTH => C_DWIDTH,
C_TWIDTH => THR_BIT,
C_MWIDTH => MTX_BIT,
C_CWIDTH => CNT_BIT
)
port map
(
clk => clk,
rst => rst,
start => kind_start,
finish => kind_finish,
data => kind_data,
datain => datain,
mutex => mtx_number,
thread => thr_number,
miowner => moowner,
minext => monext,
milast => molast,
micount => mocount,
mikind => mokind,
tinext => tonext,
moaddr => kind_maddr,
moena => kind_mena,
mowea => kind_mwea,
moowner => kind_mowner,
monext => kind_mnext,
molast => kind_mlast,
mocount => kind_mcount,
mokind => kind_mkind,
toaddr => kind_taddr,
toena => kind_tena,
towea => kind_twea,
tonext => kind_tnext,
rnw => rnw,
sysrst => system_reset,
rstdone => kind_resetdone
);
owner_i : entity work.owner_fsm
generic map
(
C_AWIDTH => C_AWIDTH,
C_DWIDTH => C_DWIDTH,
C_TWIDTH => THR_BIT,
C_MWIDTH => MTX_BIT,
C_CWIDTH => CNT_BIT
)
port map
(
clk => clk,
rst => rst,
start => owner_start,
finish => owner_finish,
data => owner_data,
mutex => mtx_number,
thread => thr_number,
miowner => moowner,
minext => monext,
milast => molast,
micount => mocount,
mikind => mokind,
tinext => tonext,
moaddr => owner_maddr,
moena => owner_mena,
mowea => owner_mwea,
moowner => owner_mowner,
monext => owner_mnext,
molast => owner_mlast,
mocount => owner_mcount,
mokind => owner_mkind,
toaddr => owner_taddr,
toena => owner_tena,
towea => owner_twea,
tonext => owner_tnext,
sysrst => system_reset,
rstdone => owner_resetdone
);
result_i : entity work.result_fsm
generic map
(
C_AWIDTH => C_AWIDTH,
C_DWIDTH => C_DWIDTH,
C_TWIDTH => THR_BIT,
C_MWIDTH => MTX_BIT,
C_CWIDTH => CNT_BIT
)
port map
(
clk => clk,
rst => rst,
start => result_start,
finish => result_finish,
data => result_data,
datain => datain,
rnw => rnw,
sysrst => system_reset,
rstdone => result_resetdone
);
end behavioral;
|
-------------------------------------------------------------------------------------
-- Copyright (c) 2006, University of Kansas - Hybridthreads Group
-- All rights reserved.
--
-- Redistribution and use in source and binary forms, with or without
-- modification, are permitted provided that the following conditions are met:
--
-- * Redistributions of source code must retain the above copyright notice,
-- this list of conditions and the following disclaimer.
-- * Redistributions in binary form must reproduce the above copyright notice,
-- this list of conditions and the following disclaimer in the documentation
-- and/or other materials provided with the distribution.
-- * Neither the name of the University of Kansas nor the name of the
-- Hybridthreads Group nor the names of its contributors may be used to
-- endorse or promote products derived from this software without specific
-- prior written permission.
--
-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND
-- ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED
-- WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE
-- DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE LIABLE FOR
-- ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES
-- (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES;
-- LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON
-- ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT
-- (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS
-- SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
-------------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
use ieee.std_logic_misc.all;
use work.common.all;
entity slave is
generic
(
C_NUM_THREADS : integer := 256;
C_NUM_MUTEXES : integer := 64;
C_AWIDTH : integer := 32;
C_DWIDTH : integer := 32;
C_MAX_AR_DWIDTH : integer := 32;
C_NUM_ADDR_RNG : integer := 7;
C_NUM_CE : integer := 1
);
port
(
Bus2IP_Clk : in std_logic;
Bus2IP_Reset : in std_logic;
Bus2IP_Addr : in std_logic_vector(0 to C_AWIDTH-1);
Bus2IP_Data : in std_logic_vector(0 to C_DWIDTH-1);
Bus2IP_BE : in std_logic_vector(0 to C_DWIDTH/8-1);
Bus2IP_CS : in std_logic_vector(0 to C_NUM_ADDR_RNG-1);
Bus2IP_RNW : in std_logic;
IP2Bus_Data : out std_logic_vector(0 to C_DWIDTH-1);
IP2Bus_Error : out std_logic;
IP2Bus_RdAck : out std_logic;
IP2Bus_WrAck : out std_logic;
system_reset : in std_logic;
system_resetdone : out std_logic;
send_ena : out std_logic;
send_id : out std_logic_vector(0 to log2(C_NUM_THREADS)-1);
send_ack : in std_logic;
siaddr : in std_logic_vector(0 to log2(C_NUM_THREADS)-1);
siena : in std_logic;
siwea : in std_logic;
sinext : in std_logic_vector(0 to log2(C_NUM_THREADS)-1);
sonext : out std_logic_vector(0 to log2(C_NUM_THREADS)-1)
);
end slave;
architecture behavioral of slave is
-- Declare constants for bits needed for threads, mutexes, commands, and kinds
constant MTX_BIT : integer := log2( C_NUM_MUTEXES );
constant THR_BIT : integer := log2( C_NUM_THREADS );
constant CMD_BIT : integer := 3;
constant CNT_BIT : integer := 8;
constant KND_BIT : integer := 2;
-- Declare signals for clock, reset, rnw, and data input
signal clk : std_logic;
signal rst : std_logic;
signal rnw : std_logic;
signal datain : std_logic_vector(0 to C_DWIDTH-1);
-- Declare finish signals for the state machines
signal IP2Bus_RdAck_internal, IP2Bus_WrAck_internal : std_logic;
signal lock_finish : std_logic;
signal unlock_finish : std_logic;
signal trylock_finish : std_logic;
signal count_finish : std_logic;
signal kind_finish : std_logic;
signal owner_finish : std_logic;
signal result_finish : std_logic;
-- Declare data signals for the state machines
signal lock_data : std_logic_vector(0 to C_DWIDTH-1);
signal unlock_data : std_logic_vector(0 to C_DWIDTH-1);
signal trylock_data : std_logic_vector(0 to C_DWIDTH-1);
signal count_data : std_logic_vector(0 to C_DWIDTH-1);
signal kind_data : std_logic_vector(0 to C_DWIDTH-1);
signal owner_data : std_logic_vector(0 to C_DWIDTH-1);
signal result_data : std_logic_vector(0 to C_DWIDTH-1);
-- Declare mutex address signals for the state machines
signal lock_maddr : std_logic_vector(0 to MTX_BIT-1);
signal unlock_maddr : std_logic_vector(0 to MTX_BIT-1);
signal trylock_maddr : std_logic_vector(0 to MTX_BIT-1);
signal count_maddr : std_logic_vector(0 to MTX_BIT-1);
signal kind_maddr : std_logic_vector(0 to MTX_BIT-1);
signal owner_maddr : std_logic_vector(0 to MTX_BIT-1);
-- Declare mutex enable signals for the state machines
signal lock_mena : std_logic;
signal unlock_mena : std_logic;
signal trylock_mena : std_logic;
signal count_mena : std_logic;
signal kind_mena : std_logic;
signal owner_mena : std_logic;
-- Declare mutex write enable signals for the state machines
signal lock_mwea : std_logic;
signal unlock_mwea : std_logic;
signal trylock_mwea : std_logic;
signal count_mwea : std_logic;
signal kind_mwea : std_logic;
signal owner_mwea : std_logic;
-- Declare mutex owner signals for the state machies
signal lock_mowner : std_logic_vector(0 to THR_BIT-1);
signal unlock_mowner : std_logic_vector(0 to THR_BIT-1);
signal trylock_mowner : std_logic_vector(0 to THR_BIT-1);
signal count_mowner : std_logic_vector(0 to THR_BIT-1);
signal kind_mowner : std_logic_vector(0 to THR_BIT-1);
signal owner_mowner : std_logic_vector(0 to THR_BIT-1);
-- Declare mutex next signals for the state machines
signal lock_mnext : std_logic_vector(0 to THR_BIT-1);
signal unlock_mnext : std_logic_vector(0 to THR_BIT-1);
signal trylock_mnext : std_logic_vector(0 to THR_BIT-1);
signal count_mnext : std_logic_vector(0 to THR_BIT-1);
signal kind_mnext : std_logic_vector(0 to THR_BIT-1);
signal owner_mnext : std_logic_vector(0 to THR_BIT-1);
-- Declare mutex last signals for the state machines
signal lock_mlast : std_logic_vector(0 to THR_BIT-1);
signal unlock_mlast : std_logic_vector(0 to THR_BIT-1);
signal trylock_mlast : std_logic_vector(0 to THR_BIT-1);
signal count_mlast : std_logic_vector(0 to THR_BIT-1);
signal kind_mlast : std_logic_vector(0 to THR_BIT-1);
signal owner_mlast : std_logic_vector(0 to THR_BIT-1);
-- Declare mutex count signals for the state machines
signal lock_mcount : std_logic_vector(0 to CNT_BIT-1);
signal unlock_mcount : std_logic_vector(0 to CNT_BIT-1);
signal trylock_mcount : std_logic_vector(0 to CNT_BIT-1);
signal count_mcount : std_logic_vector(0 to CNT_BIT-1);
signal kind_mcount : std_logic_vector(0 to CNT_BIT-1);
signal owner_mcount : std_logic_vector(0 to CNT_BIT-1);
-- Declare mutex kind signals for the state machines
signal lock_mkind : std_logic_vector(0 to KND_BIT-1);
signal unlock_mkind : std_logic_vector(0 to KND_BIT-1);
signal trylock_mkind : std_logic_vector(0 to KND_BIT-1);
signal count_mkind : std_logic_vector(0 to KND_BIT-1);
signal kind_mkind : std_logic_vector(0 to KND_BIT-1);
signal owner_mkind : std_logic_vector(0 to KND_BIT-1);
-- Declare thread address signals for the state machines
signal lock_taddr : std_logic_vector(0 to THR_BIT-1);
signal unlock_taddr : std_logic_vector(0 to THR_BIT-1);
signal trylock_taddr : std_logic_vector(0 to THR_BIT-1);
signal count_taddr : std_logic_vector(0 to THR_BIT-1);
signal kind_taddr : std_logic_vector(0 to THR_BIT-1);
signal owner_taddr : std_logic_vector(0 to THR_BIT-1);
-- Declare thread enable signals for the state machines
signal lock_tena : std_logic;
signal unlock_tena : std_logic;
signal trylock_tena : std_logic;
signal count_tena : std_logic;
signal kind_tena : std_logic;
signal owner_tena : std_logic;
-- Declare thread write enable signals for the state machines
signal lock_twea : std_logic;
signal unlock_twea : std_logic;
signal trylock_twea : std_logic;
signal count_twea : std_logic;
signal kind_twea : std_logic;
signal owner_twea : std_logic;
-- Declare thread next signals for the state machines
signal lock_tnext : std_logic_vector(0 to THR_BIT-1);
signal unlock_tnext : std_logic_vector(0 to THR_BIT-1);
signal trylock_tnext : std_logic_vector(0 to THR_BIT-1);
signal count_tnext : std_logic_vector(0 to THR_BIT-1);
signal kind_tnext : std_logic_vector(0 to THR_BIT-1);
signal owner_tnext : std_logic_vector(0 to THR_BIT-1);
-- Declare send enable signals for the state machines
signal unlock_sena : std_logic;
-- Declare send identifier signals for the state machines
signal unlock_sid : std_logic_vector(0 to THR_BIT-1);
-- Declare signals for the mutex store
signal miaddr : std_logic_vector(0 to MTX_BIT-1);
signal miena : std_logic;
signal miwea : std_logic;
signal miowner : std_logic_vector(0 to THR_BIT-1);
signal minext : std_logic_vector(0 to THR_BIT-1);
signal milast : std_logic_vector(0 to THR_BIT-1);
signal micount : std_logic_vector(0 to CNT_BIT-1);
signal mikind : std_logic_vector(0 to KND_BIT-1);
signal moowner : std_logic_vector(0 to THR_BIT-1);
signal monext : std_logic_vector(0 to THR_BIT-1);
signal molast : std_logic_vector(0 to THR_BIT-1);
signal mocount : std_logic_vector(0 to CNT_BIT-1);
signal mokind : std_logic_vector(0 to KND_BIT-1);
-- Declare signals for the thread store
signal tiaddr : std_logic_vector(0 to THR_BIT-1);
signal tiena : std_logic;
signal tiwea : std_logic;
signal tinext : std_logic_vector(0 to THR_BIT-1);
signal tonext : std_logic_vector(0 to THR_BIT-1);
-- Declare signals for the system reset
signal lock_resetdone : std_logic;
signal unlock_resetdone : std_logic;
signal trylock_resetdone : std_logic;
signal owner_resetdone : std_logic;
signal kind_resetdone : std_logic;
signal count_resetdone : std_logic;
signal result_resetdone : std_logic;
signal thread_resetdone : std_logic;
signal send_resetdone : std_logic;
signal mutex_resetdone : std_logic;
-- Declare aliases for the start signals
alias lock_start : std_logic is Bus2IP_CS(0);
alias unlock_start : std_logic is Bus2IP_CS(1);
alias trylock_start : std_logic is Bus2IP_CS(2);
alias owner_start : std_logic is Bus2IP_CS(3);
alias kind_start : std_logic is Bus2IP_CS(4);
alias count_start : std_logic is Bus2IP_CS(5);
alias result_start : std_logic is Bus2IP_CS(6);
-- Declare constants for the bit index positions
constant KND_SRT : integer := C_AWIDTH - 2;
constant KND_END : integer := C_AWIDTH - 1;
constant MTX_SRT : integer := KND_SRT - MTX_BIT;
constant MTX_END : integer := KND_SRT - 1;
constant THR_SRT : integer := MTX_SRT - THR_BIT;
constant THR_END : integer := MTX_SRT - 1;
constant CMD_SRT : integer := THR_SRT - CMD_BIT;
constant CMD_END : integer := THR_SRT - 1;
-- Declare aliases for the encoded parameters
alias knd_number : std_logic_vector(0 to KND_BIT-1) is
Bus2IP_Data(KND_SRT to KND_END);
alias mtx_number : std_logic_vector(0 to MTX_BIT-1) is
Bus2IP_Addr(MTX_SRT to MTX_END);
alias thr_number : std_logic_vector(0 to THR_BIT-1) is
Bus2IP_Addr(THR_SRT to THR_END);
alias cmd_number : std_logic_vector(0 to CMD_BIT-1) is
Bus2IP_Addr(CMD_SRT to CMD_END);
begin
clk <= Bus2IP_Clk; -- Use the bus clock for the core clock
rst <= Bus2IP_Reset; -- Use the bus reset for the core reset
rnw <= Bus2IP_RNW; -- Use the bus rnw for the core rnw
datain <= Bus2IP_Data; -- Use the bus data for the core data
send_ena <= unlock_sena; -- Output the send enable signal
send_id <= unlock_sid; -- Output the send identifier
--IP2Bus_Data <= (others => '0'); -- Never use bus data lines (see ArData)
IP2Bus_Error <= '0'; -- Never cause a bus error
system_resetdone <= lock_resetdone and
unlock_resetdone and
trylock_resetdone and
owner_resetdone and
count_resetdone and
kind_resetdone and
result_resetdone and
thread_resetdone and
send_resetdone and
mutex_resetdone;
-- **********************
-- Ack router
-- **********************
IP2Bus_RdAck <= IP2Bus_RdAck_internal when rnw = '1' else '0';
IP2Bus_WrAck <= IP2Bus_WrAck_internal when rnw = '0' else '0';
-- **********************
IP2Bus_RdAck_internal <= lock_finish or
unlock_finish or
trylock_finish or
owner_finish or
count_finish or
kind_finish or
result_finish;
IP2Bus_WrAck_internal <= lock_finish or
unlock_finish or
trylock_finish or
owner_finish or
count_finish or
kind_finish or
result_finish;
IP2Bus_Data <= lock_data or
unlock_data or
trylock_data or
owner_data or
count_data or
kind_data or
result_data;
miaddr <= lock_maddr or
unlock_maddr or
trylock_maddr or
owner_maddr or
count_maddr or
kind_maddr;
miena <= lock_mena or
unlock_mena or
trylock_mena or
owner_mena or
count_mena or
kind_mena;
miwea <= lock_mwea or
unlock_mwea or
trylock_mwea or
owner_mwea or
count_mwea or
kind_mwea;
miowner <= lock_mowner or
unlock_mowner or
trylock_mowner or
owner_mowner or
count_mowner or
kind_mowner;
minext <= lock_mnext or
unlock_mnext or
trylock_mnext or
owner_mnext or
count_mnext or
kind_mnext;
milast <= lock_mlast or
unlock_mlast or
trylock_mlast or
owner_mlast or
count_mlast or
kind_mlast;
micount <= lock_mcount or
unlock_mcount or
trylock_mcount or
owner_mcount or
count_mcount or
kind_mcount;
mikind <= lock_mkind or
unlock_mkind or
trylock_mkind or
owner_mkind or
count_mkind or
kind_mkind;
tiaddr <= lock_taddr or
unlock_taddr or
trylock_taddr or
owner_taddr or
count_taddr or
kind_taddr;
tiena <= lock_tena or
unlock_tena or
trylock_tena or
owner_tena or
count_tena or
kind_tena;
tiwea <= lock_twea or
unlock_twea or
trylock_twea or
owner_twea or
count_twea or
kind_twea;
tinext <= lock_tnext or
unlock_tnext or
trylock_tnext or
owner_tnext or
count_tnext or
kind_tnext;
mutex_i : entity work.mutex_store
generic map
(
C_AWIDTH => C_AWIDTH,
C_DWIDTH => C_DWIDTH,
C_TWIDTH => THR_BIT,
C_MWIDTH => MTX_BIT,
C_CWIDTH => CNT_BIT
)
port map
(
clk => clk,
rst => rst,
miaddr => miaddr,
miena => miena,
miwea => miwea,
miowner => miowner,
minext => minext,
milast => milast,
mikind => mikind,
micount => micount,
moowner => moowner,
monext => monext,
molast => molast,
mokind => mokind,
mocount => mocount,
sysrst => system_reset,
rstdone => mutex_resetdone
);
thread_i : entity work.thread_store
generic map
(
C_AWIDTH => C_AWIDTH,
C_DWIDTH => C_DWIDTH,
C_TWIDTH => THR_BIT,
C_MWIDTH => MTX_BIT,
C_CWIDTH => CNT_BIT
)
port map
(
clk => clk,
rst => rst,
tiaddr => tiaddr,
tiena => tiena,
tiwea => tiwea,
tinext => tinext,
tonext => tonext,
sysrst => system_reset,
rstdone => thread_resetdone
);
send_i : entity work.send_store
generic map
(
C_AWIDTH => C_AWIDTH,
C_DWIDTH => C_DWIDTH,
C_TWIDTH => THR_BIT,
C_MWIDTH => MTX_BIT,
C_CWIDTH => CNT_BIT
)
port map
(
clk => clk,
rst => rst,
siaddr => siaddr,
siena => siena,
siwea => siwea,
sinext => sinext,
sonext => sonext,
sysrst => system_reset,
rstdone => send_resetdone
);
lock_i : entity work.lock_fsm
generic map
(
C_AWIDTH => C_AWIDTH,
C_DWIDTH => C_DWIDTH,
C_TWIDTH => THR_BIT,
C_MWIDTH => MTX_BIT,
C_CWIDTH => CNT_BIT
)
port map
(
clk => clk,
rst => rst,
start => lock_start,
finish => lock_finish,
data => lock_data,
mutex => mtx_number,
thread => thr_number,
miowner => moowner,
minext => monext,
milast => molast,
micount => mocount,
mikind => mokind,
tinext => tonext,
moaddr => lock_maddr,
moena => lock_mena,
mowea => lock_mwea,
moowner => lock_mowner,
monext => lock_mnext,
molast => lock_mlast,
mocount => lock_mcount,
mokind => lock_mkind,
toaddr => lock_taddr,
toena => lock_tena,
towea => lock_twea,
tonext => lock_tnext,
sysrst => system_reset,
rstdone => lock_resetdone
);
unlock_i : entity work.unlock_fsm
generic map
(
C_AWIDTH => C_AWIDTH,
C_DWIDTH => C_DWIDTH,
C_TWIDTH => THR_BIT,
C_MWIDTH => MTX_BIT,
C_CWIDTH => CNT_BIT
)
port map
(
clk => clk,
rst => rst,
start => unlock_start,
finish => unlock_finish,
data => unlock_data,
mutex => mtx_number,
thread => thr_number,
miowner => moowner,
minext => monext,
milast => molast,
micount => mocount,
mikind => mokind,
tinext => tonext,
moaddr => unlock_maddr,
moena => unlock_mena,
mowea => unlock_mwea,
moowner => unlock_mowner,
monext => unlock_mnext,
molast => unlock_mlast,
mocount => unlock_mcount,
mokind => unlock_mkind,
toaddr => unlock_taddr,
toena => unlock_tena,
towea => unlock_twea,
tonext => unlock_tnext,
sena => unlock_sena,
sid => unlock_sid,
sack => send_ack,
sysrst => system_reset,
rstdone => unlock_resetdone
);
trylock_i : entity work.trylock_fsm
generic map
(
C_AWIDTH => C_AWIDTH,
C_DWIDTH => C_DWIDTH,
C_TWIDTH => THR_BIT,
C_MWIDTH => MTX_BIT,
C_CWIDTH => CNT_BIT
)
port map
(
clk => clk,
rst => rst,
start => trylock_start,
finish => trylock_finish,
data => trylock_data,
mutex => mtx_number,
thread => thr_number,
miowner => moowner,
minext => monext,
milast => molast,
micount => mocount,
mikind => mokind,
tinext => tonext,
moaddr => trylock_maddr,
moena => trylock_mena,
mowea => trylock_mwea,
moowner => trylock_mowner,
monext => trylock_mnext,
molast => trylock_mlast,
mocount => trylock_mcount,
mokind => trylock_mkind,
toaddr => trylock_taddr,
toena => trylock_tena,
towea => trylock_twea,
tonext => trylock_tnext,
sysrst => system_reset,
rstdone => trylock_resetdone
);
count_i : entity work.count_fsm
generic map
(
C_AWIDTH => C_AWIDTH,
C_DWIDTH => C_DWIDTH,
C_TWIDTH => THR_BIT,
C_MWIDTH => MTX_BIT,
C_CWIDTH => CNT_BIT
)
port map
(
clk => clk,
rst => rst,
start => count_start,
finish => count_finish,
data => count_data,
mutex => mtx_number,
thread => thr_number,
miowner => moowner,
minext => monext,
milast => molast,
micount => mocount,
mikind => mokind,
tinext => tonext,
moaddr => count_maddr,
moena => count_mena,
mowea => count_mwea,
moowner => count_mowner,
monext => count_mnext,
molast => count_mlast,
mocount => count_mcount,
mokind => count_mkind,
toaddr => count_taddr,
toena => count_tena,
towea => count_twea,
tonext => count_tnext,
sysrst => system_reset,
rstdone => count_resetdone
);
kind_i : entity work.kind_fsm
generic map
(
C_AWIDTH => C_AWIDTH,
C_DWIDTH => C_DWIDTH,
C_TWIDTH => THR_BIT,
C_MWIDTH => MTX_BIT,
C_CWIDTH => CNT_BIT
)
port map
(
clk => clk,
rst => rst,
start => kind_start,
finish => kind_finish,
data => kind_data,
datain => datain,
mutex => mtx_number,
thread => thr_number,
miowner => moowner,
minext => monext,
milast => molast,
micount => mocount,
mikind => mokind,
tinext => tonext,
moaddr => kind_maddr,
moena => kind_mena,
mowea => kind_mwea,
moowner => kind_mowner,
monext => kind_mnext,
molast => kind_mlast,
mocount => kind_mcount,
mokind => kind_mkind,
toaddr => kind_taddr,
toena => kind_tena,
towea => kind_twea,
tonext => kind_tnext,
rnw => rnw,
sysrst => system_reset,
rstdone => kind_resetdone
);
owner_i : entity work.owner_fsm
generic map
(
C_AWIDTH => C_AWIDTH,
C_DWIDTH => C_DWIDTH,
C_TWIDTH => THR_BIT,
C_MWIDTH => MTX_BIT,
C_CWIDTH => CNT_BIT
)
port map
(
clk => clk,
rst => rst,
start => owner_start,
finish => owner_finish,
data => owner_data,
mutex => mtx_number,
thread => thr_number,
miowner => moowner,
minext => monext,
milast => molast,
micount => mocount,
mikind => mokind,
tinext => tonext,
moaddr => owner_maddr,
moena => owner_mena,
mowea => owner_mwea,
moowner => owner_mowner,
monext => owner_mnext,
molast => owner_mlast,
mocount => owner_mcount,
mokind => owner_mkind,
toaddr => owner_taddr,
toena => owner_tena,
towea => owner_twea,
tonext => owner_tnext,
sysrst => system_reset,
rstdone => owner_resetdone
);
result_i : entity work.result_fsm
generic map
(
C_AWIDTH => C_AWIDTH,
C_DWIDTH => C_DWIDTH,
C_TWIDTH => THR_BIT,
C_MWIDTH => MTX_BIT,
C_CWIDTH => CNT_BIT
)
port map
(
clk => clk,
rst => rst,
start => result_start,
finish => result_finish,
data => result_data,
datain => datain,
rnw => rnw,
sysrst => system_reset,
rstdone => result_resetdone
);
end behavioral;
|
-- File: dyplo_user_logic_stub.vhd
--
-- � COPYRIGHT 2014 TOPIC EMBEDDED PRODUCTS B.V. ALL RIGHTS RESERVED.
--
-- This file contains confidential and proprietary information of
-- Topic Embedded Products B.V. and is protected under Dutch and
-- International copyright and other international intellectual property laws.
--
-- Disclaimer
--
-- This disclaimer is not a license and does not grant any rights to the
-- materials distributed herewith. Except as otherwise provided in a valid
-- license issued to you by Topic Embedded Products B.V., and to the maximum
-- extend permitted by applicable law:
--
-- 1. Dyplo is furnished on an �as is�, as available basis. Topic makes no
-- warranty, express or implied, with respect to the capability of Dyplo. All
-- warranties of any type, express or implied, including the warranties of
-- merchantability, fitness for a particular purpose and non-infringement of
-- third party rights are expressly disclaimed.
--
-- 2. Topic�s maximum total liability shall be limited to general money
-- damages in an amount not to exceed the total amount paid for in the year
-- in which the damages have occurred. Under no circumstances including
-- negligence shall Topic be liable for direct, indirect, incidental, special,
-- consequential or punitive damages, or for loss of profits, revenue, or data,
-- that are directly or indirectly related to the use of, or the inability to
-- access and use Dyplo and related services, whether in an action in contract,
-- tort, product liability, strict liability, statute or otherwise even if
-- Topic has been advised of the possibility of those damages.
--
-- This copyright notice and disclaimer must be retained as part of this file at all times.
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use ieee.std_logic_signed.all;
library dyplo_hdl_node_lib;
use dyplo_hdl_node_lib.hdl_node_package.all;
use dyplo_hdl_node_lib.hdl_node_user_params.all;
entity dyplo_user_logic_subtractor is
generic(
INPUT_STREAMS : integer := 4;
OUTPUT_STREAMS : integer := 4
);
port(
-- Processor bus interface
dab_clk : in std_logic;
dab_rst : in std_logic;
dab_addr : in std_logic_vector(15 DOWNTO 0);
dab_sel : in std_logic;
dab_wvalid : in std_logic;
dab_rvalid : in std_logic;
dab_wdata : in std_logic_vector(c_hdl_dab_dwidth - 1 downto 0);
dab_rdata : out std_logic_vector(c_hdl_dab_dwidth - 1 downto 0);
-- Streaming input interfaces
cin_tdata : in cin_tdata_ul_type;
cin_tvalid : in std_logic_vector(INPUT_STREAMS - 1 downto 0);
cin_tready : out std_logic_vector(INPUT_STREAMS - 1 downto 0);
cin_tlevel : in cin_tlevel_ul_type;
-- Streaming output interfaces
cout_tdata : out cout_tdata_ul_type;
cout_tvalid : out std_logic_vector(OUTPUT_STREAMS - 1 downto 0);
cout_tready : in std_logic_vector(OUTPUT_STREAMS - 1 downto 0);
-- Clock signals
user_clocks : in std_logic_vector(3 downto 0)
);
end dyplo_user_logic_subtractor;
architecture rtl of dyplo_user_logic_subtractor is
type signed_matrix_4x32 is array (0 to INPUT_STREAMS - 1) of signed(31 downto 0);
signal value_to_subtract : signed_matrix_4x32;
signal cin_tdata_i : signed_matrix_4x32;
signal cout_tdata_i : signed_matrix_4x32;
begin
config_reg : process (dab_clk)
variable index : integer;
begin
if rising_edge(dab_clk) then
if (dab_rst = '1') then
value_to_subtract <= (others => (others => '0'));
else
index := to_integer(unsigned(dab_addr(3 downto 2)));
if (dab_sel = '1') and (dab_wvalid = '1') then
value_to_subtract(index) <= signed(dab_wdata);
end if;
dab_rdata <= std_logic_vector(value_to_subtract(index));
end if;
end if;
end process config_reg;
subtractors : for i in 0 to 3 generate
type sm_calc_states is (S_FETCH, S_CALC, S_SEND, S_FINISH);
signal sm_calc : sm_calc_states;
signal tdata : signed(31 downto 0);
begin
calc_data : process (dab_clk)
begin
if rising_edge(dab_clk) then
if (dab_rst = '1') then
cout_tdata_i(i) <= (others => '0');
cout_tvalid(i) <= '0';
cin_tready(i) <= '0';
sm_calc <= S_FETCH;
tdata <= (others => '0');
else
case sm_calc is
when S_FETCH =>
if (cin_tvalid(i) = '1') and (conv_integer(cin_tlevel(i)) /= 0) then
cin_tready(i) <= '1';
tdata <= to_signed(conv_integer(cin_tdata(i)),32);
sm_calc <= S_CALC;
end if;
when S_CALC =>
cin_tready(i) <= '0';
cout_tdata_i(i) <= tdata - value_to_subtract(i);
cout_tvalid(i) <= '1';
sm_calc <= S_SEND;
when S_SEND =>
if (cout_tready(i) = '1') then
cout_tvalid(i) <= '0';
sm_calc <= S_FINISH;
end if;
when S_FINISH =>
sm_calc <= S_FETCH;
end case;
end if;
end if;
end process calc_data;
end generate subtractors;
cout_tdata(0) <= std_logic_vector(cout_tdata_i(0));
cout_tdata(1) <= std_logic_vector(cout_tdata_i(1));
cout_tdata(2) <= std_logic_vector(cout_tdata_i(2));
cout_tdata(3) <= std_logic_vector(cout_tdata_i(3));
end rtl;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc1395.vhd,v 1.2 2001-10-26 16:30:09 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c08s05b00x00p05n02i01395ent IS
END c08s05b00x00p05n02i01395ent;
ARCHITECTURE c08s05b00x00p05n02i01395arch OF c08s05b00x00p05n02i01395ent IS
BEGIN
TESTING: PROCESS
type A1 is array (1 to 2) of integer;
variable XC1, XC2 : A1;
BEGIN
XC1 := (1 => 1, 2 => 2);
(XC2(1), XC2(1)):= A1'(XC1);
assert FALSE
report "***FAILED TEST: c08s05b00x00p05n02i01395 - The same element is being assigned a value by more than one association."
severity ERROR;
wait;
END PROCESS TESTING;
END c08s05b00x00p05n02i01395arch;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc1395.vhd,v 1.2 2001-10-26 16:30:09 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c08s05b00x00p05n02i01395ent IS
END c08s05b00x00p05n02i01395ent;
ARCHITECTURE c08s05b00x00p05n02i01395arch OF c08s05b00x00p05n02i01395ent IS
BEGIN
TESTING: PROCESS
type A1 is array (1 to 2) of integer;
variable XC1, XC2 : A1;
BEGIN
XC1 := (1 => 1, 2 => 2);
(XC2(1), XC2(1)):= A1'(XC1);
assert FALSE
report "***FAILED TEST: c08s05b00x00p05n02i01395 - The same element is being assigned a value by more than one association."
severity ERROR;
wait;
END PROCESS TESTING;
END c08s05b00x00p05n02i01395arch;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc1395.vhd,v 1.2 2001-10-26 16:30:09 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c08s05b00x00p05n02i01395ent IS
END c08s05b00x00p05n02i01395ent;
ARCHITECTURE c08s05b00x00p05n02i01395arch OF c08s05b00x00p05n02i01395ent IS
BEGIN
TESTING: PROCESS
type A1 is array (1 to 2) of integer;
variable XC1, XC2 : A1;
BEGIN
XC1 := (1 => 1, 2 => 2);
(XC2(1), XC2(1)):= A1'(XC1);
assert FALSE
report "***FAILED TEST: c08s05b00x00p05n02i01395 - The same element is being assigned a value by more than one association."
severity ERROR;
wait;
END PROCESS TESTING;
END c08s05b00x00p05n02i01395arch;
|
----------------------------------------------------------------------------------
-- Company:
-- Engineer:
--
-- Create Date: 09:19:15 11/22/2013
-- Design Name:
-- Module Name: PC_Register - Behavioral
-- Project Name:
-- Target Devices:
-- Tool versions:
-- Description:
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library work;
use work.common.ALL;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
--use IEEE.NUMERIC_STD.ALL;
-- Uncomment the following library declaration if instantiating
-- any Xilinx primitives in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
entity PC_Register is
Port ( PC_IN : in STD_LOGIC_VECTOR (15 downto 0) := ZERO;
PC_OUT : out STD_LOGIC_VECTOR (15 downto 0) := ZERO;
WRITE_OR_NOT : in STD_LOGIC := WRITE_PC_YES;
CLK : in STD_LOGIC);
end PC_Register;
architecture Behavioral of PC_Register is
begin
process (CLK)
begin
if (CLK'event and CLK = '1') then
-- update pc value at up edge
if (WRITE_OR_NOT = WRITE_PC_YES) then
PC_OUT <= PC_IN;
end if;
end if;
end process;
end Behavioral;
|
----------------------------------------------------------------------------------
-- Company:
-- Engineer:
--
-- Create Date: 09:19:15 11/22/2013
-- Design Name:
-- Module Name: PC_Register - Behavioral
-- Project Name:
-- Target Devices:
-- Tool versions:
-- Description:
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library work;
use work.common.ALL;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
--use IEEE.NUMERIC_STD.ALL;
-- Uncomment the following library declaration if instantiating
-- any Xilinx primitives in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
entity PC_Register is
Port ( PC_IN : in STD_LOGIC_VECTOR (15 downto 0) := ZERO;
PC_OUT : out STD_LOGIC_VECTOR (15 downto 0) := ZERO;
WRITE_OR_NOT : in STD_LOGIC := WRITE_PC_YES;
CLK : in STD_LOGIC);
end PC_Register;
architecture Behavioral of PC_Register is
begin
process (CLK)
begin
if (CLK'event and CLK = '1') then
-- update pc value at up edge
if (WRITE_OR_NOT = WRITE_PC_YES) then
PC_OUT <= PC_IN;
end if;
end if;
end process;
end Behavioral;
|
----------------------------------------------------------------------------------
-- Company:
-- Engineer:
--
-- Create Date: 13:39:23 11/19/2013
-- Design Name:
-- Module Name: My_16bitMult_948282 - Behavioral
-- Project Name:
-- Target Devices:
-- Tool versions:
-- Description:
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
--use IEEE.NUMERIC_STD.ALL;
-- Uncomment the following library declaration if instantiating
-- any Xilinx primitives in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
entity My_16bitMult_948282 is
Port ( A_inputM : in STD_LOGIC_VECTOR (15 downto 0);
B_inputM : in STD_LOGIC_VECTOR (15 downto 0);
Hi_out : out STD_LOGIC_VECTOR (15 downto 0);
Lo_out : out STD_LOGIC_VECTOR (15 downto 0));
end My_16bitMult_948282;
architecture Behavioral of My_16bitMult_948282 is
component My_16bitAdder_948282 is
Port ( A_input : in STD_LOGIC_VECTOR (15 downto 0);
B_input : in STD_LOGIC_VECTOR (15 downto 0);
Sum_out : out STD_LOGIC_VECTOR (15 downto 0);
Carry_in : in STD_LOGIC;
Carry_out : out STD_LOGIC);
end component;
component My_16bitAnd_948282 is
Port ( A_in_8282 : in STD_LOGIC_VECTOR (15 downto 0);
B_in_8282 : in STD_LOGIC_VECTOR (15 downto 0);
R_in_8282 : out STD_LOGIC_VECTOR (15 downto 0));
end component;
component Extend_1to16bits is
Port ( In_1bit : in STD_LOGIC;
Out_16bit : out STD_LOGIC_VECTOR (15 downto 0));
end component;
component My_shift_948282 is
Port ( FirstBit : in STD_LOGIC;
Rest : in STD_LOGIC_VECTOR (15 downto 0);
nextIn : out STD_LOGIC_VECTOR (15 downto 0));
end component;
signal i0, i1, i2, i3, i4, i5, i6, i7, i8, i9, i10, i11, i12, i13, i14, i15: std_logic_vector (15 downto 0);
signal sig1, sig2, sig3, sig4, sig5, sig6, sig7, sig8, sig9, sig10, sig11, sig12, sig13, sig14, sig15: std_logic_vector (15 downto 0);
signal sig16, sig17, sig18, sig19, sig20, sig21, sig22, sig23, sig24, sig25, sig26, sig27, sig28, sig29, sig30: std_logic_vector (15 downto 0);
signal sig31, sig32, sig33, sig34: std_logic_vector (15 downto 0);
signal s1, s2, s3, s4, s5, s6, s7, s8, s9,s10, s11,s12,s13,s14,s15,s16: std_logic;
signal l1, l2, l3, l4, l5, l6, l7, l8, l9, l10, l11, l12, l13, l14, l15: std_logic_vector (15 downto 0);
signal sig0: std_logic;
begin
--Sign Extend
u0: Extend_1to16bits port map (In_1bit=>A_inputM(0), Out_16bit=>i0);
u1: Extend_1to16bits port map (In_1bit=>A_inputM(1), Out_16bit=>i1);
u2: Extend_1to16bits port map (In_1bit=>A_inputM(2), Out_16bit=>i2);
u3: Extend_1to16bits port map (In_1bit=>A_inputM(3), Out_16bit=>i3);
u4: Extend_1to16bits port map (In_1bit=>A_inputM(4), Out_16bit=>i4);
u5: Extend_1to16bits port map (In_1bit=>A_inputM(5), Out_16bit=>i5);
u6: Extend_1to16bits port map (In_1bit=>A_inputM(6), Out_16bit=>i6);
u7: Extend_1to16bits port map (In_1bit=>A_inputM(7), Out_16bit=>i7);
u8: Extend_1to16bits port map (In_1bit=>A_inputM(8), Out_16bit=>i8);
u9: Extend_1to16bits port map (In_1bit=>A_inputM(9), Out_16bit=>i9);
u10: Extend_1to16bits port map (In_1bit=>A_inputM(10), Out_16bit=>i10);
u11: Extend_1to16bits port map (In_1bit=>A_inputM(11), Out_16bit=>i11);
u12: Extend_1to16bits port map (In_1bit=>A_inputM(12), Out_16bit=>i12);
u13: Extend_1to16bits port map (In_1bit=>A_inputM(13), Out_16bit=>i13);
u14: Extend_1to16bits port map (In_1bit=>A_inputM(14), Out_16bit=>i14);
u15: Extend_1to16bits port map (In_1bit=>A_inputM(15), Out_16bit=>i15);
--p0: sig0<='0';
--Mult i0
u16: My_16bitAnd_948282 port map (A_in_8282=>i0, B_in_8282=>B_inputM, R_in_8282=>sig1); --sig34 in 1st adder
u17: Lo_out(0)<=sig1(0);
instr: My_shift_948282 port map (FirstBit=>'0', Rest=>sig1, nextIn=>sig34);--sig3 in 2nd adder
u18: My_16bitAnd_948282 port map (A_in_8282=>i1, B_in_8282=>B_inputM, R_in_8282=>sig2); --sig2 in 1st adder
--1st Adder
u19: My_16bitAdder_948282 port map (A_input=>sig34, B_input=>sig2, Carry_in=>'0', Carry_out=>s1, Sum_out=>l1); --l1 in shift + s1 in front of l1
u20: Lo_out(1)<=l1(0);
u21: My_shift_948282 port map (FirstBit=>s1, Rest=>l1, nextIn=>sig3);--sig3 in 2nd adder
u22: My_16bitAnd_948282 port map (A_in_8282=>i2, B_in_8282=>B_inputM, R_in_8282=>sig4); --sig4 in 2nd adder
--2nd Adder
u23: My_16bitAdder_948282 port map (A_input=>sig3, B_input=>sig4, Carry_in=>'0', Carry_out=>s2, Sum_out=>l2); --l2 in shift + s2 in front of l2
u24: Lo_out(2)<=l2(0);
u25: My_shift_948282 port map (FirstBit=>s2, Rest=>l2, nextIn=>sig5);--sig5 in 3rd adder
u26: My_16bitAnd_948282 port map (A_in_8282=>i3, B_in_8282=>B_inputM, R_in_8282=>sig6); --sig5 in 3rd adder
--3rd Adder
u27: My_16bitAdder_948282 port map (A_input=>sig4, B_input=>sig5, Carry_in=>'0', Carry_out=>s3, Sum_out=>l3); --l3 in shift + s3 in front of l3
u28: Lo_out(3)<=l3(0);
u29: My_shift_948282 port map (FirstBit=>s3, Rest=>l3, nextIn=>sig7);--sig7 in 4th adder
u30: My_16bitAnd_948282 port map (A_in_8282=>i4, B_in_8282=>B_inputM, R_in_8282=>sig8); --sig8 in 4th adder
--4th Adder
u31: My_16bitAdder_948282 port map (A_input=>sig7, B_input=>sig8, Carry_in=>'0', Carry_out=>s4, Sum_out=>l4); --l4 in shift + s4 in front of l4
u32: Lo_out(4)<=l4(0);
u33: My_shift_948282 port map (FirstBit=>s4, Rest=>l4, nextIn=>sig9);--sig9 in 5th adder
u34: My_16bitAnd_948282 port map (A_in_8282=>i5, B_in_8282=>B_inputM, R_in_8282=>sig10); --sig10 in 5th adder
--5th Adder
u35: My_16bitAdder_948282 port map (A_input=>sig9, B_input=>sig10, Carry_in=>'0', Carry_out=>s5, Sum_out=>l5); --l5 in shift + s5 in front of l5
u36: Lo_out(5)<=l5(0);
u37: My_shift_948282 port map (FirstBit=>s5, Rest=>l5, nextIn=>sig11);--sig11 in 6th adder
u38: My_16bitAnd_948282 port map (A_in_8282=>i6, B_in_8282=>B_inputM, R_in_8282=>sig12); --sig12 in 6th adder
--6th Adder
u39: My_16bitAdder_948282 port map (A_input=>sig11, B_input=>sig12, Carry_in=>'0', Carry_out=>s6, Sum_out=>l6); --l5 in shift + s5 in front of l5
u40: Lo_out(6)<=l6(0);
u41: My_shift_948282 port map (FirstBit=>s6, Rest=>l6, nextIn=>sig13);--sig13 in 7th adder
u42: My_16bitAnd_948282 port map (A_in_8282=>i7, B_in_8282=>B_inputM, R_in_8282=>sig14); --sig14 in 7th adder
--7th Adder
u43: My_16bitAdder_948282 port map (A_input=>sig13, B_input=>sig14, Carry_in=>'0', Carry_out=>s7, Sum_out=>l7); --l5 in shift + s5 in front of l5
u44: Lo_out(7)<=l7(0);
u45: My_shift_948282 port map (FirstBit=>s7, Rest=>l7, nextIn=>sig15);--sig15 in 8th adder
u46: My_16bitAnd_948282 port map (A_in_8282=>i8, B_in_8282=>B_inputM, R_in_8282=>sig16); --sig16 in 8th adder
--8th Adder
u47: My_16bitAdder_948282 port map (A_input=>sig15, B_input=>sig16, Carry_in=>'0', Carry_out=>s8, Sum_out=>l8); --l5 in shift + s5 in front of l5
u48: Lo_out(8)<=l8(0);
u49: My_shift_948282 port map (FirstBit=>s8, Rest=>l8, nextIn=>sig17);--sig17 in 9th adder
u50: My_16bitAnd_948282 port map (A_in_8282=>i9, B_in_8282=>B_inputM, R_in_8282=>sig18); --sig18 in 9th adder
--9th Adder
u51: My_16bitAdder_948282 port map (A_input=>sig17, B_input=>sig18, Carry_in=>'0', Carry_out=>s9, Sum_out=>l9); --l5 in shift + s5 in front of l5
u52: Lo_out(9)<=l9(0);
u53: My_shift_948282 port map (FirstBit=>s9, Rest=>l9, nextIn=>sig19);--sig19 in 10th adder
u54: My_16bitAnd_948282 port map (A_in_8282=>i10, B_in_8282=>B_inputM, R_in_8282=>sig20); --sig20 in 10th adder
--10th Adder
u55: My_16bitAdder_948282 port map (A_input=>sig19, B_input=>sig20, Carry_in=>'0', Carry_out=>s10, Sum_out=>l10); --l5 in shift + s5 in front of l5
u56: Lo_out(10)<=l10(0);
u57: My_shift_948282 port map (FirstBit=>s10, Rest=>l10, nextIn=>sig21);--sig21 in 11th adder
u58: My_16bitAnd_948282 port map (A_in_8282=>i11, B_in_8282=>B_inputM, R_in_8282=>sig22); --sig22 in 11th adder
--11th Adder
u59: My_16bitAdder_948282 port map (A_input=>sig21, B_input=>sig22, Carry_in=>'0', Carry_out=>s11, Sum_out=>l11); --l5 in shift + s5 in front of l5
u60: Lo_out(11)<=l11(0);
u61: My_shift_948282 port map (FirstBit=>s11, Rest=>l11, nextIn=>sig23);--sig23 in 12th adder
u62: My_16bitAnd_948282 port map (A_in_8282=>i12, B_in_8282=>B_inputM, R_in_8282=>sig24); --sig24 in 12th adder
--12th Adder
u63: My_16bitAdder_948282 port map (A_input=>sig23, B_input=>sig24, Carry_in=>'0', Carry_out=>s12, Sum_out=>l12); --l5 in shift + s5 in front of l5
u64: Lo_out(12)<=l12(0);
u65: My_shift_948282 port map (FirstBit=>s12, Rest=>l12, nextIn=>sig25);--sig25 in 13th adder
u66: My_16bitAnd_948282 port map (A_in_8282=>i13, B_in_8282=>B_inputM, R_in_8282=>sig26); --sig26 in 13th adder
--13th Adder
u67: My_16bitAdder_948282 port map (A_input=>sig25, B_input=>sig26, Carry_in=>'0', Carry_out=>s13, Sum_out=>l13); --l5 in shift + s5 in front of l5
u68: Lo_out(13)<=l13(0);
u69: My_shift_948282 port map (FirstBit=>s13, Rest=>l13, nextIn=>sig27);--sig27 in 14th adder
u70: My_16bitAnd_948282 port map (A_in_8282=>i14, B_in_8282=>B_inputM, R_in_8282=>sig28); --sig28 in 14th adder
--14th Adder
u71: My_16bitAdder_948282 port map (A_input=>sig27, B_input=>sig28, Carry_in=>'0', Carry_out=>s14, Sum_out=>l14); --l5 in shift + s5 in front of l5
u72: Lo_out(14)<=l14(0);
u73: My_shift_948282 port map (FirstBit=>s14, Rest=>l14, nextIn=>sig29);--sig29 in 15th adder
u74: My_16bitAnd_948282 port map (A_in_8282=>i15, B_in_8282=>B_inputM, R_in_8282=>sig30); --sig30 in 15th adder
--15th Adder
u75: My_16bitAdder_948282 port map (A_input=>sig29, B_input=>sig30, Carry_in=>'0', Carry_out=>s15, Sum_out=>l15); --l5 in shift + s5 in front of l5
u76: Lo_out(15)<=l15(0);
u77: My_shift_948282 port map (FirstBit=>s15, Rest=>l15, nextIn=>sig31);--sig31 in hi
u78: Hi_out<=sig31;
end Behavioral;
|
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
use IEEE.std_logic_unsigned.all;
library altera;
use altera.alt_dspbuilder_package.all;
library lpm;
use lpm.lpm_components.all;
entity alt_dspbuilder_constant_GN4GVGE46N is
generic ( HDLTYPE : string := "STD_LOGIC_VECTOR";
BitPattern : string := "0000000101000000";
width : natural := 16);
port(
output : out std_logic_vector(15 downto 0));
end entity;
architecture rtl of alt_dspbuilder_constant_GN4GVGE46N is
Begin
-- Constant
output <= "0000000101000000";
end architecture; |
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
use IEEE.std_logic_unsigned.all;
library altera;
use altera.alt_dspbuilder_package.all;
library lpm;
use lpm.lpm_components.all;
entity alt_dspbuilder_constant_GN4GVGE46N is
generic ( HDLTYPE : string := "STD_LOGIC_VECTOR";
BitPattern : string := "0000000101000000";
width : natural := 16);
port(
output : out std_logic_vector(15 downto 0));
end entity;
architecture rtl of alt_dspbuilder_constant_GN4GVGE46N is
Begin
-- Constant
output <= "0000000101000000";
end architecture; |
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
use IEEE.std_logic_unsigned.all;
library altera;
use altera.alt_dspbuilder_package.all;
library lpm;
use lpm.lpm_components.all;
entity alt_dspbuilder_constant_GN4GVGE46N is
generic ( HDLTYPE : string := "STD_LOGIC_VECTOR";
BitPattern : string := "0000000101000000";
width : natural := 16);
port(
output : out std_logic_vector(15 downto 0));
end entity;
architecture rtl of alt_dspbuilder_constant_GN4GVGE46N is
Begin
-- Constant
output <= "0000000101000000";
end architecture; |
-- VHDL Entity R6502_TC.Reg_PC.symbol
--
-- Created:
-- by - eda.UNKNOWN (ENTW1)
-- at - 18:39:48 08.02.2010
--
-- Generated by Mentor Graphics' HDL Designer(TM) 2009.1 (Build 12)
--
LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_arith.all;
ENTITY Reg_PC IS
PORT(
adr_i : IN std_logic_vector (15 DOWNTO 0);
clk_clk_i : IN std_logic;
ld_i : IN std_logic_vector (1 DOWNTO 0);
ld_pc_i : IN std_logic;
offset_i : IN std_logic_vector (15 DOWNTO 0);
rst_rst_n_i : IN std_logic;
sel_pc_in_i : IN std_logic;
sel_pc_val_i : IN std_logic_vector (1 DOWNTO 0);
adr_nxt_pc_o : OUT std_logic_vector (15 DOWNTO 0);
adr_pc_o : OUT std_logic_vector (15 DOWNTO 0)
);
-- Declarations
END Reg_PC ;
-- Jens-D. Gutschmidt Project: R6502_TC
-- [email protected]
-- COPYRIGHT (C) 2008-2010 by Jens Gutschmidt and OPENCORES.ORG
--
-- This program is free software: you can redistribute it and/or modify it under the terms of the GNU General Public License as published by
-- the Free Software Foundation, either version 3 of the License, or any later version.
--
-- This program is distributed in the hope that it will be useful, but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License along with this program. If not, see <http://www.gnu.org/licenses/>.
--
-- CVS Revisins History
--
-- $Log: struct.bd,v $
-- <<-- more -->>
-- Title: Program Counter Logic
-- Path: R6502_TC/Reg_PC/struct
-- Edited: by eda on 08 Feb 2010
--
-- VHDL Architecture R6502_TC.Reg_PC.struct
--
-- Created:
-- by - eda.UNKNOWN (ENTW1)
-- at - 18:39:49 08.02.2010
--
-- Generated by Mentor Graphics' HDL Designer(TM) 2009.1 (Build 12)
--
LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_arith.all;
ARCHITECTURE struct OF Reg_PC IS
-- Architecture declarations
-- Internal signal declarations
SIGNAL adr_pc_high_o_i : std_logic_vector(7 DOWNTO 0);
SIGNAL adr_pc_low_o_i : std_logic_vector(7 DOWNTO 0);
SIGNAL adr_pc_o_i : std_logic_vector(15 DOWNTO 0);
SIGNAL ci_o_i : std_logic;
SIGNAL cout_pc_o_i : std_logic;
SIGNAL load3_o_i : std_logic;
SIGNAL load_o_i : std_logic;
SIGNAL offset_high_o_i : std_logic_vector(7 DOWNTO 0);
SIGNAL offset_low_o_i : std_logic_vector(7 DOWNTO 0);
SIGNAL val_o_i : std_logic_vector(7 DOWNTO 0);
SIGNAL val_one : std_logic_vector(7 DOWNTO 0);
SIGNAL val_zero : std_logic_vector(7 DOWNTO 0);
-- Implicit buffer signal declarations
SIGNAL adr_nxt_pc_o_internal : std_logic_vector (15 DOWNTO 0);
SIGNAL adr_pc_o_internal : std_logic_vector (15 DOWNTO 0);
-- ModuleWare signal declarations(v1.9) for instance 'U_0' of 'adff'
SIGNAL mw_U_0reg_cval : std_logic_vector(7 DOWNTO 0);
-- ModuleWare signal declarations(v1.9) for instance 'U_4' of 'adff'
SIGNAL mw_U_4reg_cval : std_logic_vector(7 DOWNTO 0);
-- ModuleWare signal declarations(v1.9) for instance 'U_3' of 'split'
SIGNAL mw_U_3temp_din : std_logic_vector(15 DOWNTO 0);
-- ModuleWare signal declarations(v1.9) for instance 'U_5' of 'split'
SIGNAL mw_U_5temp_din : std_logic_vector(15 DOWNTO 0);
BEGIN
-- ModuleWare code(v1.9) for instance 'U_2' of 'add'
u_2combo_proc: PROCESS (adr_pc_low_o_i, val_o_i)
VARIABLE temp_din0 : std_logic_vector(8 DOWNTO 0);
VARIABLE temp_din1 : std_logic_vector(8 DOWNTO 0);
VARIABLE temp_sum : unsigned(8 DOWNTO 0);
VARIABLE temp_carry : std_logic;
BEGIN
temp_din0 := '0' & adr_pc_low_o_i;
temp_din1 := '0' & val_o_i;
temp_carry := '0';
temp_sum := unsigned(temp_din0) + unsigned(temp_din1) + temp_carry;
adr_nxt_pc_o_internal(7 DOWNTO 0) <= conv_std_logic_vector(temp_sum(7 DOWNTO 0),8);
cout_pc_o_i <= temp_sum(8) ;
END PROCESS u_2combo_proc;
-- ModuleWare code(v1.9) for instance 'U_11' of 'add'
u_11combo_proc: PROCESS (adr_pc_high_o_i, offset_high_o_i, ci_o_i)
VARIABLE temp_din0 : std_logic_vector(8 DOWNTO 0);
VARIABLE temp_din1 : std_logic_vector(8 DOWNTO 0);
VARIABLE temp_sum : unsigned(8 DOWNTO 0);
VARIABLE temp_carry : std_logic;
BEGIN
temp_din0 := '0' & adr_pc_high_o_i;
temp_din1 := '0' & offset_high_o_i;
temp_carry := ci_o_i;
temp_sum := unsigned(temp_din0) + unsigned(temp_din1) + temp_carry;
adr_nxt_pc_o_internal(15 DOWNTO 8) <= conv_std_logic_vector(temp_sum(7 DOWNTO 0),8);
END PROCESS u_11combo_proc;
-- ModuleWare code(v1.9) for instance 'U_0' of 'adff'
adr_pc_o_internal(7 DOWNTO 0) <= mw_U_0reg_cval;
u_0seq_proc: PROCESS (clk_clk_i, rst_rst_n_i)
BEGIN
IF (rst_rst_n_i = '0') THEN
mw_U_0reg_cval <= "00000000";
ELSIF (clk_clk_i'EVENT AND clk_clk_i='1') THEN
IF (load_o_i = '1') THEN
mw_U_0reg_cval <= adr_nxt_pc_o_internal(7 DOWNTO 0);
END IF;
END IF;
END PROCESS u_0seq_proc;
-- ModuleWare code(v1.9) for instance 'U_4' of 'adff'
adr_pc_o_internal(15 DOWNTO 8) <= mw_U_4reg_cval;
u_4seq_proc: PROCESS (clk_clk_i, rst_rst_n_i)
BEGIN
IF (rst_rst_n_i = '0') THEN
mw_U_4reg_cval <= "00000000";
ELSIF (clk_clk_i'EVENT AND clk_clk_i='1') THEN
IF (load3_o_i = '1') THEN
mw_U_4reg_cval <= adr_nxt_pc_o_internal(15 DOWNTO 8);
END IF;
END IF;
END PROCESS u_4seq_proc;
-- ModuleWare code(v1.9) for instance 'U_6' of 'and'
load_o_i <= ld_pc_i AND ld_i(0);
-- ModuleWare code(v1.9) for instance 'U_7' of 'and'
load3_o_i <= ld_pc_i AND ld_i(1);
-- ModuleWare code(v1.9) for instance 'U_10' of 'and'
ci_o_i <= cout_pc_o_i AND ld_pc_i;
-- ModuleWare code(v1.9) for instance 'U_1' of 'constval'
val_zero <= "00000000";
-- ModuleWare code(v1.9) for instance 'U_9' of 'constval'
val_one <= "00000001";
-- ModuleWare code(v1.9) for instance 'U_8' of 'mux'
u_8combo_proc: PROCESS(adr_pc_o_internal, adr_i, sel_pc_in_i)
BEGIN
CASE sel_pc_in_i IS
WHEN '0' => adr_pc_o_i <= adr_pc_o_internal;
WHEN '1' => adr_pc_o_i <= adr_i;
WHEN OTHERS => adr_pc_o_i <= (OTHERS => 'X');
END CASE;
END PROCESS u_8combo_proc;
-- ModuleWare code(v1.9) for instance 'U_13' of 'mux'
u_13combo_proc: PROCESS(val_one, val_zero, offset_low_o_i,
sel_pc_val_i)
BEGIN
CASE sel_pc_val_i IS
WHEN "00" => val_o_i <= val_one;
WHEN "01" => val_o_i <= val_zero;
WHEN "10" => val_o_i <= offset_low_o_i;
WHEN "11" => val_o_i <= val_zero;
WHEN OTHERS => val_o_i <= (OTHERS => 'X');
END CASE;
END PROCESS u_13combo_proc;
-- ModuleWare code(v1.9) for instance 'U_3' of 'split'
mw_U_3temp_din <= adr_pc_o_i;
u_3combo_proc: PROCESS (mw_U_3temp_din)
VARIABLE temp_din: std_logic_vector(15 DOWNTO 0);
BEGIN
temp_din := mw_U_3temp_din(15 DOWNTO 0);
adr_pc_low_o_i <= temp_din(7 DOWNTO 0);
adr_pc_high_o_i <= temp_din(15 DOWNTO 8);
END PROCESS u_3combo_proc;
-- ModuleWare code(v1.9) for instance 'U_5' of 'split'
mw_U_5temp_din <= offset_i;
u_5combo_proc: PROCESS (mw_U_5temp_din)
VARIABLE temp_din: std_logic_vector(15 DOWNTO 0);
BEGIN
temp_din := mw_U_5temp_din(15 DOWNTO 0);
offset_low_o_i <= temp_din(7 DOWNTO 0);
offset_high_o_i <= temp_din(15 DOWNTO 8);
END PROCESS u_5combo_proc;
-- Instance port mappings.
-- Implicit buffered output assignments
adr_nxt_pc_o <= adr_nxt_pc_o_internal;
adr_pc_o <= adr_pc_o_internal;
END struct;
|
-------------------------------------------------------------------------------
--
-- Copyright (c) 1989 by Intermetrics, Inc.
-- All rights reserved.
--
-------------------------------------------------------------------------------
--
-- TEST NAME:
--
-- CT00592
--
-- AUTHOR:
--
-- A. Wilmot
--
-- TEST OBJECTIVES:
--
-- 4.3.4 (15)
--
-- DESIGN UNIT ORDERING:
--
-- E00000(ARCH00592)
-- ENT00592_Test_Bench(ARCH00592_Test_Bench)
--
-- REVISION HISTORY:
--
-- 19-AUG-1987 - initial revision
--
-- NOTES:
--
-- self-checking
-- automatically generated
--
use WORK.STANDARD_TYPES.all ;
architecture ARCH00592 of E00000 is
begin
process
procedure p1 is
variable correct : boolean := true ;
type a_boolean is access boolean ;
variable va_boolean_1, va_boolean_2 : a_boolean
:= new boolean ;
type a_bit is access bit ;
variable va_bit_1, va_bit_2 : a_bit
:= new bit ;
type a_severity_level is access severity_level ;
variable va_severity_level_1, va_severity_level_2 : a_severity_level
:= new severity_level ;
type a_character is access character ;
variable va_character_1, va_character_2 : a_character
:= new character ;
type a_t_enum1 is access t_enum1 ;
variable va_t_enum1_1, va_t_enum1_2 : a_t_enum1
:= new t_enum1 ;
type a_st_enum1 is access st_enum1 ;
variable va_st_enum1_1, va_st_enum1_2 : a_st_enum1
:= new st_enum1 ;
type a_integer is access integer ;
variable va_integer_1, va_integer_2 : a_integer
:= new integer ;
type a_t_int1 is access t_int1 ;
variable va_t_int1_1, va_t_int1_2 : a_t_int1
:= new t_int1 ;
type a_st_int1 is access st_int1 ;
variable va_st_int1_1, va_st_int1_2 : a_st_int1
:= new st_int1 ;
type a_time is access time ;
variable va_time_1, va_time_2 : a_time
:= new time ;
type a_t_phys1 is access t_phys1 ;
variable va_t_phys1_1, va_t_phys1_2 : a_t_phys1
:= new t_phys1 ;
type a_st_phys1 is access st_phys1 ;
variable va_st_phys1_1, va_st_phys1_2 : a_st_phys1
:= new st_phys1 ;
type a_real is access real ;
variable va_real_1, va_real_2 : a_real
:= new real ;
type a_t_real1 is access t_real1 ;
variable va_t_real1_1, va_t_real1_2 : a_t_real1
:= new t_real1 ;
type a_st_real1 is access st_real1 ;
variable va_st_real1_1, va_st_real1_2 : a_st_real1
:= new st_real1 ;
alias av_boolean_1 : a_boolean
is va_boolean_1 ;
alias av_bit_1 : a_bit
is va_bit_1 ;
alias av_severity_level_1 : a_severity_level
is va_severity_level_1 ;
alias av_character_1 : a_character
is va_character_1 ;
alias av_t_enum1_1 : a_t_enum1
is va_t_enum1_1 ;
alias av_st_enum1_1 : a_st_enum1
is va_st_enum1_1 ;
alias av_integer_1 : a_integer
is va_integer_1 ;
alias av_t_int1_1 : a_t_int1
is va_t_int1_1 ;
alias av_st_int1_1 : a_st_int1
is va_st_int1_1 ;
alias av_time_1 : a_time
is va_time_1 ;
alias av_t_phys1_1 : a_t_phys1
is va_t_phys1_1 ;
alias av_st_phys1_1 : a_st_phys1
is va_st_phys1_1 ;
alias av_real_1 : a_real
is va_real_1 ;
alias av_t_real1_1 : a_t_real1
is va_t_real1_1 ;
alias av_st_real1_1 : a_st_real1
is va_st_real1_1 ;
type a_bit_vector is access bit_vector ;
variable va_bit_vector_1, va_bit_vector_2 : a_bit_vector
:= new st_bit_vector ;
type a_string is access string ;
variable va_string_1, va_string_2 : a_string
:= new st_string ;
type a_t_rec1 is access t_rec1 ;
variable va_t_rec1_1, va_t_rec1_2 : a_t_rec1
:= new st_rec1 ;
type a_st_rec1 is access st_rec1 ;
variable va_st_rec1_1, va_st_rec1_2 : a_st_rec1
:= new st_rec1 ;
type a_t_rec2 is access t_rec2 ;
variable va_t_rec2_1, va_t_rec2_2 : a_t_rec2
:= new st_rec2 ;
type a_st_rec2 is access st_rec2 ;
variable va_st_rec2_1, va_st_rec2_2 : a_st_rec2
:= new st_rec2 ;
type a_t_rec3 is access t_rec3 ;
variable va_t_rec3_1, va_t_rec3_2 : a_t_rec3
:= new st_rec3 ;
type a_st_rec3 is access st_rec3 ;
variable va_st_rec3_1, va_st_rec3_2 : a_st_rec3
:= new st_rec3 ;
type a_t_arr1 is access t_arr1 ;
variable va_t_arr1_1, va_t_arr1_2 : a_t_arr1
:= new st_arr1 ;
type a_st_arr1 is access st_arr1 ;
variable va_st_arr1_1, va_st_arr1_2 : a_st_arr1
:= new st_arr1 ;
type a_t_arr2 is access t_arr2 ;
variable va_t_arr2_1, va_t_arr2_2 : a_t_arr2
:= new st_arr2 ;
type a_st_arr2 is access st_arr2 ;
variable va_st_arr2_1, va_st_arr2_2 : a_st_arr2
:= new st_arr2 ;
type a_t_arr3 is access t_arr3 ;
variable va_t_arr3_1, va_t_arr3_2 : a_t_arr3
:= new st_arr3 ;
type a_st_arr3 is access st_arr3 ;
variable va_st_arr3_1, va_st_arr3_2 : a_st_arr3
:= new st_arr3 ;
alias av_bit_vector_1 : a_bit_vector
is va_bit_vector_1 ;
alias av_string_1 : a_string
is va_string_1 ;
alias av_t_rec1_1 : a_t_rec1
is va_t_rec1_1 ;
alias av_st_rec1_1 : a_st_rec1
is va_st_rec1_1 ;
alias av_t_rec2_1 : a_t_rec2
is va_t_rec2_1 ;
alias av_st_rec2_1 : a_st_rec2
is va_st_rec2_1 ;
alias av_t_rec3_1 : a_t_rec3
is va_t_rec3_1 ;
alias av_st_rec3_1 : a_st_rec3
is va_st_rec3_1 ;
alias av_t_arr1_1 : a_t_arr1
is va_t_arr1_1 ;
alias av_st_arr1_1 : a_st_arr1
is va_st_arr1_1 ;
alias av_t_arr2_1 : a_t_arr2
is va_t_arr2_1 ;
alias av_st_arr2_1 : a_st_arr2
is va_st_arr2_1 ;
alias av_t_arr3_1 : a_t_arr3
is va_t_arr3_1 ;
alias av_st_arr3_1 : a_st_arr3
is va_st_arr3_1 ;
begin
-- test that variables denote same object
av_boolean_1 := new boolean'(c_boolean_1) ;
av_bit_1 := new bit'(c_bit_1) ;
av_severity_level_1 := new severity_level'(c_severity_level_1) ;
av_character_1 := new character'(c_character_1) ;
av_t_enum1_1 := new t_enum1'(c_t_enum1_1) ;
av_st_enum1_1 := new st_enum1'(c_st_enum1_1) ;
av_integer_1 := new integer'(c_integer_1) ;
av_t_int1_1 := new t_int1'(c_t_int1_1) ;
av_st_int1_1 := new st_int1'(c_st_int1_1) ;
av_time_1 := new time'(c_time_1) ;
av_t_phys1_1 := new t_phys1'(c_t_phys1_1) ;
av_st_phys1_1 := new st_phys1'(c_st_phys1_1) ;
av_real_1 := new real'(c_real_1) ;
av_t_real1_1 := new t_real1'(c_t_real1_1) ;
av_st_real1_1 := new st_real1'(c_st_real1_1) ;
correct := correct and av_boolean_1.all
= c_boolean_1 ;
correct := correct and av_bit_1.all
= c_bit_1 ;
correct := correct and av_severity_level_1.all
= c_severity_level_1 ;
correct := correct and av_character_1.all
= c_character_1 ;
correct := correct and av_t_enum1_1.all
= c_t_enum1_1 ;
correct := correct and av_st_enum1_1.all
= c_st_enum1_1 ;
correct := correct and av_integer_1.all
= c_integer_1 ;
correct := correct and av_t_int1_1.all
= c_t_int1_1 ;
correct := correct and av_st_int1_1.all
= c_st_int1_1 ;
correct := correct and av_time_1.all
= c_time_1 ;
correct := correct and av_t_phys1_1.all
= c_t_phys1_1 ;
correct := correct and av_st_phys1_1.all
= c_st_phys1_1 ;
correct := correct and av_real_1.all
= c_real_1 ;
correct := correct and av_t_real1_1.all
= c_t_real1_1 ;
correct := correct and av_st_real1_1.all
= c_st_real1_1 ;
av_bit_vector_1.all := c_st_bit_vector_2 ;
av_string_1.all := c_st_string_2 ;
av_t_rec1_1.all := c_st_rec1_2 ;
av_st_rec1_1.all := c_st_rec1_2 ;
av_t_rec2_1.all := c_st_rec2_2 ;
av_st_rec2_1.all := c_st_rec2_2 ;
av_t_rec3_1.all := c_st_rec3_2 ;
av_st_rec3_1.all := c_st_rec3_2 ;
av_t_arr1_1.all := c_st_arr1_2 ;
av_st_arr1_1.all := c_st_arr1_2 ;
av_t_arr2_1.all := c_st_arr2_2 ;
av_st_arr2_1.all := c_st_arr2_2 ;
av_t_arr3_1.all := c_st_arr3_2 ;
av_st_arr3_1.all := c_st_arr3_2 ;
correct := correct and av_bit_vector_1.all
= c_st_bit_vector_2 ;
correct := correct and av_string_1.all
= c_st_string_2 ;
correct := correct and av_t_rec1_1.all
= c_st_rec1_2 ;
correct := correct and av_st_rec1_1.all
= c_st_rec1_2 ;
correct := correct and av_t_rec2_1.all
= c_st_rec2_2 ;
correct := correct and av_st_rec2_1.all
= c_st_rec2_2 ;
correct := correct and av_t_rec3_1.all
= c_st_rec3_2 ;
correct := correct and av_st_rec3_1.all
= c_st_rec3_2 ;
correct := correct and av_t_arr1_1.all
= c_st_arr1_2 ;
correct := correct and av_st_arr1_1.all
= c_st_arr1_2 ;
correct := correct and av_t_arr2_1.all
= c_st_arr2_2 ;
correct := correct and av_st_arr2_1.all
= c_st_arr2_2 ;
correct := correct and av_t_arr3_1.all
= c_st_arr3_2 ;
correct := correct and av_st_arr3_1.all
= c_st_arr3_2 ;
av_bit_vector_1 := new st_bit_vector'(c_st_bit_vector_1) ;
av_string_1 := new st_string'(c_st_string_1) ;
av_t_rec1_1 := new st_rec1'(c_st_rec1_1) ;
av_st_rec1_1 := new st_rec1'(c_st_rec1_1) ;
av_t_rec2_1 := new st_rec2'(c_st_rec2_1) ;
av_st_rec2_1 := new st_rec2'(c_st_rec2_1) ;
av_t_rec3_1 := new st_rec3'(c_st_rec3_1) ;
av_st_rec3_1 := new st_rec3'(c_st_rec3_1) ;
av_t_arr1_1 := new st_arr1'(c_st_arr1_1) ;
av_st_arr1_1 := new st_arr1'(c_st_arr1_1) ;
av_t_arr2_1 := new st_arr2'(c_st_arr2_1) ;
av_st_arr2_1 := new st_arr2'(c_st_arr2_1) ;
av_t_arr3_1 := new st_arr3'(c_st_arr3_1) ;
av_st_arr3_1 := new st_arr3'(c_st_arr3_1) ;
correct := correct and av_bit_vector_1.all
= c_st_bit_vector_1 ;
correct := correct and av_string_1.all
= c_st_string_1 ;
correct := correct and av_t_rec1_1.all
= c_st_rec1_1 ;
correct := correct and av_st_rec1_1.all
= c_st_rec1_1 ;
correct := correct and av_t_rec2_1.all
= c_st_rec2_1 ;
correct := correct and av_st_rec2_1.all
= c_st_rec2_1 ;
correct := correct and av_t_rec3_1.all
= c_st_rec3_1 ;
correct := correct and av_st_rec3_1.all
= c_st_rec3_1 ;
correct := correct and av_t_arr1_1.all
= c_st_arr1_1 ;
correct := correct and av_st_arr1_1.all
= c_st_arr1_1 ;
correct := correct and av_t_arr2_1.all
= c_st_arr2_1 ;
correct := correct and av_st_arr2_1.all
= c_st_arr2_1 ;
correct := correct and av_t_arr3_1.all
= c_st_arr3_1 ;
correct := correct and av_st_arr3_1.all
= c_st_arr3_1 ;
av_bit_vector_1.all := c_st_bit_vector_2 ;
av_string_1.all := c_st_string_2 ;
av_t_rec1_1.all := c_st_rec1_2 ;
av_st_rec1_1.all := c_st_rec1_2 ;
av_t_rec2_1.all := c_st_rec2_2 ;
av_st_rec2_1.all := c_st_rec2_2 ;
av_t_rec3_1.all := c_st_rec3_2 ;
av_st_rec3_1.all := c_st_rec3_2 ;
av_t_arr1_1.all := c_st_arr1_2 ;
av_st_arr1_1.all := c_st_arr1_2 ;
av_t_arr2_1.all := c_st_arr2_2 ;
av_st_arr2_1.all := c_st_arr2_2 ;
av_t_arr3_1.all := c_st_arr3_2 ;
av_st_arr3_1.all := c_st_arr3_2 ;
correct := correct and av_bit_vector_1.all
= c_st_bit_vector_2 ;
correct := correct and av_string_1.all
= c_st_string_2 ;
correct := correct and av_t_rec1_1.all
= c_st_rec1_2 ;
correct := correct and av_st_rec1_1.all
= c_st_rec1_2 ;
correct := correct and av_t_rec2_1.all
= c_st_rec2_2 ;
correct := correct and av_st_rec2_1.all
= c_st_rec2_2 ;
correct := correct and av_t_rec3_1.all
= c_st_rec3_2 ;
correct := correct and av_st_rec3_1.all
= c_st_rec3_2 ;
correct := correct and av_t_arr1_1.all
= c_st_arr1_2 ;
correct := correct and av_st_arr1_1.all
= c_st_arr1_2 ;
correct := correct and av_t_arr2_1.all
= c_st_arr2_2 ;
correct := correct and av_st_arr2_1.all
= c_st_arr2_2 ;
correct := correct and av_t_arr3_1.all
= c_st_arr3_2 ;
correct := correct and av_st_arr3_1.all
= c_st_arr3_2 ;
test_report ( "ARCH00592" ,
"Variable declarations - all access subtypes" ,
correct) ;
end p1 ;
--
procedure p2 (
constant lowb : integer := 1 ;
constant highb : integer := 10 ;
constant lowb_i2 : integer := 0 ;
constant highb_i2 : integer := 1000 ;
constant lowb_p : integer := -100 ;
constant highb_p : integer := 1000 ;
constant lowb_r : real := 0.0 ;
constant highb_r : real := 1000.0 ;
constant lowb_r2 : real := 8.0 ;
constant highb_r2 : real := 80.0
--
) is
variable correct : boolean := true ;
--
-- assertion: c_xxxxx_2 >= c_xxxxx_1
-- enumeration types
-- predefined
-- boolean
constant c_boolean_1 : boolean := false ;
constant c_boolean_2 : boolean := true ;
--
type boolean_vector is array (integer range <>) of boolean ;
subtype boolean_vector_range1 is integer range lowb to highb ;
subtype st_boolean_vector is boolean_vector (boolean_vector_range1) ;
constant c_st_boolean_vector_1 : st_boolean_vector :=
(others => c_boolean_1) ;
constant c_st_boolean_vector_2 : st_boolean_vector :=
(others => c_boolean_2) ;
--
-- bit
constant c_bit_1 : bit := '0' ;
constant c_bit_2 : bit := '1' ;
--
constant c_bit_vector_1 : bit_vector := B"0000" ;
constant c_bit_vector_2 : bit_vector := B"1111" ;
subtype bit_vector_range1 is integer range lowb to highb ;
subtype st_bit_vector is bit_vector (bit_vector_range1) ;
constant c_st_bit_vector_1 : st_bit_vector :=
(others => c_bit_1) ;
constant c_st_bit_vector_2 : st_bit_vector :=
(others => c_bit_2) ;
-- severity_level
constant c_severity_level_1 : severity_level := NOTE ;
constant c_severity_level_2 : severity_level := WARNING ;
--
type severity_level_vector is array (integer range <>) of severity_level ;
subtype severity_level_vector_range1 is integer range lowb to highb ;
subtype st_severity_level_vector is
severity_level_vector (severity_level_vector_range1) ;
constant c_st_severity_level_vector_1 : st_severity_level_vector :=
(others => c_severity_level_1) ;
constant c_st_severity_level_vector_2 : st_severity_level_vector :=
(others => c_severity_level_2) ;
--
-- character
constant c_character_1 : character := 'A' ;
constant c_character_2 : character := 'a' ;
--
constant c_string_1 : string := "ABC0000" ;
constant c_string_2 : string := "ABC1111" ;
subtype string_range1 is integer range lowb to highb ;
subtype st_string is string (string_range1) ;
constant c_st_string_1 : st_string :=
(others => c_character_1) ;
constant c_st_string_2 : st_string :=
(others => c_character_2) ;
-- user defined enumeration
type t_enum1 is (en1, en2, en3, en4) ;
constant c_t_enum1_1 : t_enum1 := en1 ;
constant c_t_enum1_2 : t_enum1 := en2 ;
subtype st_enum1 is t_enum1 range en4 downto en1 ;
constant c_st_enum1_1 : st_enum1 := en1 ;
constant c_st_enum1_2 : st_enum1 := en2 ;
--
type enum1_vector is array (integer range <>) of st_enum1 ;
subtype enum1_vector_range1 is integer range lowb to highb ;
subtype st_enum1_vector is enum1_vector (enum1_vector_range1) ;
constant c_st_enum1_vector_1 : st_enum1_vector :=
(others => c_st_enum1_1) ;
constant c_st_enum1_vector_2 : st_enum1_vector :=
(others => c_st_enum1_2) ;
-- integer types
-- predefined
constant c_integer_1 : integer := lowb ;
constant c_integer_2 : integer := highb ;
--
type integer_vector is array (integer range <>) of integer ;
subtype integer_vector_range1 is integer range lowb to highb ;
subtype st_integer_vector is integer_vector (integer_vector_range1) ;
constant c_st_integer_vector_1 : st_integer_vector :=
(others => c_integer_1) ;
constant c_st_integer_vector_2 : st_integer_vector :=
(others => c_integer_2) ;
--
-- user defined integer type
type t_int1 is range 0 to 100 ;
constant c_t_int1_1 : t_int1 := 0 ;
constant c_t_int1_2 : t_int1 := 10 ;
subtype st_int1 is t_int1 range 8 to 60 ;
constant c_st_int1_1 : st_int1 := 8 ;
constant c_st_int1_2 : st_int1 := 9 ;
--
type int1_vector is array (integer range <>) of st_int1 ;
subtype int1_vector_range1 is integer range lowb to highb ;
subtype st_int1_vector is int1_vector (int1_vector_range1) ;
constant c_st_int1_vector_1 : st_int1_vector :=
(others => c_st_int1_1) ;
constant c_st_int1_vector_2 : st_int1_vector :=
(others => c_st_int1_2) ;
--
-- physical types
-- predefined
constant c_time_1 : time := 1 ns ;
constant c_time_2 : time := 2 ns ;
--
type time_vector is array (integer range <>) of time ;
subtype time_vector_range1 is integer range lowb to highb ;
subtype st_time_vector is time_vector (time_vector_range1) ;
constant c_st_time_vector_1 : st_time_vector :=
(others => c_time_1) ;
constant c_st_time_vector_2 : st_time_vector :=
(others => c_time_2) ;
--
-- user defined physical type
type t_phys1 is range -100 to 1000
units
phys1_1 ;
phys1_2 = 10 phys1_1 ;
phys1_3 = 10 phys1_2 ;
phys1_4 = 10 phys1_3 ;
phys1_5 = 10 phys1_4 ;
end units ;
--
constant c_t_phys1_1 : t_phys1 := phys1_1 ;
constant c_t_phys1_2 : t_phys1 := phys1_2 ;
subtype st_phys1 is t_phys1 range phys1_2 to phys1_4 ;
constant c_st_phys1_1 : st_phys1 := phys1_2 ;
constant c_st_phys1_2 : st_phys1 := phys1_3 ;
--
type phys1_vector is array (integer range <>) of st_phys1 ;
subtype phys1_vector_range1 is integer range lowb to highb ;
subtype st_phys1_vector is phys1_vector (phys1_vector_range1) ;
constant c_st_phys1_vector_1 : st_phys1_vector :=
(others => c_st_phys1_1) ;
constant c_st_phys1_vector_2 : st_phys1_vector :=
(others => c_st_phys1_2) ;
--
--
-- floating point types
-- predefined
constant c_real_1 : real := 0.0 ;
constant c_real_2 : real := 1.0 ;
--
type real_vector is array (integer range <>) of real ;
subtype real_vector_range1 is integer range lowb to highb ;
subtype st_real_vector is real_vector (real_vector_range1) ;
constant c_st_real_vector_1 : st_real_vector :=
(others => c_real_1) ;
constant c_st_real_vector_2 : st_real_vector :=
(others => c_real_2) ;
--
-- user defined floating type
type t_real1 is range 0.0 to 1000.0 ;
constant c_t_real1_1 : t_real1 := 0.0 ;
constant c_t_real1_2 : t_real1 := 1.0 ;
subtype st_real1 is t_real1 range 8.0 to 80.0 ;
constant c_st_real1_1 : st_real1 := 8.0 ;
constant c_st_real1_2 : st_real1 := 9.0 ;
--
type real1_vector is array (integer range <>) of st_real1 ;
subtype real1_vector_range1 is integer range lowb to highb ;
subtype st_real1_vector is real1_vector (real1_vector_range1) ;
constant c_st_real1_vector_1 : st_real1_vector :=
(others => c_st_real1_1) ;
constant c_st_real1_vector_2 : st_real1_vector :=
(others => c_st_real1_2) ;
-- composite types
--
-- simple record
type t_rec1 is record
f1 : integer range lowb_i2 to highb_i2 ;
f2 : time ;
f3 : boolean ;
f4 : real ;
end record ;
constant c_t_rec1_1 : t_rec1 :=
(c_integer_1, c_time_1, c_boolean_1, c_real_1) ;
constant c_t_rec1_2 : t_rec1 :=
(c_integer_2, c_time_2, c_boolean_2, c_real_2) ;
subtype st_rec1 is t_rec1 ;
constant c_st_rec1_1 : st_rec1 := c_t_rec1_1 ;
constant c_st_rec1_2 : st_rec1 := c_t_rec1_2 ;
--
type rec1_vector is array (integer range <>) of st_rec1 ;
subtype rec1_vector_range1 is integer range lowb to highb ;
subtype st_rec1_vector is rec1_vector (rec1_vector_range1) ;
constant c_st_rec1_vector_1 : st_rec1_vector :=
(others => c_st_rec1_1) ;
constant c_st_rec1_vector_2 : st_rec1_vector :=
(others => c_st_rec1_2) ;
--
--
-- more complex record
type t_rec2 is record
f1 : boolean ;
f2 : st_rec1 ;
f3 : time ;
end record ;
constant c_t_rec2_1 : t_rec2 :=
(c_boolean_1, c_st_rec1_1, c_time_1) ;
constant c_t_rec2_2 : t_rec2 :=
(c_boolean_2, c_st_rec1_2, c_time_2) ;
subtype st_rec2 is t_rec2 ;
constant c_st_rec2_1 : st_rec2 := c_t_rec2_1 ;
constant c_st_rec2_2 : st_rec2 := c_t_rec2_2 ;
--
type rec2_vector is array (integer range <>) of st_rec2 ;
subtype rec2_vector_range1 is integer range lowb to highb ;
subtype st_rec2_vector is rec2_vector (rec2_vector_range1) ;
constant c_st_rec2_vector_1 : st_rec2_vector :=
(others => c_st_rec2_1) ;
constant c_st_rec2_vector_2 : st_rec2_vector :=
(others => c_st_rec2_2) ;
--
-- simple array
type t_arr1 is array (integer range <>) of st_int1 ;
subtype t_arr1_range1 is integer range lowb to highb ;
subtype st_arr1 is t_arr1 (t_arr1_range1) ;
constant c_st_arr1_1 : st_arr1 := (others => c_st_int1_1) ;
constant c_st_arr1_2 : st_arr1 := (others => c_st_int1_2) ;
constant c_t_arr1_1 : st_arr1 := c_st_arr1_1 ;
constant c_t_arr1_2 : st_arr1 := c_st_arr1_2 ;
--
type arr1_vector is array (integer range <>) of st_arr1 ;
subtype arr1_vector_range1 is integer range lowb to highb ;
subtype st_arr1_vector is arr1_vector (arr1_vector_range1) ;
constant c_st_arr1_vector_1 : st_arr1_vector :=
(others => c_st_arr1_1) ;
constant c_st_arr1_vector_2 : st_arr1_vector :=
(others => c_st_arr1_2) ;
-- more complex array
type t_arr2 is array (integer range <>, boolean range <>) of st_arr1 ;
subtype t_arr2_range1 is integer range lowb to highb ;
subtype t_arr2_range2 is boolean range false to true ;
subtype st_arr2 is t_arr2 (t_arr2_range1, t_arr2_range2);
constant c_st_arr2_1 : st_arr2 := (others => (others => c_st_arr1_1)) ;
constant c_st_arr2_2 : st_arr2 := (others => (others => c_st_arr1_2)) ;
constant c_t_arr2_1 : st_arr2 := c_st_arr2_1 ;
constant c_t_arr2_2 : st_arr2 := c_st_arr2_2 ;
--
type arr2_vector is array (integer range <>) of st_arr2 ;
subtype arr2_vector_range1 is integer range lowb to highb ;
subtype st_arr2_vector is arr2_vector (arr2_vector_range1) ;
constant c_st_arr2_vector_1 : st_arr2_vector :=
(others => c_st_arr2_1) ;
constant c_st_arr2_vector_2 : st_arr2_vector :=
(others => c_st_arr2_2) ;
--
--
-- most complex record
type t_rec3 is record
f1 : boolean ;
f2 : st_rec2 ;
f3 : st_arr2 ;
end record ;
constant c_t_rec3_1 : t_rec3 :=
(c_boolean_1, c_st_rec2_1, c_st_arr2_1) ;
constant c_t_rec3_2 : t_rec3 :=
(c_boolean_2, c_st_rec2_2, c_st_arr2_2) ;
subtype st_rec3 is t_rec3 ;
constant c_st_rec3_1 : st_rec3 := c_t_rec3_1 ;
constant c_st_rec3_2 : st_rec3 := c_t_rec3_2 ;
--
type rec3_vector is array (integer range <>) of st_rec3 ;
subtype rec3_vector_range1 is integer range lowb to highb ;
subtype st_rec3_vector is rec3_vector (rec3_vector_range1) ;
constant c_st_rec3_vector_1 : st_rec3_vector :=
(others => c_st_rec3_1) ;
constant c_st_rec3_vector_2 : st_rec3_vector :=
(others => c_st_rec3_2) ;
--
-- most complex array
type t_arr3 is array (integer range <>, boolean range <>) of st_rec3 ;
subtype t_arr3_range1 is integer range lowb to highb ;
subtype t_arr3_range2 is boolean range true downto false ;
subtype st_arr3 is t_arr3 (t_arr3_range1, t_arr3_range2) ;
constant c_st_arr3_1 : st_arr3 := (others => (others => c_st_rec3_1)) ;
constant c_st_arr3_2 : st_arr3 := (others => (others => c_st_rec3_2)) ;
constant c_t_arr3_1 : st_arr3 := c_st_arr3_1 ;
constant c_t_arr3_2 : st_arr3 := c_st_arr3_2 ;
--
type arr3_vector is array (integer range <>) of st_arr3 ;
subtype arr3_vector_range1 is integer range lowb to highb ;
subtype st_arr3_vector is arr3_vector (arr3_vector_range1) ;
constant c_st_arr3_vector_1 : st_arr3_vector :=
(others => c_st_arr3_1) ;
constant c_st_arr3_vector_2 : st_arr3_vector :=
(others => c_st_arr3_2) ;
--
-- enumeration types
-- predefined
-- boolean
function bf_boolean(to_resolve : boolean_vector) return boolean is
variable sum : integer := 0 ;
begin
if to_resolve'length = 0 then
return boolean'left ;
else
for i in to_resolve'range loop
sum := sum + boolean'pos(to_resolve(i)) ;
end loop ;
return boolean'val(integer'pos(sum) mod
(boolean'pos(boolean'high) + 1)) ;
end if ;
end bf_boolean ;
--
--
-- bit
function bf_bit(to_resolve : bit_vector) return bit is
variable sum : integer := 0 ;
begin
if to_resolve'length = 0 then
return bit'left ;
else
for i in to_resolve'range loop
sum := sum + bit'pos(to_resolve(i)) ;
end loop ;
return bit'val(integer'pos(sum) mod
(bit'pos(bit'high) + 1)) ;
end if ;
end bf_bit ;
--
-- severity_level
function bf_severity_level(to_resolve : severity_level_vector)
return severity_level is
variable sum : integer := 0 ;
begin
if to_resolve'length = 0 then
return severity_level'left ;
else
for i in to_resolve'range loop
sum := sum + severity_level'pos(to_resolve(i)) ;
end loop ;
return severity_level'val(integer'pos(sum) mod
(severity_level'pos(severity_level'high) + 1)) ;
end if ;
end bf_severity_level ;
--
-- character
function bf_character(to_resolve : string) return character is
variable sum : integer := 0 ;
begin
if to_resolve'length = 0 then
return character'left ;
else
for i in to_resolve'range loop
sum := sum + character'pos(to_resolve(i)) ;
end loop ;
return character'val(integer'pos(sum) mod
(character'pos(character'high) + 1)) ;
end if ;
end bf_character ;
--
--
-- user defined enumeration
function bf_enum1(to_resolve : enum1_vector) return st_enum1 is
variable sum : integer := 0 ;
begin
if to_resolve'length = 0 then
return st_enum1'left ;
else
for i in to_resolve'range loop
sum := sum + t_enum1'pos(to_resolve(i)) ;
end loop ;
return t_enum1'val(integer'pos(sum) mod
(t_enum1'pos(t_enum1'high) + 1)) ;
end if ;
end bf_enum1 ;
--
--
-- integer types
-- predefined
function bf_integer(to_resolve : integer_vector) return integer is
variable sum : integer := 0 ;
begin
if to_resolve'length = 0 then
return integer'left ;
else
for i in to_resolve'range loop
sum := sum + integer'pos(to_resolve(i)) ;
end loop ;
return sum ;
end if ;
end bf_integer ;
--
--
-- user defined integer type
function bf_int1(to_resolve : int1_vector) return st_int1 is
variable sum : integer := 0 ;
begin
if to_resolve'length = 0 then
return st_int1'left ;
else
for i in to_resolve'range loop
sum := sum + t_int1'pos(to_resolve(i)) ;
end loop ;
return t_int1'val(integer'pos(sum) mod
(t_int1'pos(t_int1'high) + 1)) ;
end if ;
end bf_int1 ;
--
--
-- physical types
-- predefined
function bf_time(to_resolve : time_vector) return time is
variable sum : time := 0 fs;
begin
if to_resolve'length = 0 then
return time'left ;
else
for i in to_resolve'range loop
sum := sum + to_resolve(i) ;
end loop ;
return sum ;
end if ;
end bf_time ;
--
--
-- user defined physical type
function bf_phys1(to_resolve : phys1_vector) return st_phys1 is
variable sum : integer := 0 ;
begin
if to_resolve'length = 0 then
return c_st_phys1_1 ;
else
for i in to_resolve'range loop
sum := sum + t_phys1'pos(to_resolve(i)) ;
end loop ;
return t_phys1'val(integer'pos(sum) mod
(t_phys1'pos(t_phys1'high) + 1)) ;
end if ;
end bf_phys1 ;
--
--
-- floating point types
-- predefined
function bf_real(to_resolve : real_vector) return real is
variable sum : real := 0.0 ;
begin
if to_resolve'length = 0 then
return real'left ;
else
for i in to_resolve'range loop
sum := sum + to_resolve(i) ;
end loop ;
return sum ;
end if ;
end bf_real ;
--
--
-- user defined floating type
function bf_real1(to_resolve : real1_vector) return st_real1 is
variable sum : t_real1 := 0.0 ;
begin
if to_resolve'length = 0 then
return c_st_real1_1 ;
else
for i in to_resolve'range loop
sum := sum + to_resolve(i) ;
end loop ;
return sum ;
end if ;
end bf_real1 ;
--
--
-- composite types
--
-- simple record
function bf_rec1(to_resolve : rec1_vector) return st_rec1 is
variable f1array : integer_vector (to_resolve'range) ;
variable f2array : time_vector (to_resolve'range) ;
variable f3array : boolean_vector (to_resolve'range) ;
variable f4array : real_vector (to_resolve'range) ;
variable result : st_rec1 ;
begin
if to_resolve'length = 0 then
return c_st_rec1_1 ;
else
for i in to_resolve'range loop
f1array(i) := to_resolve(i).f1 ;
f2array(i) := to_resolve(i).f2 ;
f3array(i) := to_resolve(i).f3 ;
f4array(i) := to_resolve(i).f4 ;
end loop ;
result.f1 := bf_integer(f1array) ;
result.f2 := bf_time(f2array) ;
result.f3 := bf_boolean(f3array) ;
result.f4 := bf_real(f4array) ;
return result ;
end if ;
end bf_rec1 ;
--
--
-- more complex record
function bf_rec2(to_resolve : rec2_vector) return st_rec2 is
variable f1array : boolean_vector (to_resolve'range) ;
variable f2array : rec1_vector (to_resolve'range) ;
variable f3array : time_vector (to_resolve'range) ;
variable result : st_rec2 ;
begin
if to_resolve'length = 0 then
return c_st_rec2_1 ;
else
for i in to_resolve'range loop
f1array(i) := to_resolve(i).f1 ;
f2array(i) := to_resolve(i).f2 ;
f3array(i) := to_resolve(i).f3 ;
end loop ;
result.f1 := bf_boolean(f1array) ;
result.f2 := bf_rec1(f2array) ;
result.f3 := bf_time(f3array) ;
return result ;
end if ;
end bf_rec2 ;
--
--
-- simple array
function bf_arr1(to_resolve : arr1_vector) return st_arr1 is
variable temp : int1_vector (to_resolve'range) ;
variable result : st_arr1 ;
begin
if to_resolve'length = 0 then
return c_st_arr1_1 ;
else
for i in st_arr1'range loop
for j in to_resolve'range(1) loop
temp(j) := to_resolve(j)(i) ;
end loop;
result(i) := bf_int1(temp) ;
end loop ;
return result ;
end if ;
end bf_arr1 ;
--
--
-- more complex array
function bf_arr2(to_resolve : arr2_vector) return st_arr2 is
variable temp : arr1_vector (to_resolve'range) ;
variable result : st_arr2 ;
begin
if to_resolve'length = 0 then
return c_st_arr2_1 ;
else
for i in st_arr2'range(1) loop
for j in st_arr2'range(2) loop
for k in to_resolve'range loop
temp(k) := to_resolve(k)(i,j) ;
end loop ;
result(i, j) := bf_arr1(temp) ;
end loop ;
end loop ;
return result ;
end if ;
end bf_arr2 ;
--
--
-- most complex record
function bf_rec3(to_resolve : rec3_vector) return st_rec3 is
variable f1array : boolean_vector (to_resolve'range) ;
variable f2array : rec2_vector (to_resolve'range) ;
variable f3array : arr2_vector (to_resolve'range) ;
variable result : st_rec3 ;
begin
if to_resolve'length = 0 then
return c_st_rec3_1 ;
else
for i in to_resolve'range loop
f1array(i) := to_resolve(i).f1 ;
f2array(i) := to_resolve(i).f2 ;
f3array(i) := to_resolve(i).f3 ;
end loop ;
result.f1 := bf_boolean(f1array) ;
result.f2 := bf_rec2(f2array) ;
result.f3 := bf_arr2(f3array) ;
return result ;
end if ;
end bf_rec3 ;
--
--
-- most complex array
function bf_arr3(to_resolve : arr3_vector) return st_arr3 is
variable temp : rec3_vector (to_resolve'range) ;
variable result : st_arr3 ;
begin
if to_resolve'length = 0 then
return c_st_arr3_1 ;
else
for i in st_arr3'range(1) loop
for j in st_arr3'range(2) loop
for k in to_resolve'range loop
temp(k) := to_resolve(k)(i,j) ;
end loop ;
result(i, j) := bf_rec3(temp) ;
end loop ;
end loop ;
return result ;
end if ;
end bf_arr3 ;
--
type a_bit_vector is access bit_vector ;
variable va_bit_vector_1, va_bit_vector_2 : a_bit_vector
:= new st_bit_vector ;
type a_string is access string ;
variable va_string_1, va_string_2 : a_string
:= new st_string ;
type a_t_rec1 is access t_rec1 ;
variable va_t_rec1_1, va_t_rec1_2 : a_t_rec1
:= new st_rec1 ;
type a_st_rec1 is access st_rec1 ;
variable va_st_rec1_1, va_st_rec1_2 : a_st_rec1
:= new st_rec1 ;
type a_t_rec2 is access t_rec2 ;
variable va_t_rec2_1, va_t_rec2_2 : a_t_rec2
:= new st_rec2 ;
type a_st_rec2 is access st_rec2 ;
variable va_st_rec2_1, va_st_rec2_2 : a_st_rec2
:= new st_rec2 ;
type a_t_rec3 is access t_rec3 ;
variable va_t_rec3_1, va_t_rec3_2 : a_t_rec3
:= new st_rec3 ;
type a_st_rec3 is access st_rec3 ;
variable va_st_rec3_1, va_st_rec3_2 : a_st_rec3
:= new st_rec3 ;
type a_t_arr1 is access t_arr1 ;
variable va_t_arr1_1, va_t_arr1_2 : a_t_arr1
:= new st_arr1 ;
type a_st_arr1 is access st_arr1 ;
variable va_st_arr1_1, va_st_arr1_2 : a_st_arr1
:= new st_arr1 ;
type a_t_arr2 is access t_arr2 ;
variable va_t_arr2_1, va_t_arr2_2 : a_t_arr2
:= new st_arr2 ;
type a_st_arr2 is access st_arr2 ;
variable va_st_arr2_1, va_st_arr2_2 : a_st_arr2
:= new st_arr2 ;
type a_t_arr3 is access t_arr3 ;
variable va_t_arr3_1, va_t_arr3_2 : a_t_arr3
:= new st_arr3 ;
type a_st_arr3 is access st_arr3 ;
variable va_st_arr3_1, va_st_arr3_2 : a_st_arr3
:= new st_arr3 ;
alias av_bit_vector_1 : a_bit_vector
is va_bit_vector_1 ;
alias av_string_1 : a_string
is va_string_1 ;
alias av_t_rec1_1 : a_t_rec1
is va_t_rec1_1 ;
alias av_st_rec1_1 : a_st_rec1
is va_st_rec1_1 ;
alias av_t_rec2_1 : a_t_rec2
is va_t_rec2_1 ;
alias av_st_rec2_1 : a_st_rec2
is va_st_rec2_1 ;
alias av_t_rec3_1 : a_t_rec3
is va_t_rec3_1 ;
alias av_st_rec3_1 : a_st_rec3
is va_st_rec3_1 ;
alias av_t_arr1_1 : a_t_arr1
is va_t_arr1_1 ;
alias av_st_arr1_1 : a_st_arr1
is va_st_arr1_1 ;
alias av_t_arr2_1 : a_t_arr2
is va_t_arr2_1 ;
alias av_st_arr2_1 : a_st_arr2
is va_st_arr2_1 ;
alias av_t_arr3_1 : a_t_arr3
is va_t_arr3_1 ;
alias av_st_arr3_1 : a_st_arr3
is va_st_arr3_1 ;
begin
av_bit_vector_1 := new st_bit_vector'(c_st_bit_vector_1) ;
av_string_1 := new st_string'(c_st_string_1) ;
av_t_rec1_1 := new st_rec1'(c_st_rec1_1) ;
av_st_rec1_1 := new st_rec1'(c_st_rec1_1) ;
av_t_rec2_1 := new st_rec2'(c_st_rec2_1) ;
av_st_rec2_1 := new st_rec2'(c_st_rec2_1) ;
av_t_rec3_1 := new st_rec3'(c_st_rec3_1) ;
av_st_rec3_1 := new st_rec3'(c_st_rec3_1) ;
av_t_arr1_1 := new st_arr1'(c_st_arr1_1) ;
av_st_arr1_1 := new st_arr1'(c_st_arr1_1) ;
av_t_arr2_1 := new st_arr2'(c_st_arr2_1) ;
av_st_arr2_1 := new st_arr2'(c_st_arr2_1) ;
av_t_arr3_1 := new st_arr3'(c_st_arr3_1) ;
av_st_arr3_1 := new st_arr3'(c_st_arr3_1) ;
correct := correct and av_bit_vector_1.all
= c_st_bit_vector_1 ;
correct := correct and av_string_1.all
= c_st_string_1 ;
correct := correct and av_t_rec1_1.all
= c_st_rec1_1 ;
correct := correct and av_st_rec1_1.all
= c_st_rec1_1 ;
correct := correct and av_t_rec2_1.all
= c_st_rec2_1 ;
correct := correct and av_st_rec2_1.all
= c_st_rec2_1 ;
correct := correct and av_t_rec3_1.all
= c_st_rec3_1 ;
correct := correct and av_st_rec3_1.all
= c_st_rec3_1 ;
correct := correct and av_t_arr1_1.all
= c_st_arr1_1 ;
correct := correct and av_st_arr1_1.all
= c_st_arr1_1 ;
correct := correct and av_t_arr2_1.all
= c_st_arr2_1 ;
correct := correct and av_st_arr2_1.all
= c_st_arr2_1 ;
correct := correct and av_t_arr3_1.all
= c_st_arr3_1 ;
correct := correct and av_st_arr3_1.all
= c_st_arr3_1 ;
av_bit_vector_1.all := c_st_bit_vector_2 ;
av_string_1.all := c_st_string_2 ;
av_t_rec1_1.all := c_st_rec1_2 ;
av_st_rec1_1.all := c_st_rec1_2 ;
av_t_rec2_1.all := c_st_rec2_2 ;
av_st_rec2_1.all := c_st_rec2_2 ;
av_t_rec3_1.all := c_st_rec3_2 ;
av_st_rec3_1.all := c_st_rec3_2 ;
av_t_arr1_1.all := c_st_arr1_2 ;
av_st_arr1_1.all := c_st_arr1_2 ;
av_t_arr2_1.all := c_st_arr2_2 ;
av_st_arr2_1.all := c_st_arr2_2 ;
av_t_arr3_1.all := c_st_arr3_2 ;
av_st_arr3_1.all := c_st_arr3_2 ;
correct := correct and av_bit_vector_1.all
= c_st_bit_vector_2 ;
correct := correct and av_string_1.all
= c_st_string_2 ;
correct := correct and av_t_rec1_1.all
= c_st_rec1_2 ;
correct := correct and av_st_rec1_1.all
= c_st_rec1_2 ;
correct := correct and av_t_rec2_1.all
= c_st_rec2_2 ;
correct := correct and av_st_rec2_1.all
= c_st_rec2_2 ;
correct := correct and av_t_rec3_1.all
= c_st_rec3_2 ;
correct := correct and av_st_rec3_1.all
= c_st_rec3_2 ;
correct := correct and av_t_arr1_1.all
= c_st_arr1_2 ;
correct := correct and av_st_arr1_1.all
= c_st_arr1_2 ;
correct := correct and av_t_arr2_1.all
= c_st_arr2_2 ;
correct := correct and av_st_arr2_1.all
= c_st_arr2_2 ;
correct := correct and av_t_arr3_1.all
= c_st_arr3_2 ;
correct := correct and av_st_arr3_1.all
= c_st_arr3_2 ;
test_report ( "ARCH00592" ,
"Alias declarations - composite dynamic access subtypes" ,
correct) ;
end p2 ;
begin
p1 ;
p2 ;
wait ;
end process ;
end ARCH00592 ;
--
entity ENT00592_Test_Bench is
end ENT00592_Test_Bench ;
--
architecture ARCH00592_Test_Bench of ENT00592_Test_Bench is
begin
L1:
block
component UUT
end component ;
for CIS1 : UUT use entity WORK.E00000 ( ARCH00592 ) ;
begin
CIS1 : UUT ;
end block L1 ;
end ARCH00592_Test_Bench ;
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
O6oM8Fawy3uPaodRKRw5BA1PPwP4/gnYqLb27jDk8ZUCFGJSscJQgEMQdsUwH/tzP6oYbRjV27AF
O8BkNr4+uw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
Otzygvf9RKXDtz7y1rasuMSOhhE6buWNF/Gi8RqNAohwRmY3ewUpKdQXXkFda5v/ZO423vxWRj4u
m3y7bEi1V7jUDCCcMMd7ip9o1b99HrD5N323Qzw8wsSdHwnA2S0CZr4ea8SP82b33Lu0Wme846bz
6e4pLyTnlTOJ+ojX5hA=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
ILr4uA+Q6PpCdY7Bnh/f9rllgcYAKYqFGiGuCnh28+K+M2Hfklj9VjyrhAuvRxE1KDa1CgvP5Jro
J0bBb8yCHYlkTllV9CAHj93xNz2otSQo8YysNFqX8JB4Oe2U/+cIQ3k1tZAcypbVr44qMrRHKpzm
eK7SITO9XO86BsAK9ceoGvVp5Yihp7Jym6n9JA4/usvFqvdCIoAHd83pWG/FMMEKuZMctU5hBCdU
f6TiTBN4Ke5aDL84PrS16cA00j1vU07ywkMpYIPbZCLAvMPVb8u+Ieuii0ptanWg3bK6o3lmR8sf
vOeAk1XZfn6ai8tP4Kk2US9Gh5Hyr/CknpRbQQ==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
RrziBD6wbs/vybGTjXJaQOSaWcy7M+xpIelpygNTug5MooSOXtGwEBkNnI/cJyJWVFNYfhiauMpi
R1Na7/iyxdUfNCOOuTUkNkfL+IDXSgOJ3llIwTe5f9xFD5bwDkpAT+y1sAA0/BsjQ6Z7sjh8P7SS
02LCAKkwtLnAA3Zc9+E=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
CLio2Dc4ADIP4ycuxIiAXeUinvD73JOz44LEiN/8Of9HNM25ZJ8R+MCwEHA/W2klC1jerlujCuTO
zx52ozu9D0rReIKkdNJ7EM577D4/c84+A8GWMmT6GbJhhO6VbzuO/8BjlAoQ8M9R89IphPLWGGdM
bnQ23RDG6YAxvK0SLczWTzD6B+/PbDpzRMLnnOnmqHTJQYEb6tRE8Cn2Uxt2KPnRrb0iuh8Li47L
eNiCaLB+X5ZxwSSCok+i/8aT/83rI0buuPJ9iW1PdvbJLbZ2+vf7amMag8Qi+wJjqFKG70fAHSgl
ncXjwFCzPYaoKXN5GIAFobxjBYUZ6Q47E2lgqA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 106688)
`protect data_block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`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
O6oM8Fawy3uPaodRKRw5BA1PPwP4/gnYqLb27jDk8ZUCFGJSscJQgEMQdsUwH/tzP6oYbRjV27AF
O8BkNr4+uw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
Otzygvf9RKXDtz7y1rasuMSOhhE6buWNF/Gi8RqNAohwRmY3ewUpKdQXXkFda5v/ZO423vxWRj4u
m3y7bEi1V7jUDCCcMMd7ip9o1b99HrD5N323Qzw8wsSdHwnA2S0CZr4ea8SP82b33Lu0Wme846bz
6e4pLyTnlTOJ+ojX5hA=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
ILr4uA+Q6PpCdY7Bnh/f9rllgcYAKYqFGiGuCnh28+K+M2Hfklj9VjyrhAuvRxE1KDa1CgvP5Jro
J0bBb8yCHYlkTllV9CAHj93xNz2otSQo8YysNFqX8JB4Oe2U/+cIQ3k1tZAcypbVr44qMrRHKpzm
eK7SITO9XO86BsAK9ceoGvVp5Yihp7Jym6n9JA4/usvFqvdCIoAHd83pWG/FMMEKuZMctU5hBCdU
f6TiTBN4Ke5aDL84PrS16cA00j1vU07ywkMpYIPbZCLAvMPVb8u+Ieuii0ptanWg3bK6o3lmR8sf
vOeAk1XZfn6ai8tP4Kk2US9Gh5Hyr/CknpRbQQ==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
RrziBD6wbs/vybGTjXJaQOSaWcy7M+xpIelpygNTug5MooSOXtGwEBkNnI/cJyJWVFNYfhiauMpi
R1Na7/iyxdUfNCOOuTUkNkfL+IDXSgOJ3llIwTe5f9xFD5bwDkpAT+y1sAA0/BsjQ6Z7sjh8P7SS
02LCAKkwtLnAA3Zc9+E=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
CLio2Dc4ADIP4ycuxIiAXeUinvD73JOz44LEiN/8Of9HNM25ZJ8R+MCwEHA/W2klC1jerlujCuTO
zx52ozu9D0rReIKkdNJ7EM577D4/c84+A8GWMmT6GbJhhO6VbzuO/8BjlAoQ8M9R89IphPLWGGdM
bnQ23RDG6YAxvK0SLczWTzD6B+/PbDpzRMLnnOnmqHTJQYEb6tRE8Cn2Uxt2KPnRrb0iuh8Li47L
eNiCaLB+X5ZxwSSCok+i/8aT/83rI0buuPJ9iW1PdvbJLbZ2+vf7amMag8Qi+wJjqFKG70fAHSgl
ncXjwFCzPYaoKXN5GIAFobxjBYUZ6Q47E2lgqA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 106688)
`protect data_block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`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
O6oM8Fawy3uPaodRKRw5BA1PPwP4/gnYqLb27jDk8ZUCFGJSscJQgEMQdsUwH/tzP6oYbRjV27AF
O8BkNr4+uw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
Otzygvf9RKXDtz7y1rasuMSOhhE6buWNF/Gi8RqNAohwRmY3ewUpKdQXXkFda5v/ZO423vxWRj4u
m3y7bEi1V7jUDCCcMMd7ip9o1b99HrD5N323Qzw8wsSdHwnA2S0CZr4ea8SP82b33Lu0Wme846bz
6e4pLyTnlTOJ+ojX5hA=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
ILr4uA+Q6PpCdY7Bnh/f9rllgcYAKYqFGiGuCnh28+K+M2Hfklj9VjyrhAuvRxE1KDa1CgvP5Jro
J0bBb8yCHYlkTllV9CAHj93xNz2otSQo8YysNFqX8JB4Oe2U/+cIQ3k1tZAcypbVr44qMrRHKpzm
eK7SITO9XO86BsAK9ceoGvVp5Yihp7Jym6n9JA4/usvFqvdCIoAHd83pWG/FMMEKuZMctU5hBCdU
f6TiTBN4Ke5aDL84PrS16cA00j1vU07ywkMpYIPbZCLAvMPVb8u+Ieuii0ptanWg3bK6o3lmR8sf
vOeAk1XZfn6ai8tP4Kk2US9Gh5Hyr/CknpRbQQ==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
RrziBD6wbs/vybGTjXJaQOSaWcy7M+xpIelpygNTug5MooSOXtGwEBkNnI/cJyJWVFNYfhiauMpi
R1Na7/iyxdUfNCOOuTUkNkfL+IDXSgOJ3llIwTe5f9xFD5bwDkpAT+y1sAA0/BsjQ6Z7sjh8P7SS
02LCAKkwtLnAA3Zc9+E=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
CLio2Dc4ADIP4ycuxIiAXeUinvD73JOz44LEiN/8Of9HNM25ZJ8R+MCwEHA/W2klC1jerlujCuTO
zx52ozu9D0rReIKkdNJ7EM577D4/c84+A8GWMmT6GbJhhO6VbzuO/8BjlAoQ8M9R89IphPLWGGdM
bnQ23RDG6YAxvK0SLczWTzD6B+/PbDpzRMLnnOnmqHTJQYEb6tRE8Cn2Uxt2KPnRrb0iuh8Li47L
eNiCaLB+X5ZxwSSCok+i/8aT/83rI0buuPJ9iW1PdvbJLbZ2+vf7amMag8Qi+wJjqFKG70fAHSgl
ncXjwFCzPYaoKXN5GIAFobxjBYUZ6Q47E2lgqA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 106688)
`protect data_block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`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
O6oM8Fawy3uPaodRKRw5BA1PPwP4/gnYqLb27jDk8ZUCFGJSscJQgEMQdsUwH/tzP6oYbRjV27AF
O8BkNr4+uw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
Otzygvf9RKXDtz7y1rasuMSOhhE6buWNF/Gi8RqNAohwRmY3ewUpKdQXXkFda5v/ZO423vxWRj4u
m3y7bEi1V7jUDCCcMMd7ip9o1b99HrD5N323Qzw8wsSdHwnA2S0CZr4ea8SP82b33Lu0Wme846bz
6e4pLyTnlTOJ+ojX5hA=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
ILr4uA+Q6PpCdY7Bnh/f9rllgcYAKYqFGiGuCnh28+K+M2Hfklj9VjyrhAuvRxE1KDa1CgvP5Jro
J0bBb8yCHYlkTllV9CAHj93xNz2otSQo8YysNFqX8JB4Oe2U/+cIQ3k1tZAcypbVr44qMrRHKpzm
eK7SITO9XO86BsAK9ceoGvVp5Yihp7Jym6n9JA4/usvFqvdCIoAHd83pWG/FMMEKuZMctU5hBCdU
f6TiTBN4Ke5aDL84PrS16cA00j1vU07ywkMpYIPbZCLAvMPVb8u+Ieuii0ptanWg3bK6o3lmR8sf
vOeAk1XZfn6ai8tP4Kk2US9Gh5Hyr/CknpRbQQ==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
RrziBD6wbs/vybGTjXJaQOSaWcy7M+xpIelpygNTug5MooSOXtGwEBkNnI/cJyJWVFNYfhiauMpi
R1Na7/iyxdUfNCOOuTUkNkfL+IDXSgOJ3llIwTe5f9xFD5bwDkpAT+y1sAA0/BsjQ6Z7sjh8P7SS
02LCAKkwtLnAA3Zc9+E=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
CLio2Dc4ADIP4ycuxIiAXeUinvD73JOz44LEiN/8Of9HNM25ZJ8R+MCwEHA/W2klC1jerlujCuTO
zx52ozu9D0rReIKkdNJ7EM577D4/c84+A8GWMmT6GbJhhO6VbzuO/8BjlAoQ8M9R89IphPLWGGdM
bnQ23RDG6YAxvK0SLczWTzD6B+/PbDpzRMLnnOnmqHTJQYEb6tRE8Cn2Uxt2KPnRrb0iuh8Li47L
eNiCaLB+X5ZxwSSCok+i/8aT/83rI0buuPJ9iW1PdvbJLbZ2+vf7amMag8Qi+wJjqFKG70fAHSgl
ncXjwFCzPYaoKXN5GIAFobxjBYUZ6Q47E2lgqA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 106688)
`protect data_block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`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
O6oM8Fawy3uPaodRKRw5BA1PPwP4/gnYqLb27jDk8ZUCFGJSscJQgEMQdsUwH/tzP6oYbRjV27AF
O8BkNr4+uw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
Otzygvf9RKXDtz7y1rasuMSOhhE6buWNF/Gi8RqNAohwRmY3ewUpKdQXXkFda5v/ZO423vxWRj4u
m3y7bEi1V7jUDCCcMMd7ip9o1b99HrD5N323Qzw8wsSdHwnA2S0CZr4ea8SP82b33Lu0Wme846bz
6e4pLyTnlTOJ+ojX5hA=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
ILr4uA+Q6PpCdY7Bnh/f9rllgcYAKYqFGiGuCnh28+K+M2Hfklj9VjyrhAuvRxE1KDa1CgvP5Jro
J0bBb8yCHYlkTllV9CAHj93xNz2otSQo8YysNFqX8JB4Oe2U/+cIQ3k1tZAcypbVr44qMrRHKpzm
eK7SITO9XO86BsAK9ceoGvVp5Yihp7Jym6n9JA4/usvFqvdCIoAHd83pWG/FMMEKuZMctU5hBCdU
f6TiTBN4Ke5aDL84PrS16cA00j1vU07ywkMpYIPbZCLAvMPVb8u+Ieuii0ptanWg3bK6o3lmR8sf
vOeAk1XZfn6ai8tP4Kk2US9Gh5Hyr/CknpRbQQ==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
RrziBD6wbs/vybGTjXJaQOSaWcy7M+xpIelpygNTug5MooSOXtGwEBkNnI/cJyJWVFNYfhiauMpi
R1Na7/iyxdUfNCOOuTUkNkfL+IDXSgOJ3llIwTe5f9xFD5bwDkpAT+y1sAA0/BsjQ6Z7sjh8P7SS
02LCAKkwtLnAA3Zc9+E=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
CLio2Dc4ADIP4ycuxIiAXeUinvD73JOz44LEiN/8Of9HNM25ZJ8R+MCwEHA/W2klC1jerlujCuTO
zx52ozu9D0rReIKkdNJ7EM577D4/c84+A8GWMmT6GbJhhO6VbzuO/8BjlAoQ8M9R89IphPLWGGdM
bnQ23RDG6YAxvK0SLczWTzD6B+/PbDpzRMLnnOnmqHTJQYEb6tRE8Cn2Uxt2KPnRrb0iuh8Li47L
eNiCaLB+X5ZxwSSCok+i/8aT/83rI0buuPJ9iW1PdvbJLbZ2+vf7amMag8Qi+wJjqFKG70fAHSgl
ncXjwFCzPYaoKXN5GIAFobxjBYUZ6Q47E2lgqA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 106688)
`protect data_block
SKo0bc+TNT2KKvIzLTDSEIpMwXv1IqH80T9P9vbkdNv3uMXpzk2zeox9AAgk1clVPynr2HbF6f1A
apmnjVKT4HyROIE/1hfKumxlZQ/y7KfZ/+1IFR2eF441n7TxP/L+qp69ZVROA2rLGN4Tl3pm2BId
M01nE6R26NAo+sJzYCRLWM8AhRwkKlGmfTTYlS88pQIrggnIZlYar6XZPiRStvPsgFDeL49mchMa
fe42WPDR74hh7ttgQBze1NhE+j4Gcoo4urL6AsoBwzeMQN4s4oDDdNOSREGX2hZpr24n5ul7Kdxk
n9CKBqn0HGaqCGMTZUnHPcfgAaLLv4RCVaoz03l2/6ZCyWOyTSyYE3bdKFSokOotw9BTuvFzekjc
H27vKHG3SUMpS/I6aLMjLaZFTAEiTz1pkSxcoe5wGkH2Es0AF8sQxixezWOtemcruqOGULYaqyd+
k6iDCigVwltEMRRhoddmQhaqZHhSmMtaTZcdG6SuDosLk72206yrkI1NX/ThyqA/7Wp74W/LT/dC
iE+DybwZ5WYdd0ZfcoUs4aRDkJKdBHiguo7rl/0WsechWm+WxDYMme9ucgs5BhqHAUUUWDbQrh4q
nEOimUdLeGtGD8G7jqpUxjgcgPCwA96VRjvzPXEWLgUu2SpX3dj+1O66mK+RrrXKk/bgJhSZpLWn
KowEuUestuEseD4/fLim5/D9jOc+L+KpSNFAU+WXnls+7yrDaGVJu+2DzIE7ZK/7iC0c4KR71vWM
wMQmE/lpGn2tY2AyGYT8UaFru97qowM32tyntWRAnxt8mptBlFYrsgRL/3IHqMP51HzXPQf1DmLk
78HR9xhGx6smZonGzCJJOODtdDBWaFDYja0cQizLzZhjc9uJ/VLDW1PgL9HSwxvJNYq6QC4UCGEe
J3kJgz1hLy9wibFFXjLjOOU7iXukOCnoFPHMuU5xCsdH6d7ozAOK4NyFAhFE466mrx+/ibeI8G9O
f+eGWpytCt2TiLD1rVLjGtQpWUUvTHhEhGNHU/53a8wcMRT00ji4jC713wQHMYWvrbaNCHq20CgB
nX9h34RKdD6iW+GB5/qagd2QdmDLKyWn+NGY/g68XpFt0fnSxu58rs6YHBHx71aTZTW9Eseb6qpB
IIEgiwKUawNd7ORuXZA+INpqon8++lmQnYVFdSArdo45cRDvPK51IClpzy+H3vICRTmchTLoSgXq
Su4pmJ2dPTDkggFDARYxpeOa+IRyOET+xWiVuK0KqHaWEOiYRLsgDvUe8pz3I3EuFeVv5LJxLapy
MIu3tXWsnPDbuutrSxl+cAoCWF9DiCzbPjFrLeMJLlQIgOc+dXhohJ+kdd1k3linZeWmAjbKaLmf
6csZ7Do6EO+DKfWuDauw+HZ/4dyduTlew0rTuJNxyuClvd/yxMnN4kSfJQzKffFeB4FaL7qHupim
vb8mx/Jk5NPP1wZC3h+p5oXKCHolbzGz2kM7/th8LGuirqbqNGH6z9SUhyPCYgXYR+hScVpq6wYU
gdbSdpSP2qYKn8knAxcInOrygY0r2OUE1DYsIJOhmRN7a7lkkpcZoooyMHdWxJ+UWkPCctaYbrzS
jm2heXmDNn9Slnx3dNT5VpRFtZ+RCk5XrfGVxYQEXB9apJhP588Qy0UeSqRQVKEBM63Itaa1l4uF
GYRSy6jS7eDTtp+aqz97gzyd5oY6UjVvac/mIaYhMeIfIUhtgzbKd7gfaH+3B900205el9jeH1Q8
SNhEju9Q+D0AC2aXk3o5Zp5uFb0eAJ24qCKsYlkC07kIzQ2zW4VSZCm3qtm0Yk4034Xs/SEW8d8r
AFZ+Xl8WsqiRYpW596karQjDaxqjauPq6YlqL08CfGhaLuvQMq3RXdD8ToUYmfnZrNrRjW80p8yc
L2Mmlp4eN67n3EjunI1MW+vyBI/VMAM5tTb7XkkYVi7sqJdJVRjYIGZDZylfJ3ZMQnSa1C8KVui2
xZNLFbEo8LZLXnk4unOANwP+n26xRReofW35ob4RxYX9hlhU8QWm3oTWuA2wPouXYcVraTYGgtor
zy/X1YxfE9pSudigHgN06QK/hxGf3NEet7tGtH9KhVfjDTZiL3YFzqHfJO1k5FJiQw71RAz9BEID
tXNr4kf7ua71FuP4WoO1HDFlNQ7x5xssC4F6oUmJikepHqbvgj28nXEZjPSQnluv76bGWVP2tNrn
fW6dXMohX3RVBCYfCozptjpBz6eP88xSkwnmFi2lE2YqtYI6If5m4b3khYvkd7zCt0yzJ9JqZlyF
aGWxG7HL+qo3nsqT/apzDJqEucDoJ5RLTCNzC0h3GJxPe+6dXsZZnRLl9y+HafuyL7HPyXItVKQQ
zqdUwFYeq8yxj5PiADKyZfGeAo/h2MOVPJJOmzJQ/wgvf64kY8WTOe1xzARTEIrNna/TiTRB/U7u
7+I1tBje8NI4jJtDf5s6/O37to9zube5AYRgn75njQOHq0iGc3fPLvCOb5NqZHmAs87vtRTKBk1W
IODVVREWkjTVK4+yKEmWbF/bhQbrQQACIbBbFKMLEMbskx1UlGG8SKs+sohg4Flr9RDq5ZRNxrlo
qXCHv5UvGoWj40QbwjLYDPiCqkuuUxVBtqv9ROielHr/slTqkBYfHZHpvFieSdnVAvEaMRqTifMR
k/5eAJ6TXoOSf22ZbxZR2IqUXzpUuKBGo3d+Ev1E6UQd8UhOLGDhJQIFI20Hmqp2dXqmIbzsGZwa
9FzcgmClXcvXSZKmzRHl4nJ2/E5Q1srY1ArnevCH14MspAhjkKzrsqs3jPQFMfrdlJWZzTMyHk/R
2gg4kks7zbdmMryIpd7XHJ0gcZdQTk3s0aPqefXyezceJyGRGICDCixEWEY2Sx1+umdT9u1fbvK+
6rzEPfdIh81l5ViddCM8jviLwqykb3Rjy0fQ8qm2Ry3k7J+E0boiLXx0zK/PLn+qKRV160mAntGw
GC5O8GEnJmyCORVw2DDqWfz/Toesc4bjz1uLOgz86bxBYUKNBFQ5LSAuEVL0B97LDIm+yl2hyjCn
Ljahj3qQE76QVI371u8x8NlGA02KtBv4MEl3y1DeBUjjmfrh+z91yq9hdtKKGI1A0qRB8vchzTEX
dAfWrUApLTyiv4ju8ihMEUOyi8P/DxU04sKP/fYOji/1VPoNrSUHH69efbNnplhja/5Hxpz58ata
VwaUvtgMjHSYNVROmOhVLlXzh6PYqmaUU/tOKK26HoJbJRf2OuqpK//5wtD7K8SW5XKAwYlCRHca
Q2UZNbIv/lsi3dM4d0PhY6K/2MlHvcOHczTYFN3CZHUh5iWXbWoUDJocnar+/Qje122XiCw37aXU
klCrnTRs+uc0edxUUCo3IM+OSBAMKTjNQRowBhuNQ731cNPiJNMb45lN+iCijtggau1KGvm+rlEB
j7hpdWaojk1y2XuAtbYU25ThT2aJfVWrNZIVWCEo2ytnADpm1hq90C4djQW7mE02m1lTd1A68p86
ZQOLIWvtXs4oWH9Ggr8JNgcSm4K2PsRK6KzL6ZrQMuJBXFxo2qjH5wFs8p3s9xWU/LNVx4A7V0hl
cdaSO9+Ek0K2XLg0ghZQUndZEVaMH69WbQFNCgqaYQGUP7auvX66tZ2TF8fzLAqIdzfsdbpE9buc
Fr6N5UL75UcsduRZgyfBNATDL/cx4S9etvQu87QRklk8pnUMrihV8mkE710pjjosq4gON8bDwhZK
/Atj8w6gskf58NQxHqNIFABnkAmaZQntlgeTJG/ClDH7t9InxPgwNN2yDxvn/xZYh3/D9NtdYfu9
ZSE+bf7wWWH0Ih04T5W8rAslZlFQA0KUMWtIDVOL91Z3rTA+mwuCBVGBt5xz5NsKjF7PSHKZctvb
wrAtdYrLTcS4lklP5pRoVoIo75w32wsWb6z4rc+vpfZfHT0oyYEapDvv0EJTfgxlMF+uESRYfAAS
FzSLp7Oq+ZowWovRP99cFzjUU7Vj9MUacmg1oYhOenvHKMPI3loVe0XyXElHqj7y2ELKuhToyRwJ
zjDf6RtQurG9nZ8/veSsjR7xp3W6jwXPs1gOycImfV7UimSDXtzDLlGuEd+o4s342Oklps+pcVUr
yVuNM2cVRVOvYed0zkVZs6IZLaf7Mz1Yxk3TFXDzKDiQX5BrXiOQAXJqSq+UviVtfjQMa+yH9peQ
GxVYJqAy9mrwajfG6sURx4eyej9BxxrI9qlkKhaWXbKF/TTC2rT8DUIIh0w+dO7GOZENmqN1b2hz
pXBr0Df/hf4nEIzpGXSwxC3KR+lywYbt2qPzLlAFBNFWMvH+9nzoQjwcjAp1hsdKFUicFnluRNCN
AESsFnnAKYR4GaLUaUhEPLDE2LDxKnwpm6v0CFjMoiYxyiUfq7mYjEvY0zAAghAgr0qbLxl3kXG5
kF8ZfH7m8L41Ez8d6fXrQkXST80YE5s+T3r/oD/MgkGd2OFroKg+tawq7FtlIn2iKkX/AQAdtec+
oGgHA5/HBLTy1MQioncbwsOMi/wp/GYkVQzDvzPh2AiOFQ6DxiN7hwShG8DyEQK0SWuip25gn/a0
/d0AebC5Mu3N+q+6IenXEoEoXzrgfebloCX6syfTtTT3vqYGzyYNmO75FzUQvV0PzXaKr7upUEx9
hhUYz7aQD13c0s3+S/pcS/tPULrnZyMUvsEiuLjM75eZu1XaMTXqG9y4Kr7XrpRc2W/AgJiSwKXx
u/8eSrbUUz3N69MAq97Eb1xAmZ4ut1+0l/2X5P8ums6i2psXkmEiTZhRx7M8YvzbiBSUE3mO5x+u
usDQ892boPdC0YQGDF/is0BHhGge+9aIzMFdn2EUZgdVozSjgP3ldqYMBUDNCChXDWt+dW8FgGDt
/hMJWC8t7NBEULgcXCR8PVZ5Z29arU5dPgR8h+pYEHgqwoZATpvWz7dlBylPUNuRhHZ5iBp9/w2g
j9o6u4NDDExeUQOCgw6aRyh8DF4WpnUbPcTOFeofvcAIqLTJVLhn4s9nDCxNznCD5d1XaDNXxLKZ
/Uh0lzOL4ZVRBT59DaAd+GBBTswaVPhM7ntQqd7IqKDaOeL6gcyFc+mDfu5VJIoLLzRO7oS9MTFy
0V7lgIUiGB79jecnbMmCV195U62qM3HeK0n5Ip7cg/4dqT/L3HzypxywHEf/oQ+fbd6npvfwSXBN
J1rhkAXI/lJ65nSr9tOPkhrL8JSSaduHY9MDHe4UKajZDXiiCkyspqRHbPbPf/T28lTzy2AlZdhf
JqLINKfDO3m1yrPszhZjDyB0nGxgNMA4hvmpS6CoXmcUCv91tozOsxJvevAfEs/HkUrgPCRFGf9Q
BN7gqEkicwB2yTZkOoH8SkP/GvaeyuwIAGx+wX3QIjrGG45j3ksXnNBtTJLmsUBHQTvuS6WP3Q1C
wQyNwdq53SPK4xlinzmXmYG9RSSyjkr8RzKp3vAnE4+G3jRefhdYGxKWL7AxG6nPe/HOQ6hCe0up
G8QzOIa2npF1msnhFDvPtwGzfz5wddRZ97HizGc51L62YK0bSjrTA5vdqPM5zZ2HFT7ZOmeoEvFy
uzH1G/WjhjqU3yXt21wSSQj9Lj33Z5PjgcYjIlgV1UAtz8sosPJs7SLGKdgkXsKBNwaCMdidS1jG
WH+mBQh0xse2XKFegXdCBqyAryV62jIHKsq3qPZA00dEpzO1HSQxX8YLu8K8WDA52VLR+vDhNX5t
voMlD0ZkeqrIq1frY/SgRzS5bfsPw5mT+knCh8VP8y9YuN6ErmXtawVpyCLng0A8C5/zHYZnfYII
LNEqb7Fwdxor1HD21MCVoE7ncG3J+4MSjZNAuGk3u9/mHWHg1iK0DgeYqe4eKv82wYEcy1f25N/P
RkKn3lrxC702ZuERMVDZIzMpGOkKKT9RqQTEE2aaHTy2S+BuItBjvVlAAblHdcViPQFT2f3rx6j6
s/s24HQfZGthVBygdqLqTdXy/T//Q2mnS46ase30zZG1IT6HJdb+c4EW8D4RjdHPQirveCxEzWwL
upwLfwmferGi7vn+3V6iCVelUCIn0TRxlL200hXJcbah6OC8i1o/OTi0MeyR/+F1B8wJ2eauQI7Q
NUG9fgnpMWavYJuL/tQXQ5A2BvpfLGEfFBHI4SMhW1qg3G2XU9yYoJ8T6ORhN3o/yBP3JJzLuy+X
eE+NeEtu3OvESJJPh1JP8FKXYosYwiiiUIuZZdi3tXC5CW2K30h4eFpIqseiX3UXPnGInCEeTRU9
ZBEXbb72RsTF7QVxdCihtUCslRgkzK2IHAEmyGxLKm/PbgYHoBSLvxUbsDUW+OxUXKdPlzpiiyZw
9IHbUJ56xRo5YU5pQ/h2H/PPhzDUXS5G8SU8Rx1KNbFSqGEZp9wT7nJAgmUQDI9GtM5QOVsB6jn2
M57iy5ZUAfnFrrhC41pqgj1sxJtTaLQ3OsR0cynv0VYyya09PJrn4LHfJ3+o/C9uHLOjVcya45ZG
hskTsLMiXBfddi0ss6U7R1XDA+UQcnn/fDL6q6S8GD62rUa/MwzwKyMg1BjAxojhR9Jbe4MYv3ev
6rEdbHZYi4e7IbarDVuA2Ql+JPT+2gajCXxAcVOSk0F6gDbaPWQlQNFqJlYcnWxdx/0RhQ+4x5wa
G8rHZpGL7UXSHGvt2z7rlfoWq6p/tK+wDmTMqvSIaJ/qEyArzegw+a3OO72bOjFx6zUF/oI/DVBB
vPpEkUApl6v/6vpB9zSl1bFUFZetzowUXTeCvfXEK5tL/ASxl5Texr6sL6NiYHhuY8CjYxiuQlvO
lIAUUJaEza67HUYSlEAwSSF5FyIBcSe+sIZgm52RjfojicQKpBNXJgEcpVjLYxCmBREJudzPrSxs
txA+nPSZGPE5pV9anAiFAWkb0lVnGSptysqayuY3DvxcOZ+U3LVjIj384ZzpIEPCmo9h7UEgiyZb
QxdvED5a7hi2vmC+b7qJQytsrcpMT6zy2CWWr8Dl95DzoMAAJ7WOniS/WFqwwc6XmTPzGHkYiSvk
V+Mfp+y1BdwoNbhFuWPADhy7nHzgGERHhkLobDfFFzrkNteAJZA4YxPARi951sphvc9EBnEHRXXH
DyqBLvOZ4yq/UgnN/kHHJCFycaCE1IJzJIpNNIpXNpmZ8ofLNlWwdubNIyiYr8OBnxqnXwHVu/Q7
0q3+grtLDRFnHDYt8hHnO+Myfh1Qhkoap9V9QH55mIkHlXHS94XUxZQu08xEN3oaXiY9i0aJumeo
fSmqEmXl8qqBG9qQuw/3ujvgiA/LulZ8HgSugG94BoJZUlXp+pMMM3VsfwRC/t/IxSxiUAR49H6o
cnplVVcvUzkGjK43Jnobx6QEEhkreLhxyejmcidz6t+iIUGF+5ANiI9RJqTC5NzGyUjbWMOl5VTO
mX9RPGQVYLUt1kJVWNeZzBi2q/9Ms8x+H7tHhpIrNwUV4g1U7rqy1bmfD9g6qHhsSNQnjgxA3qko
G8wQn5tGOWdN3L1TznyYa0bFZ2xmuA3qlpEDUeyRZccstXd7Pyl1iVM6WyYl3x9LuL2iJvc5nue0
ikdyOyDii2exUguxG8GSu3AOJo55qIvOrGODD766fZlr3g8s3Wl2Pf2OA83hPBQb6HhX0LNrz1ca
Dh3QlWLuj2l5I4cdT/nGMcryWYZ1MMCOEDBThVcqDINULCpixBN6CckMA7klaPCrslZcWf/xNsEl
GH1Xx68h6DfLN2a0HB7fFAo5SzmGbGZI9aN1X7dLTDATRK3pxTX6VLZJO9Qjr+mBV87zaL1TOIlR
88x524Y4ZtC/BmRnf4edSjrjtl95BIYnEV+UDqnkOM0vqQXlzBjPg/csPMqYuhIX3yVzTGalkBbJ
e8NN7vbmOHsdMxQI6i9Ki94chLHDGWChaOasivcYEZ3a4EmZWlV8jWFb9xBATxuWGVLfQaXmti3J
8TcMK/sVt9lakyU1IaCVOZMEIpNK1UioxH1cMHsDkw+xSkN85maIhQf89vT3c352NQh6n7DjrLT6
UYyNDb+8TwInTo8/tIbnvyqGyS/hIGYQCxjDL5aNFFbkbm+LeALOkzQHoItSLziuCWjRyROCK2C7
BeHtnXd2S4ZrjCLnrLwQaj12PiIgOq+/vUB98FdpcgGjjsD5Bd2vxX4y4onyHNfwNBTpN13wMzgA
pKC4PB9J/RhZwH9WJ0sJlauhDwhtuc3Qt35rpBdoMjP+0MAa3lgqG/xK6iZD8qYmWPD7gKk+cdkK
iFoDCZW036h3O/KNtPhoehu+Z2tzzhg/1i+vAUsYY4XE+m/xmKVOLE5C+VdZ3qVIST6JCFN69VHq
/Bh5SB3sCeEjm+S27t/n5RGYwOIWB4HoZHungfKEu6R92XmtvQ6Gyk9OyPCpG2PQVCPmJdes/All
CQU2BOC8t925prqs74EQvdqQ45SgMlVclw6EeJbzWmqe+KoJ+gUyLbnSQ3xGhel81yzHltLC70dm
lAFNBZSK6MLZoWAW/Mac2py8tS8plpNJgTLIjCbsLXuEXBjJ04qQ4pE1LqNrvpF3/1eULddspvOR
6uFT81QTU002eL0CN1hXdx83U1VUY+DtLVuLlpjREGEA9oJm6E5fWQhN5Smp+6xJszicfn0pSh6a
EJmPqe12pezNmw5yPFztUY5TqiNp8iI2Lmok63Z1rDfVtULHCDLG8p9RpW6VPUC1Lbbp1iagtRpf
XCK+dSMy10Ja+czTabqa/rxxowMQXYz3mX5q9pAm2iOumkJLUCePk/Ru8KTstkjDH11mNTBk2QpG
oZTM5X+C8sjJ79b5MSw+V2Dm3PXeR0U1WHz2v2rsW00XlKEKh9/zGVexrSkVD0Po117qVB0TESVO
Os+ngYiYphf1VhwntIdBrbNZoKDu7IiQg2Hlor1/TW+SFr8Llmeh43hzBqtsrfiYLeAX3hav3EGe
rPA6HKD58hnIhygpCzjxpTeNa6LiJeMz9kgfHyPXzh6uTSBL1kXolm2X1LXHGsXF7OhYMPaaBXXY
T6paif16+Qu1rwFh915vhbvk4JvO1AP4gFMTEV5EH/Nvdb9+BS5zpzD2FBxgXklLP2p4kqXyHpf6
QIRDvW9eDA3DVUnRNecKEY3OH6VrdoYp1N6cuf+R3K/7p5H31LSZT27+5m31IlGltm3LKrn0fyK2
vzahs5uR255qSz93rMzF5kAr2pSRkqtZLVuB0ekt+wR4vXQn0xBPZ+QJaSFEDOssln88kxFfWK4j
PRHc453jNoQN8lCgMsRhbkZcEO9uWu5X3KAD8GI1rPb2qBQ0b00piuhlZEMNK9Aqox/9VUktQeZd
bNlGIsI3oR+QwHg7eR1lq4b5htn1Mhk5O0tUgoB1zRZjKMeI/PXs8299dLcGrTQLdwf4WuNnLRYF
UFRAGHsXBg58Yj3gYtPXbFWCRhrw69zvOK6uC4VbKG5GfgJMOEDj3ZJXQjNx1kHIqps40rxHLI+N
2VWG1AI8MqESmuEWHPX07LpxJzbJ4uGrR2AZHaHqvRZ1FCpC+w0YclTkGxOsLcJOJEmxdLlLULjD
zE8i+fkKpY2A3avhkJMjrq/Cb2oVkPJm9c8Ot/6Y3BmT0YyN6dxqdFuChAsFA/jt73c/O9ZHxiWl
zAFDPzxAAfBZk9HBAwOF00L9lgmLC5Lme5mIxRg9pFruqQwpYSuxo/yQsOaAIzB9Dv7mrNo2IlN0
k4T/c8p9u3sOMVY2Q6X0QZ6BMSp4gxIqdGgW+aGZrugnKdsMTI+uaRi9xJPRAHFlP48o/17p+n1M
4kUSUO7jBeWsoZbpPObcRpS4LMw4XichncSj24hzGcsRcJH3/IsbS26Yu3dVoywoJKMHIXa9qlqm
CVOfZzE+CfnOFvI4UYEfsSK8jLeOu6xFAu84dWgEh8N5g/PoJuZKEmMdz7b66jQ+T4C3sKr7DZ/5
KWop17estJbLE+h5D6lpkaoDe44Y4KjzDV5ldBbgj5L/UeqGMI9s1ng9GtpUx/MC+Qq3/WCWHJ1f
GS6yhN2tUwtBxM7HzWpH3uUNTGjdAQlVmuywUvpGdgbO9Tlv4xv0HkFmq+OU/8MWgrktQV3cDN4F
f/b34qOO+At02FJF6dE9sHAb/aynK+LaAcndNcQi1UK5nvhx93VMlZxbLJ01tfPq+ffemRNCepMQ
btsYJ5423z5Y+mo6ZUZVd6rJ+yGfNH3texNASEgHdgflQSa3ce3n48pHK9hYhJowC6GJr+ZzIZDF
+njqWxuByukVJEQY8O5XW/zMhr3QLirnsqX9i5MXz7aJcvgKy+2B/bYvFTVF4/M+OekSEbIxxw05
WXl+wN/0Fzq+/8I7PSXuryA6vxfFYyueyu4XbQ89/B9FSOh907/F9PkkcibuB4UpmIh4FRKKTdWW
qzV5Y1kEkq17HlTujjuvWpdKTbmMI/4mcNBb6iCZgZWr6r7w8ueOy3sSnBdYQJF2XkIAR/3YO3bo
w3tMWGiK3v7gEXCUUEIHU2nhO5fCeTK1jeweIWErwKujVmsFl6OhtPWn/XyUtbs6WTdyNrAFfy7l
clw20Ujb61Q+w2WTj2S2L6ZgVu9toXtSwcCs9Dyfj7TyCpzgB0/kkXuCwjvI8FZVeWRjeisVYVDj
dWlBGJy+CvrVoqAtx+GIwTwQHAzpSqf7t7qw7Bsh8aqe25GxmlkWtbdmjVXx0eOlUIhdBEY3yZsq
qh+VF/gith3Y7NNtOdoNJRmlkYvl37IizYyA5jBE5t7lsk0Ngp6s9FmCRrVZ2tdEafKlF7HFfKS6
FhAqtCj60Xhy1tFtAIadWAiWZdSdxiMeuf4ToSrvRvRTcVvCVzjYcGsB4ZWJBdBu4f977pg+V2gA
x3xAMyLjSopbI75rJ2nOYMBqy1CheLkJRjtXQ8e4dzxu2x29pVYSxazYtoLFeRsovjXdGyvwf0Ou
yeWiAhD+/XAz2KadTi4kdPCBP1pig/7UjDqrU0GqNQDDSFdfJAgMieQ1tqGdgykf+GZDD6K5ynDK
WP76fnBPL+aJd48/C5A2sGerZ9DbGClm8ofXzR7OOUM/wAsPFfsLV/X++Zy+oc5JnjQnQT88732W
9tDLpi7W55ydMoIogSNeisc5Rq+BquPkiQqeCb6OGmTXQuWAArYLkpdqWoVqgUzAcfWgKuZ1hExC
yFLUjCWmRWzXt8JFAhP0JbITNUy84dcsTZTwZzZvtImDYiZrEy3FHmpe6Jea8hSDzvHz6Safwzmh
PY1LwwO+58WGYJBwxXVFRqrnPD8+2E/Js5bWzgl9V5XrR+Zle7CNBoLTNXnzpKfGOs4B+ojpew9W
syU1SeRXyyvZ0/EEYVyzMAP43/vG/PmqJ8QWYltG/Ga67R/cnJlCYvnYktMGtWpzJ5/M/X4giTPT
pnliXtWBCAIU2LWWvZ5A1kbpDJr5OCGggQFxq8/kUsGI4zk/eIm3an5ZHQdQaB1SYvv1oXW87fx9
3U3W8NOVLR6kXR0K8fMD5hvC86/v2JNEiXDZ/dWak5XGN6j66dlrmWXeKHj3EqUbev4Wg0J8sUDY
S30sVM+tWXr2DVNs9sPVSM2UNezR1JrfV64+DYtMfJF9DHmMpubCLoLTGKqKegfSiUc4wkuYWQUS
LalJES+hIDpgSvwzQxDB+odqDjMB67TsXKiCaBjD/NrU17XCxANgrPgLm+oh0XzcHn+rEuNNMGm9
HWRZnYRjIiAr9L0mbyKBL8e9XydY/PWNTqfkOQxw7ShcQnTet57S43U5CcY1b5MB6ZKU69N6e4vj
tgToLw6AYn4nT6qvXWwRIC25v7+hr7MVxET23t4ckZsokmw6/x/FaKavaJShtIy3IwYfhWHz3HFc
UAV6HoCHi6vxKgQi5u/xhxRQlFCo5wLxWp2Shdc3hleKClaNDxfK8hzvOIP/ADEh1AIj+2+6MAe9
hYgRoGaOyer4+TNbS617WXnHzmY9Y7NmXcYYcpaGE4uDV6UrfQMuvG8yhA2yQVXCId8lRCN1VX9F
PuIRgKFMIhf489n0mYHawE/CL2FYZzxXuMsc/7gyaLVXORyLON2Qx6zYOGzLBRnuPzpnFPNZNTx/
x7aK5wco99clCGxMYAl5lY7Tr0j+UbDU+cbzuT2AY4TiFYjt1piITn/zCgmnpzoquwmUL+2on0LV
+t07CFoZhkXeasXHJMz0wx1jmKyT2MVStO6aQWPJLDb/LVXXR0EFvwH6fpMsFrYmFAFvO7YmtiyE
vPvwFOTsUmlAUVsWM8kM5wYAKw5SqDKZDSu9naIS/YUFq+JkDxDuJHjdF6vVNrrkFolQg2SjXfWk
0B8iOvWU2pz7tkgJLoMYgyQAiyyMCo5Vpx37Zs717TWcHOixdDhV9oz+CklYFvUZSFlzTrXBsDsj
MANuNjj2lsv5sGAxC3unOnxlEwkqzCFp73n7JmZKS2XMTH+v2lBJFEmW1vpkNs7wsVZGo42Lz37z
zBqBL7xfuZ+0aNhlLQ5yCW5pZKWLRxIL5dAwLF6/oS50rEBPFjPHZbLOzVUhxC8v1tYY2q2qaDj8
SGe1dEg9f7kL4Io6nIGe0049OzZaufwaqVw9Q4yutphB6JJv9M1z8yGRxd56lB19ex2JMK6MohEp
EyHH4+hIBr+F35cIWJalvPQItLlYZ/vYb/RF5Y5CvxdBYmjHSOi1GJloYCNUafKXK5xLXLXdv358
Yvvxcx/x+bdYXpLrt031e1lYHq/pgEr0cDPX9aaLFnrJQ7PM5MGTDO674QsGY+Ic7PDeoZCadJxg
weCAyyWU2EQ80EI45e6492CLfPbHaS5OLn34XSrZip5ziiQg3vkmUXXptJVF3CsCf0Lb1B1L7Rca
PxBnmimWx1doXyRNjwJeMTVpJbuqf/yAw0p2Yhh1/Vw+1t2jnmuXxROJUiFe3aHw+FDO9pZXlHi5
03ftwSumT02vHD8N/uaUVBjCitkcHWp8QLmhxXHM1+SYjLsrB1JuGlgmQQaYJL66FGeiqDyXzJIT
tuLyUwtks1ceyPSsuoB9v/dO9OxK1VQlGGKWaI+3r6TClQ6PwJgN3z7HFYG23Z3ci3azX1X1DXkE
XNEc4j7+LMNtt1STlKDEVPSlx08t/VRqnptMA1JeUz/gzRE4IbU2AxFuV+reWQ6MjwL6rd77vOvC
l9NnExEasacMitFz2sabBRsyXI5PQQl46xHLQOV2/HwY89Fr5WMQg8y+xWXEnz/efOLEFyVKRx0z
8gGDANTpy2qvk3DDfn6fOew2hVL4CskRZYaAsi6xq1f/DbZVdkyDTdIQQT26Gt8Dv9/eYxWoT/Xz
/I9fi0kI34naDiosGfMb5hq2Pu+bFG1wEEuDCro5+c1TBoiq89wHV3MYVaffzW9SpLM4DhE9cY4j
9kEkfk7Z5SvIZAzIOcbF8XigcyFroGBLo0CcJhVtKPrz7ugcBoGoDTxayTTXuDX6D4EajswXeT/j
Et4v26EsUSnf7QoPQJKG98HbFgzRpYA409AqrLLRZwxC8k2YgispnrV/QzE0Tze1uoJT7ez2t3Wd
WR3hJx7RUykEc1/1brS1kPr7ew+VBSvYR0IsG16AHoB6aHIsKyhhiZrbpQNY+VZgK8YhiOE31SFn
BrsqhG1Mxq97KASytnSl9N/298INlAxBUiM5/X/RfbkHh1uraUynKTBpS5ygQUtkyZqR6PmYknN4
VLHfde9zm5DP4X+mXpSUE4/cp13mza+TLEQ4V9WcB7xqehe3kdiADimv7OimY/WbOAbb3xRaLZSi
BHn37oHApqFT0diB/reBuBXTCegpwDAKS9h5L8SJDsBARnqV7Elh+qXhYOn425Ueq+JvmEAnlAgI
PAI+TEqR9RIB+B72YyEfl9RWbPN9VikJPh3SzmcdqrfG7KuE2lqVMf6qaPjgHncFeotcIBRzCGg7
YFq7RZ3zMj1hltFFuL4RZCmIpp8GoIfLifoyJsiwsybnLCexo2xiO0/lbQiSGt+tnFFUP5zHO0uY
+sb1DpjyCr0TDYaIPoijcnTCtrGtwUN7iRv7/0LPy4KiqZEmZqEDbkj3E/jocd9BFmKF4Ttzwt3q
k9mIWVvzXvn8OhARNJ0UZzw9ZMmZexVPHaO610C5HpD1vAq3w97+/rdWjpGntL2rnfhAsigvkeHa
F5JFMeonKgdDR5MZejEszypvVe1UFVcF9f2XVbcwTqIICg4glnkwUa0E5TN+mDkl44/qPq1fso1P
Nc6DLdxOECEsIAav0eLCT4W4Lg7PhLzhYuBzXEhy4f26peHfGf2MAPHKKtzuIbB1JjKKi2WQRD2I
G7IYkd/RPGzcYVIFWglP9lm0277pW2OsG1bTaylhWU1Zg/hR/51pk4DAm/YWiPKxBQxkVkXiNlyV
SUcfF4JR9gN1v4HNVIYZI9Gh0/b65bEMgKlVr7wVxUYdLOK/XWLcrguvfc2CLWlzVHHxm9fOPDCN
2yP3wfCUtgvnOsTyXvub8Vkn+FP+XD62pEdkN2cNOxK52mKCW8lRPVbRinfKfeMQTIfnkGddvsmT
OQj6eJ74JZcD9V46VjFSukB3vIJ0WfudQWLIQj6Bp6sQEnJ89NDgRZtszBZb/tYYCrrjezxGqml1
3ansBMA1P0QK8olFUN9+8AdLwNBGh96qIO5GxOQtIa6cHmoKop/Zu26WzeZB+pOsGOyW30uXoWB/
s/HqcjQHvVh4A1zK7ZyUmrQvogZanwGhGfmze6Relr3MNGNtlfAHeWubAkhhBQMRoUw63hP2PDyC
uHjr+3RB6H0iJQJ5ibdv9EjcwBOiC62HH34dzMWR4ld+44gPWbhNT9b75JBuu4EA8C3J8KD1aDmR
2AcFrLNZ9iRJyNbaJ18IHFVrhH+Q7SqVOvZ2XfdzPIDCmDqz2fKVTC5teqSCqH10CMc5z6AA5aSd
YUMGeLPGLt6+hNgajxkqruLT7u2/ZN50V8nGr7HiRWDMFUSs7HtP89OgO6BZ7BNm/6lA1aqKc4Q6
RcV2OVmqI1n1De8ywukxjfizSd9gq9fLGx1lxkecDN45cNrXoZ5KhI5CbMZA3GCMRftY3oXMLptu
EeNyESfn0CSH7UNE2k3dy6OD6VSaX4wt8gaWul18uTkt/Jwr2Fi8IklRSly1NB3QVe6JGb/BUFAS
xa4/ijcQpA0pp2Tlw+FLDDy65FTVaYRHOL8IVkuxBFvVLuRIZKm2sBhQzxG3FHcljJS+3VrMtn9K
g7mvhD719MUrwR734D5YZQQlvYe262z1CYU1fPPr0uwwZr+CsBrElPVxm2HyX6Tuakron19Ga6Ht
MIUXHNbwuBH8EvmKg69uQkeBo2m3DvUvtkuEnJslDIRZbXY6SCsiZXzw/q3rpZpnnpZziHLjd2MR
sjasW1J/llnAk9QRmRsltxL7vSDntqdXpef9OSxII8g9DGEKEulsdWBIhIe6Izdq/OfrIXPUKCIu
EBeQSeoiOemAGaOo6xRGNlrDKDZBeTNDOEsM6n1jOi4A13L8TFO3fTkMprtYWauXlAcq76LEq2de
4K2bkpBTVR2AdjNlVvH7jKa0thUaFdZc7CC46mwJpkF9Zgw/17hpthL6g4hpq/VPNdPDkjgJXPwp
dpQE6XaLlVZ3qznQdOSN9ukrBGMhF98wWMOOrKxF3RjHJdO9jjukG65w3wiitECFTHM7T3Uoptey
USRkeqapunx4ki4XFa4r40QoDyE6YZba1TN9OBBWaxjsHZz7gXUK/Mxq35/cxuc9SM/7OsH7OYqT
tcOaa2Sv0AZuBBF/lKp5AroSwcWAfVrG7FPK6dZbZ21G1z391HzlryCRF8tO9cG7Im7K191sMP35
rxPCiIn3gFJjBzQeC3VhJ4snbz6uA9/wofD1d52+GyV70OFCr45Z9CVcMk3hLx6bDdNL3Sd8/xl5
6jNT29wV2QpjhGPU6pKprmmFGg/OAIZsvEetsafIQD5AGzrPSvbymSg+KVbuGB1n/w83DSpPradQ
h99TE2OKwB2BUyOtsV9fEuDM9h8kkqYjIqYl94OPb4cdR+M5UDAOyTvxFnMb2Fw7m/jKRXb6/Vav
w0FpFNv0k/wCDsEcUgDfRZExROVjNjkrX3M7/lp3VNFvzeGsycejqHxOENg3Lo92HKJdb7KuLiUS
frl2/NZm78kctFju5fJvrImx89/OHl0b9Hw5S0FHAMwQw83ZjEjWy+ISLkGPvIbncdvPWKiw5wTt
4MUJ43L4kRgPH6z6VRd6VIH5XSQEc9f9Nzs0fcTFo0ixPDDpN1yd7P9eGWU14mqcB2RFRpDJHwLR
ber92M3u0RmPnc1cEU1k7uu+c8NRM2HvpQAl6+k6Gi3B3HsKiJIgsZZV195MRBk71SHw0TYrCFC/
OnCvVIcHWlGOMs0wf90rGZFNG+wGnLLeZx4mv7H13Xdmkv+sBnTxDluAdWnTzuJNo36kckTbWvw/
LLjyKE4RFlH0d1kceuLgsNh0BuhQhXBJj2ZZx8toluTvSslirylWOReZb9EKASca8ux/sBXAxoRg
K9HkF7LMSxANCm1WyVM8lkbxq69HKUG2QIobpmd9J2LKr4+F03Y13/aTE+dFk5pMFhwKadHmAXgO
D/VtlPxUkd36rxPsLr4IHotFVVGq5xEmilzNS/GKBPuxGKL65M9Dt0V8ABCYRzvax5CgPYRdGJhu
oQbT6pCdXkGjt4iZc/uFaAbnLfWfu+r+MK+4jScCrs1oCIrgBN/uIXMtpiAZ+1uwYY86e74xM91e
ZZi4UnMP3cBgHAODHTZledc2dEVPYXw27Gw9+h2pIzE/OUk/9PuLzH4eeEGU7BKVfqokS58XdeId
3Y/QkRXgmK/NdjMbPcr9Xs61yQ4jqZoZt5QvNJfGXeNpX3MvtLmtAJZB/+0CahrBPtybFHYBTBTi
pFueU+1W5lt36qfhGFJnKrofwgCdmrxTmrvAtnJpH4WNWJAKGTqkuP5YKtIopOIVY/uPkQjEynTl
jEKuu4KCSejFXfU+wg5cUq2fQamUgPDIY6himPLBZL2DVxAcFDA4rFx0rTlHDoQoSzEcG2DOken0
6TvKV/6SiNPaYIN8Qd513b/WgN0o8AQrZ+CfkWeaWxSJs929n30MPnQEzfSWeBzPp5xI+OCA/dAj
UXifx0+OTvVEJbUSg6Pyo1v71OxHUs48UiXFGtiTStncjkXjJu7vRQ31CSo4gTPJwYY0CGsKYBnf
cgpsuLA9B3K+aAYQj11PJwjhLqmJcXEneHBczk9kdkUCfqDct8/Ez7uIjxwudapVkDlDSGiq+kCV
KRX47BOMJtf4tg0A8uuxNOqs3cagpoDv8Zgf2gAZYl42YuWLI/VZcBtRR5gmy3s08lroxbendsib
CR/77eBRnqok55i1Aj8fVdfVBZ/2ANy2GLiOeRZK0F/q5p+OIAbtccXbh9OcWB2okTTTwoAfkFna
ynDgg9whn7JrDapxuOCgh+1gTR9Bu2SWrmiZr64RFDsVdUfI8T0Jf9bUCSPGzSJ6UD3E3pMkKWUR
eFoi1y3iAz7NlRuL2PJ1+enbiAaat8FoaC+infxegixc7wcosHsJBlfg1EMtTvUEzngkLiR3+aVA
Nnfkn+hBSIdrePqOSSWn1UG4KiAk6Ydeb+AK2+0sFgjJ+KwQvDe8ZK9iTw5pkdeFB0A9sxYJMcHx
nJOvIlaF5dqfSIOtLHJxpfs7OWnePLf3yBJ5b6ABHWyfWKN73kzMvQv3wIw3+/zJ6r+dllFldHz0
hJz10gEWOnguGrzkI94UI6wlN2xwnbNbfoEVttOAFOTlyZEzWEhFFMB9BPgvTsotOjtItlAdaN5b
wc+Lw3OTxxreiN9zB3+2GEwRZbafbpLREGLzYy4jJCrCLQPnM+JAm85PqUU9iGHZkawYB9W6oDN0
KJNmu7Pq0YJvdOFlKNYNZrknfai4FdoPFoXNeqfMKGnUcKZmNceQjz8Qt06FDaA1QgG5wPnUJEj9
sdnRLwtWOoYeLe/FMQ/e7M90VNPEwSLHpd9Hug6SeVM3hGknEbHMkm41rVIMsm4n03M2/VYu4MrC
MUKwBfFx9MsOR2udFobqvGKEO9HZIdV14a4lWxjk9Kp9dwBr0ztQs0IgJFf6fl+UbiR1dkBTyXeb
hH1pJQPZVXoB5yXpzO2wbiyFaFO15x60GC5ozXalqKDXFA/qf08qtpGJuAY6FLHt8IhBCyfEcIPw
KrpcbKsLnOJ9XJwa2AivSSj6qbLA7RWpGOj5c6s2EkvCC+lelvcbSNIWKIvTOrrbTYBNSlC89+0u
1dWPMbjPdFiXDOQBJuobtozei/virn3nV13MnrutqtbD8PfZUx7F3soDzNImvLKt0bzLc3SmX04o
qubUZEIQWdmR+5dkrkPVWZbpt1xDQHZQZsNIlAJctK5uhs1Cti2a5E6iJOdu+5YvIzFzkpeyOI8C
8YI5lAgrkPRzlvRrIvmisFAuywjzjn71kzeUekY+QjvZdF++CcG/qumJaJv1R9kX+/gVYSeyhf0t
k7Sm/mK/Z00lXO4d0LTOScTgAARgeQNHn2njQHiSTy8VflsD9ffCMTCWodsCOvEtoTCZbhBi8njl
R7dYBwDxi7Li/n5Mem8uJY3a/Uva/uzrAJVXKwZ+RS1BEJys/QdbOxv6TN/SEDBrQFWvBhZRjlyS
PiR64rQfCPuRIzlqLhJOHlCn+qBVvD1ii4qpRqffmyEt7fAWgyz+JEu2OQ9Bht78yNHBFKDuhrij
4cpz7njQkJv9TDQ8TzRFZOAUtq1ROK31Mzf+E1uI2hpe6WzqPDHxKYnRlvI+Sa1tldC1HU4ZItoZ
33Jb5gcExf4Pwepe+2FQkPMsEXgHeBwYkul9tiDQtfuJNpnhXnU6MbqbkQcJSqaVSmvPieL7rwg9
Oa5UObozrRrBHkpCHT1KAuthl28MpoIKs1aDEhjFpfM6wKor37+oQeYD6cPMAMK8laHGUeP891bU
8LlAMSq2nGquIsJYZb118JUUqcGriQO2T6OpzoHeUfyWVGBfrOBS+8Sxy4BHcG1WxmqUbDkFGCpQ
aZmddOCVDuKHMX8N9PrrV6jG42M94f7eSx3sQgsY2PadbGmzzQAL2iiL1szC16OAWD/eqNh16tfJ
waEbO9IAdhmraZ06knA4OJlT+NkDiQDOPRrkZnyScyJ+IrO8jFvbtIBuJD+oYN1u89TU4JHFi36i
MrjQcRmlO7S/FtGMNEKoiB3RBJt9TxgA1WCxx1DDLBrjgGhtu/etIfjOlWGjm5Di1sZIUjvKWr3c
SgsJLDI/301UR4+nVQ4+rYD5+3Ba09IJnWsCKQSwz/JiL6zC5itfO8dSrS5X2NZTmlh4nDIKG1kl
Ry8LLcCzA8k/NX1wZPT26lssJTvL0TFUcVeWOXPI144BL8zpE+S9RxMW1K+LvZf+i9V0x6U4eVRv
mXD1NLAve8wWg/PjQ0vV/pOcLHlpjlfe01Rzykn15BKFCs+Q5//UlFsOnqL7krUvKBSuio7JkISP
MKpdVv8HzNeAYwVLOCDXZ9OIRkN46Ny2avUCrUWdPEmQtGEK5F6SIfWye5Eq9DLBPjtfiJ4Xg64G
eO0OolOO+h3s+/c+Vb8w5lZeaeHJkbZ6N9zdnkab0EHaN/346QfptyYV5MAO1tcgVgcBMKPn8Hnh
agFuNhnZqT+bEgq2ZCon9mWYAXG6kTqu0ApyYeeS2ioANGDLEx8STH4U/CWB05UGWke7ozGi4ENb
yM7uxqEnqD7zbnII1xUQfKYR/g4+4zfNG9Kx7hfMWe0eH+NHqQE/T+svgQgDjgQbapiLg1h4eu1G
C9aavNJAU65SI/MId4ZIgM6IORySwcARc4frr5A72cvd5c2pT0iT2wCsEI7BULuEnEidRDzb7Pzh
LBNCgBJQ8/9ieYGgXrRU7fdX6Q7L+Ij8GpmiXZimsObLvIyr3mHjsjK6gesCRtyV2mXEwQdhqciI
GWyE5BukscXXxgcH1UJWNhb+cSf62ZmOofbSwic4MaeBpTtVCiBWbit3qzwjzS2t1BZhiJxb2R1Q
6T1XCIRgw8cu7eJBbR5veyoOBwgVXRc/Yj+fwOGbJhaip1URHr13ZMbVniEg3rk0pGwbGrdDspfi
F5TqPFP7nT+SeQ+1l0tNTsawZq78fmjgYNXefnhsE1hLg/1KTuOZXIRLkYVuivfqc5F8s82igygO
46JIGIi4ADvm6ibe8fMGXer2CmE8Y9vNr9WHzPcj7lK/BtIX616XG85iLDSJpZh7mMvlx6/xW/Vv
nEdHk93BOu8rLpOUCgs8ZZuOAOyhMaMmtYbm/IGi08AfXhpOfOyiATbesZwLOL6tUzNK0rHeY76P
NcPhdfq8J2TWmyjg6kKWt97KQ6L2s2XHrsNSwIY4MrZv8iwEexEKVMZyt8+CQ03f67bTU9ckeFye
r5gi8NZhWDcvCj9AqOGnhA7Q5rJjexPi/yF8kf8ssEesuCFM3t4h5QwXJVbClF7Qpp5UK2pk3gmv
PJSjqx1SSTu/7D545wXXLJNaYCMp8/RN3BrGhcW6Jl/vBFo3sDIjS0WCpMHxONs8im8+f9s/dzgY
yXzckJn5VAowIp9M2ZNeH95Q/WDSD3Gu7HHAg2ZQDyJ8lS5KHtRY2JOYC4qvwPgRj8O3cSMynjVP
Puw7ktkncO22nKVe8DvYzx7U5J4Q3jp4OvBkyAW76LgKt2Fy9KI7oyje496uve74r9frOQBOusp8
1VcXjn1cw95W5Sy44etk1m19MQ5aTw1RBPkdJOaYoJmyACCkNKeJXU/txHpyLaTeb2J1yRsbIIOu
vnrqYrQJTVcw+sM/u44VJD83YmE+kPtJu94uzlKc/btCuvHJNeGdbjgCAHcEEs8Jo9+EKy2RMCyx
+Wnfz9pPWQwtbRkV7I9nDZsDmEHQzamGDYWXKo7bzt3E426C710piFow+KiJR/L0UZiDDMGPqykC
VhHh57jGjJ1sNSq+sM0TcM0m++yyN4Tl5hmCUrc6M2UQwAAqFTbJURfgui1N0KX0wAd+Ci1vUHQ0
6K57acbmENkHPnRUsdTB1CFUAZb4GRjcdVu3J6uWvO8XGST3H3K32/KsmBlC8w882dIy9HBILdFw
v8Y2JS/gx1J4vdh4pMpRc7iRe8we0G55S5tqJMePjGI0BtVxQxsO/ERQu4SofoAxgzw3NO8abXQE
SdgQLnnrAzCnWsCHdTt5miniaTXovR050kVjiDgEWqW+EboJ+Fub4d767ZSmVTUI6uOCE07XHZiG
Z+zQ3M6A7YcbFgp8IMf0tHJVhhNDSZUxb6DLUDDraITC1+3e+XVAQ+4sjALWcHb+QBih5Dgq/w4N
20BYuHCCTP5jnQ27l4Aeypp9tdV9djsCSq6fh098gVGdnSsWyDHIlkpxLHOKLP8g4GG7D/gF3dJb
+la10cl4LTFWxFPd65wbTJXlf1UukeIk0S/NxsL+WbTSNX/YL/BGejpemgkjy1+vnOKG5O5yxBta
3Y3+2a8ikLcIDNQR5N3GshKAWkZGoV5IAbJWwkMs6vRBdp1vO+CZfeyGMy/JkgfVtj6KiKvMG/LY
0I79DCf4w2RAWCw0Xzdc8bTxsKqQ1lLOABE5wP4d0DdsyxGahIlKQBv2EIazhCNS2vAbK90rH99g
PXM8WFkNiXXT1m/4oKo0TBOvMAmjiqJ8rXnBRIIW56MLjOui0e/iLkFbp/NStj5sat/C9ksa8iqJ
ZIyS9tZ7ZZXNTqm1foGE8flnS/GQMocNfXFvX7GNprHgz1JYxUbl5kCMldQ5r+ym9Rh1SZHZyKif
ArqZEftnoDOBujAfslvSNAXLJa8zfD/B4wdAjYwkTNlfPpnE1H6qyoFbUO0bDTSjgF/BYa+Dc+ae
ftnudVBmWd/Ai6eYmGeoHn/jd6fzEj9kETOa06Pver7KU3FnJxAW2HqAsVpZUObXGYfOC4uEvR/V
6uMHwmOAWCstl3LG8ZwEJuKCZHBpHV7EJ7H0JTKgvVP0IP2oLlsC/dyGegK3bOMOZHMIDZlYD5DC
wJ37vxA3lScREfo3NQntRx4JOttW985KtJdUCwjpjEbTHwTFnslJgzjNIHnQCb5tRe1iAKVCeFWZ
kAm2KrEU1IDL/bobt0cE3drZ4CRFLYdCt4+G/FrTr/LcDMuPDspkJ7k39mkcK317TISl6gKChtOE
Z0wIpuLN58BvyWj7hoFlaI4XUTXT5jhmLCqrGVRt5yboSpqL8uvdBWj30V2TOuSML421kQNegyVq
iLmgKnSE2iuworXIysBFjeup+p91VI2QnGFrAiZJ/s1jtYlibj+CbvGK6lT51XfMWiv7/uxiwNOY
mbrzIgisx12N+2Pk7tPvd+FnIH2T5zqscnfr2jU/GnH4HRkrcFLDVX/QeeD071RAhmbnVc0mBm4L
+J5ky1cG+0IBESbq1TpVVpS3YIofrM19HT3saQDw0oOX9cP6Fm2RzJQIhio7TnmhEceCwQxSkzOL
C+ttyFbb/s62AOdjhwnPm9xILYdIVidAerPvtdP4U3mZDUAr2k5BiI9UxVlsIwnPGzjFOssfn9EQ
5lvrhHcuZVYFFgHLNM30TUNS3mk3kzfFDeuszQ4WI9If4MdA65qiMRnbZQBbKAvj1LIbfRbK3P0I
gHLBxuNWywIWwHzkZJZz4EV+H01EWzgoxeQ8veAiU3detNMt1PMxCzPRXQO/7si5hY9SKGYTHYP9
vrgd6CpbzOFm7IMkHQETDSS/29Lu6BFzLALSBXRd2TBFHYCmF8AizrrgOOy1LhPc4aukCqtPjv9q
Pkkdnijo84vqB92202CY+/kPEVJ6+9jX6FwShMTeneRmjYnaglXdrSqhS9B+9EZwrn3sFkqCGrig
YoRf3HIhjNa47oK1La1HCAbC1uxG1LRzjHda6lR7ub8W7q0Xp3cQ9WrfgKFTqEIN9Fbwehv0oYa1
e0mPMaSZGcgO+b7zly2U4R1mQRz+PTzl/EQN/zFIL4/pIVqhWMoCEWTWWUj+g74qxviL02PcOT/v
RuJ3EW7NN16zQpsoLpJhfCCYlz2HH441EZdniaQaLO+gVD5U4dPSLtTqLcuHUjNAWFGpiwOHDeqj
Jiciyaz6FbUSYRsD1vxqJWJEt28NU3IoP8x1jtZcAhGZvS1d2M/iFgcQHqVmSnQ72equpJ4l+/83
OSjxj/pm2j7ThGQQ9kNdb5qqEF4GyTUV8GgwxA67lFQ1v7RZOkT0EEXOPxXLN6dfDyImrpwp1Lct
6hXiUebelZitIAwmLra5QwbN3qtuGja7L/N2ziHbzIYZwb4AgCT4X2DMrua7DOGIyT/W/O2QvOTY
ZzviQhakYev1kTDiIq4bBWoN+/TUlsn4Vbqu34SwbukzM4363N0HY9hIfOzoA5bpn2c8PlatpXsW
Cf5OGaej7cQAj7VtiwnKp0E8Bc9JdUFliV8wf9o/O8Tusro7O25+95TiW8DRh0930zk2U7n1Npg5
doJjTSSGlKGsQBEiuTOcf6+oLPhDmEcGk+07ci1mhzEt93mTQg5v7dGALqlgM7xqONHsEBNUr5nV
mZ1j1+hwSiqA25rBwUrAvFdOkKRmnE23DI2+B6/OFggoj63tboG0kNvqETuhMl0fDmuEBS/zGKfn
CvVZqgf4Wr8Q7+evZS3DAXASGErZUQHljxaWxRkp3HJ8dsRdbcQZkilfBtVoSI9+KQWv5E7/uQt6
hAu788sJxpQayhVx0gTugxl4hAR7i46Gg8tadweBtgmUTugGMn4LMLQdPvhsbzIIQV2GEg/zKfdl
MUmiynMh8s2sG2tM+1kLLNXEOYMaM5FihJalWQ2hHCl/y/Q9hIGm4TWop+9qstlKWy3ynkAw9MLm
H1UjbikWW1q/rktrjefVrHkr+VFz16Vkzw9MEWo5a70dLDHq/l+Wk57WQeSGI3CMvtXprd80hfGb
VOEdAVXvyzBZQD3nN1HqbvauvTK6mwz0vE2gSY3DOZ9gMA2my/GsBuOelj9qooq6am9RTM6YKPld
l5bHQlmiMErhTBCeAZSlHNEjO2v6pL5KOK+O3v1WrjqDn3NfTPm9nkddqNBaCdDSkLcgJYFxVpOv
ohffhPVx8hSivLhBjKgAAo8b2iwm+HqbWebGTJsMhBtE6ExjNYvZYWExq5YiSp8ZoJ2I7tWJu1im
e66igB/KGynpQToqjRpikEABseZPBdolo/p7f3gNZ1iVhvTe/NE8yNkG8bRyK0dVYeNwsjBvUq5V
tIZkmNwY65JBD9XlUY35uClkuGc6y5NmUQ48t7vO0/czVHYacvkN0LdaRHfs+nFv5O7pZXUNcEtP
Yr/dTTUF/T2iJc1LQVu0eo4YrkC/0GMqMVKQhXDe1lZg+AWrKQxcyN2wGrYyV3XHN4+c+oDtMzEz
l+PxkyYpAvIlBxcOwmSaYJxKhriwswpEwfGx3lpVDr9qrXaXRCv5BtEi6XobudCnJyRDeKpI+kBl
oVTG1+moPRE7n0GfdjVdKGyhm1SNeA3wKuwCvg8jPjhsHNOxx2kjeE9baNrwYVuqo+/V5U5udaOl
HYLd/799kYXNLWpbb5LK1KFbU3IFQsQqiHCT7IpzIdR1iTd+eUx2ZzYC8jOOr9oQNcRXqH6sQOrl
lnBunDVUt0jfPbY5pY046zd3RloGWKY6F5GW+yTtgw1yRIt7huGqlHx4H9lq1fDaA7z1PX7MACeA
CbjAmIKgQmJV2FKOpl+Wg9LilvanhKKO5ZKo78cBQuVLDIXcavkKjs5UbCUqY2XenB2AouzP9mAA
V0RhrLeeZ6YjqH+PU/50k3uXF01lSLgrcH+6R+f109kSPooLJdpTWYHnkfRqyJZtouztp701KHLp
LdBcnzAxHaYvw/7pr1MbVG1MyTfqYPpoh3wLhE37K1avp2J/k+S57MwrI6f/u1cZijbzL0tp6c8F
SRmkAvUptpeLzes5jHkSGZ/nQtwsY9ZIcIbawEY5kiwqD6wdawkHfIgba7A2OfOXcJ8TvOzVw4rH
Bqn0x2knfD5m/OkbnHY9cVvhgxOpky2xZxGnDZSWIpIDq5ieH/MmIBKYYZ7RlabwN4aLVc580wKZ
DkOye8BohkqjO/TeVkX6Sgh1EyeUrLaGaW8ToTu8Am6BK+RD7/gKp/4ai7gxjNlWSTTwhJNK2GOF
kJgJtZ8ZjmxoGhwaRIWORbgldng3o+Lc4/GBiquNSaTWiK3gaWorY9HixsxIeDB6h9obztIXszjX
esLauT8uJBwB5TTXkma8cARNxphk/Nq6TRAOxOsUKl6gDJg0jpLnLdTkV6Pbdrs+1bX3xIgx9Lae
5Ayxs5Jo8hUtgVeIoiBuEglnXIYYhFHLcYxBIBKY4MYffCaFp8LUYfrY4RjlbTlOF9+SxfCbm+D0
KQjSRHi/gtg02Qq0Zz0dfWdlj2f4/S2dYSu6zXUTNIXqtrBUhAhYhyfuq+DEuMKkNoWYecuwYm0e
YvCDEoZCxpcL0L7YAavVoUJR/SSkyFlHNFEx8ca+Tb4muOEKKwAjWd4Zy8f0hSyLz8adrw7P+rFP
8Mu2yfGyjnAx3E3tz0ox4v6SlihBBGcRT2pD8odHR6rd7z7m2wIPTvUlbG0wKjFDYTi78zUw8sNV
552BInaqyj1L5m9oq/KPsamcErVIC5IbTr8d3bfOxrtvynSGjSpnLT5AmYYHA6Wak5WjpCWsYTx6
ZUpMwa1GIN94+vPNZzr9ulRlYhm6UDrjreywHnUi6ManqqOIAiQvAzJhd7Ax5ioueu9sBhZoVTTj
fxnUdcSzgNiufrrGUda2volNFy/YqQClPvt+4fO38gGV1OZRzcU0VbKcNdlxsCi+1HF1ulDjNIaN
Wox5kCjuGgTtMJgXZzbpPknIaru95QxHw1WCXd3P1hzHt+M2KahC4tUq8xnYvBsdZIX1TO5E9AaE
nSsrbE5If62RHH68+2mr5m/8VOVY1sBpDl6H97VvpyAdiWSsvKbLXxsl53zY8B/M/8oI2qi+nxdF
9teK7Tif3poD9WW9AUfr/ALhImW67YpGFb9ap2V1F8BR7E3qF/kW2B92yEFDvj6AO6kN/+vRjtTr
MXx2Zng5tiS7qyqiG7AN0n+v0LtkBalmAOxKeKeJyabTU7OmIER1hAM/Fikx1znK7GMrbCfTNiOG
aj/TrgkFBpZ5lRImqmU0dESKIdgAD59N5SxHW/g1Z11FK48XuV9FzjYVi9KrWgaU6p5/OJ0Z8A0Q
G5Da76AHJmfTd/eEFQlxlm2qRnF/k9Omcd+PkZYKBEqZc56XbdI5ks3zkfxfs0ga8zDB4Y+bRQnH
c+z+I73eYRn/kO6oRQBMekKMUWRWM/Vvj8zjbSYPRWtr1/ONETSkNlhIOivLHAGj5Qh2uA3nHLlc
ITJZCxKIvyq7pu7lfkQS3pAGW4sPwhrVedfsQbqqKQmsVqbF1moHB4Nl3csFm6bRP5vmJPdgLbA3
p9XZB45WsKV9qS2IVDNh/lDCe3QLboTnssyOFrh0G1IpkXUFGyL5HREx01RLXB7vN7HcROz21PMh
2W2T2mUkaxLaniO4raNAHMSH7Flm+K5JF8SEPqxCD0hVM33DscZIFB04H7xXqLPqTgdfHsxJJCJu
szE72vSD2014djDMgv0gMBKqwLPSEOGTzREPvqErMXfvrIOmCjnMlcFfHfgsxenczL7OJ0JRFX5a
/IKxu57m3x28iMaMOcUkTVwp5KqLO2FwLAPRVrLRVoa/hiIiFUUGFqnqG6Iz6sPRCo6Vyui+ts8q
5lxeENnRk2Q0XGQNdCGQOQb4hpuz22nPXwfF0PZ8mEqJaRaV6QllcYjU0PEdOvLr6BeSEkefTaEP
WOoBo6g7s7k4jPcvtwMhQSRuxXKV1VTY9s7PCB5TJmmefRprmdR7WsTd76mqsP7zTxXDSV1VXm1k
QhCoaHuFH8QaPBCUkEIIsvWdJvD+ljIZorWm52HK6UztumlUJ/7FFkGdhzPRk+oo4HhLhJxhSOEN
vd61qRruP5ACHr+Ojm/iH4DiR8KhcAyhr032lzzzUiwsweu9IEng8btfSKVJSzXQqqJZAJcuWZPj
RDZ8OI5ZT92ymOCeb0ZfG0oHtbZUbtN4cegIaJE9Phg5HiR6RFlOk50QX7I5nABv/YvRP2iLLFYT
rZ86BM0YRf9NU7CVoWz/qF/oXo8d/R2A1cWeJm+dG2NKdAHdOoQgzEPLD6rxdA6OCMiMTFO0QEN8
yiOhPT+wgJ7bSnir65EhiVCMH/pDmTYZl3OsCFdqBlm5PG0cX5j3xg3Ws5vXFLlyWRRZgdVBZUAO
YnyoToE5UXppjkOKpdi7OlG4uutNjMZ8qM0vI/px687ExK5KGKAHOKY+j2VMb4HVZOovdrqaTNcE
+j+v1vUgchBe1dB5/cf4HgYBW4uW6bXuVpB5KkjjHsZPPonOIwVDe6oIUB0Gyk3b78/YxBKJOLbJ
catwfcIy79BdU9dmp8uoynnloCkfxDZCZyjFWbx0nvq3tu93c0dPaVF1ctla7/SnfD+d0Z+dHO83
vEArDaCfU5673gwm1X08bpOJ9pVXOvIIikAqZpkfJDi1I/reMcmxs+MMymKhGKWHwOzQHrdVk5ou
AYhK6eDt5r2QHFB1FTflEQETYnBJJFz5d6ao+se7LdUgIbLZnPtEqvlfitnoLKksYHxhMcjBOnoD
TqmZcFHW1Hc3hIZUBATuI+fKgmXFjuPy6jkCxDXShBrueIifJaP6q1+0/iBL0TSrw6sZgxykGQWf
BtaO1+5hPTm5X8aM7ZKZiRjOoqYY2tpxANhJ8ccTqIXeI37z1cxyCRE+Ob//7qcl//Ko6YGKcupl
o2vPql55IZh7pmT1W7YjlYJKsMeCIQv2YqnwxCFsZ3IV2S9juC6uW5lymFIgxp4IU4bDyDko8zKF
dtR1Y7GX7AIz7hJxG6lXO3QpwkpvJfZfub7ikxMB7QV39m49Q4ZFyn5ylRfw3CglD0/RRpzL48Z2
5Gqf/qXZ4JdVj0/mabciu7EVWqhaCiL/1Mlfdj/9xtcPDn5Ch2YGuLxp4L24Xwkuv8sH0zcJ/jBq
wHEhA9JY/hgs3U5AdouZGW/r3Dyd29T0uCxor/xxCKAFUTIXIbEPqNuTltt/INnX2rOSqBMQNM0R
pz8Xh75AnPdwEdokp4Ogfk7cE14vEQMXTXZiFTVqTSYFNnslbzsk/8kiEJWMXc6v4vjblS/lgwBD
7ahncmCfCilB0GzxJUeUV2RROyIDmPQuwk3G/1YBaGi/ZVFMayKmEjLlsA62aMLIfnDtCPoMNSUX
RDJDNWAL0oZqP2dKvcPJxEb+pOVXBoFAz3fD/EoQSHTcgWUTMXlXAPZcr2O5jGOusv+IAK/JQ1UZ
krXVdNqCvQahW+aGEsiMn23OO7T679ZrwB1DbEDUEOlTRfb+8cmTnwdRZyIBD5wpUnpRd4IEIQjC
zGNAD9jzvRQfw/+VrBdnDyj7kw/jjFC7qLn4d1rFk37Atq2JI5uQwKKOzjQn1sICuqz4sG+sDMx+
UY50SeI1ZIT/30X9tn6NT3cip/ZXFvw3mQrW+v09tFLpFBPPlIFSOa63by4qzFuYWUbNoN3+8lY2
tf+MHQKqGanyi62+OJIP0QoY+QscYQeYsgTOWY6rUOeiDP7Bw8wH7pKbsDn5pd5tRLAdvC087GxX
oZSZ77Eq+F7l0XkBfCn4xicaPnCf8UfOM6KWir1a/R4yCTLyaZZ33+EjW50r7gZDSZv9uFHJHg1B
TCcgxCSFoBCvB2WzccoIcCf6zi4aVYHrY5dame5VTv9Cb3Qxo9C95KJozbMhjIMpWjjvmY0j1toP
06gy4JIUXSJLOcU1y/E9QQ2Om1yGGJq3Myqh8WgG58xYCjioN4OSWHCboC502DXjkCYlhZ3C6fhw
kIddwi0M6eq6df71nWkkNorXVYj0ISMBkIqZ6gJBcMExdl18ggFDWqt8tkWsd0tFK8BL3BKJybYb
4XkT7hV/96Ii+uXn2m5tZaKBM1OT46jMWxrRE+AFSOhqPBOgiyHhkkVsJud4qB0bztKhLuiK2rX0
hKOnpA6X+XHOEzVInlI5LL8IGgP0SEtzqbBiITRHIvIG8htAHOF9YufEGF76iOVXMVW0kWTNlfqm
XsXaFQLYHfKnbckHu8zfHBnzuUloWQ3Fq5Svk3tzSi3zjhUfWihAwujSVf+JtV7M+v22mk4dcuWr
RCD4VIFTRMysMgjX99EHuD57nloOv27VgC2BzFaCLM+jPxII0P5THYiobFYYiu8IMs/nL/bFkktz
UH9DcG1iz6++1FdjdmyIeoRKBcgF9iLyl5W/1gWySGLsVaa4PcrYKsqSgDLk25twMo/bSmr2864x
10tuVAGRnsYPsbkTvTJv/ejn6aQBo0hQJPtj1Scn/APhoytuIZJcnz6rAP8M9Q1+OvvP+Pno2oci
IQnKGBDxhjWxc96p+OA94c7OgskMpCCcCbkEML0ZbaGXF7OfLHcnhFXx6AgI+V/9HI2yRlpPm5lT
i4LkRC5BHmD/ZQXnNvKvoEyYvyDVxiXD3OmQyH2w7L6WVsUbgcAhXU97IzWFQf7R3z3MomU3/Z47
FlOgJgPFdyJbDnzOCJbmi2kbMCONBdxXQDyq+Kr/8AzMmqeKfHyO1Br/IU7BhoawEv7pAnSWvq3e
GNAsKArZGBhuvcpiI0lAafHLBvzGPfp12cTB3NnkMv9wgjSJvJFtLftzuIfzKpKuEAtr6bNG3QPl
QeyHyrS+JxAn8qzPbSZFQLLGsVF/IE7GU/Dqxg9pztyFCi3c3pm7ISpr4aPzPXM2yMPS8Y5Y8VME
LMYMtJQ96aZdrTiG+bZDMU/TCLe4OXmz5FidJR3ul4poWpXeRJs1P52MIQXAoz89xm23TTzUQxiF
UlWgTUS7Z6BR/oaG7scG4pwYGvsiHGUuer/uIhnX2d92qVo0AVqC6rSbT2GP6zgQYOsseMUcIOaJ
z1oYsp5w2IHF2PhKwCCtRNS7IPqcTKDzPA5ziOm5dCH9nk07K4QTYqDZFJo156B/CGAiWrAfZ6VR
LdQbFKh/w/eldi48rE70c0pcFJFHbtf4IsnaCJPZIQSAGiSp1nQY94neuLqqqgss6TZXDA3FxABT
TRy3RhZgw3bt45ebah7Wp1/5SIkWGtiroBo8aoLcWSVcRKK5a1eSbPQYdlMC+jnqslyIzlFd3n/D
jsxjbcUFfCbLosRVWjuPcoU0Un4Znyo4hloNndnI7TJ3htClBk5qJ6F2ev9chxa/CTWaHLpitGE9
1Ef9+J0ubP0IiGJIK0b+bgSt9auEtnmOyusc1t37My1g0sIfhFK+wsOnt2nc9GHVaQKq9doPFkVZ
F4JdN2iFnbDGk02E+mVCtvZTq+4NcWfV2uZTnjAzSRfVDZyh9g1DNfh+neozjARf5DCbn4NYzjmi
YoXUU8R8rukV89JBW0fGOI80RUAYWhPvCPdoB/K2XMpqYBxWWfdFFoTJD6SiTO6VpAE5iqmjepoy
6+wABzcIjp7ubCozgWzfv5+IbRQOd+ZSbVm9G+YyYbwygmQ8+yKRHwv88jlGw0PDu3guK8Nedi7U
m5J3iJWadW8CK+l1x03JzEixra9Wm2V79/rtaUgLSH8Llzr2rTxwFvvNvy/JTvAO8KkIDdFqu8zE
PPkOjIw4zFMRL8aL/BKEuOAK+g/C7LESb1LsUr8U/s/JUufTaZFNXPkQ3ZAV7xYVU1Eycu6jkzKq
3r/dAsiZWj7qp61zjzcDFgPUqscTCnKcabjHpnAPmuV61z+Ep20L0TOsEvdfIgC/iqTZfxHhDNKG
p1ygLojmuf4kEG4qB/pHNO227C5U8WNLqqROovE//1n7mB8hsqCI0Dm+sPckBN5l/m08pK/sNAsI
l0xieregHpsaRZ95pQq0JklKp/x9mA3jIb1kchcB2mQlVaaUxHUqKmKwT3O0onkqyHsqQ0KYzC+B
C7ivTW0ENb0ZyaED8QmY2kmvouOxZQr/KKuKZ0+AYU3vTjNLyVdP7ur7EBw+Fql8LyZGPngR25fH
DAm/AZh6KIME3tlsosD8zapv75PT9sYfBIfwJdTmvjUwtWsBQmJIqPJtg7LEcyIS+gBODmHMuZI5
umRynjbsF19MnRccfEy6dGQ8aDf6QUYwngGlLWXSioe65wjVaqNWU2ip1eCG7xvgJzEGa6GcAnXI
CxQN1cPzNiEDXI3Z4pjSuX5wxzWGgBDqYZ1QWvLFoWF5tlIEy2RLpGt6gGdQTNfLp7+hzCfQ3Pf8
RA6zuGh+NtWfG0fm4CoI/wOhwWf3QvEGjNmo1c7hgYZbdw9p/86y+Ulqrczzw87Zu+RlaLQtwh+d
t6/D2NxG4PeoAvKBXW3ZyelhnmFuVyfgN2QVXEmetHEzA00do1RoAAR/l4zR7vSU0BMM5zPYdxCp
aPdFnSulKU+ZlW01dnZXXY6oYZQWo1X3m5Fup9t6RnZgxHccSX7owT8ZfqRXV7a50Ex/xulBLELu
mH0UJpTzwQtutyAs4hZbDsMy0IU/x66RWF/qn4Z6hop5+tnbuE1mhRtEjKc9qpfR1ac8AKZpj0YY
4IGKvsYYchBSvlAFCgmT4tVFQinWWUzlMTN1jv83adYLIdR5+4E7urhXlFXv4MAPs0CfT6xMzIEk
K2NRfiXRr9Vzx6jiZWA4fZHjDjaYSqyYak13vzILdDZSik0Z253YSnxy4dD0VcgxUnYDgeBB/7zk
9kvcWsBDN/tmRd7TpvVYb6kpXH5Q7Cl1hBbDiKfevJ9SzG2nzlzn52K81fltuPTVpLq1NTlmSbH4
GJi+4HWOsXdkr7WOaB+bGQ5Nd1dqL8hme5ziGyZmhvL/3+KYYl8mA9AFlx/Rq9M1iMpf1VX5sR5n
92X0e0ggBECvApevVgUS+SxdpkxJSk/h9LZTe3L83kxW/XkY4EFbHQnjEnieZJznIiGNYqmIUwvk
S9GlK5FbMT99flHLGu4XEuRguiSz5zu1VNWjypB+Fk4zsNvaDqHA+5WKvDgqKaUWsprk0cB0n2M3
o8kHzCMmypymYZc9I+r4dJrTcrx62cXtRaZJor92cIwv5YxTOP/zz8lgAsO2HDwdEL2GCek6CZcW
vn0BbovY6xWyWtoOc/8NiPn0HR7+BVPsaqVzbcTL1PucyWvtrv+0HB+8M/4C3p5LuvIdu7iEmvky
BuFp0LkAmVc/9unIfEf2dGyRVK7q6HgFRyYXHebiVBtpf6N+zjwV10hk5Ys5M0ykPgU1HjQjbhMx
exJvEkrc4bqZ65xK5zxa8eT/m2UJSVIbDjpN1xIboA6WTwBEuo2lP5hS8tcf/sZ83lY3zTvzesSq
GE5tHmawjNcgvjSCQWO9RiCMAn7GN1BfGYeEXcFDx40mpy6PpwQfeS908wl7Uie6za79Ke4GtjRo
ujCAujZYvWl6NqB/FWR3QmU91ikjfVHUC1OmPMz56HfCiDt1iFUANIGR+bzX3AvagL6n0QlMN7HP
D1KDlB+TizFMgsjB+aBFuQcbvR8TygKU+zdRET1vD2CubaKg3HHfDqI/564TVYXK/aBiZSrDN5J5
4ikQmfrvW3iyR/ocOtPePenBQqWUU68AldBkAQ1NOPWQLWBzxtufdd5jQiXS7zW5O8lEcs1pdh4i
6X6MlD70JpJDR11+I9iE2l5SFkvrDBNS/aMoUJdpXt1usc4Utie+RgcQujPY6pOhiOJVoSuGNLVc
+TK5Nl98EbR2K9sy9QciEejdHCPfdvvDaGBGzRb5OwX1kDRX7350VezTleWNaUuTEPScFVUTpiq3
/ux3Q91hJqaokF/QOG5O31Oy68EYkAzRv95mCHsc38BLmdm99S4lLc2SZGz0DoMOTITFia+XTjxt
W7b68RuO8RR7NnzHMdekWenkcygc/g1AtiLP/IBtY7MuKQUteFcv4RZ1qCL+1T+qc2Zup5fVOtQ1
IE6fg1D/iDUQkJMmHi5eUsaMbjEZ5AGPP9aEUrbVMrFocjfUtdGObttfAakJ0Df3VVClaTkjddaa
DmJi92UY661RJ87Q+Ph95Gmr48lkCssBU3XwFzYDPR1mPiR8aLe5Wr60lb5pSIpAjHWm2y6a8zHG
3Oo6E3UDnSqR3aOQaliwkxWcu8mBDgk8idCXWONsb+4cADaQqVUEFCSR4+uKa3bDMDZDXtxRIT0+
pacT+aWkXxGorgJUGCxck9JiZbcgPun1yt4KyyAxU6mgI3rNBvUT3S/NNRIUJK5xKcl90b7M3OhV
Ck+/pO0/x8zQTns1A3AtTyQvHt3ArvRWpM12Q8sa6CazddwROh25M/vyUPqwU0twe4TPkfUvFlye
96o53ydyj4J5eft5hZTziMFiozkK7Q4P1h5hDveWe2N9+TaEx9QdiAVo6rjyuANaODutB/mYah0Y
OioNEjJZTo0iiTpK1GzziW8uC4L5NeMXEujgg4IKHXH9tP4u+OLGqGUtU5pEDuWKtAXiuxKEUUte
cr4kNgKdbQeUXUxjmzmtst8+nRUt8E6ppuB/+u0HY/MNtdgZDW7X9BnGhxH46HYF7uwJRiuJmTES
dE/wBMyOLV5vQzHb2uf1Xpf/+HurTXs4xR6btE2W6JHbWGSmL2fVBsAQeFjKRIe1ljz6rhXpXiFU
6Xy4XzfAa6RlTI645f8QSMW/QYvsE18pDNoWozIgXgsmZnA7S/L4XYl/o4/KKkVtE9VroFhT617g
kgM5YT5QmLUiIJgVvbA+Xlka84spsVQeB18T8MmgiVCtGYpT/SLw9xvHZc2b/eJW9bNqbFmdKCJ1
wgb/CRL1QihzWV+QDIui7sVJORGHpcP+PdVZo7ILottUlgCAqaeQLy06KqDbRBMHR+UA7+Den6vF
k0+aellYd7wkJzty53S9Nc7zzMP34Oc6oxd6OVLppr7gMYgFTyxjz/gh5OPu11Z8PfgmcLlxPZst
GXFTSd7yw3Le6pR51GcYJfZxm5fHEGfoB8ESq6efbAGkuGBhsw1+G01W35CmvQ5pOrnfj5erm/B0
BM4nd7SZOaFnBdWEEq8bLtIodNDZhChGGm/2yexawmorXL8x+tWBSNvUI5fNIRe07rIcYNJkbRO0
nZluv2a1Z5DE8rOG8jmWRDvE9uGLWBJvcM8abUEgeMY6oGT6bQG/E7jr9uYB+8TqaUHmkDM/XlhJ
u9qko+JXKGLPtbEPJGscVCL3svODZ2lfqMIqzTNRJl5ll6r7joK9tj9T1a0uzy9O6kSdUtl0JPKv
OciZ0TSpA5FRorurG24RCpjaU/PGEHJzw6VNW0+9NL+f4xBLGBxKgLQ83BinfUvevPCDnvt6IPpx
/Pi0MVMn2bLB19LIFeaglHWd5FU8cqJNA/MuziklgMR3RRmvc+j8MTxchupzHkj2CDaH0pybNCKd
UMmjqP0sqTxBej4uY68QG3DVrfFTjBDswoDhZ94RO+bdhYh3vwaOmPZUI4q181c9ZgqlLnNA0zei
LwM6u4M+DX4SGqnRzqXHor3XJfTYJq//YP4k61kCtbaUAIleR4csQm9mI3vt3+HeBYM0fVGtA/Y0
Ir0Zyywj+lBLGkk1XfjCZ4Oz+LuG7I5ykXJ3zHqlw3pBR84j4H1SfnNjgrFrwFAVsByY2KaFpHHq
WgsQ/Pkn//PgVzSAsKsX0AjHPmTO1QadHwGU9mcKT/rzD9/xmkyAo5ZunVIfQbNZIL7y2Hx55FoB
ip2l5VzvphkUnplmlxCqmkD3jdVTuUYovNrwfW7HZbWbUPj4Y+Iq1BaKw1gSRYCBMBLKqnwktKcb
8SUYHdRi+loTmNnTNz/gyfjy6mZ8lklDBhnLWluXRySRXLbU8YvVcQV0YLJ50rY3RsLhpmaLPbZn
/61BOnHlNv/Hh9HBaUSEhHg2HkOh2d3sxgvphV6GPhC+DvUkhHBG9i+D7XereIzW2ar4uthDATj/
SQvdA5AlQyvAdV84FICb1tuv2BjntlcruKoNI9dYCMCO3umJmPtkMPNrUuiK+7aEuS09W/5RTs/4
Xop0L/9lpzTwcNZJ8grRzV8VPeCJGGvOhAjPSQY9clptVj26kZHHVwRiUs+I/p/C5Rc0und1bQsT
Nkv960c7C9NukhHI9xmLaz6tF7QR6hUef9L5M5XXZHzBTmBXwIcA+agmksruXrtFnewjP6/KN3+8
uYzifRrRwdr17YozVgI9vegHaGClX+k1hnyl0t4eJxCDOyHqfLmrH2hXSC+eIcZmnxHPbRs1KJKW
yH1UK+r96zF3y6zlW/6jHJ6PueYdQjbXCCuqZKCOezSsXsNDWnAb0JodKw0P2ivY30kuaqyprogM
KHeplJRqh0raobfR3eNXad3yEFCGyK5NJSnWL257slUa3eRGfm6zovw3XHzp6hPCEb/JDrO6FM1O
xDW89IvB9jMPtwVUw3INB3TS0OFV5mroxFkjjTv3WYNZDTTiXWaNHdlHxo1bon36bYga9sMzk1KP
MAhh2acPIekDYb79CuY/KURpMKUdnAfRw3OWh9sEy4e5SM8Gl800A3SopGwdWX8RRhb+8CmbxsK6
gdsgdWu80aYN0lziMTDwl0eYZ6MqLJfTezbmLffrQpZzYcIkcYum6iuDVUYCZhSoHRhpKiK8UlUb
YAYjAUTsVnX0Wu6IqM5tVEFRsyxCOUxZZnhTQ0VoRv6oWxSV+CAU9A1LGn2NWwhZB/NdGxTCtBiK
PiYSWTMjcY12B25EqKDQ4p9oRj6FpcZ5h6THakjsXATtJV7pNlp3isYHfD542W+61F7sBsJwKLCW
nk65Ix85LCSVOeB7YGhtoyi0dwGHBXobocajst6TvN0z2cD9jvTh7jxkkSqR7x+SslITcota7oEu
pFgaJjHKDS04DpBq+4e/kyBcQFRobVH5kopnJ7Ip1QkVb7jv1Ie++yvPyaQJ4RF+0gIS6EIKeg0X
XnUfGQjETLuYXc/NOZeOeqEuDtuzRYagIo6de2ZEq4j3FrR21D9PIYw+gyAMUt2zvjT9SYa75xxR
EqnO/s6gz4HPf4q9Eol1xA9DfwkziYD0WPa5qSePl8lGDDYsfXcNxRFODomRaVzk3B9JBMOu2KRT
7vCe9MCZTXno6UfWtrYP2J15nWb9he8d01aK35uKBOKHaD7jI/IE62HqNEpw38VWfhRtzgUfzFlI
x5mJSv11wFC5sspSNkTPDF583LwFVRPIaDyhf8xloSdz7IJTCK5txaK8X4N9+U+4EEmEAOHkAwyq
eCgQhYjaoKntKKxGJPvibDxbwpag+G29DV2+pgtaejAhCXU3+T1d2JxpnHvY+mklj1DqStZ3zKY2
dvs1uKLfQGaCfkskdpV/62Gu7nq0gWfW8fVEOWccI4ridEq6/x6oGSsSxVujTCTFrQF/2gNyxD5c
xlWTzxe8N59YOJDsy3LPA5ue6jRUBoM01hsXWEQu399D0hcSamzJrpjTnMfHYDG3hTaERYQnV2id
LMxRnErYxCn40bW4VCKMHppD2e/KL2C6tqXgz3Wd/HWmHBq6szRyS77KMRynjjbGAD/7jxqn56sW
/SVA876+OXGe2dOtP39ytgBn53cvwyyuXYnLCRzO25TpMTAQuk/6V6DTvqHJ8XycrMTRZWlj4RDH
u7X/PdYKuVINHzU7Qft7d9Owtv51Uy9g9RhYJ5i6QY29Y0ayeW6weNxx92vWDIwdsk5d27mtbON7
+yq5ElkD4MmX4qLTR63kxDIR5g0lvyoGqjaJNT4iYJBR9+U2ACQqGXLNzv60rL0WV0w/SiQoRZn5
FtXiif0vy775o67QmxDv7WY1amn4aG73auHtNNMORPFU59dVeTq9nv/eqOjhhPdtyZuJTH5opn82
Tlt5YRpFNQyVv0kQ3FjgQsTRZEb60EDrC1GLzFEgUfIlXynDiKX/snhQYjoyF/1ydn2SOmVRdLeV
CpUUfdwsDfJQFcZzuCLKy0jpKWXziga1ITAzsFmwYRxTcZ7i+AnozxRdkzj0+FP+ake5EaKm6AL+
d5eDAq5jCOvKSyGOJf4WFiS8NF0bGArI1G7L09GN3LIlvZLQKqVsg5KFCCLoNIKHrtr72S9rIstQ
klySZyUFtPEKjjdR7O25K+X0n1LdLCN+Ia5uJzX84i9xOx0h5QQzSEAEQv1esHsyCh/VQ+7y+yXX
O6ZVhH+FrJ42+uDu+zPwFCFUeo0+19wugUlfYF0TolImFF6k7s4rfUtp4Xn8Z+R8TTzZvo06mvM5
5fJl+Nr1hlx9ZyX80B1brAPf/TLjOlMOCdWQswE+0exsvVokAtmqxHFVqSIYFqLO3mPCHwF0gS2E
JSk8mftSAhY00j4Jy3fGiLIAg0H56Zu0QqVZqBGbyoSm7qqlu/kqyqjmi6ZXQ+gzSsVtG42SDsNV
j67TyH7MMkw9fwLe2CNviQTMJXqWENhjg3CmWpF1df5UNUsWAEmdia+rbS2xt0E9OVrWnkmVwc9Z
9geYk47kuvklLaGK+zaANv4Er5gUFd1okzYxOovgKISJSS4f/i6MwwNq3qENsMQTJTbkdWyqDnOD
Np0UIO8mg/LsLlizdW6igaYJ0oLWG0GegUpISQt7hmtqgluxpYicNklM2gHHeVV3utWn1gaANWT3
vlypOgchXjaNKKCXWQbFYUxm/rE26VssQE7/ajiaTI7kuu9aW/UNSc+vINN7GB6wiYnAY6uwGoIG
LxrK8xn12oB/oRu+U87kSDks6oygUhtA0wubla2R08zzZipPWp5uNGyIvFzf8fG6a+ed2Fok+/Ny
Dt/tR9ncleAZB7D676JyLcFc/ZpdZ5FT6isdyJ/fpyj2odpqlN2lqOli0CEYKwQ2JUosA6Ov6wyf
S9OPcDaz3KHX5zfD6FLn9AFkzYl8LU9VC9RpRf3vi5HaJKSf+5DM3+Kk8S9O0vCyxVv1L95NXGDE
lVgxF7ucK6cg0PEQTX8EOV8izxz6eaDBWX4S1Kb8vNHlnjS/0kQZZLeAyIx029v+K8m/CbrHzeTe
GvTa2+W6q5KvBgBUheDB/aB1ImJLv3Ndpt+ipbXwyL8z+IHwTAI0pSW6Bhrb+TaN6DUzSJqp7G6s
/PxJdRYYIzJOc/XRzvz7GpVrEEd5LwRrutwF+RDy7L/gHtKSbRavJfU1p5pc7IvbjQvmeGPegVek
L6Wc2a1hwu/o3I+cTSIUGPhCENn3ykhy6jLxe0TDsBPkm5h7pfjwT8nS4wIdYf8layw2EanTE7uy
c9UVrMBsX7XLfZBQgpgHhE0Dc1gWtDTcLntNLGD7LNJxIgBV7fpCASE3G4rYZBSQjIaG53JAuWWl
6MnrC3aM1yQUL/n4TgHuD36FC5yeQZ8RM66cIIUDdM1cDOKAkNcbV48OMwWtZXq6Yaj2gSlMaas0
HZ3nhmK5i1FFatKvM77E/9R83gWNH9tYnvBU5qAp3jRTp0lef0u1VESEPml25dhInc8jcZyR+37E
xAir+HXdllbFwA87Oqnntu+wsj6xp8xPljfuc9KY1jgCDrL9bl/oNRtz7i7b0pM9bkVZmol2g2FK
EPu0ZyVEqj9HjXyDM82kGxyJ8hLMhLd0MBGEe63E6L4XSCK6nHUB6RGqwuC9w3H5a9SYbOZOL5od
5L+TZ3k9tPd3+V7Iti9GpBG0rqQcdW4Qw+nH/HfcP7RkECeEqRpcWRLCfYXw+CP88sdYPWn62/1t
o20IOvL0EdVX/+PUbJGfab+cSAbratuQvOrVSLDfG91H9r3LMwvVEq4jENrDJ9e+bsQgZ6C8IIsn
sUPlxFxl6bg0oLRo3AYjeR4+YfXydE7to1X6NeKzQydbPmFra+cM3ZlSqzGUWbmiGZtP4jAy7dDS
ve6uNwT79pVJl75FKYCjwHU7mrD8COkPeJggccemK28yZ4YM1hoTBdgAR3r8rxKoYI/Yj/Aw6ENC
BNpbjOcxnXv6Set2DpkR7qSIQPGJomlHJjXRJhQt9BBZAJxI4ISreiTTGPCdHv7YwmtV1ZlX+Kze
iWo8oNgAoiNBMs6TH6WsEhAnp4bj0LLoW+jbL0vt9+/kHaJpodfJGQDDDr4ZOpNdXGX3wW3RIGT3
AeTB5CAweFGAL16UswV1wN7ehUvHl12FoltkkBKVmYFeC2mxp0H8s5iF7+KW1ik0LE59ZIQlyt7q
9FpSYH9tRBsznUHtnIubmtwOHNtzuvcEjlsHaVtkagVn8BMFxYL9Z+0iPOT112B4HVNwfKehkmWs
QL1YU9q+bIDpz+arCgNcUqZZRRpqj7avKvUr4LGVwCVGyIOujo4rNR9/0nyFqwxQ1CEC0dxtUJzb
L315FIBKroV1cVBnCojoWffcrKlzy2ng9h8ie07e4GnAzbD71O3L2IR7Izf3F8YxSNxlq2fSRqqb
5DDuIXBY2fREKLPKvF3w/NmZ5flr4uOPSffF9tLQcE2HrlB4TifOwQ9wZLzK+eQ47WxsRyAabKSl
fqbS9uNHlWNFmstGVd0Kt8ijcNC2cgYoiI+f0SByfCZJwDmUfRYzaNrjVm7jY5/8zIVQOQnA+aPo
Eb1sruqbFUggqcp2xG/2kv6hGBj9BuvUwNsf5S0cZnvfFN0JWLy3XMsMfAB0RLu5g+NXtAKs3TMR
RfZTEkoTyeyeu3x5SPCeN9xywBsowPbo3p8hQneJvSYjWsumbAUS/ORjMVK/GXcUCHT05hrog1YD
whS3i9+RVoRlaS3dbcy8Hs25aao5jdncFU8iSb2/CF0N3NZs6PgGCDt3NwFsPOGrOeA4yR01u3Sm
wzVXwJf0E5k/tdXDJHdKHuSnVdH/Yk0thR55vVM7YxGj8htNxLRJpfq89nHtGbEhYwH4oVBTQQ1M
/Gsma4B0BZ9XZQOKmMSsI13xdbki19pM0bx6gf94Nljf/TPSjUecQwFk+jsdhMz4miHB+4J2PwQY
YaW+vOvtpJkGdkkK1p9dOYWOmfMwO3ok3UXy+yMoiGgeV9WX5AhUt5A4u1GqbD23GJEodZ6oPRFs
6JKspds3qw/6lGiY66Ncp8J4x6HJ4rLgpaHKUq2KzETePIJzeCSLHlWmo4MF0bM6vsTsezA40oy5
+D1U94qUH7XwYhWtFfL6M1EPmihTXUuSwBH9eGyV+bhKtCRMyc6HljFVEK68WfFd0AXnD162n4lp
wxcDDmRP6ljofH7iS+KDmOdiuNxlaEHPkNq2+j+q4+No9Z14Ggp8rbmD6zjEqthK8m2TMzKKTNtf
Pb5Bb0GvIdPSc4/VRc3c0fawAj831zE91YVpryUH1+Wzo3ih87G5rlCojuNDZ+hIqWSy1Gj9qB2z
OgWnKUvqwc0gS2CMqnwX7lyT0+7ZEFL8EDwqHQZ7GpsUmw1GsIZedd5441vOe0rdl5gjDTI/isnu
ud6vuAAEoPdG33Pj+PGW92tD7MTvLCAUVZhSXr4bgvGUeTJ/qnnP+od8mNujoQcvLvVYS9A4Fev4
YOmEQBnD6zQ9yWwcMOuG+dJ+OuQAk4Kn5R0XURHyH8aZ9vp5WoTabYFiUOY/xXJ6ni6nF96FkXA4
SwRn6J7xNzfeMeeT2L6Utd5NywpqNqSTpMwZ1F2y+ZJoCqu0vwE4VRptBBQHx0u35JX+yt3cyBzp
TvyVm16RZKm0DgwR76hMPmKbd+NLxY0HL9gkDlv58Nr9h8TsZ1lrcFWxjIxvsQ+1m6RUhBBfs/QF
sgKVEuBu9Hv3MWufkufXeNY1MVeIFnEhAcsqWG3V0xlhZrKvq3kHtcf8Etp/EnWIEb4H7zMR4cjo
D5nq1zLQJClQG+oaXE4im+9DkaZMhXUC4wLyVjCdbxO8m5F8aQDO2Z+inAd2Eb2ZKLMYgdY20qyP
nOlkK3GNQqbV++1Pxi6lubTVtC7Q/REY99U5IFxPxZza5OkmAHc/atMN0BYlL6V/RU5da0v0lr8f
pUM25VBuxZ4nXcjlc6xT5q9/p7CNOj1EYpL65YijQ/8GwRVbdiwiy0RoItnbDdF4oyqnk6gT7Dzg
g2d/xGMgpyxsGj4WQT1LDWq/6d+8OAzf7LzUIqeNrLO1yvCweckZHEvqA5EWnMmeOJyXVoRL1OaS
ftBQdbOC+30OwALj9g2ILPrfBVhQ8e6E1cCsV/HDihFD+eGLV2mvQ7WYRIVGJZHadUnWWIHXhgHM
/vuWf9qzcSzvzjbI4QRnMOlVY3Rgvnm0OX5YCsja+Ij7//HaEH8+H72fFUHSZ+N5TgUwaBdc6G1J
WJoic/t06F/nS4kRjTrT9VEEmdfM8paunkaxaIY4A/Mr2TjNby+HkgTEwq8EU6tHVoBsBkahAdvE
bECKT7Yib5Y9JpP/i+q5Zmaa4qdfQXB6wbX5smzoL5vH2w0Ih+MF9+e5dgNPROMdabSTYIJ/Txp6
M7Gw39ErqxMHUK7JzXM2OWLrC2Cy68c8kBTM73V4JWUDmhte2l2shjUvFvDXtavETGKQqgazdJgt
7lXc+OSHYV6P17iNgndolNpThH/2fGnyIKf5AryI4gXmSF96/dnSuxNJg30TL7KoiInpNdbPTDDH
HVsEvSxfSS7bRngQ2DF5qYndQzIHKiep71LXc9Chd3wML1kuXsPpS1OhN0ZBURs+xjw3LfptXXR2
uIFmoU4tzEkcObG0qyLROzm/4eT6NZZPO3mtPPqVULjKmb2a+nbtOxof9zaJvyywN3d8IMrAwt2p
FSnbjyAf52CZY2jFrDgpcln9er3LoQ7WMEcjMOvcmPw+fXDG78kMrtYk1aaSFg3bpDaJo1gQbJd/
7lWI/eXXsoDmhCASkeJYaorn/EbdF9UFPBH7S/gYoGcGKJfN/YYp9ychab6bW6lHUMouz1LbDvRq
YfLk/9lB6za++ZdGviQ5OW5yJJdBzrZGRB5BDWQi7IuAonvyEq6tCAw/IGBQIKOyJQJ48U2Wgpga
peNcqVCFs/Maubem2WekhpOE8EX/bWowZfkoFjNhp3o3X1td0Px99rShfjSJLK7WQ2k9T80WPhGI
G9zmjqidbPLbC5AxKUK1DbEcwbMBpL++9GAITM8O1m6voNEoGYhp0rF8WdIegXSoWYwVZqkas76h
seoQa1DbiXuKM+kot2xGZTbFPMoNisKmEvWMy87REJU3qN9BHKX0jNLQ92XbM5EGhFX+8b9SUMnK
cUfGT/neodlezcpMng2e7m03l5+s1sEsQIPcrYTEifRAVqti9i7lly2r36fCiiy3q/s4cbeN3S8N
IN9deD95/vtMsT7uJ+DNjvGi7Cy9OnVaZnw5jEg74aHYoyZUcO97DzqMBZFSv0jMHNq71AOetbiH
epGV9za+HUtlihxA9JxJZGrJagiT/wesNmP0h2DhfcKTqYrLBJZmhTRUdl3i8V+Xl8aSXdP5U9Jr
lT8fppN+X5NO/oo/aqRakPoZ1k7J9spe2SvuOBMTWjFolKwGzGKlESNUpozoJHdzogR2zs98FdN/
nnJsWVe3a6hac1b1UiD8AuEZPypqK7Fle0UH8E637RLS0OEdaaXuvb9zGAdYN+S0+70bH5stUzXb
WG81Rx8Q9zYje99YwSWHVYZdh9FV0+6xyAWphMDx90jENuE6GDJyvvGaeJThudHl9W3+2Y8OaEU/
umuBl312zbXvwx8kFeNNYwWc00a+jXm4hfZwlYVdejHB+q4lOhNO+40h5dkQPx4xJt0rgGD8/2X5
3P1YuJwuTQLFssRZAKSoMn0QT83Im/pO8lrnttSoQl6lEZZCcoxeLezTB3cJ2vfhipkqFfFM1akh
z3/bIXNjnDEYrUoX+QiIKxgd0i/ofu71wqiYlM3w/E5SJKF5L+JlHd8Iskd7b9oYhRUPeFYd68KU
+V614ujcYsDr079vyMF/0Tj7VzV79Miq3Nw7uJ7dCpCR+J6ZgBRnt40+4vzrOvs9m9uS/ZwHQT6p
2pHw6cCkULuXcLC6CNjXu6av+RnY3l/mPRYQDVS/aGYK3KOU1hZksnEd5G7LS8QO81w9qmEjvG0+
eTYIIm4qA8UoC4SX9YWmxqeewtAmMWlqCPhqLQSPa8UMmu3sTS9BYj3zaPSAlyzspJtRQgSu/U7Z
sKLp/agFgbCBzxq0PzrJLrnm57SkaaQ5r5fD3B5nJ0B3ryrV5gLfAR9LrdFXi3KDHGwoaNYEYmF/
jZXKekLZ/OyHCa/mDSamlG5Chp0YMktDQyDIg5u0FeGth3ax/JPV6yvEX3CVktEMxrAluIhubyeL
1mxr6amJJUj0laVY67TsKQLLhZurotrDdk8GD2iHcIK+BwKe0M/7HwPh/H1Tc0daHl2YI1a1huto
rUbsmjzpJBdyOK3qXOM9K8qoiQYuVJCIPgWbLaIzlrEB1Ufb0dfWl4qOlEJB6A2y/WEJ2ctfftQb
EWp8Sxb0WCNLyz/SZYCq8gTP74HjBWH6uL56Rs6CRTQUCQEjMewjjGHlnACsaBhWfphw9GmYS/xA
oeBH3HQz8LXonHN53gvLlxYJVYY8h4vWGDFjenst2yK7M6KD2Einah9miIXINZZjBKeJMDBYcA6i
rDlcfu7cWx2aB0+k1VgVskxTXEze+INrYVXPaZS+AJv+eFcjgSITfWWcMtw0cgWJ/ADcYyFpcTcE
HbohPEjgzvawroYxjwMQ+vB6uogr1PsRZuCsxikBRTRQTFzeSf5IRDKtR/MdHNchbg5mgbDHJVEu
NEjSkVr3ejaKptFEfPnkgxLY27xtvCPt6e1b+V3u3aUVuvvIXhHp+o/4Urtn1qTBOBeKZfSXasuf
hePPEe3dMu/uN1AddeCAnIJL8YiLYJvfydt8wILIrnabPjjSqpFlrdB1yX1N5J7ZUzcUnRgZ9lBY
iWWsPupzcFJ0LTrFVAIsag7w11ZQe6Dcm09/E4RLpGufRXXbyVbQQZYT8UKQcrWMH+ZW8wf7cTfA
NbbnT2Y3Gjqe3I920nY2sPiKQLeidyIrzpsZ71j1tNrAoPGP2H+IhSBMbBVtocNND4J2m8myWivB
+nH0IIYjXWCx9Z0fMc10rhExNQPwYqWbnwX5eY5UzB+8R2po/cI92MYzD05nGVCRezP0eyzTAcp0
shexWtB4qIZ1C52sPnMZ+M5VU76Vc2xVPG30JZ/yDg51ZULfdPrbEq4YpnMNAUyiZhj1/A1S8q7n
JC9HT/2dlPDr7NRhrJ3wL7hrV8ib39GpceXYyJnjOuq0hNvSfpIZ72x27EU460YaoG2wIbIP60Eu
J8KKc6ZWopMOlUNBUlKy6mRsOkaxrox7tiEnzOmJ2vrXXUM0AcET1QTy5wo1dv1SeR2pr+KDeSf1
X+DPDvP8q4nX3HtBHOaOKW5ctMynikGMg2/DAUdANFiGBqyfGU4c288lRT7RzjytYNXEKBQChMqU
jIOMeOL6ij3poyWEmstvklx5QMPutXaXqc/I4ju1t8xp8UjJEKxoE9kY4sr3c6AvO8U0SlYqi4Ke
ERCZv0HDa/twW1y+cJzP+rZkkblTZh0wicg2ui5BdRhZVYS3LD2yqovF+RHwmdmFJ8VVpSxHf/5Z
jtcIAzhg/zEMQ2dNzeVO2+8nVKmN61p/hSTv0RofeafY6V67wc2DuLVjWaeT/sWTH4f9YMIIQS0V
isW+H3OwxXHT6teilfbviWiANZxTEyy6E9LT9/r1F0Miw8zyFcSnQNCqsFOT5Al0etZ1dY2LaTZ/
9cAARTgReDynzZq8SgzzJ+NlhM6k4HhvUCDvyVcdAXi2DIl3nu/K6KFYgyFJS4fnVqtN/1AqDFAs
XrDw1LTCGesULvXFKbWv+GUaHx4V9ETxhLgaGDraM5WZRBuPWbinSKf0Er/feNjwUUMua/TDJY9T
rMfs+EQfMKTjP2OOlV9C1PlgnWoTogtmP5smujFTQdPqfniM1+SezvG04w/Q3WhiGAOYXxx1UzMK
6AHzTDDAfiDFz1bWHSp7IS4TDa1PuL343513W22ysCeNHSZ1OKApva1lGDpebbbGMEuE5Swen7bH
oJWGoOFFDPF2u3nIOXZofn4yWLUXZAgxuupaki1VyXow3RfTkGKt4hQzavumDfzbv5qfpzyiZJTT
LLg9pjg38iMNnri7VEnwJ5newnvXSfMf+wkxq9Ajq3ueyZrJW1FT+cDPiqrumgQyHuEL0nVpKrl4
KiW/WjW8z5FqlkHmyvAQqy27nULNzcEdIiBG1nvHgBPR99NLswm10a6uKGCztPAtuNnuynYgsDgs
BhWSq7gw/wG5GoloU+eehFndNn9hor53mMeqzogwAjvuWXbrDBpmpiQCPn0UDt5bz3wzipGtgjwi
p89TZRgbkvqhfRhDG/JNAwNW4oe5wZ/vwBvGzInmckUrTQDFh9ab2mGoJA0G0oYnMhx4co2lWF8s
XoZL9jLOChvhYYJUR4uae4FbdJVsOuhSUBMnx448Q/LUpXT3AB0gsENMXNkdewrgX+NCdcFZ5IHO
jx2BWPdv1fPjP6bw+uODdgnB45fVwBgADRIJ6b6Lr6gP7Ev6U9Nl///Ym4IrYuJeFDWJfzGO/+YP
FxfJtBPD+0XWHJ3sYVqej2z/s32mHQWaUGVpLrPXdbztSFl3FdjH1Rn9h3QxIhgqOjnkElSDpTAm
1PV1/CSzyB7tpzZxuXqWgq/Wg6K5o6v4JgG7C29apwtrW48eMzKdDhuEkayzYHlj+1lrurZtdNDY
FGus0SOYYNKExWpJQfS2i8s+vjRqTJgMdXQueeCDacuCTQb2k+GS9BmBl24SAhEUqwKXAYzoKPsB
1gKuTpYKoymulxXB2Nx0/WqSY/3qXq9Meq+4qD++T9sOKYbOeqbnlFPmgoZU2Q6mW8S/EOVUN006
heRvsTXYb2bICQIVkqgfaxse8fxGgE8RVQvXRtvZQxMAyuzaSk2dStHFkRw+S+GByr620srzGuhA
cUypY8b0/pRJ0n5kibDZFnjuYXwDlCPOkhnhA1l7koT0U9g0WOfLfelmWXKlEnU7l+86exJNA7zt
YjX807tvy6xm8bMZ+TtKFBB4wgecJJnhVzcxiUvpjSbFKWWKeX5IEtWJ2abViI3dz7KTyTEuJ3y1
HeXNBzNMNimCZtFBKqvyZmrTNCZLeihHZG6//cznQxaQShqi41M7Y8caxzG4yqAefhjSLhBOam8g
6Tl6XEcfmLjFTDpnOx8znUJ4RJ/7UirS0cs5OHEm3/vILinO1ArqNzpjwG9JucH0sefNsfixcc8w
oKH+UbUtaubr50sEhpuhLYNqkCHDvc2JZXXkMkp5mbFwXh2a1ClRAOMAic8G1O87cFBaHHaraicJ
fYUW5qHhzHupRkHpDTV+Pa2f14pwUKJJ1YS+cRmcXV9iBRchH0K3xntbo64utWNf7zf44EOjGHgR
ntE8PEBT86Jwfo0H/K/mPpZUXsIYprZNY+M1Y+1Z0RW+TbTYKEIZ1pLsT+uilcIcv7BGI//Ej/MC
Ev15yI9kWJs1tPblVaPSYII3fq9vsJVi3emd+lbx9gt0C+1Hn41QsJDy2fWMX14zzymyeIxf+bU0
/tOI9RZDjC0yRcq0dGORQm2mb45RBo3yVqsX1TnMtf795pojHoYzVCkcq0UzGJMNJnvAoiLVfUqf
uI+K6ORAWrIT6bfW0/ayR7gPUrtXGyF5Tbo0GnsrGtt4ywMyAKXgSHam3IZ5Z6cofvnDdLODnGyU
hfsUGryjBX+ilscSjg41a2z9VJGwJOkS6cU+jqS/bXxXJwgt958GHCtv9U+/Jc9JAlV59CtCIKRj
FU8nXtWfsETgN7Rtmu14BeQGts8R9KLNFy0y9BDaYZRK6IeVxR77C+NH1Q+C/2rIOAr8gy/9+KH6
RAjXgHZyUVCpne8H/O9LfcJZWU1ql6r7LNLugElq8lCAoh22SuSQd0xnmPZrjtwm+QYlvMw19xxc
W9VvBcyO2VewKyy1uKWsriH3c3RbeBb5GKX5BYtkJCoTdfHLrEBYO6FnzIeKDni8acLxyfAmL+f0
/Nz2gbofRajN1BQ+6yko9v6YkptFdfkgU4AouW1w7I5DHoy+bdCzYwt5ARg4J7HC/lzxMdn7l3XP
lCpO3QUicnAeNFIamlC2+bBeUVVCUpvyz7ziiGk9IyP8zsa0pTXKzgDBOU/DK6K8vxjU7IgmaTw9
+e+1gMMNdbXI67RHG6cTmGnCqnHLV7sBuXHJMnR71mCHtZea2yK0QsDjzLeqHn9PQAwbQiNIS2Tc
dC5HvQWPqdhYp87qO7mFl99/HQAT/0yyhJooIwQqSyDpsrpufRODRkKwFSV28tc3zytG/st2D9m2
Jnj4RyfBvJSXH3D92O0mR2YPaF8G3q4fVFYVw5ELnC7KJfI1kCg1k5AmwwsswoWgWndUMil3qpd7
6lFZHWxjT4dCZrNHJzLhZGfUHAdMSLgN0B10l2EeRkvXCWuh0M6InbbInvAeBja8lNcU6onHwT2E
P2j2G1SGAN30X0Z2JiPJ5RT3ZuYze9FtigGFVPXuGUIyAQSjjmShOJJhsnog9pBBx6G06lNAuArw
c3vnBCoRzcmeGaiAHXz81YclvAF6p2LAGRWIMignJKQa/MRQNdZTvA9B1rK6DYm4YD8akcCpleH5
SwLwkVl+Iv1J9bK6CpSzloc5/0PDz9s0AbOmuZ+5QFKzlqXmIS7jSCTRrUrUOYwcLn5hz8S+Xxty
vPqP8dPKSaEnHT3vxHT4VsK7LRgTBz7GFBb8QsDq8fLNtyWpRFwS+aCqNR1cIaG8xZhB/aH9fy1n
+C7MMUeigA7B3CQmdEr/3n3uxFlNOx7D8C3FfOh1bVCZmmLKN9RMt/2GnzQoSvkTdopZ8p7Uib5j
cXZm1hIA606iGlfMkbRz3CADMGrX2vwUGz1/WJfskfrtB8GLKUPu9y+puldyQkXByfdo5v1pb+ZI
r03rCt+rbJUoAw72cZSVNReUVULD95X1bW7MVzWfgkz9Ir+ufWRCTEoCJ8brABHpM6DMwhjPSgjH
vOdr5MwqWD4INevDCsA95d492VjAx6I38Mz2mZzHm4xZ0erm50C0L7Ush2pTDsiLYzqYqI2RTDDX
4JF/lH9DYfu48xZ/JnZJwtf7wGwnkXVtyiYRsZ/XrD7ltJQ7Nztz6ZyqapFU4jRkhVPhSekuPS7H
nP5fPapUghjujx44802vjnyw/8cl/v/s6bEAiN34RGKpXsUp3DJv03U++SAKMZ3WgdN0FXhvWkzi
7g5MGt/6cxjgJOsiSu3e5Vi6YYgV9zefHS72PTty62X7sPogivxOLJnllPR12NVrWk8loltcnYfd
Nm3gUBLOWR6lTqd7Uvf6pSZfU1fVJfRUH9oz3P7KQngBhRfNrf6CpzqlCP7zmmMFlfNqVX5rGyTU
RpEaUh9QzirQu4KnytPqonQ1OXUA9pNdp3nXm0D4QYXX/rDgwp1NnQaCtbo4KwqYK76nmKRY3spQ
jqCc+k5l385LN83rxIvB6QQZowHzUUz4Z4JcLpBeNt7CgEHxCSkGzFZs7SRSNgzDhkkqoaljaa+q
BnnJ7vLC+NZyYvScP7G7UqTD+zehSCQ4HN3/afzAldEUC8dK3iiNqUq6mXIxw+4LzupIs2N8Zrf1
3fCOabUJ0HqTUSkZ+SMX5b52L02RcVBx//Wncj1Q+C3xm6JomHn3i5KkY5u9IQdk37Iegb7ClsR0
Ucu62MhQX5FDERRdTiPgqM3CX3/OQ9TrtTJx5mlAftqWO6AEPIpU5ohjJHCmnNlmbXs7sMZREfCo
LHyGzyMve8LmwILwD0DAk8QdgoTBQrTrJjA/2UOcWygm9RT0Oau8jS+FjgSaSJG1nVZWM4fvlCTU
+beFKCN5wUj2OjNpv0rMnftOoohktkxQhV98nWZzboSzjpyi4emAnL2TYadlu8uxKzlTDx8PFLbN
Szjve+08Rph1akx0cZgmyy1mEJndrVu2lHQn60G0OD8TChrUqr2vDZmcPEgkN1CnIpJ1/FQCZXwp
Cj9Yt/pxwpprHJHDinpPh/Ty+/sUImH9hNWs537UOPErR/urweVddbtCfHUk6jCWSUgyf/9tp5WU
P3op2jVUxLPGX9Wd4MUZ/sK4kHc3jjNWmVti3wub5VwfXmGGKH/MI8bn80MYGsFd+iXWk4BbZBw8
L0RB8vnvm4HhUl7UPgVl9iE57cW1f09S0iqjaY8UkkUXI+J3geF6XcbHOfNC5a3anBe4Zk8/wqgs
R1mzyYmMYVztvl8LBHBY8xvpt5cFh8EZW3uYY/NweS+rjt8KkWYbCZYJWenUcxHOO6YFP2GVbTaE
40OXtgklAGfnVsGSUZQ3Zw8Jd9NTMIsxMEV25+8WZr7OuVp27vxY1VEGcyjwLXvMm2ofXLvp25Uc
gGnDFeQp095J7g3YsmfUayTVbVHpc9FGN88VrLVZ3LCgfx6OrE8b0DsPA5upIgr8QaH4KPUps4ez
BV7kgnLlvoYnEq8ivBE/loZ55tqyh5eMkZyEVAI3unTuYc1C00SIohvH4aUV70hc4iad6v01Or7y
E4jl7RvgyJiB/kycGyqD26Bo4U1kPcpbEeOM9eSaP/PowJ3YSXIbsSSfs3AgdCgox3i2pI3+tVs9
9L/1UwnPQ5ceQknozpjbhpcht/YU2MLA1KuTwJUuI0ud7zTb+qBVljTVtpHPzZ1GNY6WTXNX69re
DP52PHdCYdh+exxbHlbYkzrB2Sp8gupPkPXDQC9NyI/b95EozFhIKv2YgXGUw0N+nLDnBMUIF3Va
TdTA0AXu9NNYAK4WCfzKy7mE82BVyF5XnAVIXzRtKzcRzuUlrOfO5D2Qmksqv10/gsy42FoRbo7P
u8N/dT5Y7gqHF91pFeDkoBqH4OLBQdd/qJv9xLTjZI9mNTtvFpHl4o7OoZqOYXmWbRLgBZIXCkBG
C/Fc1cqm3qEor6tjpOPQzbItoKtTpXJM/ZXYESYfHx7VhPdebFOpevTkafRBnZKElVPQOiyb9h65
Dz39BRvOu1sFMeoGa6bTDl1/QP5S3e6N9bPmfgMBX/X1Evveqw2SLIjPgZzPTLeZBj/OOzWR+5Zs
FjbepUC2rcN6+vKPT8AAfRCuDx52UUxxyrlOUkxUUe7GJyAcHwZl5Gh2KL75nDrQNq91mrbJzgr7
Nka+k0D3cMS4mbPWo6hSoNGU7oLuznVx53yoo94e88c/N/KR4kda9gEbEogcCI7xvzQBJtEskJWU
uYPw/Kl+D0IIBl3j8KaVG9gCfWwGcyg9JXBcS/hKR/E4ozSsNYrAf0+Hr9VhJRhQNlCuxFGdYWZc
vuJGPifhCNJKHfmgIJoHTtMTZorBa+VidqrTfkcREEiZ/OEef39F7BD2rOTw97EWZz5E6+pUBpHO
tEg35FI2uk7KMpkLnUjIMUDEwusyYENylaV1oJ4aB3C6KHsozF4V7BHrEsNInTRywvQ4GNKS3diP
zcDtD5ECvpDYk052vf8JWVaSX8aZnyIIjFafrZF1yE9Z6zkxCptoLrQgjTBLMy+y2uevvbTMD1JS
3rOQfyVQojBfh5sgA3om/HWIKycnOG4E4f1ahDSTWczJzM2F+QxB4E2HgPiCFpbDRkxqX/H/126h
6W2STesl1/yB2Ry26hz/kIoJq9BNubw8/9m9wa89VjEHk5dCVZVtLRXXo5OWUGP3qySnaWw8zgki
rDg3H59KkK/hge8g9FijPGtqikrt9abeW+5VU+F9T3wC8JtIhsKPIFDScC+/TEk2WYU3/VEjwXqv
MFX/wo09S7cWT1NpyGPwEPPBPErQgqe0YRqFq28yI9xUUhNO1ylkSiREbkS/tctYTMPVA6i4D7RF
YIzvwsc3wAj6jLgSjBNNfy2dQ8Q12mH+j60V4MDHiXjIzeSQB5QAed7fWp0F40k94ZZnn6zJ8IpW
MA4Yadx+z9MXMmpJfTyIOFHB/jiqZsHNfUyTW2sktTcy0yIQhxqOEkY/Mane2AgWnwXr8oyDS5QP
Bxygweji2lYSSjTcNOz9VLi74YGa06wQmRn0NGXjP/rcYvWV7GcO6/nqcB4wrNE3KXly2a7IWAV0
K2qHK3Z3PqEagYdRVdyhq7K+VN29+xHvY4HAmQgq0frmdcgLvug27RtxGjUZOrvEwdYiT0drve0Z
LNAk+pQhpJiixN9WOzA072AYsQ1/IItDkR0EnZMfDa17rbTDa0/aUBl/ga5FqKSCgqY0CjK3OeEz
Q0iCewQljh4u6pO1RTxnl9yVu+hGHQziE0QdAD2NHdwvNU8qOfijoDtMyjgMQSZXi9NPyHTek+RU
2NJGBAHg7XsgTX+G0+bmnAvJpAw/Xd3yVp0DScrI7ti5wI1M3VDgx49PUEdPNZD0ng4ZP3fqMOWz
wBBDv8VGz/yrB8Epc8Yw/EPHQSQXPn52UYB6uIZKxKLuZW6+A5E8NQ9nmIn6ZdR9Yt7OGkKDeK/p
Lj15s48gVkZvqXuDXLWjCrIH8WCrDpr0AsXqrzXhx6amwnY59KN6uq1SHv1gyEcVZY9C5Ir4Lt/x
zLMRjCQI51grf2cRA5sWdSqNSxj6dgZ4dVe0z/hBNGC1Aug6ojP/ZQCEaWyGZnxFI9KRB1FjgUaM
Ulftrq0CUQXO97TzJWbyKgj6whsO96avIvnHv3iB3AI9YULGPZwlILwgkXetbhCabkajnui0xkaX
vXC4YcomD1pHmMk7WMKlkSTER2mZ4CivSJ02TDMzQo7n4lTHT1FnWHbWUBxM+F3qXHcgF1Xy19Ri
aZWteIkWT4fIxB6FH6n/Yxt6YZaLbY8s16otlmEb0yEtvQKpHE7NUUhZaayh2lAvmJBR4eAWAJ9J
ra/mVEJrNQL6+/3NU/gVzRKuWpIgmA1oUC1IHuXue6inUXkJ7KxYE+lTJWK1CZkR6f9N5dniTLry
7dRHQMEugrPChmodPbUNZt+Hzw8OvvQefBUlKvA9M4HRk8gaobfrxA71bEYYZPpFYUKzlHYu2JV4
ZXEz+Jj0x0UNlDd7JBRJZgY2trj0LxNR2WhZXkTjpWlsGZ2b6waH2N6vfnOxsjqEtkc7vy5sAvYB
uxlR6U24o2QINvE1u5tC9cByPkUbi4mrkNLwQC8YIfy/Jd5ROgqq6cu3WpkhNXFXTp0yZcI7R/3z
tMwg68Wof9uKjLI7QkFcdzcMTljsDrROyeNaXKhaKaV0CWcqeI9/qoJw/unDc0nx9wGFtgC+Nxin
lZynmf8tNSoNgeTxSH3vhvleUAURSK0gvcPNrSu333Tau+32yXhsZJN21OW10GcRbHT49fKy2sVc
S1wbBpid2ySW6nixpQOMOakAdVDqHjJzQVwhHcdtWqxRfF01pcGNaUfDIHKfNbSyNyZy6BqyAaso
c8I77ubQC9E1/ANgGHlicvt3n3aR2CIEo15BVhHnilhjV2MbEWjqDmIdAqniWsRDpI6W58GapvUY
2iPYj1m+K6QZ5Blm5tT6b2o1Y2XSVjVqh96c+oCEjshxcfhiibxDZTPUy7mn9R8slPY7+WvyuHEs
8SdNMK+iSxxPowk7E8o8yoDdS4AVvQe072bYVnPI54AKynUXR+ykqtevcXcUGCcpWPgKHpOjYZB2
Pg5ry1zDzyCiNgm1FT7tiOS0yYOH9e5umCjZvheDjVR83jGAS5JKa8zGCsC8FR9l7SycnR+mE3M7
1ZVn34JhqKHSh6Q8L98zDEaDOIwROHAgSI+/8vcrtb31GV3DlT1VM3tFbmeCn1VsyMjOZOuECUO6
wOqHWYAyRSuDutboZjH/aSOgouiiC2oCu45dbJRUsy+aDbF54CmaYGo7paH5ZyRz7C5Ljhna8h7M
rhUpMjQMvqMpxdupujRttvMa9YB44iHCNYhYi/kL+eh34Fhl1QQC1hkVbAoNQJwwA3KE/ypFFraB
bJrVGGTjm+SwhfXcuEompCX3XU3jkLIfp58ryeW8W5mYEOJqRKL4khs6UPUGACs6aHYktlCS89jl
MYd3TK9tjWTzViRvZZh0zv+mljxtEn3Bpx4uSrbxN6TGCHadswnwcmsI8ZTaLyqPeTrdKMFWplgF
oO/JX4D1D56wIDely/UXBhiqFle9NpfdUZWvqO2iWBdOMYp3NlWk+SqnXTJNoVVX3/2O44pQTgvB
E5waQqGashnB3g3705yrh7oHka1qY010M4vsjrtsdIUqOrZy14WfXhv6Y7ZI7/nlCkPL2L7SdEg6
8NWyRq9xhezu21B43igFMzKObc/p00NDGJ5mqMAHwCeXXhmgAfgCJt21qU7MmXZDhi8MWVljYn2b
JmUyZlrfGx+JCS/nkxmqHZN8Rs7XMhsDXwH3BCFiAWreQ8glVTnrvI4WBAUwzLZqCxttzRPQPylS
JZ+5QZuHWP18kL8AhV+JGhG5gE6GI+13lX+//L7MdHcgy7LUmhecGRArZfNa24J2XFORCOZUUUKi
umAx+kQ9QXptDq5mdh0U8wiS4dbUU1cDM1/QN+TF+9BCCXydZcSMlFETYKUd29238geLo1mjc8cJ
oSpyrSQRdgutUsLeCB82Nz66L30xeBezFQds+LpPAztPn1fGCJ2Af0GwdCjXjCjfNsmZRodcjZ6s
b9eP53GUGGT8iWqiKJdyT5/Pf5xX9t1DNvQAlEY9BrCGoKsPpe6t02xKMOLJYYhKMJ8FFhcXAxSG
6tuk6c79aVmJ523WPrzWd5zqHxBndpxNxHKxEmSq60v3F3CW2jzLItbs7aIQjsfRlo6okhpmzw2a
pe/ZB6pCofJWbYMsw7lKW/ZPLTX2WYjmIVpRBdcMCuCpUlzRILrDV3++6PTtuzCmHJCDHAUCeIZ3
LAP644rC6qHcH6Hh5oaPhNwYaQRhsvr/Qd0//UY3KBTPw60mpOI1Jz7PUE0QZiTiaWf6owgav47k
AWnxecDfZemV3iD9g7B7iQHDrFxKro+DtktPpwZTeMrpS962h3mrBYuGHuEkt97ISstGIfRmjuyb
mukPe7OBFkqPMpRITtT7QfBvkSQlJVd6nJZsRMTho6m0UXFyIxl5J2/qdUUqoPpvL9vk25OxUtCR
MKNXoxV7wpAdwFKevjAIwT0XO52DDo4oufWg9Vgv3Ed7UDxGf9Od1UwutUb1Q1jSPVGbpdu/Pbym
QUYJO4xM27IHhA/88IcRhxPWMCUGQXhar6iwlSCdlIXdk42guH6Opi83V4aTNK9jUNFAv7Tfq4YJ
p/ltQNpIS/tDQc9APa2fPej38eeWFx2edwLOymA55m8er0JBc7e0r7gn6N9DYIH9wF19cvNOpHUE
0Ky2B6jI2SgRpbllMEk0lBt3V/L6VR97XBSNIaRlls+Gm3p1JStVMr/739LEUI4HaTyFbN1pPEcW
g27PHWfYzOXClR22Wnu+1WjXfFViD3UnHZlCeZl3RYFrRbW4aKaoKvVGbmHqCPe6CQuc5QDpcape
D+JOVzEVTJIoBI8fh0CiYBQqNz3BYbbFOF+RaTFELJNcC4JGjxWM2duO/HzEKMWYNMTxkIVHnENa
VeO26bw1GBE4k0ljyda0cEF9SVdK9PL47b4OCfUCrQFKCDODb/dz0GJU27q0xN1W0MHNBawSzHdg
G/qnXD55CRNV9sBdmyUi0+qkssQ1J+307OhkXihx0X07P4uu1sdIQIKYsqKwsDtGLcPtnMbYrng6
BJ5To82yTE/9Zzm4dvFJtadYKOvMJwTP61BQ66DQA44CAyUjqo3j0AiME/dIjuxlEja5DpKNpjdu
/j5iD06hBBxyKKzbOcsIUXGm52I02AtwE+jHuJzilKk8br0PwOdIHiZapZBIZ16z0Cbuqyag1YRF
JMYtq/NGoyJR0l+635myeCZXOPPbb1np0PuurxOzL7wxWsUuiEZcyAFANz4OpE8Rjz/HVgEXLvHy
gF8QpxMgvw6hjS63f0AErKIZj4w4RgB03Lck8sMSSlXiHkg572bi8b+MsWg8JfctMZUJZpvLR+kn
EJkutUxdSejUt8GAv+I1MlW7GTAISWTJhkdH9J8II0AYaZYoNd/iloY9iK3tZerlHhJD2ZBiTtjc
IMLgOg0nwWyhRYnys0xCSIqV6zBbdfZ8UokG98dE39AZc4FrXxhVpOiPeK3BMs8TS0HmjbMUN7Jf
YBOMZ1QkTVFEJ4CxtjQ+p1zuCK9nvi2KHLqo7VCi6x3clc1NevkLMyZ/JZGULIwax37GIIBlBCot
ELIeNlhfT0RlcIoaXHpVRF/Sr7S0wQKYhIYdJn0H6Mx2n8LNTiZvMebIwXhDMEAyb1mvB5ZuFQKp
rAwlH/t3r13fxrMP0viVpulIMO3f7yTsH73zXv+xOd0ZFEzW8A4EwRI3GiuA96MZjXiFEAa/hjlQ
S4OQcFJobb+Z5BiEp6IgVdd9RPCGXTAO/uz20P10IiwGj91cw4FVOY0H0Bx88On8MxfwTBK2b3b9
7eFRcVF4lIjgjd/2JguCLHyK8ef2lOmnnB2NeuYiyv8EyjhLTx6ibwdqpOJj/FuEW/3YtIJ+GeWk
2qdcdq3U4Hw+OPzMFYIAeJRgSghBTZWJ8e4J3ZX94RWOdvFHvlRrwy8rs/WDLxOTfrJGou8zt75w
ZqYt/TX7Fvn/czPIRd5CJl5uwNgj0rBkweHKmdXm3apyAitmPjLair3Qgu5lZ1cxhaLtASSCogmK
oSmWacmjCaITI4Kr0bDQSK5h5HNeHtDJHdWl0XhpOQnC9CRMNobWk//SQwV9nQ9xDyzg2Omk4sT1
4uKZrwxZ5GLc9sFpHDWLmCH8KeYz2OT794pegAGSQkIFPNSXMJsl4ni2Yfq+idMAykys8Xl+oB8n
RfIEi9qbmpaxIxsYeangaR9ymdF1KxCDQgWHKSOFAuPnQT7Sug5viYDb4+7VcDEBMtShDhdCd7ZD
k0mZh18vhwcIQ4gQFwNYgCB4brky05HaceuCgBVLHAjuIwD3LcFgcZPqsh0hgtLxisWXrzUWwvFa
FRDP1VlvGaIu15qKyNmyun6OmKfd7Yk3HpMzrAwB2SC9tKPS+udcbOYESBvaP4Z0MXUgQy57ewga
Q7fnAZw7pSbsilJEu79M/2S7liUNrY3W44cwfogIYobHCsfMq84lJa8eoBobBvc3F1eNA2KI9BAM
DmR5dmd+DamWjIGAYSphKdnu3GLZUaTUKqE51xwG9+jGW+O0MBcxuwu6wxyhx2dtjrOe+0oACgO5
F9xaMMfNrxMfEsPu3lCp1ibQCe8xLjUV+QSiUyfK95JErUoayhGckc2FSbQQPJQSLBFKZhoznnNT
z41kw9yE7WAA35MVXWrwSftdluyTdBfVoAmCAS6bPxMv89FjPLZ6JUwnMeQv7i2EflKi6Ez4V95W
Qn87R9E1EeWsQgJTm6xAOHgcPQQN52p+Gzm+FHSU2z4IvqkzUFzZHQBGMXYbYVYkpRQkJARO8CJN
XpFbeizPPE5n3OkrbElCu2pUPO3tUuNIauMUp/maZ5HOjUqvlfhVJX8WLAwMkTRIYKlnzJmUrAC2
f4FjuW7rG/+Qop5UWg+dnRMWKcbnvdmCPGsP1aCXv1fg1NaJCIjgeDlNO4XJBoZ4MFYrCpyiVw0g
f2KQqfeurkLaAdwg0RK43HkkL2dx+nkszshDULqHNmGH8A/d+9e/aA1kljFBWF3vBXCByiZvJRNv
6KpjtUdSyHUTb3FU5dcHiVXr9E4Q6NIjKrjkOMFyA+r2U2uilBh/WMwaBEJ8eFwcmW+Pny91A+as
9/wb1Rfxk/6dIxggVhMcpvkAF6MHfDVFh3WtXFV4YptwJmM9Lmgfrx3eHhs4fezkg07p8txyYvcb
SqtVJD/OV7Rw/lCUC46U+ZMx1XcJVjahDiDeNpSM5O7cImmrzLB3tDWOr6SOjftv43FetwbNIMg0
lh6OS2mGzYsp5cDPTkzn6v7nwm7p/W9nPW7dB9PCKUolHkmAqIL4tIVqpBo3/cRBcF4e26PxD6AP
JbT7MqVM8WEXjgcyPxXTrNgYgbB+Du2YKTK3JUucN4C8py8J+cIU1hWWDenaZMtqpVDya6aZmo84
uho3t0OagsPLfj0EYN/nlrQXFP37J/lbbbxGbQvFChXKxrOLsegt4Q98I9SU5u1D9eXYzxTPKJuE
xTzSwTyQn7th4xiU3ZcymPbQWeAIIX/m4C4ULnM5cFgvJDW1pKm/SzBXmipKq3WCRldleYhsxFna
hFJUC7Rz8Xshglb0aSmvy1xbWLjsLzoJ4olYJmLAJfjkg/FQyTScMq519Kt27rn7YFiU+arYpMSI
b3encIDNiOOyK4VWzE8NTwX65b7MOC19huQOnDLyuo9qJceO1WHdrJGP3TGWHEVJLnKAsy1KuJNI
IE5J8QpY3rHKHEjMZ+VKj4pq0BuS4NIuO9r9yIHTWrwMMHAENdFXL9ugq0c8QwDlcU15+2qH3zOm
r2FtzZZk2gPyw8/Y7f+o9i0K9BvqG7+gujgCWKLCGRMZqhjGMexShYLexyw+uT1vGKSsuWzhW1/S
lnXOQLr/cO7Lru4N9KYRdGpFmaOD9iNKtOhez1I7gEutvtiUkT8voASPaYA8EFlowWB0baj146X7
MWzALvvZAqkCmJVSXgCodA1NPHKpJXkl8fL2LBg+IYhnKlbAIbVR+5jVdXaU8mJpZAYsseTb7n9v
BjutujuhMPfqCE9grGTdm13aIeAV4dQbvUIK/jZ6dc6PSjH0My8kkt1VAmDi95R75ha9RG3VnSPu
iVk20OphUGU4ee3w7HwUbOzMMBoAdEJvTVbuOg95UoVApk2hr+g1PNLQ5G082tM/NiXY0GvyTL0M
qayImvLF/M/YlKB2kHGrp0A4LwjprY4sF1NRkCEZvFAm1DSYdQmItE4VzzFqLMeTMFCczftESa7I
Hj611t59+DboP99LTkOFSoVBkgjRKyKv4OWLudksIkefFtfcCSuP+fhxUb0YseeqLxEg7hvN275k
4WV53i3Q1r6IZCm0ilmkTigALJk+ZSaDesJDAV1qljfd+O1dL+r9Hz5Uv9mFVutXl/dvnzm3cKD/
dwTp2p/XBujLTUzNepnVJ12SZHRULSs3uwb6YKjea6svcXsVZsbN1l0ANPxCDyRYQH/IVjGn2vFO
6zzZnbkd2suK8yPe+3vF7nQC1wkpNvZ8LVNI4L8saM/9B8vShdWvxIFbqCYzn6I8GI/QMKkDqEQP
UzRz+CMocqemrRiViTH54OIdWeikaz2s/P7opJBeqHbORZG1pCfwecpv481zxwtcj+7Ea1+DOQau
PPGiz/7xcbHlHUhyF3BQSMM+4WrQimR6z3XTjEomDOPy1vWyRN20DSVAYftO2LBCOOz9azKRlDYn
VuKCp0ae8/omFFywzF6nDw1FXl+lGPZjZ1XBntFIZbpDWDy3cF/a/07uIz76iOasproECBwRZpVw
9kAd5wv8RciGNSdBeq1Mqf7LXh+8kCFnMR0F2YlTa9U6ovFKmFOfJkdoUj4FktHvj+0rLqS4qaFz
nb0wEnXFvxxGJRBLkMAE9sBq52q9H530hX9wfS3q40oLzszD7/fkna0MBjUoMZjuh+vvwfINmfwk
QAvEhgleyMI8aR4Db4C0Z+mM16Ik4ByAFzV1InXem4tS2ymwXFU3dF6ya5N6IqooO9r6+puN8RF7
/UNtf4FtE1nEN8xqtvscPL2K+g4/Wx6eKIef/5p9GkMUftAZStsQ1H7e5wi3Ra1U/I5O2C99bQwX
dRRwXbDh8WxbXRhn3ab48Uu/mO6dahMtQA5OMs5oPafdOZzh9FnwZrNfxSCoZeZabh7tARP8jaHF
cK+EICJ7yfwyUKaRbE8CsFcI8RcnzGSAAkFugr5VD2QRBg22TwiF+/cAnNmHfv/fVl93uaqI69BX
kB3zaM/N3PPaCL8LI3CyOZudCO4cBK+ohhVp/0nimoSJelo6hVBrmzStlmQ7Mo5vhNV85StO02VL
B7dACdPjQepmfH+I32fJXsK50vM2rUrpj1x2j9EgskG4kzRuDuewLsjpjOUE9DqeWKPW1XnOZyUZ
yWldc7XGtHOFKxeL8vPcU26fn94qPv3y5iIO2qUzlgWNwhcFRjWqK5lNZrnnAHJhhiSot2pBHF6n
kmtADCaJrUU/9YxHgdDR5A5VSzh7k2zAUWwGOMCC33QoI2o9ksVg5ODpB8Qk6mc6d79ry3ZFknid
zZdfBzYmeq++cAv+qy+Ljeveg4NDhY3K4JsIy5lU5gLEekH4RfzcdnphM30I7JiflD8IPM2FxueI
JV4DDFtdXb/o+EYuWnQw49ykOjpP1QEFK/GQc2RclvI9WiUeC8o5qU0SWPBZ8YrW22FJ5+WxI5Bu
/F9x/y+7g6k/ACKl8FsUDAWZKaLaVcUFtAMnVyde4LEuBBrxYRP91qJh+uxMraLjRhnhiNFWdnFU
J6U7UVyrSZJApbH3Dz1rIZNCMT2rt0onwmQsWoSdc0EbxJawjN0WnUwnMM9pJay9IqVhUB5rWIWv
VWfHm/yn5MhtWLJptPH360r0xC11+gOEtuOJB96qLwi5XdX+2XV7kQ/WOOVB93elWCz8KGLaluPy
+ikhROAqHa9vtY6hkKJ3wbvGCUS8Zbv0xV8NXncoQQtJJY5BffXrd5J6vsD9PPXPElwhqzNjAk+D
NOjRYa+poMtNSW6St08EECM4TmiU5J3tQorTS2SAUH3L6KVGyiROF4sfnrZvKl9v6FM7B2xn+aoU
R1hanxijjJIgCvpL7fTqk6B65REhACUGI2Szi9XgistvtAdP4lfDsdleiF80lP9bVzVeUy03rT5Q
BPGqyt/JoxJgJcCNSzN04P3DU/zJyejRnDjp+9rzCsp6PNnlEpW80pcDAmpC+w1wSF9oLO7tv+TL
HDTftS7t9wPfS7tQ/pc8IWWvfziMDMHrEjAoFRe8rGIW0VFn7LdIg2Sdeper/J+P8TqI5VuELX6b
DKs+cAugY8YXJ08dZXQ8wq9reIHIthTTNBbQQUp+Yf03x6bHGBIf6LnSngmsSJRJlBQEcVVLI0wr
DTgv4yvAKaeVxAuQmlwiFOQb9FjxgWz4SNqpbEuiqRbPW+k3I9UNdHkdZ127uSjDNLsCiVMOO3WK
+v9ticE1C+CgQ2mhATAFxc+NCrKtr7otY/OV1LfZcGOh6lYneCbrUcWyNw2JrzFwtTu16kjEXXdk
64HpQ9b0BWxnsxUjf6wlEOjrBoYhBjEW3lPYl0b8nd0b8fvlvM2pdX41urWY1kf+NnOzdgwNxT4O
ezuBy9N7PbubTiIE+1CcAaKgZx1KXXoH/qnHFQR/udMP/YBX3LElPPUGKJ+Qe4SIpKO7dhY3weVN
KRGCmw8a7P3m4exQeFJmOUYwc+5OAP1sqRhXiI/FB9HxLscLo1LwHoPAks1uaahXgf1yyr2FQI7g
8qhkTfyNNwPod/C75BLa5U5Y4ZkIDV+3DcNNEr16idqBXqDY/R2SOL8Wa5X4wb/LIEAUoLx1NrhH
MKvCE4X1Z+gDH0vWaClXotWqxhjQvyP3pcVLIGDMxCE0oQlF3vmHldXi54JOBck4efxK8xCqkU31
b6UUPSXFzst171J6g5/leeHZkZJKB9WD3LAcKSIyzdZJOfqcRHl5W0dAj8nu/bBPK/nagZx8kjfW
U+LpXKBKGHfaPV90pWz7pbP7OwnlBQLqWYHTTFpIgNTJWO9T9LDrhOOiOsChn/Y1YX/DfA9WwETd
hAQASsGWihaaHzTWPeY4nLwZ9hY5VQIu2Acw+RIvb8NJs859nCzFWWJa6ndXE/KTBycPpEWxUB8D
XY52FdLJAnsakVtJeLnLGDXvBseRupRw7Z0rFobd9z/FqNOU1z3FPSMqrjGp2jdEYM7TFpt5qx4I
AZNT9ODQ8Wv/kfe/0pYKeFat5qFgzBaXsEVrxUu5SdLpYf9CcuWLn+M6b9/J3vUuPtDKYI1cHKI6
sJeBWcOzgZ5K8DiW2wWT4iHNQEGmg0/hDhQxJtz7VYCkS/Y49fLLXsCfb8U4MDvvGJLOwcMJ28Jy
Do//QoS4Q9F8uDbcombwSFTkwYIpstG6Diiq5m452f4KqWmRZZcbg26yJEp2Rm9Up8zuuALrJGjr
ZBVOps2Szz07CrDfs8LPkD1YcO6hxT+bLR4FJoQY14smVD0DKNSYLUJMJNlEXTDg2g8nTkWmlDKQ
YOZQpPAOTU/vgrnP2KmJ0Ux6cjxHXFjguhZdXLlpuRsis4tERHNPSGeiwAGQ32iNdiITIaEiH1aC
FdDFSSvBJlQsRhlOD7Vwk1mwVMqZDLDadPRet8A/eLDpH+t47UfyCYj/9VPKhg6txf6eXJncywHJ
ZKl6Uacu/vBdxez3hMuIcOTubMzRz+wXVj2AXqHY+TSgaA/rPR8zaxMak2X47yQ6JlPqAsMqMol+
KTsHCWKtp/Raiqb9o4sueuDDWKCzoYBoSqFRwt58xL6OG7cv3HTzSmsZB+r/bUSM7Si/FLeZqPMD
MEgMHAQHyyahwo9VcAVzZpMIjLxT6MLimLM2qCVDTJ7Pmc0rQcaujQTlLPqIccgs3UZGpxNkumQL
ZyvUvih0vsN5zwUJm5T020wwvy/5P6lN2dyNmL3Cy/5EqSjT+H+FH9Mpb76s7+0kkIgca9eNWMhM
gom1gwQRoaqQ7uueuudYo05lCzDESbAfht4PuhUMS2x1HdbOigy0S9BnHv2AZusgNH0coIVuFnNo
LZAB1MDo2cDt5fI7J2bnSxDHhLQf0qmtj4nPTWaScKQTSWQN6GuggaTO0uGuFAGi+fgX/zCY/OoY
JOrr0m2ZCOmiIQgvgb91ppYJIYA9sH2D5j/2TGjN8azyekuvjhMySy4ZR5XBitxcFjZ0+R7YTyK4
lNNYWh9JuSg5/Aj1NcNjlPBw2DODezH7Xr+yRW+vvRpEtgtuvoI5ufeCmJS593TIMHfr3ncIKdKz
DxV7E2eGXSP8NJ15lr7DrBaCuLjM/QWHZVHaZ1zJKsaJ8Wg6mEKw12ox1uuR/Zr9YG8M1w+0GPUh
DEIjQLnmy2V6aZYI5T/Qpv4MDR1kE4bcKpD4Hqauv1C45viXYYeV8qL0UmbzMxqn5EGhj5YIh7Ox
9y2KPZSlMuAfVsnZ5ro1R4uSBoaJxUdoKJPDfiseXjyum531e7FIOGrx3i1MnUjha7vEe2xw7yLc
bMjax2dPG0PTTDybn8kNeSjQheGO3zo/sqepSFbysyQgciH4+Ntofr3zYAgZHNnKpk8E/whpcibH
R5/OzUE0HLaPK/6kLwQmz0TBhmVV9/4aLJW0B6GrjcbpRk+iWcFkhNur+S7b1ocXuaGhn2TlIGfS
ineDsHC04aGde2m1aUWFxXvoezyMJ9PULDswaiKE/nS10aRk0B63PyskiAquJktK/Y7Pmf0mOK9T
Em88MpYlJC1l+fZH0hafngWVJWdviqDNoebdd9KQZ3nuM/njkY4NC9TJDVlTCGtigrzNrWwlYOyb
dKDtj1hPhjixbxbm4/nscdNDwAX8RvnH3i0ZaPt/7IMFS5f1jQvb7zynkPLdZBk66gw+0iSy0X8d
SDsjTU7/MPitA3RagSbt1kidrLHHicLPmH6uIPkA+KjbtZ4EmksyA2wd2I7wlLlymhykMO1zGcVL
P8Z4zWNGIR9lvfjMWD47tm57D5WNyVrqyAGX6IZPQ56xmLQVBCIpRZLgMTdlp2W9eAhGmIvuJ6Jm
lV2GZHhpDY13TijNz44NCfYwH8w9rQuIOdNonlpjH5WzOYZB3ANy+hu6wWyBEzhlFgcGwIBNwbyT
Isud+xFuMhq3S1ydVNRmXu0qNY5iryy/H7Zd22CQTn41ziutpagG3deDTNRGNedcgoVmI78FJxtQ
evjXnvBlf7+ggZZLrr0WxIIeUEBR9yEw8yAF0yDrxuDFGYIGLgoZcHSWgu58smUww70W833ud1Mi
6Udz9Fe73l6jIvNiNERVLtXmfmxNrAoKDRPrv9+rJTULlMXgqzogoKNewiBMU4HgjvL1zO+ESYiH
/EEpfvASTlbf3mDtFzvmxrx3ELMwGYF2DT857gEoli6xnyi/U7l9huAFk40z4zBIIHDRCRY9HmpK
NFtCGH0yn6/+DuDJebDAaXhqL1Tv+ODGXd1I0OkEwXG+gexVKBRy3quGA6R06jE4cwBrMx0Qm14o
r/kL5kpFGZkA+KZDfcQXJKAK7cbDXQKZbyIySmz/wvh+Qn9Uf094OdWlIAekPX1QpHr5rEEB6Y7b
YiYsspmUm2S8ucvh/aOc8TMeWnEkyVS+i4ztF5x9khULzi5JLUpxkb8HueawvCMdwCoxH6aCiNCc
1Zx3g7bEawu1LooMlxqCSh/CEyjLWVpVeHcwaQipx676jQxsFLhqPK8H9eoNPkkjE1mzpJLbnWPj
oiQ3nMR2KKemQLBD6ZVzXdr6jVSfFKXIXaR2AKCUbpKNOijqCsZxKFoR2eCGgBFb45n7KoIxqlCG
6HNvK5ZU7bvtLntFtOISdEae8T7ScHFpZOiKA6hofVxPtV3rwyalHZQ+uuKJVwZxPLfrXaAgneTR
74IvZOZ7f9wmpJ8zCM33wqk9ko8Rp59iy0dLHbYwMFeWcFPYIfEokuQ/jY1+qYWluO+bSPbA8Nth
rP+vjKurtWRKXOs/0pZgH8kZOJqUI+U7v8NCxraZ1+a7AZNVExnBEgMN6gCqVMsv7KvpiTiMjsDB
ua+53ZQTgGRRn/myaOgogYVCzMmFMo/3ebpXvLjhGZJqTPq9AMWN1WO8CKk3MhhvzZ8hpLx78zzv
d9KzsiLsBBN8rlXxUgCGO0WOHt4u3Buv45cxq3kikKO0FGDPR8xve5KZZhR81u73j5ZPq7xFyBMS
jBGiRQEUOfv2cjjgVP8plp3BzX6ziHQE5v+xgyx0oetbUNr86s9eVZETy7k+8ZB4tP+DrnmweWLP
2JvXGhotp9/5aCsYmFuSPqt2a0ttwv4tkeRObKPZdkO94YZgdcMsl0fh4dmQFcZMyghNGAQGQDtA
i6zn30AskZs5Ia3NGAqYhznDKWWeusyzGJVVkDrFnbvrEjX1kUXFdaANft9wzs0o4OaTCvAmLqAV
j/2CyrWP0yk8hiSKY7T215CDA6hKi+JV4iyDcGt0Fws5dyVKenGzOnJ3tcRhr3uhuLfCHa/Irm/e
UMR1PuuZPzRaGOoHump5gJTwoQvEz8iB1EzXfhYilZL0lYNTnT38MB/6HDFiDW0gxaTqdUtl1Hxi
c8uMay6f5wPZVO4b932kVcG4W1VOTz3KXtz7lRag5nhg+mhxxwcfAEDfAEs9xRNbc01j9dhj61y+
oPqwtvd5mVJPjgayFYp20gSIkYC77Uobn6TVooAHaRjfQtNAGldkM99VMMbtb3qprJJpHnPQ3cJi
z2whX6Hw8QEqPKFmXAn5S9OVvQO0UxSRdGrmvyWeiYuzFZY8lqdVB/yRmSYWNVFSj61oV6Ve10Vk
mX0p9fsLjlfsqL3vbmjO8Xiebu8c4q3BVjRVbkLaaAipfbzfjLVu9i+33Qi/GVI0xRjaDCjhRsdI
ANK/Jt4agHEZ5ICKVtooGWbp+f+3XAWoNrdYzdx+LACpjpt+RMU01Om8XjZ6BmhFFyykqz76G8+o
0NbKdnMDYRKzgilxvtPKbrBSTRZ9gdvDiJfxeyAm2eezziTfOPlHYPBMinekbj4kS0LLPZTtMl1o
KjUVq8Ctz/m39dI3mTX0rqgdR+hOK1rVqJ36Ot5j4fBxQmuzXdIpsU6GDSRSl7l5XDIUcSavgi8S
f6cQU3xA7yg8lB1WPgPgiuJpDPOlbJSFUmci7SNI/AhN3cdnNwOw+XE/6jpuetBPvKVD6sO0/ito
A6C8aU/cxd9xeD5FxuuDMkuT4DRFK3PHIuZ/Tpacto3QHtHdS4Z75JInqtcBMPr21tQwt6kAcWGZ
Mp4Yxs5qveYYx0gLtCLh8SU2l1ZC9v0MdSoqMjFaR0rTe0Hu69npGJSxM8r7BRgUU9R8M0tql31h
H3sKMO4rw1n1n2QrgJsgjFAXbO34U2AtyBcXWGMf5mFUM79gdo9K14guvURpFsIgIKCs3vOn6Roe
Ncw3fo8aciDpRQiKcMnImQZHlJuYte1P9SHsLeGaIL5qQrDxmrr3njEIlJI52GHVx1xNqww5Q4cV
q/Fk7SWWginCgcY7K+ZyFCnnPIGt2kD+9vk8ruoLzL7LQoZaADYstizBJ0PxxrbI8CM7XQVfgR6c
yzl8gaxyqblqW0McoT8Plw2PF0UatcYnFHR/uebbTm907wTBdscAJQPzA2RR+3i0svs9ofHGEdh+
oUy/dJrG6+O07AKzuOJ4SQHnZ3WoxfATcCCTrCQXTqeX3uix3ttkH7kgI1xI8pRozVE+5xMDwhIs
e/RGrmlkA42VVRRkWAMOVUgb3ZNoXmy0t9JAy+5RjkRTS7cramc/w7gyqxaJYlOO//Fr8lLBu6ds
HAro2S1Ygl6xKvskChMs3TxTuz1JRWIax3hl34n++I7NZy+ugzXzaJvgnYOH+Mg/VdzU8806bSDD
bqeNq/t1CPJFUejUJJK4J/xhmUsprNgzTHYVw1n9GqU9Q1zKR9SHvL53T6jzNyUa6kr/MMDHDaIi
ek0u4gxEC2LUU4etS5PgVGc6TFFogKk6sW4cL1PI1XqlI+l446UThnRHH1iwLn61WNK1iUjGsKWE
vapJ1QsV5VuXlnf9TkaXxVSHc2ksqsY8CC02vCzhXPHqQ7oS9KLeqYzFUnNlGwzALY0Zh601xY9O
Tg0OCLshq7Dy2A01KZXgJ9BMzbHhQAHVUlxyt7cHREjg9falsEPT79fQ+6iswriK03G2sQr77RV5
ZEZhli7EOV2mPT8Bjog4jFlCBSWmruniSbhvFzDtrKbQVkdDKZots5Vs2uyYjN7TCx40wLaRVXSC
LPcrGtiHUQrS+cSJQxi8Qk0y0oqPaxTN8Q9qxKueF6t9PuY27JSj7qFooV+u0/097pg6AGZV3qQv
PInq5jtZUc2iX3ZF6hJ0ZIdidXTfQNmfuhjbcNu4vY+saZRKHIQYE3qdeWISPwFKMA5iUly2g5/X
IcpfupVFfcinEZkT+CD85sblbrAR1s3UouMWH7/ZqwBnZ11Prf2ZgZcyVJjZjvLTrriEJ1Q2ntJ8
wo/PIFQaXVLGovHWJYVO3lks6Fg/KrgnwVmABro7R9+ccZhvQ7IzzxTr2wz4ZnOTYxz2934kGxQt
1siz+MrtnYhVV7w8K/1BzmhlO3T4SNOgjTEGIuuNsFZ1Nwwl2ggcmovujiPnJqt7QL6McT8H1rEP
WyckutzrGDlJi5jOdzQ3PvBizkgRpD05yzxOEv65gYpstkGgGp1CnQJGItFoRV1jJyqykq4kXKzU
nN7Pp0cj6kX3VD56Tx5DAuPaWPpYxSIg2V7m1njWmP2S2kmHtBQSlEHMGSCqGUXadnRhUHSDn0ms
3+dh3kBeNtnAo1L5hOH168YK+l65zapCt1wk76NHbcFGBhCOmuDpbSBiaHBgzcLSy+avXZQx6HDA
ZWIU3azqLYoTe+VTyMSqn7Qv4PnvyQOgg0kreJ8e1G2xvZ7G2urky2ovvzAsIwIhxh3oHD6G8yBZ
84UDta0EumjBQ0wpWpjDArygQ7udGN4g8lN+w8Y91wlZVQEqSwI43gEcti8TSLkRC+DqsjrzfQYn
xnGiIBI7qtB0gquB6rb93KqFLhBHgjVQkcJDoSXbHLoixuGU5gnU6gOQc/p5Iy4J5NbfyAIcP6K6
WnkRP5ZDKI8l/ooBBE7MNCh76p6SO/hzLEdexvSQnmQyoxS0Hcuw0/O0LddyDr4ZzrCU3I6ZoCwa
ebetS4PUvzVsI+BUD7Z83vqWuyiYzAWa3TiQA/vApX/LpJk+bL9D/b7bTF7FcQ/ax4WeD3aJbgUX
ICQ6DrjR9ui5ho0uJXQMbqn8i6ZWdLyzxUu1KtUYmbCwVBL1aoxI9gENY1TINi7e9rhYZDOuklee
c8k4B21VarNm5NAcFsaxrENyduLbrSaBwqMSpONDT/kAttxCmgA5DpzQRMv0637woiYI/Khs8M0q
LtSqnLT2FzKI+Vm13cSQSMOcJ4VWm5rW9XRrKJITbKkzCS96kqd+9JLfycxZy3SfMYq95D69TtUO
SVAdsJKUAIq+EexzyOkn9Tf+89kzY3/hqteh5Nd7NZzltU5F/XPBiFn+02sSfpH6tXLSoIyaEQVV
+Od75FTL1LWGcW5CuibHu+RO/KPJCr+YtqkyMEqLT5zl6LOmfghou5Vtpm9LvxnGw8ZPBnavMPGh
DxhLhGNvTaEGKpkWft5JnowKLz5+ieIbE4F0B/8xWn4/xhb6+Nx4Qj//A/wB2443stchAI+j3ekX
LPw/Dw9bdXfJE0pgR4Ej9UP1kj7l+MlSNXOyXOrWwDwz9+C673qQlQHj/w9f/OWzxpymOZD+9jCI
AJSMcT6TAl/t6sxjOMeeA1wrG3m9UqonQsXsrCCMxLngenXAur4OVWdH/u+mLkm+Fn3ExnglYZmY
Wf3CE6vNo5l1nNIZAxRKCnsrNbHl4O+W8ACeIfgY5+ozTV7ZIe/tM1wGWWhm3cbAi0/0ro4fq2CI
hL0z87xSIrjoUUXSsG5C/hmQiC3Zt7HJ+XZAb7L1UnXPAka7HMHOy1Yt3PtiUzoBag71pzlkxu8Z
+AnO0rjRmxGv2b8na/irkLZ8JCbHbuRrqbXAhA/EJHcKvHP9/z6mBY8C+0ZOnT9iXEIepxpbdTC3
D3y7jiN92pOxB5Oxlvn2rezqMTbROnQXoJhF74kfVTv7O6ZNjQuc3lGXI29S1KyBPByR0ij7oxuN
uU+DpCQkDgl5wumTGuCmGziCK6vYhegYw7Qbfo2eopOdDNLPKgMY5IEs9AncqyMws0JogldtU41w
KTW9wsV9VQIYD2af8e0Z2rjja4fa38eqHrTjgzOaWeLgdRUcoGWpSBVo6YIpc3qTOH1Xk+ecD07/
eDQl5c3v8HoJ9hc2jBw0G7LJqOPhXo3r9+rPmcyPOzySSCbFdzZ98qQ5+APZFKF9J9Sh9YYgslIb
Pol2tEuylCIiaVYIrkmxCwxv7d9I2B98ad7kSOH+Fv6MeKldUtEF4mGjP29fv4oed8PwFcqWpnO+
yWPnYNlyXOMpSgsyfqneUkj74iBFgvt9cAIMJ6c1mVAfmucYc0rKbd00IUAvVxvZef2UciXQ3thM
zk+GkDU8k3ZPkXe5wVpcc3y6ftsA7pD2Ev1MU6ksYy8ZzDQmOPBPWsdVF561czSrBXFZnQVv6g5j
IzMqY0Gv226ewFXxt6btsPO/k66cR+2JP/WO78BVUvkdIH70DTXShSc/WlgWixXmcqmEDqFTK0O3
LIn7/VhRXh58EiuPq1SRkJac9K6LqxqlViAcjdpV8zqbSfm1MbjToU7/xYyvHwX58WTMPv1/qPEn
0+7ZM3fHcmH906FgN+tYCVWbXmuvZf8hi7bGoco8Ra+LayRj8kYyRQLofv9AXBSf6cEdfrCxW5xA
HeSX86T6MSwDC7EA7CRAicdLhMvORI8EDZjSvVc/vFaos9CghQe/Wvj8HaUhjL0OmoaC6aZ2R8Qn
6ULnIazyn4TjWL2udYgqhCq8z6hpU/7ZsHNU7nmZmrhDfqGZFgXZ1kH7UedWzVn/Jl9OIN1CeIyy
qiI98xrwvfJRbV+DizrvTJ+vI2ieTwatvRRIDEla+hVbynL1HzKmjSYZSmxolcu8E+GjJsMhL1+t
BNwnnj+OEbzDzJ8Qxfs3+gxgz0FC0dMSLDiQZIabWTo9/7fWIqjuKwMnyb8x6Zu4YmSEAMe+F7IH
tuXkXtqzT1Ssvs6PYkwX3tb2i5bPKFbAHTyjzScSmX0OH6/cuCvorSYBcBLHOkf+u8J9HTT/vaPU
7JR03Dm/rFMtJoKkezibqJTlDefcJi4mQcECY13ILpY/5qRkGJH7XMdG7qqJqXw1ur6JNpXyH8hK
V4KjEdyBCd9oNe9kPVCPwdTtX4alFCNRp3jedxAzjbu5a/rF0aGdt0gkj0kN2KxCyJ80klRqbbgl
4A0wO8G7vaepTUWLn/+4jarKS2ZoHeRayM4fnBOek5UMxImz05wHX1a75eE3c715ZSLKgAxuCcKI
5PaElJA0XuqVWH4YnvNPZypfE1pMLqknrX3EooOAGnKhAfJ2GX3cdSx4WtakxZtYWWmhCeQipSeX
HYKycjQVf5UiyGhD6IPZKxTWdDDSCu+aQxRKIFvS2GFPPJzvUolDedZsK3yATGTHLJXLXJa/26mx
/4XByJm5HgXoSVX+mcrXEsGtrQ93O35dIMO24kH9tAF9yvWv92JEJ+A9dRPbOK0mvoaMq1svjScU
R2RuTaPhpMFpEGapvdNG8+9JFNorun7gQx3gginjX2HZC7YprE9hRQxHAQ1zgNi1WIIjwzjCzfhr
Eq4l31AJkWKq6hbe7/rz/USagPYEXLzom2GARrqUEYz651qKkBRpxGc+tpN8J18CnOkkgxb0ozKB
raqGmU3o+UtEA+YZOawMRak4un5LDelBpsaVnlkfFh3sHqyIqo6Zzj2LShk+JZ1iwKIct/v9PxM1
xYklZF6uOlGEHeEItSQiIDoN8d/4gtJEMOBraIq7V9nwT0k32whsCeJ7HD1m99hyZU4DV6MPy2gx
CJ96KYWQBoXb8/EVHcFRvHBlJfSgXV4AdvRNeRJ26ynV4pbzkYSecceoezUGtFCNEEzo/zvhxAAC
+1WwJK0eXASaO8MtuAdYRy/GLIfh4GMeKfXBq9iTFGxAsvZuGfm6N3j53IMxkN46GGcK0oam+3E9
u4R6xCW91p+LyKHo4xpV13v2YIFm51cCoKEU0sVhcjdiciPb1VJPJNHZDetZsodXVDmsqMo8EAgF
oZml2LhSODVh+741aIBFDpi4Ook7ScKSEnOspcSQqHglcQxNz8kPZZd3qNvAw6qWtQ0vCh/52p2x
uRCoxmaiYG26U8e3mDiLInIVA1cc5lUHJW71Xm889R4gno2zCjSiupVzJjfEj9hfHzFOLAv+lWCS
cnGJqsLtEW9Cck2JBagx/ceKiRHfgoWWVqvCnKkYqWT4ephPSK74csmziOrei0iJHbbUTgGnNYxo
ZJlyKHpMrI7ryxIzkroTIRTLQMK+NWDLP9s7x87/kP39j+HlqNwmjDSda3jlyzn0i4jvaPOsV0/O
iNVrd3iAScs7Hc1YWFvVCDBjkHzXQi0p9Hi+AJuhVWHZxB0tREFQ59C1LGq0f2y25YySlWqlw6U2
AB8NdIPQpt078ozAz8gFc7W2PsGT+uLh8SeL2S59abMUng3z3P6A68N3rdiXLBgjvQubpenBkMTl
zz+CmgVTOPukuWooQhnE6l3FytBlSqL5rvuv9k0pe1AS3NU/GNtF90KokDAqOQJ6ih0BJRYNciOJ
YEPeVLqfJB/uWgRdHHjUssHsUHxZmOB3OW4FnpXU5IDDOYfGwZkeCJwOV7SKAJCBYc4CwACUgkFh
KhBrJmr4+P7v4fIhZyGJf1vspTx4MUIO6PgDkNgNwL2ZwcFVlQIIJ+APZiF0KMpZRHs1NP8JuthK
c4NjDkOjtj/6rcShUycXzNFTqEpgyfIPi2EPE80VaB2hvI7eReIP7xswWLxOvKJwxvjTGfWeQLxc
ANnote9WtwOcBwt2kmk5kB979Ob2/moV75XlIy6LQSbv8wxOqzbKwqCq/yKdzXm3XAQaF7HutFP4
blMHV8ouITmQ3083dbh1xkZIOYIoILJrRDTRuQFQzH9C7pnMM6Is0wOgIAUfZhDPBOtFEFI92qJF
OklQiFRUxec8Op7FJsQKDuVEaCUGxXfp75ybsIB1cAjJLCgtNtnZxlun1LjGCscVyATkjgx0xlUJ
qZkyE2ikd138EIxE7yCbDNbpndNCG5k5ZF4yCEOKqoSBzIcxSXhPDtosYw7H1MqsGvMXkW4rB4TI
LyRUy3/yiBMa1nJpGs979KJD0elz1nCeFSBuXKoYsmoDg/ywDgSfd2M++/E7umNbM9F1vGvKV6/r
QnALF0i1UbovseZYwfkH9ZXyyhBB/QQF8gsFVql+KAU6tFcC2GU+qsFAtVqJ2Sc3DT1qfA3chcUj
IuwuDRu6bpq+Cf+d/OWEPm3XdkTo+0lItGNLIElcdIeMg6mbxTD0Woei/QjTsO+mYx6n/BecwVgR
1kL/Qrc9I/55fMTponLexs+47i/7Twfkvnt1PoFS91BXYTtC6VWRV5DDUiNaXCN4A89cZ/Hpgl13
y+3f8Co3Y8BMhR5FXKEw/+dV2l7YVS7MgavLC7eHe5F12RSqEciY3O3oJlJQkKOk1S7hI9zRSvgN
NqxIcoVnMhCxPDVLvGicf3vjWyKriVmPppTirpeWlDOEIXMJrhTQDKd3W5cbOJ4dU0Kbb/bs+b4A
CfVn3KpbJ8EntTcEY4mRPHrrMJnWSiMe2lEx3lFcIvx8XcX5Wn/he1uYDPxaieAzblwjSDnQC/pi
RTu9XIpf4u4wF7wyRMEkHPdcaOJiiOvmHwfuD3TSVorSZO+pYaTA4XdXUj2O1PJoCc1IgGmACPWj
9428N2m3bpqDV6oTiroQJYv1VKdhvmpsvwPlwiAun7+dA4HwXbQCjHHNgWoyF1Sk9lDWXyQrCOlu
wvxS1ZUcN/c0LDD1V+Y1BaLwXrH9Ggy2BluGIzxwRGP8PQv7nQWrY4plFPbz3O7Bxd3YPs6014wO
Gw1FS4wIoJoRzjmY3gjpv6BVa8zJV/nj8gxwS5elqbROD2n63IxOK6LtO/a2NHyIqNuk9O5dDq+U
U3KCnjZVXxKENoDfNYnMLrnGkuPtqPpw+DQcHC3XNLHBP6KgljK9xsDDwltLvs5dx3v66i1Cc6QY
pifcClrRSmhW46JLoehV8+0IF+WdmN3YUxDerRkarYJzvM25lb+RHzKWPknsVM9BWwVGtw9Ot2w9
uvQ8FIcAzC3BJGvI6Iib+2UOvDXA8GqbHyutLNJl1GmN5q7W04EMdggznQ6vNyIwYKgZ/MhGF9xR
2OdPmkc/DzuByc2Nau8TBpgsvrCQw9660C4gQuVECUEv4SkDfspvewLd9WuRKD5J5/27HEunHdyi
y2EWjrLUj8txDXU7gDOe/xeyfWWgDoEtAmmZlZWmdELZ3g1LG66dCE0of4omn+MLc5j9h16fIi+5
+Mw6bVBd5ecGZQ6KvP/XFzy4JTibjpvrcL6eBI4EDxkAdiQ1fVPAuvX7ywImya+mx4TfsvlFVViD
xGc3rzHd/RT6Bd9z9W+Z1ipDwidg6JfFHlyb9cwO58xMAIe60C4SDBTzN53RhVvkmY0+Kby34Lcn
9zt7O8T7Lpu/9MiXF6cd1uwSbOniKdJgj0FEEmwRFpzwyyhUQX0lQQGIMr8pCIv6imZO0tIFpDYP
sfs9hU7MS3MIynh0CkI8fyuTFjUHrPBalAFE3yGhiacl7AIC7ObMTusnR1na8lYWQOqhSGhFhp1N
fXfwFwgp5c3gW4HtNLx76GBz67pzAmlqAQOpiEDP+9VhJsQQa4BpqSmWnX0rqrEs2nRd7NW+wAVj
ecdTiGIlawt4pQZmA4p/lJCUy/aHk89d4L+9zfq9BS+BZUyu2btkPbO8g+npeTRfTuoVFYp/haNf
VSNd3o+SeYRZz+8JTY3FJADFpJs3rn8zPP8wq1lrH86n1kJhl/hsBKL/4IreMCLZ3vFzBnnt/2hv
iKpWbIltdtMEcq/yp8LrKoklAVnNXK4jaqjS8w4159AE18cT3no1tYXEslDWnC1JHgvD/lHh1fhF
u3hn2je8PYp4wD5b9yR+AqQxsQFg2g+2PUlMhCipmkub/JUOwP1j5bsMNpNNVL3SMDPvMdMNj64I
65gF9gTv07aN+PzUfFCIRVROdg0r2Lj/qlXoCnBVt7GAbDf/Ud4F4BB61wkoNlNUJVKaJcHby9DC
z7BzI21e0U/Q2i0wHnxPw3+lpn5+G/XDFCMFgG2vbjVJKanripOa2EvkDDl72wIbXqOhl8qwPrdm
EIkP7iBiwKYE/NVdcQTWl62Vm0JmXefjfpwZctCIyBaOKuLE5dUdAd/5sXL7xlQm+9MJqF7x2eC3
PtYrQeKVlgaQqP9TxQ+0nFJm5S5aNrTN5QE+fZ2aRBqiuEbo0UUYtKl5rBC2lEsyorELmesKTa8P
3u0v/bYDYKD5a12wdKxfkdnyn8CFj3oAUXsr8klgmselYV8mo8to+fhYEUNvba8DviXsalgg5ufA
1q+GO53cyFg48YcfJq4wLiYqXQdjdgCU90+/wU6FkymRnvfevPp6uMi0MWliPKlBsZtuaInP24ti
l9U3xynWTZF8226CZF8LQOJcdNdxy/YkilOoLmrGk+4U2rsu27iDM83Oo5SWNLMp/aCCIg8QPSLx
4soxjfPykO0SVnLtgZZKr8/S+/2AiRkuATwaTkz0IZN+HwKzG9yWFjMjQU2aOJwKiBzeNnX28axt
JLrMdMkaoZ44C3AnMI6sP3TTtC57avMtFlKrywa1pJwcNeFvXxqkLrED07TW2acNVVkyC7ZNJqUj
tvQagluxAuvh8kUCWfgmrYTnXkiW7tnbTDjQ80o+YPl3mf8P1nz4dYAM78nUlGVYXZrSgQ2+rFrn
675SKvdtN+519jli/KQSYtKgAPoet1X0c2bpqdUIiu68J5uJYrrkHDk8ymjGQuMZ8Xh9GUIQXx50
QN5vKExuoG3s72mSuB+vXr85S2WIbGY3YrSZQVlnpaP41JJ7H9+5DUx781AbhiFDhtNumi5OQLmj
brazDl+k4/Se+OWXV7mhYdDyve6YlI8tafIdKXUTuIvqbPqLYkM/Nec7y8GgW1+qrKDOee6aHYap
ameumjvBgoE8yrgIHLARfP1tytr0y5F+lfbV1/vrx3FnSX9veYGhN9Qiug46BCRgsNe6iWyjCBcL
VhSnTNt2E71V7oyr4CTLCUVlEa+PTTRWS8lVBScbP/AuZBaeZ+73/VjH6+Li48HCqcHIGOKFoHns
rNIbUHktVQlK1DBx2TyIEwceA/lap2KU7gNk3RBHBAzz38dE6Pwf08icrpmTaCVUTyWPJFdT3Fsr
81tRVtV10gmOUM0Glh7iyAuyUCe6MbwPrHPD5Lb0V39Y/5BucDCHqgFCe/E1pEJbkbkIEpgpOEsr
A87YefiG124z+L1tI6LQWombL7jqr3RoeJ++Jvivvkir1yakgb5jekR27VdsR/uOgMRPTu3rRFoI
HQLY0aQvM4f5XCIGEMG3vvhkYNPAjT488YWVjhhNQF6UD6/V79UY/U3pTSvl1+wtyV8nnN+qeaBv
oQi8It9i5nF202nzwb1JkmguXeqrUn7IyRRE1BfduUYmKlvNwMqAxZ0xe9UEEzTmEIC3URPLENE4
SixsDF6fOLwgTjUL6/dQ22XdL8R1tnERuWRIENPsXJliwUKttUgU2IiQzZJ4AWs8R+wPPdSlRSRc
UoRuFxR41xrlPtCagHS7lbgTEShU3gMGdQLHC12cjbe2ZmSz/5WVqUIL2Mb6fusAV38LweEpOiys
IsNlbQH8ImvKFhneZqhmxIkFAAUGHi7GTek5LrA4vQ2LN4hFV9nG2iiC2Z7T8dhFyshttwH+LD3X
uxlpfqQlZF1H7zowhRrXHdf9czg4RIWiEJX+OQ8vE5o7uaBSEV9gD/EUy6w1eRz9abqnlGwM3bD3
1n6Eju7XtFlTGxhD4xvep64mY4Evv3SlET+Wf9Fv4qil/gjMUFOJNHuFvv/QY4L4OR5moRBzZT86
Lq83ptlbFn3pvEso3BUwEsot+SClhb+xnOaqgNYoeSKD5IPuuXwjeutDOX2PkhHemTXA58kWtQNP
zF9NrhkeepdQ9oJ+uDj7dN63tKB3N/pUISuXTdmBwo+xNxonxbS4yX3+GsTnxCQ+yhX7L4A/0yhC
BAH1+hPjKjx4nMTiCcADumFAh3SnocBv345Qzqpn/e2k9w42hGL8tej2+6SMVBjcMW8iSodgEIKE
VJgR7XXPVhU9e0hM9eoEcP7EQpZiCvrfB4eioNGZWhe3fuHbGchyQr13JE982TiEVgRV7Mp1IUtn
5ztSzKki1ya+UBxem8ZTt/fJsZ96tmUj7lFmW1fdcGhkSz1VvRDfC569TVvML0EY0apB9qwsWs5T
/UFpyJOjvVY7qcwzRHq3jez5ag6fPooUgfxWIdQimdFpLnV9ABk8iCf1k4uUk72uFMqVkxLScryQ
wxLXEqObDMKMgiTg2B7Rt8++KNOi7nKrBRp7mFEspy1mqgBzaO2yoBdQhsS69mxNwsvPS9BR5IZ8
lePjl8FwuEun+jzA/jMZ9SeV2CHmjN2hLBawiyeWBJmGN/1lcXN8q4dXlSqqB3RnvLNulZWDOwyJ
wjoIBP49eu6vAiBxot+LEZJMtgFhc3wh9u8cjTSDskL8t59dcG+9vOWLLBiHCJA5PtqjelqySG/d
+kpU6wueq+HH7M78H79pI4BI4I2XhW/vY0bHlqZgO6TSUiLLPklCHlNX1pjH7aVAbgaJhRwdQY9N
26IRIZAr6+Sg1EZI7VYBrxVGjBFnbGxVM3CW0oc/YsM9WOEdHMoY16SPDopwmUOMkMFIq9LTx1lW
kKAqMTJpzsrJHFZxe2wGNgI7UvqT07p22mcMRyx3SuOLSXHwE9/YvFGihBC7V8OfIMdjEexoHyuU
ASQw8Xb5ESUL8Hnp1AGL1ua29JTafdODZS86RuQGkOmQ1cGm2Pv/RYlw/ETelSAkUbESbMptWYMA
9PlYr7j4yhqfcq/1ZmMzHxeT81/6em24AjnYT0jalDzNUQzoX54HQXPbdGELH304ovuQsFyQ2Ufx
/QEXZJlpTwRYdqt7NwQRoAQfStkwGgcpmtqgTMjYJtLQKp5pdWU1HaMIbwPrsrQ1+ymCPqzFCn2x
xW7V4W71rG8Fhz9niv30nWgKx9kvmfiyBGOxTHOeW+5UNyZ4kX7BXAEXPXroSfm2QcPLaQbaLZ3Y
LBbpjYqG6vlAPAlGU+h42+bugiuT5YndviOdEYWVo+Vr2xdE0fPUVIFoxMBO5ocZUy1yQfXFOuyn
5psTDz7hReq9mMY2/zK11xrHEFBVvp6aocj4usBwayahKKTjVyBhDpg7+SLUGBfEDezMbTJD/+1A
1+ja3xs+B4UojjqwKVyIVroslsTyFFQyQCWlvsfLDk8Zk0axGfTn0DTESbKzIahWUwEBY1LO7k67
4tVSBD1ncWDjhTBfDpmkbxNBFRpYXRxn2VJnQh9MzZo31WInu1+HhELRIU5Rjn5KvJbCZmHmXJpo
+9vTv2vfpjOsZx3UShgRXuU9Cwfb+n1eoSgSBoBVKaCtTlba4S/FIB7hJtTi6OiBGO//KyNMiXIx
Gc3hmpkJhhIzsdJYyoqrIzwo1kXwTIMt2Kyro5bDVxRwK8y9rQnYNUDv5KA0bsKsfjXhlpUEQaV7
2Ogt+WtV5ikMJJ/oRTWwJZHgRYI7v0sBytjy/LLLPPXCw3Oxs+rXYVYXBm0N5MvNUypn98DM6o2t
0uhqjBYzOUluVn9s7wfCd1cuMkRmiOJv0YBklq8AlT4ji98LBoMkHpakX268bYQ87EBgUBVMEQKQ
DnbZpAMOWgKtwGbP6ZnmzifJaVxJJLeodnEM8MtlUzcHT5g1Mmkvhl3BjfVw5rfMdnzvbHPGhWaH
j07ifitgDpvTGz2LgdX2lnpKJqXP/7qySGz6HqJ8hjCNKfPBKnMeIiymjlQ9598hJRViVhrdhDxO
go8FXUfhgA5ZfUComP53YkDXor4A7lYcPPgTpBdYPHc91I+gjuLE2b2DojXArLPWRLZvh0siOmtk
YOqisuGfL9xR78r4Xb9iVet0TB01AU+jszdpvhNvZY1QPQHVuoppZWf5JJ7T5o6yBoEejzu6ReHH
qYS/zf+WU3DkIi7I1IJJiyZu+ebPRVV65Mkl0k74QsbQU4GFhdYKdSqXQKTv48+1ZlnuvCpuC2nQ
urLjPI+hAPwSMyZHolls1C+71auJ4Bjw5harQVT2SMb1CkpCOA3FnPVmy/jPptg/1v+d7PnSfWg0
0u3tMH47+rHewKJfxXB5HtyYy+SQnUPpN+3/OifBFYzmnQgyG/NqjC41WKnvab5O5E0LZNMdKgIj
utnXzEbO9vAQYgysYJkD3Kd6kPLHdj+jh4cB1QixeZOgqpGFaPBMS8RqAdG9GJkwG11KQTofIN8c
5GqdxyIKOyjlRXSyialeORCxd8g6fcMh2a1oLeGuTy/JS4GEOzSCsmZJcFNP9B1VJmqNb4dj6rmU
Hh/wnkHQoUB0rahRvNe2NeBWBrpJO6cefyWz6E4obHS2lJ3e6mVD+kXDj6873xOfk+NMKizEtiGR
sjzWyTGuyLy6PgUcvvqn2KezFsYhkFBIC7XgBnPaLe9vqt7iMxEE3/dlohK8/pDbaOapJTmtjyPT
xOohovrpukksP3sn+GFRSX6GQftoD3VbijpYg+JtnesxHOEz223F1gSGCarEnkm8YQ6IhhkjSlm3
E6pOqiCxHjZEpfy7ycv5irVZC4yPyMH06gAp/UUog1C6dWfB+ltLtXy1v+B74YuGmKHm/AjkF3nC
Af5MBclL6eE8eQrJa7tfDQD/Pc1Tx3SMCsJNy+QCZcEIWEbk7gACa6cd3wOiaD58zW5IQk+cfn5S
qMg9bYMFLpqvuTamRGOOLwZVq1pqw/GGHQvUP2fYeydSI6wJkoreVoIx5aCvo7yC3cWKTaevkVUL
fYBxpNGmf08qy+NCkyCRCnFaeRvug2GYQSuZgk9Bz6cvy6BAxH69j82YjOYKcZQRCbwJUpJxcxww
P+ggV2QdbC/8YLTURIBmvp509L+Pm8SvrsB50rk3gE8x+ZL87MgN6RG+0YQ/3m2XLHFEJw6rC+wG
FriJRLwA/44NaCphdjpnrP8ABy5Z0fTHCBmiCTtAyENkJSdmeaa3ey5Woxqzlpape/x+lbGDDK/X
6kQ+FaIGOvDEnWk8TebjL5iSCzRYgFeSpx6EPBlubrkAWCTwWlBlIpEMt2NGhskJwxbndtCidPyL
36rRblGBS32nZnvHi1uAPS88jf/H05k8bz1BaC+aUSqLdIcWEUrK9E1rP65jBIUffyy6k2iJO9lW
bXIxz3kXp4hIbIdW06xnUSd7DU7N0cm4gBL8HhZxL4e6gmPv9rwTx9JU5O3CuMezTJmVfkLn5VSK
Lw9sLK3z62Tjo+qkiTA3I5sShKKL0F16mRTEbdIxeHpc3BMmrhUKKq5Mf4Wc9YCloDdEXoApMMre
ENzfBRfAbm0RaPWIn5IRSBKjOCQbqEDucc+pllZ1PrtSLpIf1kiRGWqQu0PWjQgBawAWjZsBH1Cq
EVdT12p0ilC19Gmhaw9ZBKJ7xc46vh7GhLRQdC13cpx4Z4Fs6hMQ43HMwSGFOnQuWx+Xl2JHoFG8
XNTH0e8jV3hXv3NBogLV1V74uRFE3HyMMBxXcGflLEQ/8Vph1LiJ2inydWg5Qcv3+zJFRwrh/MoZ
mmk+mujDbkZ582T8+UDw795Zi1Hscsqf699jiSF1uOjglYS4Z3HeUl5C5urF67VuJMUvi0fXwKlR
NbT8LxV/SciDnR6GkSr4LUyoTdoO/2qJeqacBURXAmAulukLv/Zs5pEuk03jjZ1QBdwAm5Ja6CHR
8qAAXvRACpHWUsNzVchKJTns1px79y8qjqO/5FjcxHGMAnY7uIkxOg1PrqkFp+3F+26LWrxu0+Hc
/PR72b/iYXKqrocY0moleowb/ZNV5thhbIO8WKZWHA3EFYJOsz/mE3o9fiUDReAl+v44X95I661x
pkr8+MkHIjVj+dTkyuV79ivvvmcdhdYm9HXrwh2TBm+XMTYd4nyEAFfHgk9vJUSN2UBs6pKE7W9X
RlLjSSKZ9F5Fi58yThvW95Xp2Ddz3SodFJe8dgPDDJ7bBtXkiytqOF80MSq/hcK2+yAIPWhqayNz
e9cYknIEgXnNqdm4iMeSCRzF3JLc4nVimOJZOYDxofem0zpazNSbRZPa9pkJJgLkDIJw3w2ixS1z
U5zklmANq7ZwKU0O3Lzz2Fes+m1oNyU8E5XxqgnNO27jVhOHZHPvzH8RGZBkQR1NUdMK8X02WmbT
V0pX8QyeC5wBoBcnikfkHXxNrN9yAD/ZT4wWhfQgzNPYBO8mPvq7o547+WBsBXEuak/Uq8/M0isQ
AU+elzNBpi4CfG4ESAJFn2st1NkuJgIJggHyaLbqIGaeD8GJv9JfyAOl5QwPH7IIYD+UVuIMZf0y
gUVEetv4WOgdNcm9pcslGUTXeGy+ObD/kHGSoHygOMBbzxOpC8KuWxsjwH4ytWWOp0JmYex4Km9N
OrfqBRM7GDVAMFPEbZjjT0FeKENilOX3+1P5rv0ZUvGnx8fxlgsDZ4hydT4XZ3OL+Uq89A9DVz5P
htfxo36D442GW8G7LDeahjpnjjydaBVOLnV+9tLSKJki+JWqcUbhK1UiHl/1wUaZItRBJXv8j6mh
67CgvDIyPscXFw7CBCAWAFViI+Qp6jyG44zooN4SRcu0EXKOKcGSvbZDkQGV1FH7439vG87nkM6K
8sFXTpq3jStvsxrfqtpunNS0sKZfg83Pbe7+pPBny4SwHDO93RH33yIhckZc8yA4GMCg4HLmkkRt
3CXZ1g2k0eB7hZhy0/bq2avmM9L2+znTk1O8th19nbNKfBCvhq7u/iBCVWJ1QY4IT9kFWOnuI5hJ
/ciAEocHSVglxB6xrtnW9ZGb4eXlCawiSnRU5wdDr4sWikDcumQcwoqGvw2e4n4BFputxzWFJI4W
fbNumHKDzJfAw4z0sZKNIdZVrpoJGSfl3u0Ic9kN4by0pgcOIyNLFep7XjoI9ZEm2hil8md+MlwB
PtKeAaEvkXNafUHH6tKFJJXayFqudEjl4YgYvZuLttCxUbfUx2RrJUw+x8wy2ifYC53FArfXprTv
wHFN1t+zmqYaDAUYZBfLh1KziEejhE6O1hOPCD4mSDml6ADuIcbcXMj7JNXhivXHnrU6I8VZ2MUK
ZQi3HnhpDPi3uwi32Jv+SWM5yftSM9TdOxx66890L8ixoJtnj667IHFtQYs0vL0onGoOA5+SRyVV
MmbZnMsrZdSgApdAJzJ6adiot1KnOoZ8idamhRurknMw8cmK38Ih1qi0Ecf/Re/Qt13Gp8njyY8C
ahEmn7g2dxXEZzy+3gDQ21NSXwgcCLlNbXTmpaMyU2CivUNBrT7qZdCW6F6qn0oOQc9O2CqthP9R
aN4LX6m8Q9J4ZoiSkgHtCIA2pcvBsL0d94rPIabQBgXMEmsHx/YBdvb2eRQWMm7K2e4KKHHe5fbE
Sc6OmEvaqWaoH/RZM28x1Nt04rooRILIAuq+5c+LrLWuatFDeyz4C5/g6mrLVaM9Y1U5JdVeR4f8
OHPPQQBE+ayeBIqC+dsRStwRrnzKk74J+25N93jcxEcUOx2t+DoeJKZGIMlLmpcJc8pQmxSH3FCp
iSWdfyJyFxS/AdQg6GoNwRZRtaMSciDJggvtoq7vqOoyhe4wR7MQfS03MaIv8t0KdmNk/Aq3B47a
DUGdD/nE2yG3jVBpLwaQ22Wgt2ra234R2FfjdJeIv4GHm37Q+4p4cnZkOEzVKYusKk0uM+BcG2A0
1GaeFoIj/hMwWW2wtswAAXpQV8bnKlKIb4raHvyZ/jxlmFgd4d9IKFch6SBbm1Nwd8bXc3qpgSWp
A69WJSga4Rwi2QY1yBK07gXy4MbhdgLYbjKneZNnss4D8Czl93P7vKcWdChy/fNgC25LJrAzZOlM
9wfvrECQjKSs+XDRs8MAN9256ljka/wMooVDLvyg36VxxpqWcfyHP5HXzpuCGoKx2rvNKCfgq95P
GAGJ2u0DTPr1jdr1YZn9+pd5FwEI+vs6j7fSF61xlZsCBGRYNxz4FbyE/WVLwXY1hgJCUzNhsp34
M2fr9FBQOCqm6bbmcGlz8njITpl94TQqZxNewy79bnrS2i257BvihFzHvgoF8hiZxw9wgdtTTwaf
7b9ItqIF7GMkYhT1BqqgL7z9qMs1kPtAJU5cl6jZb1irP2f8/q85w5eF6JJB/VRYGC6VzcfE/A73
2e1RWlmZmdElTvPLb1Q3uire8BMYwSvgtW4QH4vKqd9toshgonnEnedobvVzFnVrq7L95X60SABN
BbBxXLMt10gW9Aqa3g+egLxe4sCIbIq4JiqdRNXWCBeKTIeRCm9o1HWtXFnskWxsmLbqKF8uNSbR
5vXaeLUljxolPCGrJktJV+hA4E0euBMaThG4gdOzb6ZoXUuH1jWArn/61bcxSLlgPzfKfP0adz+2
n9kWCe3MBTuzUM2ChA2cyN1R+LIMZC4L8Rqk6M5ztIued9ISP7x4fpEl5w5U3K9gg0bra6dSLMKU
3ze5XPMMTuCSYDJdKESfTEQ2pK9ZlCRqiLnHf8+wCGigWyKhmtfYXaZx2HaAvvykMAhfJUXsMVmR
nwNm336bH5A9YP4wYWHe2ZxrnAdcJUoBLIFcp8AyMT1JGm7UcUIt9zmbaYqhUNOChuJiuvWs1Sdq
RYRXhFof2U4d6QKnBfFo6Jxn67SiWSLQU3TkUhQyjNsaLBQwE4XJFzfU9UfHPm4FBbahj/d1X1tE
HwU7Vi5LqdWeL6sC+D/bNZ3rLXrRnxVzBgYcCm8F6znSzMZS0crAx4sDoR+/mJiK6+cmEbxA/7S+
OS/NyHlSKk+Vi7B/CrqgcYV/UawRvOveMM5CE/W+ucp3qnHX9e3mzymEh9ghjDtYfXyDFafp37sw
QSiTH+iQM/dBIVmWNUUqu696g13czI5wzM839sEgV2Y/8Z6vMaoItxUUFf3TIdxhV1DO9iYszTt8
qMTh9NxwlMOKOTwZAA2tkrE/jz7tofHkuGWADl17V4dqEI2/V9XR7JyJqDze9GrYODbRehW863BG
jV0OCc+tLIeUtqF37kcZjLwIMvzzabkCneQFHrv666zhpDyefmH4a+jwU1ifxbK0aEeZyfF1atAE
Gjmuh3fTA0fnxgDtRBUWH8x/4UI6KGSeJc6Wg2vSUygrpbJ2scVdJfieoETe4jfXa2zLuLsRIJIW
NgfYVqiNPGi5e5yiPyf6iReI45ujCL1PV+qZPBUiyc8YJnjo8KxJYaGnHpKSoQcbWfaKEhqPHBjY
AuXHyl7DLQZ52orW0qbY9Etg4pD24pwsrvem3WsZbsg2zQCl+ClCJy45cm/GanhUbGnp6A7T30Bi
SaGCFx5bxETG1p3uve0/qfybs+sTq4Dbgt7YVHyxFkVMKJXlof/PATgXkBpvnloYAmyyft8/RR/t
PvzC9NITxC/SEwLmSL2i1wPQBUYp3iKlxrw44WJi1rGjU3TxzNlILgJnSZhenrtl2FbR9rhrd/M5
HsNvzUrR0ibmDzM/H3R6gs+6M9M7uzshgMcEZLMnOknl1qmbbvMBPkGsATu42VSH5cYJT4YRx1ME
0Op7qFZTxI6BKKL2pV5hMaY/vNC3fwULeXKct5F0tEFvixT3kB4WkLng/WCTpkBqzE+esgQDirlo
HYNJpsJcyC3DDu0gXusYskO/z053UJzoFv1AlPsM2GpuwTM7TzGtL+8xYgndpPyFzSG7nBZvT2oS
pKIGyQoZ4hTWJkYNOm/S97fF3RzROKeDv05tLRF29HYCtZMEJCUm42SwlNFU6LPfeG0K9Enk4dKA
KyBvzp2vr523XdiK4y+lHCnpM/ijurpwxFcdLn0Mce/wd/8fPgdRkKleRim4dmhIJnGvXarBP9sM
K1rUE7x/jYxxHFV6Ra5n0ddyvNQBZWxteUmkDBH1+PBbaL/g2jeAQnISvZJ9e6PnLNrKWEPtFce4
fvWT03ISNGRtyqTmN4L7W+FyVEjUQ7GS+ZdD7Us4CAzdQl5XUpx4iB8z8Sk3L5h0e5nBERa8W8nn
5SVf7dUivqF6P+2+iFnVJqD9cnn7sly3x1vI4WU4Yyd007HbPh0QjCXNcIDbaf676OEik2h9JDod
t+hvIsX1lqjfmJc6PhiKjDD52hIFOtROt6DV3ClqCfvO0l6qQsdQX+Ph+FMWCIybc0TyV8v4lPpg
vUJrTbl+zOXpJ/G1v5mXs/o2ICOQu41kYUngCDRLkvg9Hu7H2kqKWqVINqhe+1th+mzalNwtmn+P
CFVX8ETZCVvix3dJ2YWDrgVADXPWjVkqFJ43XDUovDlr5H+zudKVkdW+iYw53UVwhygkoceIXy49
JJHoWTkY8LLc/8cOsVsEfZO8/oT7RLUiMyT5lq4w1aj9p5EjYfEKXpQ3Ta5PIUmJFytpzzYmuxnS
O6uQID8vQlM1FXx6TA7Tj/p6sHksyE4NZIZiWQvtym2wWi3nwe6ojhcpqMmkz724RBZGTyxebCeL
nzJd5QQBChktd5jZIEKr1psNLwFYLErMiTpjWxsiT90xuKSbHmnFFPy1zOrXVevP7cw6v3+Urp4x
U2KbaxDuvWsgXg/1QXJD/EP6NiIpG+rKZMhKIivV85IsF/8mAHUPL1Ss9/BPWesURHaU7f1UBCBg
cUquTsVz0yHrQNM3JhQUV7dmpGaeyXwNgE6Sryz8lh/APUCsAmRiWvaZZZ9wY/YJQsHNfpxnDTpp
xXB/AdMcn3eSsNNSgMZjaCqlS9g8mXScUELHYPFG54wuGAzzK8fm41s1FOnN/bdahLMjiJ6f6SLq
ziXlvKD8BJ5CVxerSVCE+LuHjkDXEp+MyFEBsNSnTIfJOtFHVpPQdfLfAzrC2oWlI1vEomPA13Bd
ak6ngQtF0PddGy9OUII6JlXU4avy9TxOamCTGMvS6XTUcT9nmAwde08/dqBRpq4vSWq6QCpMywtk
ufiFbdRKVXGMYCqfuzZBOJ9rrXAZr4+Rt7WkJMTVeZqCDAdO7uyChxVHvd7cq4veP0jTaMX9ZHoN
qESx2MiEimp9H/rG/dFhzIEo3kEUBnkXXU6AB+3F5k5JyJNEH8rvp1aSYuk41/OMlkZy1eTOMoit
y8NL6Ca5F8M60y0aRTUNKLusE+nPO3LHPOLRe/Twx1Ye13iCjkov9mbAOJ+B6IIojKLQHyKIzj/H
EiMEwiMYgDd8sXuVw0ZRt5pM571FaHKtqPfIk08dxCWz0oR02DMOMYrNOhvJt7NJX+sw8q7j999C
R2Db/EqwAY19uIX8o5xzOt8IizpyyOw2lduEhtGsjQ4W1/X85d9l+1kRup1jHYdfvnGP5QA6JfOL
D73P3whHwJuufxoFnjIfn64iKKWZU1ECaEicAfGlTidIJCMdb31W627XTcnqDTKL1Q76k1B6jmac
eSPhDGe7++bVqFatbvMrkWb/wbkbxDvQ/2dJo0iykIt8SrwiuB7TSeIkKJKOS4ivtH57xJ6T+LmY
jtC7CcgKtEBCTOMFamBWaZOUbjQzlN829NNTRvn72489VAq4ia50cdKTFxb1FnLZ9qHA+EoSn9QS
wwkl9S6IY9Y1baJwPJuZs+jtGJA4Z0AcfCbEUEER11urmXHoRRRQ38/1EBiXAaogUyUziI+6jhhN
YGEhXmZdl4WbOAn7M+xr+yIXpoFtyatRDLja/eWAtdrZbNUAx1K3+uLgh9rpHpglrrEBOdBveRdH
MZpBV10GVUcRcn1d6jZ7tge++2pre0iSomClLUrc4mxDYX+N1IsBKuowMX6RmQzPduys3u/1EORX
Z2vUoCK3LvhqDkMA2a+njXUgvhrqttTL5aSTMng/inZ9NuqV+n59jv3t7wT10zCTlEre/ZqQq4yV
k3IRH7ZbeOjgDOqvR5Gv1pJkCKi5hpP0igGh5hthA2VOwLUOiilqSL8kfqZ+MC/c5CSHy7oEBnJi
esNeQS7aPu4eK9AZuffWmtwAaM5N7Y6l2EQ4Y0rcoRNQ6IkDw0+VDnvEL5hWUV+jOUXUm79bgFRh
nsJVh9LjauBUux0xe+SeZICRTPO1kTrU2iF8NLPGbWiknSCclRnu4mj06LxFqdSdWCzHbaLxFN0k
DW1bi0pKw6KBo1Ku6tytgdBUQaE63sPqbHqBUv+VZOPUD1aFIenf76Vx04VhNhwVnIvjcbG3p6rP
BZVp0VQA+L/oFViNssrp25LpTw+Nk+NVWZ8KSI5CEWeS/G08qcxirmr1Z+Ov0JulxhzC1y/UAAH+
XPwUaqOeRmovmCtufOzHMLuLgnFZPXMHXr8v8umgGHOBUdDpGbA5Wl9XZ0C3xit+9Dgf6/PWDswz
LvVPvfPeQG/xNWfqkbFPWIfTpxbEgQIxPvgo3cnYvxuwCt9ADiPtP/HvNXvzQZ4dOn6s2nfZ7tbE
Zev0n54xYULhwx9ukGUVnjD45UCpjl52lTZnPBcnox1BSWngd4vBHZbXMgZWr6F5lWmeKq0VjHrf
ONaf9rcPsW2xyd6awaiysZf60GtXJGEgpXDvrlGjCDsk1xmrdyud2dDb3RMCSogTSYq/AYsDkUWv
4xBFnqijHrJFDQdOJ9umCXI1SMK6mU4MVS3dFFSYkr7rp6nieqOpLKBI1tlVVi/p7lfCEHgtUI7h
U+GDYqkePTuSHDSklYM+yrUhxiakhoD6LJtre9gySQODYyL9BaDr/yhJLkGWr2hGA+4FXT79j8q5
3YGj7EjrbzYsqTYO2/DBAm7a1Y0qA7lC64pDiv+lmpUh14m0FBeRAZ/Pihx89fuQa366EkriCiRm
+DEFbYz4/TZo2OVIFNQfGAwAquhApvARztrDx1HKgAzCIV/yP0ZLyePgziKVX0uE1qYAO5aQzSz6
3ThWdv5xhpzaL+zcpFB24ht0VIMoG/1QJmO/ZTpSbiy1V60ZA4nSzHW7oSyp6mgIwH/4qwYG+kvx
P4BW3EgCbOeiRzasJT/D5e2d8pD8dbObcDQqb+xm28M6HeuZ8HZ7O3VWf3mXQbpUCeqZL5BeMFMZ
67DVH45PflIQmh9jWYYiPFH1AL5MqeH+uydmJUPpRhuZLwPp1LvmJT8McKcefmA28AUJZKpYLzX1
rVxjEJWMva9P487+rfKbzMSUjxdYN+4jSEdUa34o1flhCgV69B9HgawHZLUoat+PnYbUd5v7Qc59
/dSo5eUXeNcX4Pr5r8DTbiITu9CZ7x/fD6MXdAGdhzCleThwqk688wYvoLqZpAGbzxTDOwJu1lM/
vXEZ9RLdY7zJfxgOh2UZwpy6gaeXFVKIrOITOtnxYNAEWoeEhEXjBShN7ii9F+wF96zvT+D4gSIU
9QV9gGj5GJRHOGdjpYAg+vsclQwgQ0kumd9OzNWFbgTbOe7P+LfXhbKHT2v4UNn0zc8jvNxmBHxf
h8boFh4AfmXpWOpwQg1Y4tjx0sm2lVEgTFiXEyLJzqrwBe6wiLheNIQ+OmYceCvSKIU+Q6iiyJ65
vEiSx1VGEdkDl6PPC6uFmTD3okw+kLEPXrvR2waUzdaJvBUsm0F6jsg9oE1yX0v8oz/JynJ5pNvm
jwTV57Dah/g84dkX0maWSG4D6tI/9fxlQ1PvoqEXlNoakbE9W1G2H2jUCi27TW4ybmN9oN2rL8h6
clztIsu7BOY8dAqeJDxpAx5ZkSnufFoSWnY+uClmSaXXurdjGfZFYgBOWHTuECOCPzlSbnp0nWG5
4WYkB00ORrqxKhkcUEIa26inBFhom7yY7qu3htNQojx+pZSf1piLBGmbZX2ZIidQFYUC7Uv67u56
MQwkYrGpcf7H97Dl9mf4s8EXLl9LHr2g5pubKuOUh8xlmtf7Fc96pMXK1LrUpyPZ9iUD7IXTUTm1
WU/n03NC18zZRLH58R58YqCCccUWCyWmW9WzQy3wQ/i57sNsGS9+67w4RIzI8ZYKNS696x2cI6Os
p4I5rhZFYZywFz81W9PsSfaGqKqC6kQZHxfnb4z/4OhsABNkCNrrwd6eO1h27ZGxDPFz0XiCmRUt
RWBo5leTwwsHcWN7yz1qGm5Zd8CIrswU7rB+pg3h/+vhtOlQTOAPVkBjGnPXIfjvxqEdMsW0HDUa
c6sLNoL2bphYIixXvGKLVzhwYWuIh1F5igCNJsiaJJtP/NsTJUCaPmWGHnxR7ubQVVHFker63GU6
O854eMqCKiTud8/9H8wxjF5ePSGlyqGCqClaJMJokPnVcZm1YNHYFHRLpXmfDn9egz4s0wWDH6Cx
G5uykIw8GwRi5V6d90xbBQMlETEX5KS9SWyMn0gmWiTQwqvoCsgnPPDXoMj/BHnDAS3KaCPjZvpy
q3NPsNSsJR20+1SoumTUZdxC0ymp8tGZ/GcxJ/tDFflLB3jZGI7yLsP9SwDFOwsK1gmW9s5JkoN6
7ngljzdreX9k5z5JUQ2QNAc4Fpt54s7gkv66Jdj1gaw10TEVEAr6LCYdV10iFtr2hpDhmyw1d2dx
JuDjrAWFvkq/quuS+bXZSwfCr1wHW/gKl85D/WHQSoRtZEkg/1ia8iR5XJzdKok8WHLMj17P5TH2
YkorUd5q9OqvugxPRPZiN0upreEwrnuFh5dyheFZLupGJa7KCBUGrrgF1hLoOWjXMQe0+hCvPC35
KCFa8l7vaYUN/hN41kGy0l6NMcQMMiWi1DcW6oNKsHq8TlPpfdFZJFhrkUKNDEwOG7d5WRdUsuG7
wP0UNiRJANr03u8vs1GJHfhl4JyfMBLAeYYyYmxNZDCSn4S0x6aeC9OdZXKQQSJSw9+6NVuP1p9A
ZlHsckS+6KbwitkngTJbsaRyo79XpRjV6kQDwZ7f4+N9j19EdnyQiRlE4bVvY2NqiqiQvkH2IH8W
TZaxstA5L3IJdSKC4HEmM2qWKLhoesljw8RAfZklFxgbsRY8p8dRwu6UFOVVtYVmVKqSUpgxeCaK
wpqmSsGv5yY/BToTUv2jL67ojGEv9y0miZIwNKiSgGppMNfyE8sw9IHczMf4IeZX3ioEzpXG4V/y
8avSoWNKDLscr5Ez4dhv8u0zBvhHUdFjanNq0WYdC78cxDqWaDQFA84SNvo8KslFXUl7pzZBaPAa
miyDZeMxVjFSl8qFBsSeaCaYSeaqqu1qbhJEKB5FGf4+BuNhXJxamZmRDcK4GyHnRbWBo3Xitmfs
eemQp8tKQRE0PHwvpXPQeeZ7FUcUasc8YWYDp1hmhsMU5wY1bCDdNe51WdT0iJqPBUAH6DMhqKjZ
IwSArnMjQzDMtg8If0HEtgH+twO/yn6eSZkuaOPSmWZcGoW/of3qUqTaGH4k0w/gE1v638yLwQbP
WtgXDX36ZfYFh/LPwEvWW7gWLaTOT7jO1mltV5CFbbbH1BpBNchMNMVX41ltLf3HCVDGTSxh3YfV
ZKV3HzAjO382rxFNgDf3DSp9lhL9cRIG3F3cxJBYeVGKQuKCMZVoVkHi0bG8wL5DkLnzvHyJZ20/
TZ9vY7Bc6pooz2Ecw6D/nMGFkrTccbIgf3KIBSrYJKJICkNgZGJhpuy76fWE6BJ/sY+l9S1xvlXI
en4r1tsx/ZXnP/rFU9SpF4a4xCrMsKnUL6NIgFtiX13WgQh5rm1mA+z1MKus3Ll31yYBNfZ/KrFL
ZClXtFirjMsf6S2C0lv1qjt6qQzWHG/pyqY9ROENpQxey6oYa16XvGPocu2/SZhKLofU0g0icJAB
SFh4GB3ZGS5rRu3dhUvyB4VgpKodCusLOnZAtSkBlW5M5veHxZQ/cJiSiCIvBmNRR/9fEpesDGJ2
7nemYP6gf69r0ocvAHFvM4AA/Or9jmLwjkKO86JoZaiW+20dmRk1+pUvMGH3k9wfxJvfRqc6Q8h1
mCcCcSTTaRBPINKkcuJpArrysu64ILgLXzzIt185nC2xppIleorrm+do08kmkExgwShs2YAUOYyy
DMZYIUUxhh1awezNBs6Fx1093OqaUtJ06d7DwEEjPfreOYMyROJS04JBi9aJXlsRmRJSS/tmzUWw
SRWVBXecLHjS2I3UOkmmVqKfrsBedL/WIbxv58VdW1fk2aCtAjIKqftQ261l10LaRe5S+JCbGdYe
Wk4x1dbApqBwldtESwjY5yT9aId7twwpFWOX+NJ6zZLIiwxcSROE7UMZxoZZ/ylln3DEj2S2hk3s
L7lZzF3E3bGKyWKBTcgTmvRtS2JN1dUaS/VQQgdsO5pi0/OOyLTgHAR8a9wNG57a+IDwMosvMelN
ygEjrGz+jXgIzosxWpxFYF4xDMNeo7h6fTJennL0T42cky6CVuUCIjMDU4LdzHTmdXYOlZAnWzgx
iVhsq8IUR8f8xDobCxzhCKAusgbB7ZuK514SxueLe/q74goe/M7s0sR86MzW4EHBCJZXZMRVOYhQ
C9e1fjaOwSesZG3pyvGmaPJ3ux4HM+hGsLdYF5AKZd+v2ksrbunIHQgeYoywC4Alp7HvbOHcNNeX
HwW+NQqta6F5YbROOMAuguWAJ0MH83CHgpKs1pF/1j5oBzRrcmIOBup9Wk2dFoVRTDpjsLMhO4BO
DeYCnhhSlO0Y0u4mzwJKK3kCM+VI78Qe0up7N68J5aTyG+xi5PftutbC0LhT5wp438UNlaeqi4j+
BTwRf0F8uFoiPdUw7FMgcF6B1xncdPjDZ/KPXoVqp690bbC3YRCmpdJHph4rEsfgbCXduC7mzVC8
922TJIo0hDCw2iF/SM9sGaRVSRN0HkgFP7JHRA1sBU3d/XdT4SjlHcOs8sxmVXRRrmPiGDZJOyKq
i9bpqbpiUpM3m971u/X2rCGp+DKM7mE+1kXRf0iSOTN9AaJFAzzht9hDoloegBkt2vSHxkFAwy7Z
R1CE/dijfDXPbGEYbTWXUMJlTLMMZHup5GmqQCKdGRWVXyutIVDejvrIwE8g4mBB/oMEJjjf5Pd4
geXamVoG9hIOsQ154TjJC0oRaCFxzNr59beLo6VOm6ZcHRQT/i8/BIE20k0y6F1AtbiQJy41QY+V
wFo9+9UHQSiK6df+z6oq7KCrzB/Szr2WlwOepkWziGwWZlSkzL5nn6Pz/YdI7FHKr8+0B//rys/w
sukawY8lSIj6qPgqvv7GN0vuyy/6AHd4jzE//aQI/e4pUo9gSbWYHlQryYZiZ2GXxVm7X36zfKtO
bwJHJtKmR3AoEbczDycwTzqmPCNcoWC3ayuBfchKc89kSI/U/UuTkzC6OT/SYefI8zwowLL2vZsN
by/UwUoXotAVpxRZlGAUbry1MF4xr91iA3bmN2d9CnlqI8a+g4HXKS9D3dtHo70+TZn+hGoTnf0N
AckDTVwRAXrTKvKXW36CBA++1Omqb27XmiKVnBC4wfgW83LW/Hp5vhu63s+ufonKndNIs3GPgYFn
xCk8qO5JqyBkMwQvVJKHQs0eQPO+bbZ1/i4JtEyoEJvkue4tVYl3sUHuwg7UXWx/cKYntNetkIdE
Dwkvh/KqmoGk4d+A5ZbHTPix/ITbC8OTRtdjadNBNRxa4xC1nlvpEn8mBKwFRTHyi9YINYUNa+BX
IRtNhym7exv4xcpTxPUECczDFMMxOiay0jSlsEzNVOD5tBezQYLPyu/PbnTxWoZ6d8zvDBvSgalu
uFvtsiBEG3U1nYmc+483B2FsUiK+35GaH25V314zt547S86vy3Z1C8ixgpBnXH4WtVJQegDR7igd
rIt4HN8G11FXZ2GzeuQ8FJk8o6W8qdVtH2eP7gURKIEsz2coj3VSVZV6r7k1MUJGalkfna5Fd0Ff
rPqg5cVAeXOi/J7iOAMCPHsWSbYtmtlGEoCsvOtYLhlV8zxth2vhzj43A+LdyBoO0rknI9WGAEjA
vwCgMHN1WTpxmkeYZVFbFpxBTJVoPDYJEJLPq4QZd2h9uGtGmy4FU6yZGwI7Q50x+47GPVePNUYn
brjCh2JAp1A4N7tM5f5usupjoE1weDP7hs7+q7HDMszxc06zVcsi0mrsoTbJk4r5QUreETpKceTt
RCzGyV1QoA6uc2usE6KMbExRMlitiNb3pyuu3tYHd+aaaQmJ95fFFD/YPZf0W62UyLF92DWsqoyO
AtP0Vyl724wJ+VH54rjiXX+/vrh2o6KDJqiW70WjyCBm33/RUfqGG+Rww1gVwANI9pmr1q32gdH+
S3z89B++ZaFNs0efQIoGAgvjVVq+p6UH0zETgODG4iw6rUf2uDccglbCaBcHEji74Fw4k2HO0r5c
+FEAuJy51nXtODLnAAVz1PuoEejJbgL8NKxZxw7k7gHJ65Kxu4a14C3bOgTnHlIrJE7R45tCNih/
Wzy3a5tMKXZRjJi18n68VWtX3UasG4BC4MhdIeeKh4m5F9PLIhtby63XCro1MlHXl4QrpTS6jEzC
zHmGIGIJ/TwZUH1u7jS/Q1KvbarB+2BBw5Yj+8wafP1W9a9PzfucfTB9pDvMWWtLowLw4cWUYKUD
XEDw7Ru8UCA5e5Df4PqneJgjU4+7f9T9Lx43ks08dqLZ9zwvJT8OIJ4vVogb64mSj5j1J0xWuCn2
wUO4eMHok1exlPm0MBKr2hW1EPkBS7nOTf/2JlR/y+HzwEBeo6BkRlCNFHMS95Z/GwiPM1ABpwuL
g8OqLi8fBIQo1/Zr48hU7pCjPQESRIHYg+PweR6BNWpHiOaF6mXU7XEiofyrTUM2Icl8lipY9U2v
WOhDLTQGQkaiY2OvmH72wJTyyxqxoT+8nCFYnHQX8qaodJRIRLmcstoa5u+/j6nzy8GQlT25DfMO
Lo5sLJMh7xO2WEE3i6NVP0Hmi5gMkF1C80he9DKX3UzN/hAGCEcKGlZ9fqoxPEgVNIkOxWq5CQgz
eUBa7TbVna6AGMzeKo5vzWab69NzCSiIgIwDX08tgCbuMXSaLE3F8FftsJiw/T1Erj4SAgDPFLMh
XojFC/yT5RV4FkdEbggEn5eHCEDcHvl/wPYiBz1vv7tfvNMj2+DNIUWkbXObBzOCaRPwwc1OcANn
0zduFykJ+w9PeFBP7LbK/ZVpG5snWh9z3q/NHQWXMRqsTbJgN1ILnP6XMumryzMPNSdOO6sh5PQt
Z2Mpiio/6FEtAoExutWcAY+ImviMRJEk81VpBpxMk/iZx95t47yetQYIExCQqVnE9hGK6CDc/EKF
d9Q4WoUnp1jRH1vWs7Pkg02Lu7IG5mSL+E/gOGPaVXYOZ8TfEWvJBpCbbQt+AdKHoiDzmVmqU+Tu
sxmJWdEfSih9HNQau2atAUEveTgCOS+LTVP+i6buVIu/B1Xd+ttlbxjYbTkEdowuQ5jj6uZK9icp
3cO204+PFLe0Aw7PyhBeTIwBqoxz64KNcXudOTRKFJZckL4YEXoy/7KQxcqTaX2lVRGyKjnUmsig
JQ6jPvolyVIz3Lkmo1ukf085P/ZI6bTo/EtbA+RmGePhRWAQwRAmOih2n3cc/NxyR52xd/RUf/FR
Jy1nNh8NrTgat+CYOWZn+mw4Hgkd1TgrmZpLi+Ub7IdEKCNzKLtgX7W0cIjUVreTq7tQTbn1XrCa
5Z9kslpNn+CeuLZrAHYHaMFvWUOG6QiFwZ9ZS2Qfoh4aorUSQhTZ0X0TcHnZ2Wy4PlXoMiGVluNO
EMtGRAw7S9s8RgkHAqsVomowb041XldkVlgvIsFVi7YJoeEetJNdKeU6tml7AsBPYESK5/mml539
6hbmrPpH7+vKwQKBqQQe51xL8/U+0qg0goqzqo0L/q+GkUUSOJPgLB5W1O1VCqhQvqwOg8OiO3tP
cLAVyhKuHtdii7NJEKYjWNkBLPWfdvAKYEt7AG1Oxhwz8sWddu9jrEWpD7pvH3X/cOYuxvNNGXr4
cVHslPYqiWKUi1+J2pT9xYdeeioH7a3cKxyHXqODDcOsYBNtxsz+DnVbHJT+uoTg4mPirNL9ryQt
jAEy3B24Izwx41eRI/WoCpiaVFvfatnXO3T/ICWGU88an9IJovlN/THshYCstp15Rc9Q9QltsdLj
C7tffheQLWawp1MC+6ZwYrl9PHEiwDTCaFZezPccFEb4ZdAy6BlRPCpB67DuEMS/OJ1NH3HRHVS1
fM8vZ2EQwk29w8hYNMaO+xcilxqz2rfZmDUXBMskrpDDrm9JshXyZZxXgKsqg975q25UDvY2DXFM
OoTafgzcsgxZN+FVHQeJMx0jBjLmna/mRoHYoPIbqmQ5fM5fz2JYdgMFpOeut4IyzirqGfLSre+5
v5ZG5DhTn4aNmowGShFZEA/VlPyauHNg9C/Sft0P9H56UUfAzYgBWoby57b5cY01z6BRN/Hy8kD/
2clQk8v1kzdHc6tC5/Euu9DNG1dOsZ0rwuDM7tNuSVYayH8y24/VxsVjh4p8yOAAEgKn8+eTW97i
FYL2ktNM82vyWVtLNOkn1b5zIp5L2UE8aJzPqiiY/5/RGx9ogaLhoy4clcu6nJ2okOz0pE4B+4mq
R7A6fwu5zoYw5e8eElV3QuEtmQXM0MV5u1FSyS5ptGNiKXpxjMUE7/JQ4lYsu0rOyQ8QmB03I4No
hm2iblxE5Gp8gs8yS0q/5RjEYtgteq90LtZ2mtk6GDIgntSnp9BXOUz2GuIevVjJ3hDqB3d/KSxp
U7n8PVfJabsApPM/agAUdxe84AUpDIwMXqL2OMyg6SoVOuDKrf0M98vPVDyZ9md2rraMP+XYqp7P
p54IFrcUWX0GDNOeU0aiUgEKPGnlaERmvPmSl3Q3x12LR8RELUpogMuM2ZAEp2zfwcPVRzohJx8d
tVcoweRZxYgcG1hwOKQsmGAJwftB434NrX0MZd+wMHp41yAh5ARXdTFdWfzWBuBGLfkK1Lu6PgIa
37caBr801vJ6PHYNANv2V9p8B/ueZNvFSifRO1NJuE7v8PHoZj+dHUoHh8Yk5ZQh1efNdGULa5ne
wn2jbqvXZpzCZPJaKkHr4jDa9/Du32PE+eGeYPzZ6oul9yAg1iXeRGw6fSq62DFyp211MrjOXxfN
q5vLN1+SJDUTdxgDzrUlLdMHIxJenOyeoX/f9Yw5NBeuVk+LEJg97+xY9CV2LGikIkrFoEm7w7nH
sJxE0k76rl1MZLWS7r8jSo6kg+Feh4GqwDvW939zY1CraF3Svho9dpnMezyBPZ0DO2OTpJz5w0FE
5rNQRDopBAPsLAuAU88r37lAyfeqgRGWaFPEJmHd7Udiyt/Hv7bQYuYtA0KFT1WgoJy0G+tvUN0s
CAAQ44n1BllAGLqU08g1j9uDeBePA5ibJgo+/g4R3T3/01WqcPp5KHwCTtDgLl+oNUtHDLAyAcXZ
xXEBTxq/4r+Ob+05v/f+hXvTOCHTSE/XYNCu/43Q+rZGHXSDDglE9fKd6Y9QdUFyx2BViK942qoC
M5RbKnOVUHCaZ/4LtS7NsohFkTNv1Oc2EIRRXOvDg2JAS+mDewkF/h9Rz/lfb20xhK5SQ56wW+7W
JT2DDKfoXnYlEz6Vmqnb7YPMlcgjQfs3OB6ewnAkwz/pCKp8nonm3hX1GA+DqSZcl7sQ2zx9M0M5
hNNoe6qKgEJgHvNzq73cN4Z9EgzWBzw0Jf3cZ/JTJ+YH1CMU6ojJmJpqrJZ7aZu+VpUM6ne2vrE2
Lrv+/g3vG9xtdmc1pWh4mOaFLV+V0Ex7yNOJUP03M7S+mhFvdNxBE57YeuRJ8rbc3ebFUduc3m+i
GNSC0s/p3IBHxv3edZip/mukA+DwvRf/XP9YkseyTxTU1OVknZkC+oiDOaLezElXjhp+6KNyzmMD
iPRwsbnF34LJEdE+dftuaoXsde5KzzgNMambkI22oRiyF3DulBzYexIq+rij0oVZ9jVe0Nb4fsQ5
Y0PGrbPfJ8gwlcqJhySvPa6FIMPIokBJomenR6lb7DKgx/6bvtrALtF3bPTBfgb8fmhEcXTk3dvQ
unm6aWBEM/U4ETAnYTY9z8KEUjGpuw6W6TMHbhWeP02l6O4d3fXoIZ9Bouw8YsUuFInrsHaadnHh
M9SshDOuaMk2wtvbA87J9f6l3WhsOU1KMHyvhtXlNpmbG+ketjXXCTnvvlY2rutJCNQPzRJApymb
1GL9YrtDKSzs+nJF3p5vpVlo+QI8bMyXgKpmXpjhUeGWQmLGHmfi1c68PV7He+wurFZpIz2ymfNL
5NgUEJabuq+oWDiKZEX+UAg4dAplDpbM1NQD+PfVEKpmdrBnni8JI04B2ia1wE//i+VFlwNn/D7j
iYQ7J0ZhuvIKdsF147RPoeletc428btYhFTrjxS6Mvo0UQC5d/67iBZhqRiO3ooT6XbyOuR1RmaD
D7iNInXky9Xz7314J4x2+FvRLzyltE40eMFJNQg9rM1nVJvh0/9zz+4ML6PuB+HJJaF+fDYoDmv6
SEJB8ReGb1SClFEDbCm6kYc1Kpg/D6lAxYBquReDwBfZoz4TeCK5yHSkt+kFLduWilQwmOEPc0aq
WweVlniQgl0ozmaeNGIXNw4yaC8KcC/IZJOKiM5UC2s1GxfyQ13nvJUUpfU8O0UHQxho+conJGOe
w+qHh0ugrDqW1mway+0p+mN0Ua2aBqXs6qplqht8loOo2zP4JM+nYGX9yHSEx54amL12cHLyRcbZ
4ep7UT4YRf5S56pib1MDcG+UTI3MDYE9UzNYE4vU+XYhuou8ZSfAFXDQrP6YouurWEp9XqWazNcV
/KXnaClcQIcVgzbEbWAzCiCGw8I8Fw4mZiI9ITCcMgwCeEM4xRCVhb7ztVqOjp3zBv4L0zeSmCbP
R6aZESjws4nYeqz/9UaSqpohyi4SaXgrGseuOAwHX0iass3ZjwdexG7VN2aOzX76n3Lrfa0+PQqX
4lNA3RfoibokbQtzde7yvCPx0GFRFn5VykP8+oOQ8I63QTupb1a4gg2NF8J3/7AtpM54zc6GSuKe
J1aRsoqwvHWDIUQQWzmAsmjVu/J//j1sBrfpX3vxwv2YK2Q4E8PKVn4jLtoHazQ7usK+wKsb0REh
feMHdzfpDpnUtR8pKECJKVQGazhmKyN1tCg6U1UuaUIAQB3UcetT2ladbjqnHbrLQUV9+LTmkucj
eEfrO6r4drTaFQcRtOMxme6SnXpCfiP5wYs60CVPCbCimQPMf+45yGzD5cmuKcUnswjH6aeI/Fdk
y31N8IcekQ1lxXv0ynXVc+xXSBSUfKLU03SDCiH5t3CWrUET9rEFEsoTXCERiKnXMFN1x2Ny5l21
XPZsLuU8r3wNxSZb9P0gC7Cw6L5mMKqMJQ/TJueAAwpignjHd/5Chyz9/hvrjD3z2TezNIi+C018
GJdzCFiKC0sKUixUuzHK3rkHyg2Ssbt2yGcpF+EqbmjNsQBBh+VxUfcNbYxF9u4v7tcCKqbjIZIG
h8SNiv9UwmqqnTs2gUYFmZ+85HLjB3A8ZtZjX1kUVDIXL/auIjJfj0wVRuvH57nTRZ5ug+ZtqpwC
nvYVkoYoBn+MzZa9S8qIxXvt8cdW+gY7aMYR3RelDb38RD1zxDbgIc1/v19y+n0H6oMNIjjXElsV
lVgEQ8OGXepXZVu3ou1zxJdFYXLG5rWFxyV5J6v/7shoBfliG8yhA/Z2wiJHjgWxyAcr4NDRPZdy
TwYdaOXhbmQXqH/Bqe8zTo+e/lxBiHjcOz1wpDG2dftODHZQQZMSEg3U7DSkja1IWqXELWNOjRlM
yszOPWc4uT3JLSMgUzTlSh4tZc4qZIhyGP88sTDfIi+1JcWg+qhOfMTgthRZMNEupuExVN8pYxvX
i/bRZuy+a6LQUhtNKO2y4pliki3vATgnIEciK87XXqkvflHTapGrllRa9dj96O9V/Qj1XOQZfIer
apOJbKF54rS08nu4sfmqDz5kTar54NYHGzr1gpVkKqXWVi1rDFln+Q27gwzxQOFgzk+mk0Kso8bm
0DGj7IlFXAhSjBJJYD6PdDdPfJr75LfRgGwzhP1QzDbhb3h+b5P3bQMeyGNPK91+C0gXr6PecaNK
quntN9howJrSVcW7Rd1AcBcwcio6nwXmeUwDcWyJ97fNMglJrUv0NyX83kBHY4ufFqGcsKYRx48D
KzAOEsQg8U4PakZpG5kATQTzlMUe5dRe+f+evMeRblXJdA/3ZsSQUm54GKXDCGgwyUBBI0tltwXB
BUPNO8TEDOMCjrFP4WdxTqvCY5l8eCKz5GKDycaE4dO8r3huO0H6S2S/dUa4SyqM4NVP+9xR6V9m
NopSrQvTZU9Sm5jAIAQLb8O3CkutLzs3pR65K61QUVhjHn9sbRQp01PiDY1WRmjyOOA+6fL1+hxy
MsU0SfFN+M3vzxYrXJT/5ScNoEJI4KuHg8iQ3j21SwVMk+zW5yg/il/jF9OE4e2aBc0SLBIEfJAe
LFGxDA1o9nxKo6cQk/GNQL8RcqEbtSa3TWd4TJPTzcbYKJk9S5ts9U+6Lsyt3D6ESchlggFBwyY+
00IpBk5VLILvP4eZud+hvmHyMUj6qTejDxHOBIZpyk5+OEjhyW+YpBGsxHu+WAXqTVuXDvjA+yf3
c3Rls0X06JCsHBo6tOhUx6ArEVfoljfvhWt5k/MBo/PoIGHUdPl7VX5C7Ozkouh4gqgaHHjtJadD
HzxQ58ikNGn/7bXUnPXHo4AVnM84tQ5munZFJqEo5UX2YTs1gFQC1qaUzP2RYlL0k3ZxqBCLCQFc
f/NRJXWco68p7akk2KcCOfCb9779vH9V6DnGLXXT6NiObkHega9h5CxTpnD4jG7ox1GCJXLFEj4C
0cii3kYwk0suXdXdE2dHQD/D/9IRmLzWUN0hE+SofUvcNTUg/xF4+ipO8RPO7V8urZfUq/rIsBzj
Mtutc/9MW6qsnktP79Y7x9JwVWuFTNJsXWwLes6pXQc2L9HdleKIFvRt1wVuseWN4hzIT+CbX8NQ
hCP5Ye07xBU4DRGixBcNV1qzpbUyfVwbsrbj+z4rf3aG6F3agHWddUL7Q3bWSEhF5W6kkPDTj5ph
CDlZfztHIc0tGI7NQT71Qc+pO2i6n24fh30hK28ycJHi3seYXLPWQVJ1ju58cAZ8LgPmvqo20Q9w
NnO9P9tMUSAuaJV5vm23PmQkcqQyr7rqWLLkBNWanaEXnPiRXsoGYe2yUQ9i14WLhFgnnVh0Eugn
i69FjTN+Et+UMqLaGrRezrS6TYwOV5eoW5gNU/SIq7NiDU7lvRwQdTskvIqrLCUYJ3xQmBPH6uRS
T/3YTs6ZuRqNgmb7wUtUcBKxD2g7ibjodZayDz8C7KGye5GNA+N0/Uhh5348+KJ8Q6jS2WmyuKi2
O5zl5Ulzy1KF6sJi+tVREFB6l1tqZyVisvGH2nsIkyC+JrkoQyQ31i0R8oMePwgzdJODmuLk+bDp
qj3X2+cFJT4sJ//uu4R2LXVKEPVLsq5IpjhCp0oNmARRdhuWaysjQata7AiRDpQyOLHfFFqAXZcB
8Jv7dO1mUueRtB+0L40ZRDDdxA4zaAxoWrTQ+LGIW0/KqVhVw/i2O462GflUnJZOl19PBT+2+wGx
hJH7s0PC51401ZbmfXtX8rv8Be52ag/MziSFcycaBlbbOz18ojINufsNpVFnGqCIV5WwSWjqwNeO
g/YeedX8XaMlsDTbBy95oeV8YxhDp1besvD3lg8PK9vtipOhxIOxqzQOH8XIS2S3cCT/tFbuuRA2
/4PdSqyfCl9vU2DZA5gDbXNzHRWknOOrSoU5DtHjhzSLSiFtvpC4b4FHvJq+u5X4BcKPaSDJUD0f
QtZty8XCXyEhPw1iYeAOVfKBCTx7XwmQpCY0BtYXZ33yGZnGPTPgJZiRr6Wpq7tl8E1nT+NGFtkz
d834E7D0l4wzqXsamcCLS4l54n0Y/BydG3Pmxw04T3EFLZMslyYFAooXQgS7kfk1xsEhoeOkjyJz
2EwvkK5hSwvEeo2PgxUhIKLR8tEausOSbn0WeOcAsng/wSY0GoUXHexaNR9ppHQwfX8Z3lToXPK6
2/Y4liO2yJlBOyzq78lYgaC4SxyzQonDIWeckc5WuJXNIUH78/bvdWaYn2JRQRSSk8EiqKnpfMDE
gwppmCxfXG5/tchvn9lXhccvhCDnBcKtXKX6HPyfK+azkjKjxW3xf8VMQ6Gn5BB5Jt7u0iNCaIha
ivIMWXc/toqpbE4FUOjUYwi1Pug3udQ77b5Mph0YJo2pSdVai2C/uA2DNg3GzPgRJDALh8HLjSc1
PKF5Oi/iJkxIFwTesH3VEubsxif9Z3x9/IrJ7bOIylKYPovwIbPOFmDGZ1M+hk8zM3SE9Qv1fjSB
GOl49hJDTmcTaGde4oXvQ+z4yf5c7/ev3JGht7wzWn1+eEQ6wo1cVENbkSjeB8N79/TXEvNsKvGv
kfvOjnkDIcuQ42TT0fLmIw3y4MMBUKjTVRWaxHJcKbtAWo6zKyhjHWw4+6SEV2uqu7K7xNGEMEom
Tc9xn5NH61WhCfeURTjrbgL9YMhQGLu9Lw3Z0fJ1dNIKKvykOGXhbdMtdyTOxAxbHnz21BhH8Biy
9lLdP1rjhJgmwTRE8l76NhitwPlVAV0w0KJvBZOl5xhQ/WXSckHFGbZ2u+JNCXRsDPqjuiaO13i2
lON8TXfS/7RiZpOAq3GPd1crL8u+WQs5iFIBzaD8zJDochTuXbFwsTYOZIPIr3mhD3Cee253KlID
McmxT9mF+D+BJ2+/zHwSD9Oi4ttv7WZQosKBkFkowqQWGgkMX1DDH545OkrEaFdplwV3LOeMiqc1
Im+e/o5BIQMnfhzIg9ojLwhKY87019EJMt7FjTmhj3wV+n1fYDGc6N56Ypqr9nnNKVSohX7GbE4e
Lsk7fr5gL6HcQOASEzLtgw9aXFk7RT027KOQPAyzxv40Zs9Qr8+UtBun9r5BqzS6biKyY8emspSC
rIn4iluQtWRzpC2ce8UUEfUQ0R1OPjL+r/VggotZhBrolGIOzVEPyyGYmE+EnY/+BpGqNkdcJXZM
S+BppejXFytN5HKNwS4XO8xCQpYGg15istS9DjW3dBY9T9svr0WrC4mFSma77WiYd6PlNkUt8OUy
aL1XJnyRaTyyi/186YPe/wxCyGeggL+PUVFkiSHasRYBOpR0aJIDZ4jhq9iUZ2g38eSrOflJQkuH
p8+tgU18pB8aogDVFIOVGHpQ3ZpIEn4tqYWN2r2l1+gZ76JYkdvqdp0Oop0PyjGYkWSDPcU5FDjb
ZU8XsmfGxZtyPg1cP3C3unp/kurp/EoUi577e1Ha3d8EvX1mfmvvVjQ/6KkGHQMeWbm170oJYixp
cmcVihU8jDbAIGDGzMr1UWWqqZr4vLHyvwMr0e8DtCxZCVsGWv3OQ9yUsz21zn8MLTLQKrzoAw2l
hFfWQ1XpJrhxONrk5HpUusywv74hnXW4YptXmqWk2ngHBJHK0o4njDsgbVCLuZlnZh9wRqftUkMN
m6cUOegfFFO8vyNdH3nMlLh6c6ntoV83Dlw+8OSJ1utdJlOlLr93+tTzouDCCJFfGVrWdqviKT9R
bsayCSGZ5csk5ulEJhckQAIu3MMqcD78hN7DZ9d3YFPEfbGwle17FifCTWnsmrn7iGnfIYInuAnU
+mFhHdmeVHSsLZmrZzHzlzMN9RSM6RUXS0e+iFtz9qU600OAAKycUuH1Fu0aAG0Z5ONztmtAcvWV
H2cb1AirxcDeFIZHzgBHQw+9/isBZTWj+WsvPdF9hvAd9ykAYjmb7bHaeeooV8rz1Acled/Z25r7
EcGaorKQEWxcV41iOlnPw2BDC7Atvdi2HSD1tSbJpqAvaxo31Taf+HEQepS2339Gobz4klAtBG5d
Mx7eK6KxvrlGO45/ulF8k2+Q1VVRWIQYJa7y/iafTXgt/DwVhnqvvZmrzBnxV9oFebk5LnlWvgiC
EKaQhqs+WmTmmxIBYOUOxfpTSJkxL0jiQagAgIJ7nyPe4RZLzFnXhkAw4B3Z3UFdipnpSAdG3/YU
xtlGDbwvXJ5sfSkOUcDmNvs+3xt//yayWBTHwx5eNBKcx37MKNzrApgUlW5zTR4epJwj3qBf21m0
WgllCl5bR50sSgLaITEp74VE0EI9h2jyMm1tzUZ+Y6z5Rc30H5qq7qVww+H8dB3W6SHWmvtcG0CW
rQh2agUlL/jodcbvv+kq76ytct/HoBMAtkLilHEaSyllsLMxVr6GuQBHoNkRJxnXe6zTKbVzv6JO
V9NJO5INvs8xFKYczvP+Tbeal82o1j4UU7B49XO0+/M1ZiN8W82I18y1HJEN/sl77vL/Eq0cLnhf
NnWubs6TeMbYtghgJeC4mrpTMII4hafi91L3iCBH3D65Mh5bnYGbYF8vAE+LtruBshfkh7DG77uf
LvULu3ZtL7Ea9bFPfQ7zN7QSrZVpsCuj0ZJGum8he4iF2tUOSMdrqlabdO7HU1GUShYQC9Fq9bCY
s5V/qbqnu53YJH5ktzCKZCwRbzTc5coh2V5Sf7LRQYnpl1joxhzC7fZY5jvvPMd0hzJv05O7Eaeo
cxkOwH1NPsSB1NoVaeZ65aMVuCxxrDokQ/XaamhZ77kZvc9IrdgcC8GKYAJ+O3Xqp5PQ8/il8IyJ
5nNjEGVw1CQM0jZxJhNQ3h1cSJVjvkUZiJnsBZgipl8XZVrBS8c+01bRiSIkfhHB2K/2C1DFO2TF
7iU4SsFKpbvSDbgftcB8S6dZmSTDqzWG8hI6dT+171sjfi4OSnnYGxwe5RDhgmqQJwc0QxYUe4+z
iE5+DU7p9KveaD5sDLf3paDKAkN4G1wXQh8ctch7FlDQoobOSPv8lGcCcw8fhgpzFPhhntZKUthY
G7vKmyJKA0N3R3HnocU1OkXpQaZqcddKoeVDO6xbvUNmQCvcy+inEFle27fpypiOl585Vm9RJYN+
gclYhNBN8asoUdLjU2iBZtRTcxAV6qF7jLMxNj08CPD4t99Db66Zw5xeBC2v7uBIv2bBFZj2U188
LQczIQO3uP3diYmL5YglY6kLMWjkGZK1unl2zZFBsECGzDq/jDQxhn8lMIo/KohM+Pq9qVYoqAI+
qroxrZ1y+2lxtT3hHruQoyKvUgWu8UPqjhSpjWq6CpzrlQliHx56uM4l13FbfJwKGZGWPp8DPG31
GxUfimOe0i2KyoTvuxD+zLiIW+gcgbGg5TtjEF3YxZQetAc+LdAO5beYs6PkN1arkg3YsdQgiKA1
gmxvYzF9jqzsplUkkY4m6fVufLKdOUmLpucaAdZloYB7/AQVRQTqZiC6qG57YKQV9CLwhb4ZslMh
1WN9h0PZradn64/LGO2KYMIa0y0iYdPtDo5vjjbvn7yNRrvjJlYwCLb7ImvSwGwZ+xSVmed0n7GQ
tgI1acimtYV+oTpms9Tiwhv+BGlZLNVtpJOm1jiW/pyO0nWyq1EOc194ZlBNtrWNW4UsD28XEdq5
mbXxtUB0wJvrlVny+D5XwBebjXhcSefsX4I86L7mYTFt06c3cfxCmFEGVatJ1H8AeJtm1MPdhS6N
u88IIFh1fdf+kN5F2Mq7XczPxRJS2Raj0dQ6YtW9+w2CBPcwV509V3Ve9oBg69KVLTM/hbPNoMkn
Yp0C+VlV/PWUFnTP2nECH2b4sT2xyT1jNf8zSpZMhxpklyDPyVSrSYEcn7OHRRmQApAQyEPSQRPI
nUbslGHSwuq9HlVHRpFHLrWJQ6mOQNzNytguV5tNoEnrmjy3cU7/gDfw2R6VBEhLu85mDbVsw2Gy
NTaDUCZb/hHPjdQ3iVBcSfrJ0Q8HYTPzhmGBpo0lVVIDWf5ApktoKTrbzrigj7VmFB4TRLt3iddO
Fim57ruvbTIzv0VWZiLs9cUjKIrV0StzUZjGTcPQwDPtsifOSPykfIhEGlGFe6/Mfwe5HsOPkGLG
hjlSYAnzUrK9cQU0A3fGL9dD2WHXabgbmmWLaTvXwpTf5X4gbW9Er0OwzqdKWS/YgwUxRc0BxKOZ
ST0ExABxADzsbt2l7KnKeiZXCA05p1WgTqJYKyIg+52IGIq755lvp7XqnjpluWHxMMyEE/7DdmiK
IY1tRlz1BeClm7evZD1429v0abtmwHqcQf36rFJh07Uw9w0ZlvLriwBHTO1FNfTEjCPaRhNt8wBS
SBkbPQm/rwgIz4hDBT2Rg8JyRafcfTYitwifkLK9uUfVEMz97KEKM7UkkzB+PI+ROnN/BXrdj2vS
7qnMsp56nk2ewMi5taxrj5Xwy36dnHYJz7hLVgccdqFWZyXZjdlezOXiqqf4ltLK4Mz1bFGuMR0w
HLxV5ag7omm27vM3PaDCbelIK4rrDK1tt5HNcclQRzeZSDHrn3B+8atkclOdZ0nis7OR/uAr6W+9
IapQWfSv3tntt6dJjcfqjCQ9DSkD7y2B2QIet6i8Nwv6okh1kwKCmYa39jtRKhVHP9nmNDiZQuUW
57xLtrtcqXfgioR6K/x1tl66w0Z4xJ0tDdWQODMarDRZ+DPPqDaFnWdq+jigcqXrhK8FEJbsAJpc
IfuAgtnMZ3i49cFUW+nTt+lwfdMf1te1T0Yqxi7kMWuNany8AONnQmvd0jyI5Av9i1vPsRK5fhHH
BPOiomuT+WIen25Lrve2a5cr9aVvFIsWJ8FbpWolE0ay+r4f06Y8YLlD+uhDOGHmuUG0hKosUHga
BRbnT29rbK+d8vr6mV/NlZ+rYZ3pdTokBK5ArguyHqfj2nu0qvSN0jZxODilXXlrcMzBnSViz8j8
7e2oxIi52FH2AZIgtpxUHGBEBZMfnWBEVucxBnAjiiBE9qAC0xk17tL7XhlKhd3NAUVK0tzN2a/6
YTWD5mL3t0nCEYQV9QkZ2GUJcVCMrGJdrW8BvoPd+WLzKgV76w26ahyWM2DHjaSnUkp/TY497xme
Traw32TS00qhJoLqGVYBk7z87ngjHh7+yP3dPKPn4+q5h9UO4cRYiDFbxyn2EEyvWQStawLLXNAJ
Ua6j6UAGRrA5tlE+oE+U1wwb07R2ZocYmQ3gi9acfBj/VXbr2amHFmE9L21nUdHXgxNGDydNxWvG
XQIMcLtU+Rfk0FL8RnwybODgbH8jdB8JsxFPTW9JhhZj0O9qxwXw48aII5kaFKrUEm38uHL+ef7C
62+u8I+XPuEpNc/CnSMysPt6TNqMNlwz0qL/DA6u1/gNG+35ePPiR1O/Ee8AmAiTwIdwhzzUH3Pj
srjUXJ1kZWFB9xs/Q2gyqmXJlzCZTBqwpqbWQBOMbKUMZH3dScO351fZ4bP+P4u0CnCm6LaJU77c
U2/TKcn3EvYJtY5k5zDJhx+2soRXWiWiYIRkZfbBJelQ0xXiAr30f7j0CvSc5ZaK9+R29Utb1aqn
RoafVz8yk41ZZVfJaCSjU3w/1YtKNWB3z0B8ytujaaapPkU/4TO4zH4xaydnjxvQiykGNlPFvn00
Ak5m69ESIPeKkQH0WXM4hpbH5ml9i6GQ/kclBM7GDldhoP1er6oRizF7TBoClnCZhQAK+xDWuRVl
q0MA7aaod0fd2ifu0vc/BYE1cLJ91/E9LRhQuZrgFZpg9YW1eb94hzPUvgAsxvr/Oda9q/MSeuZS
+fzha9BLBNN+SRPNGvSkC+Px3FhBy1jtxPb3PME41jZgPk6vdq0CqIT2QGiujA/C88pmr9zhH3MM
6fTtM0FidQcKwOojIu7gs4zPJTS2nANWxzr4YtsLZct5fvL4NU/pEt27khDJeG4ksvXLYLavocPq
UCG9PkS64dEayXsV9zzZD6gc1WOjO+XGLNghsdddiiHuW2Shv605ZfLLd7MkL5FAmjxjMWCY+g0R
kK8TpfVr4Kcex4PoIQTBiS5kfhir6eHFdtawKmle50caSgQJFwWR67Mok9otF31b94KO/dG+/EOa
LwIBFTABnFT0C4JtBa8w89DivNyz2AbBXLQ/Y3T+weBAQwnY66jmZCM2jSWqxMnpn6KWTdNaMRsU
9eVLpMGoFd9YcJCyMFr/6SvKaNF0E5x43sy/LjdsTTrY+5pV3kdt+B9bxeRfrRnPPxOyrQ49nk/Y
3wwEJI1lHnSkhIFBa28/OI419oaGPOyeUFLpxZBBB52ZliO+w4YS8gjShXdmXqOz84zJV2v2kCoK
ZIj7G4EcK37jCgGRvGI73J0ytp8KK80/N8OxAEqAxB58Z9E7eEE1GxDv0/1jUKetzmA6HU2EOFLP
O5mzyB/a1j+teQRb4bYNl2DPOeB/WBqpBZSmEzjceXiG4A8uHrxjBq78tJ9PJ6S2pa58KN1N7GIa
HuIqCF4KadWptpiNLrmMcuQVAUGUJfMxX6RrNBE62F/Fi2WW3QdaP778GSIqCxIF6IzMQQeGb+/U
+3eW5BiqMQ+68RFvgFUKG+UvwfnFG2iASkeckA3NYd9F6rcc7RLCvd3LQF69IMFoAm3iSLntql1G
uXLc9MecqnUfgmRppcUfeAlY6esfVg5+CzoWTUkS+0xuXEtQJh4RMHKfRFVfrHwO+H8BMnjbiD5Z
LWO+qyZ85qRRm2DaqPUna6/45ef/F0AjWflF6wPnY1HBagy9sN0SfRjDUil1U4tnwgCg3wQ9Vsj4
vY/auG52laime9D1D3LkWloYebabx65S++b/djGXGnhqVx+CrVwB5M5muLkVI84LPIAYJHXvLjJB
gsDFk5c3C2Z+mKFfldBtDT4OWZCO4X0szYLeVhvY8P0yDE6cDIoRil6MoLoADmu+Sr4nz9LLa0dP
eksPPNJVSu79sghlesLCa2hTXwhZZwK9GffGUtxg85ANG2Y5mxvi90VPVKgq9udW5jKOzw8JwEZ/
0tPXg5aUZQB/S/OO13r5oKMxmbAZyuMY5Xs4o0U23OgOXt5h6SC/JVDiujRpvWjIMUGX0+qCXFTo
giszvdiM38J/4pXd2mkznptMrkmtxXmK9yLQcNmqnPdEOWiUNMwe83LD86Jk96uHQ9u4XH3NF3Gq
Ko89DdgPTdc90bjtMWRLD+U/Pa8tGOJ4maZo3q5hHsIaqP0IkHLfLN/nbf73g3TWpTVcjRlDYNv7
klfPkvhcZAXgYiov33wz35jOr633PKKKiR286baaOpmehqaVjL97U3TwRyg8poJVRvwF6/kYT6cV
F9DJc/INP5aizLbqXWQDVRLdrxOeVLF/zA6hp6i7ugTvrQKbxLZlG36Io5+GoXzpBKnTKFGPdaHh
F2DsxtO1VLoOINIwbas+EZ5aSlM6F9duKulZNutChVCni/hdnn3F/HtuAj9bWMwzeppiYtsqEEpp
HEmMedL6QfMgUTcST5r/4wMCiLRggUPV3fm+PHStQLNBQqUbtF42GpDhddrkWdFdEqvS/BfbJyou
cR9jgoFWhP8p/z1K2XmHXxXUFNyWsDoP2WD09zqTSddU5Go9eSyPC7Dsj5GqV+Q3YOGWRmGFxSsq
zSMENuvp4YrO9yHAbVOdtDrdxgBbEdlgd7CdCR4zj7mBULmEwaHhlNrLtmiCR+FrmrAd0lkLsPGI
NgVYvq0w4K9BHRrHrTPzy8L3iPLrYlLN+3s8Ofyzjl9iu52NhBz7nLmAjFnPxT1GZ6GM1KDSHQCz
omYw5Gp28GAMnD2NJAxbYpwT5DL+2T6SK30+MeQ4oymavxZVHi+/rZt+8t5n7VY1jfF1/upnstMw
Qm0fY19UvucsvAX599xD8T7Hmxl32dJnb07Xi9zUhlITW665QlMETRRkJlP5ytIX+cST7HRcvd/m
4+OcS8F3pN3oV2Vk+1TU3fqkLy10Mx4zXSNplwnU2FoaCJbTWkGa66KrGl33rhxp/CjCrV1vfGF+
vhXLUHifJYVG67E6lTPlsgAdIKJ2HLtAWqYUTJ8s1//3TvOCv6PR81ZPe6x2K6B9Gh1XREQKgWmR
lb75Dn6COau9fPN1WLbOL6Trw+WT6htYBMp1pThhgFOkvJMIxwYAKncqp3xVYjH1B+fgVJH4dsdu
LaS5XbJY0cAv+k/UsG5iZbpfzZn0mA/b3VnZ6aneN7MkrLpru1BJGldURjWm5T0oKObP2vhNLjmR
LUPS2qhby8ylN5oguryF815GX96yiME3/lb2mRC9kX/9UW9ITjWuvedcdr1IAvYkShaVmTR5561z
hRmIOfZdnHILiDuEJeaHQNweWAyti663apSjCdFC2jpClfDw6c3O0UFmH19HU/iPAT/evuQJAmmS
OiVNkZmxHKhh0C1/3KBSh4hr9b76pVPrdpVwg5OBr2P7krTcH9TO7L8j6rknSPu9+kXvuKikEced
H2jmAaFTc3X1k7EB7xNC8u80FrwC1QoWXH4CU6gYTCdYQIVTtiBfkZp8NVCHtSzEEZv7Oltrp5MJ
FSBceJAD3nwJng0nStk2fRNpOkpJLPlaNAE9Oj+KFsj1GBuWeDlU4s8hbZrY+Dt/eid7RLxGaxzS
Fg0JohYLdUGCaVPRxzRDznnWoJukcTnG6xNuQlxIXUtd8kcYDO7J/gNgOrgk36PiDPhd3vX76A4p
Kte8Xt32GpJJtj+ec11bTYbCUBzKSGvM0WW3h3Fj9gpedVmwwOhLLfcuQek9CPn1RtBKyT/Z8Yf8
UMm4kTwuSpkjQHlza+FAlXdpnJUKBQmkYzLv64a3A+3+UfFN/lv1fh8jBoS+pw2dycmKFErh5cTd
cATzTcM+CAxtRXYwLXvI13cmZwXEmXydLTiLp/XFO/7Ua4gFDwXh/KAiMXebW+XiC0LiXfYN3H3k
f+fF/FIL+tiFUuQntSF36dYcoff9Ar7n9olaVYzlifRmRbJ9njyVDgA/fzUYRnJUqw2/FmtKxJQL
CoUFpj8+eR+BsF0ySSU44aqVZTUHKZZdXLAh0gOvmp7+NOqbnYvY23/SoRPbRNJGa8rfxRUvSZsX
DF8yOCyJBc5HKrI57h8sLdsdmshL5B206Qd0AAQJFCRodbF1IzBDDpT94DMH4z+gAmxWf/O/UWjA
Z8IKihQ2ygGDbHqGSV2KYtegv1ya2zEkAO6rvZETpkyzzRaZ2ucdH67Kah7J6JVTJKzqtDIIIne0
ECBgqDZgkC/SRldfuVIOpdU++fxYiQSQMYK/V4QB3wI/Om2wMxouoLgPTJlUbuZGCSuCsFdZs6QJ
XN4YZS6CSXYwgx+B7kxI1rS+Dhisuuwun3qhdE0eFdfCrfklV1qvncpZEZkBO2h/e8f+x4JndQM4
lYhNaOj7uyuHWvT3aAFjqbIf+x1jLYdXaWFo14EW7ce6ihnanznHD/dhVkTZL0OaZAA0fQHBYyId
kN+z9vCYsJiLIYkJSdWpiWXAOZaeTmz76WjvnPvLNW1Ea1XObWgBkGSeiZCo6jsWxjYGRR6A3+CD
GMng9N3lbIgPBoL7Jxz9GPmkaQVfSNL6kdzk8lczH4abtKCdWetFIWKEIk9mRD2Ycr76ZaR0BCc9
QcUxi9t5/9uZ26ZBejwYulS5S5y3iIVnxqE31ebzxevJzPsegJOPy5bc75pqdT1v8FqLLNjdLWO9
8ypvUOuvIxYeyA2/ugyFD3zX+sP01tCMnz7jfZsUyJDTnAB0Nid1hf+OfOxqX6achAgCjKAUK99e
jkWsCPuEt+5XXISF8ElSVE/r4KgmMfJVaGav8bohwn3x6r6kFlmus9vTC+Vru0dqqpYElWX/6o7g
AV0b8TtOvwoNVLNtMAVB+MOwli38YLDVGDAj7EuglIaRy0a5NJ0v6T1A20KgVJhojxgvIazoDjOr
l+20RbmulLnZPP9VNU2CmWk2k4P+VoGVzlFGPXdWBh4dUA0y2hof7lIkBN2FeDnaemz10W6EpB4Z
iJdESskG9MBgNrO7jmgELOLmx7d2kfxOY5AwmKyblOhHQShPNfFB2wDcvpQovHwZjB7mmRSNIGPy
pTycNxvNM+Cb1WTNpJYu2pFLQCEjK5ja0Xhv3X7MmX67eHpIZdCN1V0hnz6pVzh1yEblZSCVjyhQ
z9fCQ0dTObY5aL78/mD7cx0vn0AmyPgytDffWWSniQTxsbpXN1I3EHQtBErmxiKhnK57zw6bdIAI
i2kX1PURSpx5FoRtZJaINyUJ2mAz2INM7le2Y2FsfUrIAjKl6hroLbK6eMdKKU3GGEkBK1chq/QB
Jx3b3lCbqaOSs07QUeBgnXK5bBV1y4tbmBk6zSarUG50X9Or5tIGFQhbKXzTA91jrZlWJ+Lgyczw
+1bHMEqvmRZYwwCqdzWKDc1XYQCNb+UPkNLkppbMhGbDkPlm4KDusqB81qfC62H9IGuDJiSALfxq
T8my/InfRv3Wt5dUagf6VtCbpHSSt+h1jGNViTZnUdPpj9GdO69mmY8mP+3gR7MKGcXdQLTywY8K
aTXzKItB47kNRy+AOFxxUj/Wh/HWaoXX8SbgcZLxDwcjpvMEhB9u9JJJKzYJW3eeiYIFC8yxqin/
6hjJ6O01ROK7+Wcd28dOu5UeaqjMJ77Pjp9t6Tb1z7/kLSFLgn7FbzK8EX3yFp1soj8st+2OIpHW
GpQsDGPg2lbxgYVGe4LvSI8wdrmC2sf7dF/filYnAG87CmktkvAGKvtxXY3gGk3lDKOhheYjqyWs
tP1i2fxpUr99sJ+wOtGkF71zUKHo+a3ZBNw6buyjcOI2FQfKLm5keabztbbg4v4iAGXXt9Bcw7VC
ZM21xJScZDeTNpVffMmm5dn/qe/E/le5mJj7LXwccyQSNRM3bHi6oYDDNyf6HMgl4//IeLPd3EYG
U1UyUbjFIx8WBT2bJmTicyXuLe0Jtt22sz7al1bmj7RICbJ11QjDrsmz8ayyR8hABe+L9nJnt/v+
mWX9DzowvOfcRd/4JuOgj/nUi7OnqZbCo7nxlP31KI5upyy6HVF7lFJb+T2WYCfJOUgJ2WjFfbw/
+fJo9cKOqtKarYm1k4BHLY9jHzCJW/Vp63T0Q5aCmkfVjQxPZdFLftyxAAIbe5MSq+0I9g8yw7F1
Z3+WLvw3RH785W2YCDrJHKN9b1etjIIGeaQ6YCF6dpYm5OvhAy8tilzWKg+Nyj+1H6RxXw/OXxSG
UvuMV36f+vjGO3LXCu1B5+xEyYYK5o3nB1ptFN8zigzFSOI38vAuAmucN5KVYi9aGoIrnXhk2Iga
SAVccSswvTMfQiNKA4lbP4S65JKb6iwWTm+Z9OSv5+FBcgfyxhskmGqewnKlTP/8NH1O305bpukB
0pUSSu74u1UhQ5e3+IdlgK/Ec70Dno3NXHa51YVEpy50Dns+d8OCdxyEod13gHyD21AppiG7mAy5
laHkEosZ58Z1pygjE6pvKIOe53zsmI3geOi0ZIgdppj5Cz47UqMoyJi6c5NqoS+EZAe8jjtBGrKC
3787C/9USfkYn6LmdirrANnNom85MNHpZKjlpT3ReB+jNuqvZ8oOjPSuSicUtGOi++vMDaZkcjDV
4uxYiyhygu1DL6NAXMbxfoqn6pArtaDnSQeVKy48JotmlWzOVAPcSOBR8qsxIULzbYGIGJDeStbR
gCMfDylUJQub+voxE1b89U8fY0Kdu5+swrltqGBXEbvo+DZFx3Wks2QjOA8Zv4NUpe7Ei3l0twUC
GS6QrNwM/LUS1pnhZvv9A0V150fSGkYVAQnmqKBDBKEM8vzg7PptWZtb1U+O0vC9xkiV4IoChgRT
M/+sHXBb+x6zizfc2AdD/gymWCCw8uE52ehnwFkhskW49BhjdNMC5T4oerIYuvjjwAzKXAJ29VQj
y+S7j0WVZjBwfIf9/e9NSpstZAaK0yys+0Qw95F8CChTKSfLlacOeNYOgqJTeun5Kw6cdjpL14lJ
Cx2vu/SFaDciHYpI8ABMgwkOC0wTMTP0T+NuT5pFi9UOesNW0sqJ8G8/fqaAgNVZ4Ov1A7JRLFMH
BoUdVU30zUIx51pfsUHfpGqT1vQ7D8UEfOULEmtwXSV1f7X6Tpx0bZkEzsZJOYr2NpJwQN5Tqg4+
OervpGLK++ofkOt1bGKWp0gxk0o+qkUNFxBfwNZsRzQwgHMRSI/pWCkdmJcmA9JSeL+e9GU9nw/x
knsqnHcnnco0pBw9v+T+NVRH2C/dhBfAXlnI0KxDJALajVBVoe39Ik0yG5aAvS9YTjT4kc2Wuhp5
YOeVhvoqVef0c7XmwsCY/ufNHBbQr0b1OQFBtrC70Kh+1P2MfjChV2Nc0BuwJoNHfwo1nGEEK/gC
OfuPis77C+SSvIqAXIkEyqQDO12LfD8dAoI5Geodwll3L2ApVKgsHJI2nCEVm1b8F/0Ra4JAKhMz
iGN6aF1p+R5to3UX/ogcRk8ubfvYzmYd8IdAMmksV1MW+gXDlzn1UtqbCCbTYbfaUc8QG3q099wF
sFZjg22+pTzx5GxVzvqHv3sD4kWCp7ANiRYEU2cojN+Xyeuy4Omh18pCg8od+cUL1a5Qr2hiAucv
Ej8Z6YZ09tgxh8SctRLikb2is7irZtMMUA6f7mSbFBCGvECuZhKyDG8M/EBlEJOQvSZpJH0poTNg
HOzY/UpqJrJP8K4hc13bwNFgalzpedTP7q3Op2QAzWMEii+90SdZ8oSqKIS7q6Pz+yqqT/fdKvb5
xM9uzLiscYQ3jljtwc7jBEdNQjT0Cwu6bkErAmhNqbtnt9N3wb4Bz72vfQuZQWbzh5oo3nHA6uMg
VJIj6ij9dLNyXTgmvaTmoGh5B/6PdODeViLHmgwc7N/PsEeMb5HyIRyHcJS1p0WQpBrCBf/eU/nZ
S4bvrSiouVYMHh4YqPDVg/yNogMl+mkyphx7mJiaD4VKTujsVn852EKX4S21lUq7lZHoCpVdxUtS
NUmBR3xX8mniRanHdaxeipOvWQaK3eVv0ghG9HyGM/howw3fU58s4ADYcGYDDhF0GpENVUq8Gv8q
jbuimPZ5FpP4KVVheZHZY/ovn50GNSqk8U4UtnrrLiyeinzgwzASaP5poAO+90/C+XhXeZtuPkTv
76Sy9Gug/+GJlqse2ccegGaax8i2L3rmtnSINfI58WgVLAxJkg8UR2SONIpyZMa4Tk/tsL5QVDis
HIiglR2P7Re2I6a70kh89tPI8MqKqUk2fIu6dodiYmdy4itlCJ64PY0DfszFHcNmdAaQiq3LupNt
KBcDxF0vVwNaoFydTBbavhC8NoLJBJjz9CSMtJuvti/my8uJQAHCJ8hGVxfVWeY1tZ8CfDngDQsH
HULiHQbiomxmRkQ2wKxd9VbbFQkTZwWrst1gbffJBNocbZOzq2Vd3LKr/23r41PV8F5UtOUjD8ty
5yubOkBAy7cgmDknlridleaCvKCAuiW8Pa3Qv1o/+FgVDpwEYIRc21GtoM2tdl4v37kU/6gnuGUb
h3j9Ip6UbdEoj5cBWVG9UFvjqLWjbBYMm9yxOkiVZQvzXnossizRp23GOPUzQXi1ErFofsjk87N1
91AoGJonz06vHoqu56uoxkBexwUXlwG50f94Z27auq9MCD5Gg21Ve8ej6ug6Jg/GdnwosdR+3SXY
A2kS9Wo+KcfzsY7pacY6gtuOZXCg1kVTcn9mfwlmGMiQEZuBZ8xD0oz7VfV0O1ZMPNHQRZ6eBKDE
fjWB73WxKV9UEPxW/UJCAQofsNaNiR0EbtVruCbDeaF+MQNA+PAAk2+eSMFg8H69Xk2bTg4xKoCf
xhKBA3eg9BZwOlMlUKe3LqiG6Ecbb1jrVqRP7m91fZhqlq7yU3GKE4f+h98z7chDwi1VFXOPTyQ3
uZJU+wMLucamWHbTR/K6Q3+Bj588Sc4eK6ulOEExOX4mhwBUvCUC8icoVjEQjTLGGNhj2gdr2PtQ
VJlHrOWv5gqwvQrH6Ezkar8kbk0KFb3e9F93WJrygENSbZSPjC3DdQ+eys1h00VExcvmMc7/nbu4
rzv8UJwAf9HLybRrWihx+umh++rSTCjjdD0ttaFxkinMlRpqriSm/KgJI1SOOyjiVR9Eg/h8T7HZ
4+djUZiBGn47gTbCtRMIviT0QYA//cZjlKdXdFW/db7ginbE3bF7PLhJAezeTWjwtlbDfM5HLR/5
vXtE9F7az5u7QuCiT6fWXajgscSK9FGKfqJ3tIIhyPPjiNoUEeq/p/7CT3xP7utbqb9UOuAauO/G
uIeM1tiCirNiR2zsURFDDLPtNfg+uBNahqZivcfT5EkCOb4KlmoNqyuXesmz7sWZh34IRuiZ7DdS
/4MDIiQ3eqbKsUsdxXQfQ0QKxYrAk9UMgHbnfRKHxFoUPdwYnEXzriSm4SUYXlxbIHms98fasPX8
rmmnFQ+J7TWjQRKUl0Je2wgi+1NTU/bTij8yCuZobpS0f2LXZvkjTLkSpa4AHYBJAKvqm2HzBwoi
NVdwkU5aMoI6J5OAobjQ3jMN2whv+VoIdH6hnqTuahrmkBxkAur2cuJu2ws0YnVkUNfBmCTARluK
7bWdUZheNGzRM+gFrEz2ZAtu7QlmAnsgXD+4VYQxpFxF4zRn34rM1nA6Gz56lwOzXiSOAruglCEj
caIjeu5k6GpV+UBv0P6Syt6gMa2YYEwouv/lQNjShcDnx3XBlr3EsNlBEsskuLkHKdjqGUWBA7xH
rlyMIs1qWMNkGQqYmCU0iPeexcN9Eiiz77szUXhkdxDjJeN+iBXBkbRDMfwiZdTc6y2UtTKJvxAT
7u36Xe2mAIqG7jqhVOC5TOkxnG+n+AXVn2usvXrnCxeVG7zN2jKA7yxIhpnF5Yu9a7kZpkQfFWiI
18qOibdQSc+TTEnV3ucBdVZvjoX7hJUjgH+OJKdbixJCDXk7iNh4FQbf15oyOEhHC8Qb/q+/TJRj
rKWprm5dPU9v9mezYroxfdE++WE77S10Y2nNfPwTKwj5aSUYt8F9mIcHu7Dm8Gm+XoVvkSifpA4s
JKyMuW7l4kWfJrpsp/osX4grbrANdF2kkxpOjlIzlQeK7yRoGZOhuF94DwROllpbRar3nYX3PNYX
kdOLn1gDqS/bT9OpiOkxH5c+OVujgHh02dRxow5TudCWAaCB+k27VHLGbKp2ny+uaf2exYHqcERL
rAemOZxkcyntxgCoKa2x/9kU8r86wqwfic3uWefxPW2kuE6wVVfoaiInWnhojTlRDPQt7CO839G1
CHENN4Q+RVD4uD4vxwXtd1oP7V7SLnEANmuhCE2k6wYUMd4DReGaMH3NcMUkB9oETTo822AKGUKF
rjvEvUXBGQFqEA8b/KsjN/uDHdQlpFxd4YZQSeqOMoT51jE5AXfvc5kLP6dnna36TX0kGYri8TqE
dpZnJu+HWuk3/58XeEzUOeH6+/ed48ywksXKdrpGLiqK7nHndBu1+4QAZEazi1yny5iNQfCEhFzc
7rbsAqJot9fUKeIwrlvM/iEOEs7byvwyQe3PopjZkYLEkNWHUN8us0nmdoL9aIawi1+Se1KfPYFD
KTifdMF8xoFi3NXWi0hBNkBAVGugLJckzA4QSXtqME/zwjxyXe0hLY48VaPQnrYGVCnI1uLHLTJu
gxkexKCrNAhTpa6rcrIz2/tv8Vye8CaIELbhVGpIZXDe5yQD+FkSDly2ZjWO8E+Ko6CJLmoL4gSL
v68lIUQXx5kqWg6M7EZ4Pj9C2yxLlr95dfmFJnPw7IDVp3pjeQZy6wmv1WQK/NmJnFNDVVBg1b30
SD6bPTzKOSWutLR7LgrLPj8zh82gM+VOoHltvhdalRyOSegoK2qaI7ISwoxB7UR/OtH5Q8dSnckx
uaStoVlobVSakN8OHj2QvNxk0uh9Qxl7giW4W/Lmr/bRBoEEiPPWFEn6BO/Ikt7JW37YUoviVDwO
X5JQB3lyHl+OtUSVghan7p63oWKDRO6ITPOjwVx+YuxCkP5Ms68oo3xJ0NjSDIIcAcWQLF1nCrF2
SbmxDgEf0o8WYsjcU+/s0EUUlFe07Qgj8FGYoT+Jm+qEU8/32bjpNWa82tBCILq+P+0HIBAqA27i
qaEtNtFNUGjLICrBctNMGQhJ1USqUUeThI/h+Payb72DwxJ1e5agjV7BLTItGzOR5H9Vn1HA3tSV
fmM0gNaldIrvkwAIA+fWXAqEsBUNNHte/NQTcfjdo6tRFVT1S3aJB4TMIAXi+9iEtybbuytcdGig
qFrxI0eWYlGADWmR1uxfbImAz34nHwoIk1SB0DzPyoe96dZsJbVyPWUtHUqnh9m89UfosF12CK9I
WGX5qLJvES2vKGhMrEuFVn2rXIVUSvL3RqSLHi7Z9+w4VGW0pYOVpOsSv6yEuefz308quywuKMVG
hCAWsIf4lHS/sYaF3aICs24OjRGQEJtqbvTJkCBawhH84hHetPj4Dw8w0v2D3jau5CTQA4oyAsfR
4bt6q7JCWmLhRCYgL57YKl2qwiNMdtilsl62vJS0JlTuwz/61meYPi/R9Mq8+HOAzaVdHVOm6/aD
LC9+rJ4l7dow/d+lNix/rhJELlMEw0tDsFPJGXh7dcc+WYwFCWcY139lsQVlCcI90+J130P6xNCb
GhNEyCU3LhW79ZgHEDyUbI1dsfVQ9ssNgcCKv+DIftDYZ4xsyQXqhlLIe1u7BMuB4E/vDYc6dX1X
vhlkT5Ut1kuBxUXjo4xYJl1kVsUz1eeBeV3QKiz8f062TabzIXBOKR+ew3019cNqa28OwWPpWFQp
PLaTYnhKlzq9VpeNb+NEVeKYy4MXviWFIOvNpE8WtFMsP1NZ+Ys/JOS91WW/rsFUwuLLkqefK7XY
sBzy9iXZgg7OU+nopmhe5MVDcs0q5IJu3MuoqHTYdNYlbCz/fmz1o+Z3OClSfnRfR8sZfZfp6SNj
qm1N5Zz+a6pPNf+c1guONXopL3J3mxeneQ5I0WmNmkhwarxqRZXHoKujLz79SjtPFyiNmJIn9ywe
cPtErBtKa1ZamrxQItoFjcoBtBApXeM4xpDRkClJsVB5/ODDfhuV3Gt68tCZEDJ5Kxfcml/3b/T9
AWZomDjXsjacrHaMcdIxNDkzQAnnfEonpes9RYgbkK4gJv+dZSYJDqcSDZozuMepMzzU0P6VLiB7
2cQErFqDVHkkuXWMEqlhXEmfYZbow1bjJFpHC60zVtDrjuXrmsaR7oR0yu7Hah8kaLIl9KmFPmU4
EfT+3a3HNiyCo+uVDg+/vik2Ztmp3Gbr7TdPmPda+g5YrhLClDlEL1ENrRA982e+46yGawJcKBVM
96EtIO6h5muf300Oq+pvnP6HnG2sS9oQTWr2Cu7HPYy7nW+N/Nzuz6W3kbQxm0+DYeRSZK/iTGNR
pFNdrvNFnJjYprVImIK91z2Srw+DIWQQ8kaU7c4IsMlbSutOUoc6SKCehdnduovEpFUNzB7g+Tkw
JPghAlrvUiVjlOfDXSYbSPq71LB0YwIgvMgyx1EL4VPqC6I0p67XmpSl7m8g+pzHpjxUWRaazWO1
/fjwweU6ZMuUg/rV4ikbN9JWc8m08AoRiuMf4Tb1JP28CAeyrbf9FOx2PlOTL38kHqbh+P092tGg
SNkyxqPNOxisv7Wtxxgf/1Wy0d4hQSLQK7GsZ/FrewmstViAPSQg0/eLCm3PlwTITUCrJfQ+K7gA
wrFSTNLTH95Ky+T7x4mnS9xK9Pmsi//w38bmLMptS6gqjfpOEopyWV1ARsMtffGvrU7tqOb51G8n
bXcff6F7ryhDUodCjxFGrDP1hduutFSvqV69qRjlp6hjzG44fxwUT0lUcSOx8amre1ofrPO4Oiv+
s7xVehzLrgJBnUpg8BAWu8KT/KJ3u1MN7PxBmZITB1NDYG3FnOo0BnZJoOFlyPVB25jLQxWkTypy
itsg8Du357In3RP9902i7P5PQvW7UL1WRcnyWbDIerSYiKw0s0j38LdkXgz4IC82IUod/VnCCBud
n40JZbg+OFn6Wio5xcyOylKoASy3hzgNgtt3B9xNEKPiEKQS2X6KjvHrdTlVWqb2qodJGs+8CsFq
jtier6L2cTOLqkJzdXfCURfVm1Ivtrr5fanw0Hb+nMWWb8AtUeEyU+8ehiUSgJR48eJrNZfrzd6R
kHjYMTrkNSZKvCcxUyx1t1flK1+vreWDcT7M8veRPvxRiMLVsuAa/GPVuSrlXS7vucveYM8ZWdnQ
4ELJy5One8XnE31N1QaYzwpnPd2//iibvhvnOkw/P4KB36niLZbbdhhjw2MZwL4MZPmly0PKp/M8
8HTO09buAQ86nP3vWDZIT0tAxDNqakjF3CrJ24dYFD20dPXMdwTJLyqCNEJlh9YnwdJBYhGRAfyJ
Rb3Y3MNlo8eHOzIXfEKFPcIxMpsgbO5yw+r21eQlf0CTLCZNjBP08071vdMvC868luIsyfZAwLdn
ijDqt/uU/1I6TeRrL9xUpIeO2WBqHpUHpAUrpTj9wv60LigdtW/lAYaKx9H+YgjlysWlp4R4mO7e
tMs4vBBx9rX1dTIFX537vbrnilbCuQVosGy5bTsOiTyNnu25cktVoX+S/aP1q1rZLONI+X45yzEv
sdabpqZY5mKg6zMVtz7KpzwTXlMsPqr3wpEvxNiH5sVEloQdZFWzuwQ2SvZkszpNWFLM2bf/afo0
4x9ZzNaNmn6sm1g8Umoy8O+4OBlGEpB5vUqGRNdRDntdAHGB6gOuZ2c3ZpY9iRMGdU4Nxxpx8NfH
+3U4iSQ1PTCxYdDXy0nvfxTH9OH+Nb5svljv/919neUNt2Cv81sRxlbdntdJB1Bdztrxx0x11d0Y
g1Dt8To/WcOuR8Lk03v6LHhzVJgi8H6ugVgi9f18UCIerALNVVEBH8SAjYqFFOiFYWuDNsYpyMyw
TfiRHcA2gn/BYkwsocPIM3U3Lg5czGtTd5oiwwBYuyodyrsGineJxcHTkNxt1JZtUTZ7UspVZTnv
XKzfKwTRowIi8UubeaeBTl9EH2RYrI8/LFYH470hKoOqnaFM99VORrVkkOhh7oremX6G9gOKl/8T
J4hzfuaQMgqmkqVk8zn2kgeAkao51aOWf/jRx3ae1YsL6Fphy86Dpy5chU6OYsOLuxwQ+DAVNMv3
ZuxFIMD/UVD8yq9kRtsIiI69htRN+D1vV/DLGDCMCLKNUo9cEi+RUbuxSy9/TwdGZ1xD3Q3SzY6t
dG7ipr/Mg52fJf3tRt0QymFPZVrRqP1Bknjtt3kfzA5DgMy+N+JtqL21C02xbQTZMRtZ5K/hV0v8
ndfqnoaQSQVHNIOb361VkIm6wZGjPI2GtA32NfTS2+bPS+DCKQ+r9o458+aaOEJAZaHpPQExeZ8y
Rvgawjv0TcR3pVqqY4LthP6B2VVDkL9PzKTafrAYurczvy6pxsC4TIweYgkZ/xhjdx9pxzcuDjzb
hxJUo0HQGqHvua8d3E/tBdI54uCY5ASlHGfSQ9OW3m5B3xkiwmtpLdgLgiqV6xeVgB5Xcijpjy24
l93YjfWwf90dbU/Ff5DVHBiJPgje3j7845ezkiDkeUWdVaiaBHihyhNju0nIqaavsuCUPgJ373Gf
MJCCGlZMam0isTOU9V0fA87dPnEer7EvsMkdlsRifY2meruqazRFSpcWKn394gerK+awCdJSey32
mowSM2baZEjZIztXOZMk8diAZfuOqNnBOUtikf2c+Am6z1i7xjkmRC36jRds49dJz9MTCec+X7zb
UKK8rk0ll7C4D8/RmQrYkrhFivRjYQFBVKXjX5eKEIFiPnScYb2nUiZqqSGn5FSYDKnlXTNEbTpw
ghMcwQjndUFp/IuFuBFbx+N+eez52qP8GqQ3qvzPXc5DxAyMn9P4E5tZw7u6GyaYHNiE8jUEiNlW
TiQkeqAS8HKIlQj2Z8LbFMsP67nz3rBQObSRBZmTd6vwSAEnzNWK/4N4hVW+nSDT26segsx8MH1Y
AEZ5/M5mS5BCX/PmeuGTv0++32ux3eevbIwtmGsMMS+5fETA31RVHXFlCbhVoe9VHNB5D5iuoJZN
yA7jNaV9Jm8BzbOPEHT2x1EPRmGPg7qeQwkFLZFqy+GW6g758JTuIvPz0QRLSDk9f8ZIz8u1FN6g
sDo9Lvo6frh5pqveG0L8CX4Pil531LavjRI06JwIHl5NzQjAI7Hx6fsmUQTJrDBoeJ7mOLPqOHMm
IZbc7JxLI4hsqsQaHNcP2ksW/TDRpcbucqTnFuiTh539eodPOx+IdPu6LfHRZ6GiIck+ymHcYu6Q
3+KJqKRvLToBcV/c470cOKlokHcA8sMvu2OaPk7JeSYVLEcmh3Pgqsd4f+0cdh/HXbLLBsdxMBwa
TtJ7+OPYndPCFt7J+heyGmmpTnFddBAl+p+SUDO1lJMkkVEauWDmdDagHlayUr4byNTN1wPuRotf
QItjJiPTJ438D04Wyl/f+uwQflXOJsyG5EPw8hSpFLnx6iU7VHi/gEqPxw+FQdZsgRA1qHEyM18u
zVLb6VV57NdIEN4FDxIdgrMzqeIBddrotFnmvUaoUsFKuNJKPgkfe8oMb011D1nWIsZ7aoyHtNyF
fvwTxIOMIIGefFkAfgPBmNLnK+fSVurjW7aP8B0AWwUMk3sxYBXwAGUiQpJcMqNkuO5FYcgLbMD0
FzPdQAmikCDeUHtLL+YP3at2ytUyAuwzm+d5nhO9Nlzbb78ZUrAKG7L2PrlqBZXVEIHjupUbfhyi
4KA3ik3Am1pwKKktd0rSFnQdgS81F0S3tIknLoRQPRfrTOu+IkV/amvZ2SfGOyOQ15senPyywuH/
F9UMQTr6DDIcxJnQTxppqrfPyx6vJNGEuzefpC5caoK3WT0bLi1hNP2B86vfaGkNY8BTu2VyEIq7
7ix5ST3tEuwUz0heuYO7e3UWVujj1gSY0mEJh8PsLg6z48NLPS6kpw0u//mGb3Ckmn6VS0lSvJ+o
eFza0cnUjA8iIUPhy0P1PkHy5jr8ZuGTXvK9xLfUbCEt7EZSJhTlBoYMQJFu8G+29Kp/9uGZ7p+s
w2Lmmey9Hx0uGPERQ66dggArUyXZswjVKwZ5nldfZS9wjsNRCBbbt/P1QLIa7bFCIJ6/YPodfM4l
jT+EalLcglZrm2mt2DjY0Hii1P4N/F3aJepJimrjO28l+8tx4BovZF3mG68vh0Zbshb+BSdb3Uvo
G24mOzCgky3fLTQ0DIONbdRgvgAtZahgDnkVsdeR6eN8Cb1FNdIxt2yKbZGNFqu9aqeqlbgG4h4/
d6p1HLItMNK4jIPNnfTmqeuVQ4QhRgsD9RO0Ki5kV9bZ5VMRVsrG4YhISAlSBsb+THwj2CELA7N4
4xNgda4ZwCcrPw80qppqnVzIcXvGNX6UVHxGqkx3YRDIMdfO7wU0EeKt8Y7PHaciHm43wHErLZ80
J2F8qQaAIEIFe5J40YJQgxDRxZKWL63494lbzwvNXvR15A4KR/Gn1uXxMer0edw1VSuBACtvdAKV
YRfZe2BUQJurlA/XQwbYYJ3b7jAP0DBOu+9+lXl52HpGOElBvqZJQ4i9HZM9rM9oAza7obb2DKG/
Wxnb3RkRy1fNjEHmE5Xs7Hemc22ztbuK4ixobeNVMZrslcnU4ACE23nDMvkGSnF/7xMzcDm3hL5p
skduWmFnaVRBq8lP9q2u0CWQ2U2vNuSwgMPB/77c7TxRjCOBSpwJlIG0HQASyuTixryXUwfQ7MFo
wf81iHV7idyjLZMcewmTRiehZD8itrCeERjoPGL6nKfaLNKqMv0HwILAEnDha0Csp3UJcpBhzwVP
PRY+ufu6nHRgkhLTPNvnRnAgUPI6PKA9L7mZ66dSSK3Xn8DI5xwA7hdc8c8Uns+scNaK2GPiy9t2
NUvWfdwcexzAPINQW4hiRykQ8KM+XEfvJ5tI1GjUVdqig2zMvXT9uKYaXVjsX+Db19JkG0HYK0xX
yPSnkqi20JCh8yB60BlU0A/QoWo5R0fmxn3CBooC/xhIcbyis1+wUx8REGJZqim66VOcMM0sHHfW
ONdwmiKJciJpsOwJukYeGd4FRsVPgMe3Aq9gtYHnEPkphwtbnOW9gyN7KITdtdSqE85m4HjH0wCQ
u1BmDvtKe0XnlS/gREuC16qsdVMjUjZpzEfVQ0zxFjxWq2l2juc3B13ZpZTkx4mXvhlpWMO39J5q
55J6bDvn/ObEIrgy2acJsrD1YJTU9g2MaTJnqHMpiV6sHWfql2PIU1m9gAfgkC4WoBbdv4ZqxvVl
EdoeXPuVEP+WwWJUGLfutXanUf6b6+2X/tmOqluFEG0K0QZObHFjRIAL8tAMxJpOWmESmWln6+kR
x2TbDUVCBOIeXlrxvNhkaP+9O/LzRBeF7/Caaqfn8VVYMWMzmegIJHCusvV7Qw4NGSDFjl1ufLKo
rG31aovsZfgKb/Ku19MR7H7srXs1HOzlj4vPV/Dgaep5nfrBpGS9SkeKq368YUKdkCs/vKN45BwX
29DiaSD8oWhAsIx5zocPmeHD7/yyoI7DO8CxqIb9a7Sv9eLUWIi+PMjEUYCsXIt/n5S649iwC+YY
2C9rUYp2C1tITwQ6YJ63M1TcFcvy0fvDvWYnJhWV+Z7JrISbHWRJiXQ9SNyGkWmYByQvoRs5t9h9
f53C4kLtgRh3GwxJq7NgS28XFOUnj6TO2295VHM8zy4+Gfif9KWHqzdits8xyGBTTZ1rVk+urpOl
pKPrqRnu0x2EpGXtaX/F9FLn0CyFjZRebU1xkyY2QFf5P43bVYPYn/xkjrJf2Cv+3ISuDo7+KJEL
TYcKKd+zsU+eAsHmYjuONTH1jDh7nSvAak5n/g74Zua5HrBZ7bhe+6F7Kd1JLaVONuSmE+Y5DvHv
1vJhqaaXLjJ9++wWuuNwJKgJaNw2dvZnBs5N4WPuU/T6B+nCK+DECHOc31tjDsdAi5n8R/Qsi68b
ez54Kq73qsbQiZPigCTrrpHa//tk8C44M7WwFrsqWzBH/qa7xvON/qqKsWDLS/pQWnFsFHjpYtQ/
Kn0PryCWn2KyQ6cwNbt1khecn+EaoF8Kyn5GrmAbpIP50EpTQ8HTXTpdfgBC64mTgzCq7uH3puzv
8WFWBur8B5cG7k6gdmIGzCR32jBLbDL+5IzMC8lfMldmsLhmnIihK52c/sz/NleaoQj+Cp5QIZYm
7cXt28+MQiR/QGXyArwgnSOq4Ahf+lDkMiV4TBf1sK1eNfJ2EYEQUo3Zyu4rS9eXAT2OOrzLk4mn
KDMfRipOBlsVD+s4JF3nIdNR9awgzjnkp4FeT/dLKkFiSbk/67Yh0rr7TEIpvhIl7mFvO8D7QW4h
46mhbLo3Ni41WdJbeIz31NrFPjl4xi6RDpeCzsS1EWvXkl1o4FmU2kS6uGgxtr+ItYqcmRXyzWgW
LLJaI6ulX+ALK0En1qKuPSXBS3GVe1uOT3ofoQIuy4ckvdqLsx8OjtGZk3s7tBluAoIayOYR38/S
zmNUscXPKjp0FHep0TOEe5xH7Ww2iUa9D3fNk9TygyF4S0QdkDucjs+AE0IeQw/RppF4BumuQpjO
WDy5snLbWU2cSlUW0Pvc4+VTN3kv+N3RvvDGKbSwekrx8n+QE/tVQP7LFSlZn+vGAGZnxTrpwt7k
tMRk3nZ9jYVqAs/3Uafy2ck4E3ZxukZn1LQBbF9vplTgo2gVJY9L00p9JrxH5eMZBTN3MROFXae9
i3TO6MOxU7vfG+2iqrSQOLYvwlnkce/8sxrwPuW5NoVh1K2DDSRzWQ3P/joHHeYWeF0iZMyTBiWH
IelPkO9ebBeajLafmbnxqiKA7sDtApmYtZlIAbDmWTA40cuCTxtQxgNmTW3nXdSWRyvwf6mKvRmG
+dprVuLtdVC3jnMs2UGNro8xLtn8lsGqVE0ucTS1Wrc/CngMTtIKJdjHPtP+tmBMv23BlKcxSxj7
QOPJ9m0E+s48nBX0MF/DNe1huNAV1NrbepqEk9pT7Lj7+B5VJSWoBpOInjk0VZFcG+5296wo6nec
v0ail0X8Y6qmmSmld/w3wbGk1CLqsbrUZyxl+LCECVDzCzAxypqEp9n48OLsyMCMGQ2s9qX1aTsw
pTGbtSBf8nK+AaBxaLUjj9bGo6k8RA4u3aH8VLP1dRG3kcbd5XLRBLgvpKh5lnXl5Ehj1reaa4xK
8Rt+o2XKMgL6iYjK2HXnolErNIBOfNsWm73CqFlG7hAlrnSEyf2SC9iCYBxfgoXtMRPR1Wkg8z/F
76Jo5Oi++QwIur0E/QiWsmTWABwAbWOz1/tcYSuoICW6T3uWg3rVOrBwkfLG5Y1a0Wbp6jTdOYOK
RC91MMI4Q+IlJ5Cv4iaaIk+grpsAGRfvA0TX19HdeBGlgI9ULuRGZDWDrseYJONODfSqdXJKoE5k
1btWKVgLYaHWiMRGP8i28B2/QFBGlDd1GENWYpllY0mvw/L61EMP3X4gobTsnIKzlXnFJJaTzGCp
VAUCxXE2tRj4J6CrUXee/r16EG6npXSOoITL48Vpqu7A/NwOHwBTPxMvvGuQG0XHzrebjKq2zbZ4
SJo4fTGS3cjiwjPm7NKJOMqnQlXqTgtoYxBf4sFPpJgXZ7OpyOaTsTXizHOU9qomsro9P2Rig/gR
MjwByK2JtDy8sDTHhur2ZUWDReL0v35s5UBsWi/PUNnLoL0qwsryGudSsbKx/1NMn7okAkIMioI+
unyxzUnkRIZtAV4d6xj+DEG4pFR0EynR7hw9jdo3xlpkTjDMKpex9y2HLyT/MWY+U+L3opFYHV2k
mavLFJbD8tTzi9V3PjFmq4bzxNSu0pmMfPQp0aoN/RBCrsZEkxTs+2cPK5CQ0393W6ljKAtDnv72
IOYhokRpYzBtCwAG5t4bNkK6A5P7ZaCRDKWZCt6j6T27X05rtQuDrA27z1Nb2jxxB27ox+6QLqzS
vg3hHvf52yxA9pcgmSHCu8KGHxFz3YBNnhrBj1NvnYKo6k9ZuujPX3xoke/BFUKac5ieWjXJinjl
k3CgmNoNtZNYlMi0eQkkyObbOFm/ffBsjP/S+Hu4u6Pyh9Bg6tEBgSufijvrTF4GqxlGSxQ6EVeL
4s63qF4K8Jzle0EsdqvDLfba3CCiLKf5O6BjQuFRy6l/9HIBweVghe7WoyK4HRyIP3N02F+X7uwC
24nx66g8fphJEluNTm/+QrbtmJgQnYU5yDVvSVcfc7D8EaBbZuf1pKZoNJEIkeBkNUbbJ9I+G0tT
a9G/ZKp9ufo6OvB4olr02kEngn3AHf52FtMfpjUZm1Uy2croOqjdsPHsS6EEoBxNf6VRKDgIHKhl
WOh6Qtz6U6o8U2lPs7oWxB1Hrr8Ee0deDmEKyBnRltNCgjsssrX+wZTimI32jb9LavoH0mDAjS0k
p/zD/kZUj2nQQeOJQWLHzfPG2N9Vjqh9AYTn/RLgUrHSaOJcW7pf1mGpOu5GLns4KiRfW8ED9aYT
8u2v069x3fbp+2QqD8fayombk7L3241SnslXhaAL2xqsZthoGccKJ5cJ+GDOQ3M5+Lz4Q7fTItGF
GVxA7xgyVQJCi7ZfAf326xZ+QQfkHa9o7ZbcvD08LXeVrPRYXb3FIPD6Fhpw5jFK9urxw90iKUyN
w074GkIuppLxviEveqX1mV2O2KtkEWd5QRsvsxAWZ/VFFatpBQYCUM3MwuA4kLvMZlNi/5gfN8GC
j2jhQeXeotCOiSNxVBRBjoqQwCYJbMnup8Ay13vXzo+tvPKtxSjXJBJIOK5wIcPZZzbKEIiJyaZy
umJ2O6kVD16HKsmZQInaFXLr50YhgUNf8K33FIR+H7D7jLqODm+WOkmXeSy9NJOeP0gBAuWeUv6f
k3El5ekPZeeBE6jrdh6fABhE0dvIBXzm2R1KLESdGs6ER9audZhd56QxfxbJH9N2LCt/PdYL/Tmz
ZXD5C+H06GcWp/KQ8xKCqQiVwIcwfxxMkGLDG4JntGGgAPO7/Lm8N03K+s/pl6h987Pkk2lbivcv
wvYEVNoA78zaUYjB5KOqDrPI+bMSPPWuEhepuvQ4QaDrRhdQTb1Gv3kmDYJ7nsQrUma6uFAbc6/H
P5eiVusgKigDZzyjzHUre1zQmzMbJ33DWQVlue33MuKbYXuozJy1xfXjv/lVhfFaDQRXE3ABo4vS
nWfuY1b24/SJCzlK15vZVWs5CMtPgQ12D5bcFNmbu0Gd+5DzhrddEWu5Z3YKS78ktivQyKQhI5l/
2CNHJUeFc7VJRr7+LcF2W7LJX7cbxLxYBGsiokyKowltkAk0zeLSQhMPGWZqo8si/EAuqwIPG2Cs
KZLg6h40LpL5MB5ALjBXJMVaRW0Vs7ke9x8n2XSkl/fGHkleckWH8rLFYiYe0lHJWZtv0pbw0H3p
4RwFNaE1FY3JGGQXB4TXzZa2fsFar44M27fatuV/jiC0xomZAPlAOAmPXBn6bVWvlxZmaZG/qbsW
uHUFAaDDneaWoyLSuAVkSqi6TyqgTTJ2vuz4P3UIJ7berymnpUYscwcZKd1kOK/241xGUXvpGz8X
0R9OI7KtUB5D7GtPK+zk2OzPbKDABooDRD06TGqr50KRfnLFH2+9Fonh+6v7d/bUA1BjKsZ5pjoX
rvbqCoAEclPMGgl7b/rxAgXuWq9dXeJYx4v+rNnr/b1NwaSaHYTcbtGeDM+cyFP+Lue2SiC2hd2d
cykrtIcG3q/U9JHvniTQwQ6UJSY/nxQMLtysIWmv8fbJEKKZxGO3s4syFL1kgV+YDGt2gAS8noSv
tGiQSB5dIMtuN/Ow4W5FxBlXfXdfQRcM7cu7tFfY5fpsrkRB6D9EXbDD5NV2KlceW5CBkqjfx9+/
Y7DQaYvaJtY23L+8+pKoHr5ylQmoPT8TbJoB57k6yQvA4L/6hPSy0VmFyhxHtNj2XXNf8rLMqZEX
fWVwuuoFb6ZC2A3FAFn9aIg6Axcwmu+MhZR/LIyVkXehPekxbBS+GainnMtGwuo3MyC8kGaxUySO
Fxm8BjNfhusSy0hHtwS7cn2tC353q01UJW934pKd93Ye+awjkSG/zHd5UfZa0uRiQ8z79+grGT1P
iq3Nbbi9irZ+uEi595AD/eLL1NHYVZomKBHP25cT3Pijb6uQ+C8HoDN6iVEDBsEfbzy80Kyps7AX
2HaaFm77HaarO2PC5t092sZdYHI34xLi+x3dXwDaOGeircLGvo+mFhvGOTRbfssMh1EQEX2s9+wq
ApARQ5sIjkUvEOo+5FhIB1smUQceF+NDKpbcXjy5MPI9AhGqNCdYX6gO/7Oud5qf6foTz+KYntFI
ha4K+EcEY7s4M9uR5iN6f2QTbmsEX/XVajbAv22Uje1tsWQcjdWcjLdXTTqOvKPNwJhQzrOOYVTz
USM+3Ght+nQpbrF6AoNN45IDdjlhO4Vja4Q7QUMaI6DOvjoeDtUItI5y74IeJ/1BTGfDDs3XxLlg
wvS/kK6mYrB05/ha1Uo7fjAfU9DxAK9M4E0CXSFwd/+K43hcala1fZfRSaRhNDH5KSsJrxTVHrMj
HLo9IqnzPFCRxwBccHEZfU9MD0Yt3s5QwQzOL1jyjaS7QzBUnvlsNz832X7/mOOJYLxrNTSQ0t2z
ZuyN+Mo8e5zay8EinmcAZBXXNvdrmovGebmdoY41ANat3kCmNyzJsI2semH5rwCiJYYw/YNWiTB6
ceZUZcBQAHNpJTL0mQkRJ3fCnusP9/SH3VuuAo97G2Sdo0oYPZDKFd8UkfQRSyqLfsfqlRArDiVh
7t7IKiafeLZs8Fp0CLwqRjXP1x0t/GQ63Qbk+EQBUr9TukbYivcP9adXz6iml2Ja0z4m2iKtKp+x
/muUvmUT8UEQKgzBNRXYQ6F2aL3OOED/FpUG4C3rxhBo1UhrN+wN/i2i+cEzdhmGBI/B7+tcsk6L
ReJocu6KFcshTkezlgU0LOlt6JXcFo1YVE2fH6GZuNXyM3VDMmqRU9I1SSK4udLtNSFiqu0QZnsn
JmvMQqmYjm0eq0BmSyigWVUUGLwYIhX0KE5aig/ZCz67XoFMBJG7kihTZYOMcnu05/qw2Fw1CdHj
KwmZOAIGHJc1yM+TvU0L0pNSrVNAj6cUqcA+cDd937x3P39yw7Ib2+3jNsUsgA9gd7s/aCmpUEsB
udDakxyoCcryuXev6x79aoRb3g3Mk1NnToSAN9YEbzAgRUfptSVeY9hBMuOZQjLNRGv/JyIM9bfE
Ov8XWpecMyi4x0M6NaYLcSKwrni7ZUGxKmQWc4frW5FSvOKIQNaZxqFw8MUBMF+qiVtctzyWZWvr
9rbroK7fWLDPFv+eoq7pek1iCt67Up7NjcS3bU6AzA4K7aJIqI20i4nizrAKnxvBqlFAJikeoVyY
U7cTxFbSJicT9PeFUBIVpgguzyHiGwU0D4nsCoHLlDNJ1oT1UNRfxnw8y57+8QdCAuc157hMLIe6
MNmAcrRKOVBnhdpVaQTEjdJUbuLZ1wBtmSd4fIfiMIOIrmgElopg+3IIOEibR34Xk3fLphjDmlXC
ldgXpIk7VLuYANffTiSFu50n9kPaW8RB7twWYWnwB50QuHul0ilCXpebs1dTkF3zx+Uw8x9L1qBV
RAUoXwWItljPGbcgtCesu/NtohOSd6sg/r8GNj4G7mPDXYpiaN+Ab0Gn92Qjx1qKecnIud5D45+m
JJaRMGYjIbVQUO91E6Ugv9W8bDvTv3TzdqYSsriL3E3gyzv9ZH/j/IE6TAKDHm5W6ZosGe33bu6C
a34BepRBKMXUmK5BQu9oMUrLsz2clOJvoqaRupQd2UtwnUtxV/6fttjZDXDzUFCmImkfC792Hfqb
OrHS76uC3r1prSfetQYRUOXLRQcd3nvzY3Gwk+r+Cul+PGE9CILms+bJbKORd5XGn1c454EOzsKl
yTEhmHSlQ+yYbh4fpZbl1rQF+/1M9ggzHulInA1UwCV4XU7DVfltvnXKVn4eq4iECOUGqaieeFKV
LeyANS7plKzBmdyf4gm33LDLl0can5RXB1k3AjYI63OqbzZL0uS6uHtX2ZAqKb1sJYh6B2XlaJfR
R7eVLwr3/OncFCicYoYMnJJt+YgtxawYsmeSzrXeWamJFwnCnJDGFji0aPVhv/vyuyTz30FAn9lQ
DnfbHDTSWBO8xIVGMy3Aw1hYFs9dGkLeJdbAcM9q/Ln729QfL5iyhT0AnqriXc4ZxuZC/ZU5+1PA
rE3sE6x4BO5I8BDl/+OTkQmUKL15pRprTpMyNJg3hGq6U4A+GNt5lTMXVniThpFP5kzrIfl+02Gm
KOWupBCP3XX68RegB/cwesqneN592V9hKde8VzFacWfiiimPFzX1tWtwnndaCmJFI0AJL3fUq3Y+
b6pV+XNdbGrV4gTfHYBVREwGzG6oy7M/dhHTYm1ldl3XZEgPVtFgswepq5F7+4twmxvzPU8MrpdE
x/t4wNOncSy5r4pDh2kyhRo3s8SXXmI++Hxp4lQGjbi5LnOxAdyx/TC09+xr7WpHxp1izkiU9MPN
yJHTlFkMUzh4Ta92hZ3DElzhmWIlRj/2cdSEQ39wfMCEloiqM+QYrN89I7iiUQfUVmIyDK8PelgE
W5QI6jPayvuF6RISwCmesZw996Uz045w62ocoyrdOElHDVv1j2PBjQint7lV2j1V5Q2hxNnv4D2T
EUFm4FX5OFObxOy0RI64PvATAEtvqLU32nxWttxjqq/agkucezNlgE5AsuRphkIzCbfGHH5Kzy4h
OP/bmYQPrmk/g1TIeoB05R4jNNCAiit6nqeepMqL/cKXOsW3WrXAIoNyKMR1NrKJ7HVrb3+edKeo
LlSqbKBJyxmcsGsmgycJBJ5T7VNleq+YDP4pg8mfKNfJ/I5hneescGbt74uA0D6z0pXYoqzY0oHV
H9chEbHpoJRDDmpogtQBy5IPRA/tRTByfGQIlqK+tnyhiVPInxjNgS5n+El/9ckeVdSej8HFomgk
e5EwNJXy+0GIWTEN2u2dChOzHBRmZqZieGCy3Hz2VkuVvAYCN5PQ3viiazxEnqNNPpxzigsqdkcs
9G6LQ7BiUwEExwNp96T3rjQSkB7lTEMExONwano+xBo2QiXhQdV0GsKwZAAxxk6brujrkRqQLZrB
0fFW1jBpfg3gPtdLccng3LSzDPMar7eVm/lNibZFelQPg3jYgxgxFxJOpLFx7uohuhxqx3tepJn+
KLXvt4wAAqXp3EjXlRRIVqJmcnAQhoRA78bS9t5Id0xAsMzN7ABV1ZEF1wztaZ7LKZeZT4+3ccst
UXEPIHiX6+ypvaXuHpuWmqgpmAIw1FDxM81LOQDikbJQzDZj9ViXWdtgxVPFyfnCmrxCErfpX78p
n+Kz4FSl5MA9OMypPel7hTsT55kYNPmSmkW+f+YatCU7I588MnVpqHva+C7hGWw0jwVhxyXkei/q
MOzZye1EWkiKu4K6eE3xNJOyGC+Vwsbpyu4Nlj1HWRxw/Csru4udh19TZnW1T7sHxLGih82ePVYu
Hx7VK4yAanEgJmW9rW26cFOFtL7WuwGY5sO6dNehKgdL3lkadCSxBK2HtCXNOUUCGr7mn1j92LsC
SqBdAQ8ebrAN6SqDns0WTmd5LWljL0g8CjBW/6IHFJQwg18PprGklIDn8AEFqyq+pnoLtSymyOew
NdjypUbPx28uhQJnGdEpWgjFDLYACgdknz6XrCqsnWtcRcfe9oMRb4irWPxi86J/04y+XyblnHPR
2Hgt0GbmnIyTZEB22/vMeoXXa9E8nIkTwIZTI0e044EHdJbr5Z6mu1EahuGdInEKePrSKIm42XCD
tR1QmjMhUEd8j6qGOBwBAc1L5foZGqzG3+uqU7GxSDZYxd1xxmyY81RtpvzZ80Y3L5LAlDW0sibf
EjdRWu466i8c8+akXOVaXBqDm3UpOB2u5/1Rr051YRDeG6p4JIFBQ58lQ9oI0Kwu1RaAFSFA2DCJ
P0ni9eJM3sU/Bldqow4/mfcLHSd06SPh4pgcGIQP2sr3T5yANHakxaXW8/E/DiBQPFmCnksn/i6I
cHWgN9An5+Ei+mGreLY82Y2R38zoG/fu0k+9X+44ZANUWJHMeAyLQFqfhNYkBrFr48L4lVCgaTLX
I5v9LTnJYtKi/0rnRQqPRbcu+YnPXhZPq2choSIc2I5bwHLMwzQeW24AY+uvKhC6sVAkb6eevUuh
zN4c5FAi/SmZko14hM980WUFTUpl3ebS5ZYtdtu9O2/Twa2ReQvaSv67LjxvyWDizwODX8TXNGFL
p/PMmN1cPJgnN6xWG1/uo/zeOTpYfryKx40goPgo00oaqwpXJjgCCmJ88IeGvO018KiF7AhjzA1I
bxg59lfs9lBik7hVKQV2tK8TltoTMD+dfZuy40YDdq536Fahjj3VhnctBg+ARAucW439Qm33XLRb
/SIeX2C1e4Ik4KeehdG2AsD0PMQW9DszhCeq5YjNAFaRPSGU2eN0hpPCLtJCLvPvZTWmKlz6GYla
IgPk2qmTO7mrNV6/hKgTqEaSGEQtze6TY4WiMKdoxjRIq1a7XevO148dgRwT08w7iwDQxLdVtLw4
ANHlvzpYRcLdtSovxCH4PY7vVDvVoHcq/ZOq7NHw+QO1uZKGpBKKEk5VxHExh3OKoW0kB9/TwGXj
RGXA/v8QobGQ33NddI57SYvakLrzSsk3s4UPMKd3y/gCqaVgJlwcCuj5/F5RlxjE+2x/sP1rvkM1
p9RJ7LjXPfzx/woXiWPZ4E189hT1K1dQuHfJMjnr3n/1FayX5xCD958SyxemAgAEKyAyRyYjdg7q
gjoYRL08nKDrMX1X5iH7kbbke/Kt2jiUbhTrdDfa6i4a+IqaVYfFcaxBcnxjZRRCGfYDaxkSzBeH
j19IFBbWfY9Q9Ju6PWTfMOa/+WQr8vRbmRoJXN9LorONSzWc1YUK8NKB2mFgKYOlbVo1NRF8teMU
KKYxmDYtM0ClLpHOg6OW7/qpGfx5vzUZ8gurZDE4ADhGPpfvj0AdhS5RdEbefZ8MN2+DYvP79Se4
yLTFH0k8NBFigN7z8e2jfqli16uGNSE0nWzG0l1Z+o3hyZ5iamlKkFZz/N/9job9xlp1a4erl/ys
lpO4TZ1iVyySmGLtfDHuanzaJGIHxU9IR+DDn1JsqOL99GpsnbbA0r67Fk5SCRy4ZRnBTfXdBt3i
6Bxuq7ihRuBZGLx+JZpxek2+LaeM9BonCaBB/lezzgI3qwekE+wYqb2Li2gAhZDflmrymEDcVVYu
uAqReXlrHtDVZ5iBB4RD3a9kyECbMqUshwNaA6W3qI5mExzzC7+eq8Jw9uvByx86oywSh3Mq55a8
ojISIh2EOLCqVqjtxi7NNH613XIn6RCPdv+y1rKoINfENK8phff18DMxsoMV0x/PlYSPaUInt5K2
uLk0ObR8+8zQYBT8wijVqESWob3Cx7qe63OI6eANwSZMs78jKy7IkahsEyFU5y5G3QTe5hI/1H+b
dxzn/dxv/qZ5YIK5yjaiU9jhlRUFF5cGCuhUwf4M3IibOdB+7/XBLVN6P8u9rdoCkLtfmkPqgqjM
F43FyRkKrHrIeKEG6TgeIzmuHiR46ICb3f+eHMMA6PaXzVOtbHBx6GxqqNk80KCoFNJX7wMEzNor
6o5Mg49Ja6MWFEUB1OxP29wQZWIJh6WUz+TTa+FgawuwDEsvyuxtHQ4pTwCeSUHyUxAiq4vo98Vt
TbYEiy4XShaiCazWWLzMVOpWB92UOFnz8Q9pNG5olutYzeQNtyRvYTNGvTjuSkMD8OGk6UNXkWh/
0tzT3Bb3vw7UkSj+I+CGK8UPDkTVFAbZo9hiJeUi+XqWH96MTPHKcrGso6L3pmD3ktRIHamQtW74
evVjsGBe36Av9fPaMnliAait/9yqO8takENVojS5/iwe+AJMN4WFkI8wdBLw/xErXozrnO2/ct2/
ZKit6Yq4WlMWVklMruY5N+rsoOpAmL7WepsMI3DSEeNfIkufrYIP3RN4UZd6wZwL2tMoqCvH+A88
TegpVrbfSaNW2rJ+FvL6jk+seDh0VhQCMtjNiqdNLgr10H/15/cQ6gSXk/dbBFTzlGphYY4z+e/g
1A5H7JudmYp7cLEfIlfAry0WpJLciQQdPu2QKK93pHDGi4dYn/jKZdCMmUOYrXiwL1qODmmxy7oJ
O+RFxeeotpxRa3OVO/P0OAUse0/13SgGMCLkaKeTcqWtekgW6qo6ZHOD8BT2sAkhfPChHh3PU6uB
61iLvbYJXKwta2i77AhHrvnumPAgBc0HQfkZQ1vX7JBWGgovDLiIv9YDhVZiGitGGvPNd5UPRbUj
kccQ5pgCDZPwy3GWgm0IAHkjm9Lr/Qvq2cNbsvwYPNbAGl8Zx3wQDhNWOqv5ckTXCIEo4gbCr5ty
3bwhIHKvTtdhrQvBPotUFKnfjKCsvj6llUsBfTAZRxfnW8A46jpUIaZ3Pm6Pa/H+q3xaf1c/pKAo
8Jt24pplsp7acIg0sntcwNagOqpXOfYboI4dQzylkzaK+3vJPAe3qXH/JhDnLeue7tVUkn7Lo8AO
aT3v1DoDXPRA81CfROEiHe1yTPRxduuOKJJHK3ymgZQIvTZ0sDc0kG/jFrBbg9V/EfzArsQSHeSv
zQRypOgijj2z9fU2dZUy4h2z5DpK9hpSB+SC6aEI4blJWvzgvncQ9Py2mcD8O5/xWjsZ7xuhRZyG
Qe7mKDsKZrUVsf+pax1K8Nv6mB4ROZWygfgnvqK6zy3epiYwzGvLeG4Yfyv6Dp7F53GhRIc3ySEE
HLmXk5C/G/CdySnP7P88sIjgMLgWZ4I94iTrXhtzT2bAUEfzc7aQgKFhDCHe9MVFEPjMsCHEZ1U5
+qDAeDIoJaKRFBnxHERe9piBYzhd+pJZHPIKZ4yszi3v5Rmfxx4CU+dqj+dlkDpRew5ct8mIM5bL
aRY6cE53vmfH6Lw/4Zxp/J31NOqvpMqNiDdZOT2XZGDAIuLOnVILOEfq1nw/caUJhOWp/jytyyt3
qRp9ejBzGFInA7rptI4F/B8UDA9ryqL0A+w8ztOGH7FC5hKNc6x3ksuwayb+7M8l/Ck2gySOuVih
EvTTIP9wNG7D/Gede+DphU/90odq98TzKV1j+gucJN1GNek9JNLAAUnT+2RA+UPhacedFWkDXvIr
tJbBCCpA47qF5e5zvhBWkrkFl27aLigyBTZQzbMOWly4fWWPaUQ74PIbZEVhkpC+BWDXxQNnm7P+
uPghH9cbppvq5FKTELgBZygTQZWX9cXLk0H8EDDj6dhcgpTALdMO4hB7M4BaV6iRjNPpGiITSYI3
87442MVu0WcmsOqNq0s//bwgusFhLY5ZA3jx8S4WHNHOKi8Vk3GRbmb00hJHxldLwsZqOuIWr7B9
09vChfeU+JKMu3VI3q4x2Ri0nAPy8Vg1QlNF98TwD7CUEeVoF16UpivLGIzKwoAQwd4phLdh8MUS
UbOfy64Bf3c4nynHhLYYirrfYSkM9T99mRS2GB7LSbWvabpUEmzlclplAL7mkF+9flKqi4nEZg9j
319HDm7IcEjr1NPc2DeJ5SqO9IlzcaiY3nGpzSgKdfonQ6LbF74iZ0INf4ifrEUPmnWtb4dbitYx
lFVWID8jBVvpDLOAG+HfBd8DmZuK/4U7ToJ9p1BeRdBtalSUBeSVl5DweGDZx4LcaY3Rg6sQUHn2
/30uYfHHCgReEVesQc1Io2jeS0BFP6xzFmxAleGs0bsMbOEZHqhh35H0EuU4XNIytagTj+hvLV5V
Tj3eZdFem6u3CMvuUelywCovE/CPLlEkg/OQgbsxecQOEG3R66d+WMEgaJGhjX29pCocQCsnF9ow
oC5S3HGd8Sbi2tzyTM/btyB6Vkn2ayryeBjL1ycuBhlI/1NVDqYKMzHEkmIhRg2uxRyBuFzlo1q5
1gOIMeej+gFtH4gNn/XObeLAcuzK5ISWueR92zctEWy9NIzpaIM/xqB0dhGqNOWqPH5BX2ZcFCZj
oVvC/6weAZ6ZS5y/dZqJ5C7JMCVEutuTaRixtMCk/MUL6CMMp5LjvHYdlR4QzLbWDaHZ0RUIn8nr
6/s5Kuh7Gul38Ea7YSdM04nhFb4d0ypP+cO1GXjBOAds6gZwdeQxU65SWwLsfXXV4pYrjI4e0mBa
lKyT/59oRrU+xwiGHL3rvkMbl/SCudeGvCks0D3q7ZEWBSl+Z2RTevnorDKcef1E0MxQK5YTSDnI
vhybD0e1qUGGu9/V6zYfjD0VFmGLkgZSH5/+EWi+xYyz9quosrDgN9rU24oRUTDHVWWEkPtCbHaB
tv6C54Y0pqqOdoAnAndNzg6gRyVJPTDEF2baVFt6se5Z2SA3MLK8WdO9m5DaziAaP9zc7iYOLuuJ
ZasZg9JNmB4OcFrp47MOfd6fvcF9y8j4WxC9WANVZG1yzudnlVNk/Zv1AK0yfT3z0B98Vaw/Q/46
j25sq5cJkc3ZuZIBqaKEx9EnHrXq3PbVX7+MqVnMKd7qZqXoCsXmKNPoy7WhX/FWEBxWzdtPHiRx
W0wcVh6QV0v8BuQN5dWRixJCVXUUAXP8Mku36exASfjBjELxfzjGICAWmliAbCfYIODadZJ3g//Q
bmjtA6x6/zGA6fQHe6x5B5socV1S7Ejdmrjv8LkN1SfNnBZo14dhSGDPuoD6GRnaTpuJcmly2MEC
M4+OCKeSWzkyXrpaHxLtDgWxRYcgHN2CV7tHiacQ6i5Fn/RMXu7RqSx4Qisv9ekmTC0YwBzMq897
BOxRiN3ruH1pO/vHHrPws0IRcH+KfqtakRBoTnD40MVapfYkkXrWQeytGRm0Pec0Deutb+/DDSQf
n/O7NeEwFpMCQGMvmeSPhex522mDTo0m0fIw+VhgE8tljHzX2i34A/+W36MsRafgFUov+tdc5vrD
ckEMWKntir+OXX+5Q6VjIG+QaJBVWCi4rCcL5ddPPUdJ/KrXBcZ5ks6RhBjG/rFWyFCrtVtzyrLS
04r8O7JVFXPs+EK1fwu02NOFECdcT8TGpr19ghEYUQo6qXewtjWF1llPQNu2MO73wrhusUugQZcn
FHYfW7w8/7RsQkCwwPq2aIrTToqlvXM3IYjKlgP6jYMylqWYp6CqnzTArW/LfWFcXwx0OlhR00Ph
eiTLTzLh6n37qiE8L2QO+vVG+wvjIdU57xzd1aWp8lBSybSdEHKzRUUpDdv7EPEJ7Abz6WWdlM+I
zt0yZglx9SYqksu1we8Wxnhuq/uZJRkZOVppDspqHzCMJqkXN4+q3MYHNXS9ILeJkaw9J3FAVZFV
NJN+eFwxi3fBF2U/iyFza1wdJCOebZKI1Jjqk0w3PjKP3SEZ7oO27adR1X54WwTHBMSIkW/kHLh8
MJsLoLxYrsq+Y0sUAWBIK6+p3eRSkO5hiUD5Hwypk97D306KH1Z3+XcIayHzYiKf8k/fH4PdeZwX
JPyImeSp/0TPD4IivKTSwz7GpJ8UoaeS295cOvnuCRoI9brKPxTgAvsSC94zta0ZQpwj3I26wFTy
0Kdkq4135VSu9iGJwUA47sZ1kIxugkU+ChAL6ujLsbOLJCKkfNXKdIkr+oCHGVWRdCroqRktN8I+
rseT1eYyiY5Pn+b5HHMO0N7uHpsOTLZFafNzhG4J0csP7XvP/XD8+211yyTst4JwFDx5jcWqLpUN
vy6uOKy5Aybnm0ByGcYUJVdre7OMdCRpLgVV1kRst0L6Zi+vaeEp4km6s4Acnj1sD7bzdwAP39X2
sPTqUd6dLXjJHT4RIq5SQ5+R0ATo+rKgVh34dnFPiwiABGgMIlOCSoeoPeCmZczoo0QpksLa6Znv
7+K7dXH8Ub5eGggY0mOAAcMEEt56w20G35VBQnxDmWhSGECLbWzZBFizpLZA6m7l+SR4NBAzlwnu
uW25ycZ8iuJoypE8Xf/+yazsexpo3FCwka2lkziVMa+6d9MZqdxnM52FZw/iKne7oyxf5TGRi0a6
vMaURQifWxKSqd4z0+zjuoYohCjEOLEjc7UF82L/ZLSv3CF4N6bqbKRznf/f/+hbFunocs4F+vYX
RTtr+ZK+OoI7eecTphgT95xfqDSg/nY/m/X8WsqW72+1rzUG5zcHd0fK5DbyBDqDSlDCLPOXSGhE
q1hcnNgo+bDeSMRh5MR6nwrO/Z1ZvchFw1ZxX/IFVvJBGJdqQMV80bJk78EIoAfoo/Iehdb4os33
gMbfDIDkLYu9SxPjDLtmFboVTNgMEQ6Thkf7Lnq4VpEhpnFtcFjK/5WsHr/c2WdMO/OLEvQDM231
l1IMFqEU5VnS/Cv4O6Dtsfw6APh6rXKIWroJ1LWoknlHrwpcb243imm4sHRknwih4sFK/o4Pt5UT
oNQK836VcTYEZ+qZJoYOpGL1aoW61UnZUN8uESzzdbxzy8o4RAceruUGsb8OGWeZoL6r2J0ZQTpo
7ih3lK1sasJG/c7hmDsPMkd1V5mjFgpDPuLP9/Z2sidJa0DG3FT/EEENvXtEVeldwoOZtWkGa6vc
/EYaBNX2ZiC3GuST9Iobat14YopsOOvy7C+Kf+VavC7T2iR5yObHnCOA86+X47FaFYuntEdcMxef
xRwsS+DDEttFH7z7b1sLFqhY1w9Bgyrg2n/1QAPHyXm7wsLElMoBJfSSkIkAq+f5MXax2pQlv/+G
oyB8tzOpd/AFfpCq1RPl26eX5SljFavbqFkSo5DW0D3RNM9I/AB6hWTd7MwUoPfqbN9UVSpGOnPP
1sCXWAecsBVPFJv+9TnPgpLgvL82QCqeJ/P+47E2o2L5+oix5Gl7JvG5oOmBL4/6lNi5N+z+AwfD
nySQ846wyQc4TjWA5+Z1K1p7prqnFTM0F3rnEWrXWzLK3l5i053lzQEPeLC+UoRvX3dwKOB3Brba
vtn6xwqwRVfhkZZoVWO3pNqFV8jqViONxH39y+2FtPQ2TCax3q4avpfkGTuiCxfh5e+g3dwHo/1/
mrW6nJhEItyiPJC4nFzyqOIIL7lkGeVS9ewl0v3CWfbwoMv57efubnJjKiwlvABNJW361Fo0dx4J
FaODhQfwFGAObJaeBpR7hqYr6Zmu3cPMwzA1kiV6ZsOTTGVbgdJDu8SbMFAX09KkGghn/702/Hpu
ULaajo+iKa9SuGExCzjb3HSrZDhWY/FypjY0KBjGm9FyVle11xoSOgyiNwy1sDKF1uYNRxo2Uphq
jZB6kYo4El+NdoFhKP7qKdl0OBjL32C2AVdepLQ9n7+VmDu2loPEpxxSr/gRWtUyApo3gYz3RpcI
8X38Y/1bOt6zXaBLUqrMLh8u6ZDv7P0+TvVMabQLTqqQFJXeWCb3QjeABq8Kg/g3o4FLBwhNRw8S
WvBOBbUDGiYOk/8A25jSoLtv40ND8+hCw+qggSZvOtFMR/OAW59r21LGp/R+h+1ObnaRWP/LePvz
kHYxzTdl1PoSICUOBjNVkQVKjwMUbjTe/vHh1F2uvS1yMhfXi2Z/jwQGt6G4BJXGjVLUdZoHuGj/
JvdLkNjTHu5vSc/eLfj9gFgm63TcswlESkgGkh4UmfeeY5R1wZv9zmCoRCJNuVlmeAgGtPuo+tVM
NW1KF387EyD30PVgVlc3v093m+ydLbFWXtaQZu+s5BJPtlYNUwhHqBXg8FAMrwIOiZf4+QN9sZ5R
GemTobq6JQjHSvot6a1/HVP6nkXSWPPuvpqb8v8iyjFCX8+w0RSO7IfGDWvyGMtmIr7NNkT+8A92
/6HlktvyTOGJOlg3HsrWypVRt0FASNzAPD0JA05wNvAplE78ZOVB0KYAN77bGziT1Kqoh++1tvAx
3CJQdHuakI9CXZ031RE6MN8shtszsRe3ipaJA1YdfHwm//bQsl+OdmNQhB8aKY2KAK6mtMS1EYox
wDxzz68JYiJixdRAN0D3mcbppynMMmoTquDpsAYeExn537niJZOYkjZbEd6k9rTKYDCQ4YP6FZOZ
UvdQlTmhyfP8NWEo2ImXnOoNg2lHd6M9J2kOba1K5Gbu4dH4/t1TW+ZZTkxkt0U3OtHEP1isOS3o
fY5GHuAz7vQEirIvW15tQRxDBGKZa0QJ7iPXe4aV6lU3DRRJyOGTyUAWbMRvbzJj32ncJkywHRgk
18cB9UiU7V0Kdqsw9ppUbyWwHOLumQ+HqBkaJmcxctidHZX0Dks4Qu7F+GdeuS7OUJHVeKFR45lG
94ePUKkrrHNPnhgPfaIcu5Em651cpdhJABYZOUvRAHJ0QnOZejxXovz/o1NVAl6HxBVQPJeSVnOV
jovDy2hmbejzEYV2+kfkYgYBOmp1jM9Gv5mrmHaEmXMrRz4FqePk4m6vBBdIZVar1gLUHnQruvMe
zCDZz8ONzWGC9U6vzIaq+P+2WNGyzA3CBIor2BKxTHORuQ30UIScJErreSmLrkVrj9kPcq3zrOM9
z2o4qpllxA13FdRENmkPzqqhvQddqgSKiU33Hpyz7bDzyDeWm+dh8uU+wpFTHygPM0LaHLzlDtOT
TfnIduSiGRVQEDveUgpSgSCETM7YSc8wygVEks4tpMAH1+VbrDTa8ATCVMpv8Qb0WeZ5i+Ffjvt2
RlWFFzm/JWMo5NHDy6WnN/0L7lT1yCL5E0C4HQH0i/iEYmyiHbdOefOLkc8XFGJsNnUeZCaocEw1
JidswqQabo79AxVWdq2SY0/U1K2j9LvlbIjY39V+7aKX7rIxP+rqBRn8jqROQUdhENcW9FIXlmB0
BXSeCCGsGEyXrJExej4d+HSA4+rW9facxm+dvJHE154Q2+w7vC1F8NbLqNsFA8Xnumms1NnaSC+m
c9B2vmXy6HMv01lUQxVApYpMIT1x63XIT+FRRF5OWdTCjd2PwVL0UrjlKSaUdQ6JUsjRvcsiIMPE
9YyUspqlopYlcds92b8VAtpzCBFoqOw/RL3jdyu5eS591lEa5MP0721/K0nwWxknBAOqj1IOtAgp
j6W+yGpnckpPAatEveDSq6Yd+LVXFxhvtVcM3erU7z5PluDNOmqwJ82KG1V9g2D+66LIQuqIJ9uJ
hY+LovG7MRwuEKfC++M1Z90upwAk0amJ81rRaJMOlq3cDtUnHaroEIPY2tNa50yx5ruTEzAzrPYo
HGf30Y2PxwuFu1JFxF5StUECJqIfnS1+OueqekaxVdpuUnWySsMXJZq1KHhYI7kXlnUAxm+WLQah
B6Gckp51JfSFNqTyE1x1mdKin8WzGSJLbgzM91N2pb0e2rpvLA3Trg16oDRsdBJououXpHOlLvAV
Ar7yxjNcK7l80AJWzCKVRNaCPD4p1LK9Bpg+DON9SMwU8qeKb7294oYxmj8kbLpTM21riBKtZIDl
Q32Bj+24aTFkEBcpqIp1u5eynKgmS3+B9He90kH13HSaiFsiRrz+tnIKElF8cEi04E+GNPQ2cbDy
Tfa3oNDWk44p9qaf3l/XV6SZSvIJvifZTSKL0dDmUdSrYJBLvg+xtZWZXlCrqjTcAzKDXJ9bQSwD
8mT5NLOhRze/yHH1Y6q3LNnzZB+x+86beQN6xB+wCx00w45KETy4qGInJ4A3mhNuRMAtiDgUsXJ3
rzNxGrA3/t41Gjd2kryiumqGueVaI1iVUschh6c4wgNm+rTCvpILELTqNSccBd+UfB6RmOYVnZWj
Tarxz7MnhXtJbSYsselZLBSpa2cnInW6OgmXvnNd9R7HaSthjzhYGZ+ECoJdoUwlOzHaplSSgvkV
5UTtCQu8SEBU5iuHHxaEh4NHSNGfJFrXc9rvtABnM7+tVUzxhs1yVFqL7ZDb1xSPVwGc+7wlDAQz
LgMh8LC+8pfSV8dKkoirrdpDL1llqyJwHDBazDvLZgsd3MtgOhnvpvo8t4QLqjla4AZG6EQUsuKO
5hOCm8GQTinJXoIhb1AXEyw2OwKWNoEHqRo2+uYjeBvQBAeEmoyxnsiYRHfr/K3YkR+3U1uGQxW+
8mmkeyDOZlIQcCv+5ZIuBr+Tmb8qNv+4CwHgxKqqBjKeN6qfR3q6V90jmpxDWAxSVFovEvkH2yRs
vsee2Ty7/YsaJlWQCAMvTa2QdbE7DXGPdyFssH1XUyq/TG/m5eLJ3DGRfe5BLiC4X/juAOC9pXg+
tZY+/Dy9QEm+2sfA+zsc7NZqji3txlFLJlDriW5TjS/zVRxyWYSy8t0f4ye2V0adzuy47puQn3GI
plrJDnUbeSbEtT2kB6O0YCsZwObNsFq/P+MuzqbbGlwdptLysB5MJd2vmH7NI390peuVpQ57GC01
uE2SB7ucDb4UbY5zaPO96b9mqFPfErTvPD3epOTnwmyjw6E3N12Ac048o18f1sTlE9VUdZXwCRpp
f6fV0IRSUNm+m5Z0WodOzVYMPqucmj+sw/bnOMz92usGvaoFo6NE3LZpQX81oKbRUmKwQeykthO6
+Aa49wzQwOgACCaPgSOFz/CBg/f8c66hHdSRKUrAd3qFvzss9GwSVQCfxlxbnpUF3rkwFrTIFF2U
xrIESVZPWqVPJG5NCTkSspHLwL8jLen/bJSh1G014eAgUqrUsVuk1+Nmg1GM1UNDY/wcb3AyW0I8
l/0ixa2/3xJFbL59TWBn0Qzms01+F8LaPP/rUHEEEgykBOFneVlkXoREKLMXf86D/x8cvcBylJUU
GkXPwV5aEvKj40v7C1pWaECV9wXvNU4k1awOfoF7Lu35T6wyIPSOTiyrneOhgu7Xw5wAajKL0vQz
R8qoCFmbKLG7G8FtPH/dnc0YUu2TAFOkqIed98bJpysFrPA1DnJPMrBvX0xZSvxbvfiYsQ+TEDJ2
c7gIaA5Gi1SDEqNAP0vo9DBNfQZVOkXQffLmD1UtWB5+PIQ9jrN/2Iu46vP0d1piL6s7QQh7QiEt
XL4cmprAuCBu4pBu4XegX1aHF6S449Nv4QYb7XCSxGSQxUFky15DDrrSIDP9Sn8EcTVnx1O+L9CM
pAZj3FE4Eh1lkUToaDBAJzg7APE8dylpQNrHyC23hAp+VVVqoYNxX91PpjGi4y4xkxasDqmpmenl
ID1U0yhxNegzWRp9eMUv1CLXYSfFgNuY5FugvhukNkVmm8crhU6dOVMGlsTyDFFwAk3I8Pa54NWS
j6TSanbbWEo+LvIR/M3uf1EWuq2ehiDP3h6upDy8J2ziwRVZx9xot44nuE7BFq0e1PP3ooCdKksW
ueP/nMTusB9wIN+4yZMblc8zcyQZsgu5xcTpCHi9yrY4T+hqE2GbqAjClW9a9Leb59mYg8WDQo4c
1nVLBoMherfED00P42Zg9HP44RnUG+l4wRNAFTjBKr6K0/oxkZid3q2FXWnoxOCulgzWBJV1EeTx
8+MQe4Zo2N9ioWo6E/t7c7huIv3VXU1OXG/njsnwqzisozHTKpU2YWuYsUzHhxWhK4hwULhpEcut
YZ4107MzFOfhFMo99kFkm2lYECFNNd2SEy/hVkq6p3OMKAut5t5sSl6hOATkIbQByH1eZj1T2hzE
mF0h7YADXZmbD64sfl/Na5jq/uWk+ejhGqdrhY3hqUWd2/W4Kq211kYrvCbamPdP+Ou3EfumyHle
B5kaYiVKUMiieBIVaLPT7Ghbl6ka+XxckWoxZv9PxcV35qcIGstKgNvYk11rHfCgGCZh1JgJ7b/r
PeaDo+Sb1P6TvNDcqfA3wLz0NUe9whAwMPKUPPlk6U6BZUnpAeG/SS88aGrHWzxB6sOF5BqC8V4T
A3kS3ayQx2GZgAEXYWEGvAOuMg/F2JbwKL8FA/yZEsvmiiudx1w6ASqbVutMV4XdZmHrweDvyobW
tElrXdCV6eZUMDrYNwJosAXAWn5FsB9evA7JiMzl4W781stXdtZP61hLX0BzyIhF4YvPQkfWanIk
3q24FZScC7t6sejE5EZGt6NfUeHcidUMCPhZIZTOsI4qs+ZwDFFcQfEEXrjE6CC81IBzrfDvpef2
mrLZ/A7VjaZZC63ZE1p93wunhkBpD/F01em+gOaCYxYMOKCqEM8G47IFv/qNIjlblabcTGdkVC9k
zEGrLlcth5GzfXLLcU6e5swWEA1cvNqk/RFKWQko6UZPqGTW6F3foYbQVR2gcAv7eZ3QAKrvEwRM
R1COdIyufCtNW6NCMoXkgyip1QdgwYoC6xoWNydWJz5QFWITBx99uisX1HTFVvat1PEVdQqyTMHN
qU4ARsKZ7gFTOKhQbBDBDMXqrjnPtIxEiF9UZ6HXhcIWHxIbI/R/239pdThrPMSW+syBafiJzaJY
y06PvT3+LxE5hm7G08cEtwrT0iirTi7f3TTRAnB8n1BaAYHZdv92gDQ0TQ2K2QMUyBo/PmIYHC5/
NG61emn3g34/boKONHhxI3tZPHyRKHq5z1ByG5zDqzoxRl7EJT3EAfJVLjDtrHC/H3xvLfRgW7d9
2lo7mOicS5miZavLasGZkoQmMo38cvJDrxgm34+5/TeWw1xYgxjFgoWbTKsFJw8Tc0P7aa4txROb
xKGGAPbBNTN8rlXRNH7X5tOQuD4DQu44/7qm9codUVF75u+9Ij7U9QYBCW+4To83mdqtGsMYiOc6
WKkPeAL5pQotDxqic1Ouivm6DOtw7+ddge6gYwtgBpx4dPGWMCkR9YTngf7/r1mojbOlz/YCrkAu
xgJFNqoQeYCArU94OPoGzGV49rSPaWHgPNWcSRB+hwy0YEtmixI6ZM70oZXRIDXk89sMCjyba/gk
TQDDAmpwGiFujwaOlfHfH3XP5CF9OwgmEUM4RP/KN/+pI4+6aes1pT6iCjebI4cdM3KV5uFgxKXK
mu/hLm1Scn0ahCasCQW0BYQ834J+i6bgKzh8VODRtVAM7uy74FnQBBX0GR63Yr8rmqP8op/qStGH
TqvDuvajTBC9R04sVb2p9WNV56jjRqXVdjqpTo8XSzay/N8XHhhr5uxRBG9Kt13Wl2u4AOIdtlbh
9/O4YtzmZQWcnx1FaRhHd2KNufbM28bvaa1HC1/DUfz+WBBJn3bI2G696TImwxvYuYidg2t1OjCa
sr9oXKkn19gpLfOgaNb9ELZzm0xdoCrHpdmAwzq8QYCfBJI04auKaiLrIsjYbMKLEy0WHHsa1mx7
umxqutrd4a1IaHqKr2ddGKt1SJeYTsGuCjvcf2ivwFKzdbLPGPl8BIH5fLKzsHJp76+ooYknqn4/
/8VltHg50WtlpFWgPmEqRsBzMpHaDiUTos0YedPNYDnQjjf7r6tF0zxnhuVVdquZcFC4EEPquqe4
iBW2nQppiA5Lni8w3gYP/QoJwaFBC9itHW3rlxEA38dubRi2G8D2m6QgUDoYiNj1OplTfH2te6bL
FvOxI/+rDZSMhkcvJT9BU1gHtz2dSg8m+5eXY4g6OBRpUdjHw9vI+wu2VFnYLqRmCBxpWc8V1vcI
XXyDBqQyfeNOflS3p9mPWQCbo6WiQ7M4l+wlgz8doJ9UXXq7wXOnZDs=
`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
O6oM8Fawy3uPaodRKRw5BA1PPwP4/gnYqLb27jDk8ZUCFGJSscJQgEMQdsUwH/tzP6oYbRjV27AF
O8BkNr4+uw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
Otzygvf9RKXDtz7y1rasuMSOhhE6buWNF/Gi8RqNAohwRmY3ewUpKdQXXkFda5v/ZO423vxWRj4u
m3y7bEi1V7jUDCCcMMd7ip9o1b99HrD5N323Qzw8wsSdHwnA2S0CZr4ea8SP82b33Lu0Wme846bz
6e4pLyTnlTOJ+ojX5hA=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
ILr4uA+Q6PpCdY7Bnh/f9rllgcYAKYqFGiGuCnh28+K+M2Hfklj9VjyrhAuvRxE1KDa1CgvP5Jro
J0bBb8yCHYlkTllV9CAHj93xNz2otSQo8YysNFqX8JB4Oe2U/+cIQ3k1tZAcypbVr44qMrRHKpzm
eK7SITO9XO86BsAK9ceoGvVp5Yihp7Jym6n9JA4/usvFqvdCIoAHd83pWG/FMMEKuZMctU5hBCdU
f6TiTBN4Ke5aDL84PrS16cA00j1vU07ywkMpYIPbZCLAvMPVb8u+Ieuii0ptanWg3bK6o3lmR8sf
vOeAk1XZfn6ai8tP4Kk2US9Gh5Hyr/CknpRbQQ==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
RrziBD6wbs/vybGTjXJaQOSaWcy7M+xpIelpygNTug5MooSOXtGwEBkNnI/cJyJWVFNYfhiauMpi
R1Na7/iyxdUfNCOOuTUkNkfL+IDXSgOJ3llIwTe5f9xFD5bwDkpAT+y1sAA0/BsjQ6Z7sjh8P7SS
02LCAKkwtLnAA3Zc9+E=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
CLio2Dc4ADIP4ycuxIiAXeUinvD73JOz44LEiN/8Of9HNM25ZJ8R+MCwEHA/W2klC1jerlujCuTO
zx52ozu9D0rReIKkdNJ7EM577D4/c84+A8GWMmT6GbJhhO6VbzuO/8BjlAoQ8M9R89IphPLWGGdM
bnQ23RDG6YAxvK0SLczWTzD6B+/PbDpzRMLnnOnmqHTJQYEb6tRE8Cn2Uxt2KPnRrb0iuh8Li47L
eNiCaLB+X5ZxwSSCok+i/8aT/83rI0buuPJ9iW1PdvbJLbZ2+vf7amMag8Qi+wJjqFKG70fAHSgl
ncXjwFCzPYaoKXN5GIAFobxjBYUZ6Q47E2lgqA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 106688)
`protect data_block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`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
O6oM8Fawy3uPaodRKRw5BA1PPwP4/gnYqLb27jDk8ZUCFGJSscJQgEMQdsUwH/tzP6oYbRjV27AF
O8BkNr4+uw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
Otzygvf9RKXDtz7y1rasuMSOhhE6buWNF/Gi8RqNAohwRmY3ewUpKdQXXkFda5v/ZO423vxWRj4u
m3y7bEi1V7jUDCCcMMd7ip9o1b99HrD5N323Qzw8wsSdHwnA2S0CZr4ea8SP82b33Lu0Wme846bz
6e4pLyTnlTOJ+ojX5hA=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
ILr4uA+Q6PpCdY7Bnh/f9rllgcYAKYqFGiGuCnh28+K+M2Hfklj9VjyrhAuvRxE1KDa1CgvP5Jro
J0bBb8yCHYlkTllV9CAHj93xNz2otSQo8YysNFqX8JB4Oe2U/+cIQ3k1tZAcypbVr44qMrRHKpzm
eK7SITO9XO86BsAK9ceoGvVp5Yihp7Jym6n9JA4/usvFqvdCIoAHd83pWG/FMMEKuZMctU5hBCdU
f6TiTBN4Ke5aDL84PrS16cA00j1vU07ywkMpYIPbZCLAvMPVb8u+Ieuii0ptanWg3bK6o3lmR8sf
vOeAk1XZfn6ai8tP4Kk2US9Gh5Hyr/CknpRbQQ==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
RrziBD6wbs/vybGTjXJaQOSaWcy7M+xpIelpygNTug5MooSOXtGwEBkNnI/cJyJWVFNYfhiauMpi
R1Na7/iyxdUfNCOOuTUkNkfL+IDXSgOJ3llIwTe5f9xFD5bwDkpAT+y1sAA0/BsjQ6Z7sjh8P7SS
02LCAKkwtLnAA3Zc9+E=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
CLio2Dc4ADIP4ycuxIiAXeUinvD73JOz44LEiN/8Of9HNM25ZJ8R+MCwEHA/W2klC1jerlujCuTO
zx52ozu9D0rReIKkdNJ7EM577D4/c84+A8GWMmT6GbJhhO6VbzuO/8BjlAoQ8M9R89IphPLWGGdM
bnQ23RDG6YAxvK0SLczWTzD6B+/PbDpzRMLnnOnmqHTJQYEb6tRE8Cn2Uxt2KPnRrb0iuh8Li47L
eNiCaLB+X5ZxwSSCok+i/8aT/83rI0buuPJ9iW1PdvbJLbZ2+vf7amMag8Qi+wJjqFKG70fAHSgl
ncXjwFCzPYaoKXN5GIAFobxjBYUZ6Q47E2lgqA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 106688)
`protect data_block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`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
O6oM8Fawy3uPaodRKRw5BA1PPwP4/gnYqLb27jDk8ZUCFGJSscJQgEMQdsUwH/tzP6oYbRjV27AF
O8BkNr4+uw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
Otzygvf9RKXDtz7y1rasuMSOhhE6buWNF/Gi8RqNAohwRmY3ewUpKdQXXkFda5v/ZO423vxWRj4u
m3y7bEi1V7jUDCCcMMd7ip9o1b99HrD5N323Qzw8wsSdHwnA2S0CZr4ea8SP82b33Lu0Wme846bz
6e4pLyTnlTOJ+ojX5hA=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
ILr4uA+Q6PpCdY7Bnh/f9rllgcYAKYqFGiGuCnh28+K+M2Hfklj9VjyrhAuvRxE1KDa1CgvP5Jro
J0bBb8yCHYlkTllV9CAHj93xNz2otSQo8YysNFqX8JB4Oe2U/+cIQ3k1tZAcypbVr44qMrRHKpzm
eK7SITO9XO86BsAK9ceoGvVp5Yihp7Jym6n9JA4/usvFqvdCIoAHd83pWG/FMMEKuZMctU5hBCdU
f6TiTBN4Ke5aDL84PrS16cA00j1vU07ywkMpYIPbZCLAvMPVb8u+Ieuii0ptanWg3bK6o3lmR8sf
vOeAk1XZfn6ai8tP4Kk2US9Gh5Hyr/CknpRbQQ==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
RrziBD6wbs/vybGTjXJaQOSaWcy7M+xpIelpygNTug5MooSOXtGwEBkNnI/cJyJWVFNYfhiauMpi
R1Na7/iyxdUfNCOOuTUkNkfL+IDXSgOJ3llIwTe5f9xFD5bwDkpAT+y1sAA0/BsjQ6Z7sjh8P7SS
02LCAKkwtLnAA3Zc9+E=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
CLio2Dc4ADIP4ycuxIiAXeUinvD73JOz44LEiN/8Of9HNM25ZJ8R+MCwEHA/W2klC1jerlujCuTO
zx52ozu9D0rReIKkdNJ7EM577D4/c84+A8GWMmT6GbJhhO6VbzuO/8BjlAoQ8M9R89IphPLWGGdM
bnQ23RDG6YAxvK0SLczWTzD6B+/PbDpzRMLnnOnmqHTJQYEb6tRE8Cn2Uxt2KPnRrb0iuh8Li47L
eNiCaLB+X5ZxwSSCok+i/8aT/83rI0buuPJ9iW1PdvbJLbZ2+vf7amMag8Qi+wJjqFKG70fAHSgl
ncXjwFCzPYaoKXN5GIAFobxjBYUZ6Q47E2lgqA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 106688)
`protect data_block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`protect end_protected
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc1817.vhd,v 1.2 2001-10-26 16:30:13 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c07s01b00x00p08n01i01817ent IS
type small_int is range 0 to 7;
END c07s01b00x00p08n01i01817ent;
ARCHITECTURE c07s01b00x00p08n01i01817arch OF c07s01b00x00p08n01i01817ent IS
signal s_int : small_int;
BEGIN
with small_int select -- type name illegal here
s_int <= 6 after 10 ns when true;
TESTING : PROCESS
BEGIN
wait for 5 ns;
assert FALSE
report "***FAILED TEST: c07s01b00x00p08n01i01817 - Type names are not permitted as primaries in an attribute argument."
severity ERROR;
wait;
END PROCESS TESTING;
END c07s01b00x00p08n01i01817arch;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc1817.vhd,v 1.2 2001-10-26 16:30:13 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c07s01b00x00p08n01i01817ent IS
type small_int is range 0 to 7;
END c07s01b00x00p08n01i01817ent;
ARCHITECTURE c07s01b00x00p08n01i01817arch OF c07s01b00x00p08n01i01817ent IS
signal s_int : small_int;
BEGIN
with small_int select -- type name illegal here
s_int <= 6 after 10 ns when true;
TESTING : PROCESS
BEGIN
wait for 5 ns;
assert FALSE
report "***FAILED TEST: c07s01b00x00p08n01i01817 - Type names are not permitted as primaries in an attribute argument."
severity ERROR;
wait;
END PROCESS TESTING;
END c07s01b00x00p08n01i01817arch;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc1817.vhd,v 1.2 2001-10-26 16:30:13 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c07s01b00x00p08n01i01817ent IS
type small_int is range 0 to 7;
END c07s01b00x00p08n01i01817ent;
ARCHITECTURE c07s01b00x00p08n01i01817arch OF c07s01b00x00p08n01i01817ent IS
signal s_int : small_int;
BEGIN
with small_int select -- type name illegal here
s_int <= 6 after 10 ns when true;
TESTING : PROCESS
BEGIN
wait for 5 ns;
assert FALSE
report "***FAILED TEST: c07s01b00x00p08n01i01817 - Type names are not permitted as primaries in an attribute argument."
severity ERROR;
wait;
END PROCESS TESTING;
END c07s01b00x00p08n01i01817arch;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc1213.vhd,v 1.2 2001-10-26 16:29:39 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c08s01b00x00p25n02i01213ent IS
END c08s01b00x00p25n02i01213ent;
ARCHITECTURE c08s01b00x00p25n02i01213arch OF c08s01b00x00p25n02i01213ent IS
signal A : BIT;
BEGIN
TESTING: PROCESS
variable ShouldBeTime : TIME;
BEGIN
A <= '1' after 1 ns;
ShouldBeTime := NOW + 1 ns;
wait on A for 20 ns;
assert NOT(ShouldBeTime = NOW)
report "***PASSED TEST: c08s01b00x00p25n02i01213"
severity NOTE;
assert (ShouldBeTime = NOW)
report "***FAILED TEST: c08s01b00x00p25n02i01213 - When NO condition_clause is present, the condition clause 'until TRUE' is assumed."
severity ERROR;
wait;
END PROCESS TESTING;
END c08s01b00x00p25n02i01213arch;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc1213.vhd,v 1.2 2001-10-26 16:29:39 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c08s01b00x00p25n02i01213ent IS
END c08s01b00x00p25n02i01213ent;
ARCHITECTURE c08s01b00x00p25n02i01213arch OF c08s01b00x00p25n02i01213ent IS
signal A : BIT;
BEGIN
TESTING: PROCESS
variable ShouldBeTime : TIME;
BEGIN
A <= '1' after 1 ns;
ShouldBeTime := NOW + 1 ns;
wait on A for 20 ns;
assert NOT(ShouldBeTime = NOW)
report "***PASSED TEST: c08s01b00x00p25n02i01213"
severity NOTE;
assert (ShouldBeTime = NOW)
report "***FAILED TEST: c08s01b00x00p25n02i01213 - When NO condition_clause is present, the condition clause 'until TRUE' is assumed."
severity ERROR;
wait;
END PROCESS TESTING;
END c08s01b00x00p25n02i01213arch;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc1213.vhd,v 1.2 2001-10-26 16:29:39 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c08s01b00x00p25n02i01213ent IS
END c08s01b00x00p25n02i01213ent;
ARCHITECTURE c08s01b00x00p25n02i01213arch OF c08s01b00x00p25n02i01213ent IS
signal A : BIT;
BEGIN
TESTING: PROCESS
variable ShouldBeTime : TIME;
BEGIN
A <= '1' after 1 ns;
ShouldBeTime := NOW + 1 ns;
wait on A for 20 ns;
assert NOT(ShouldBeTime = NOW)
report "***PASSED TEST: c08s01b00x00p25n02i01213"
severity NOTE;
assert (ShouldBeTime = NOW)
report "***FAILED TEST: c08s01b00x00p25n02i01213 - When NO condition_clause is present, the condition clause 'until TRUE' is assumed."
severity ERROR;
wait;
END PROCESS TESTING;
END c08s01b00x00p25n02i01213arch;
|
-- -*- vhdl -*-
-------------------------------------------------------------------------------
-- Copyright (c) 2012, The CARPE Project, All rights reserved. --
-- See the AUTHORS file for individual contributors. --
-- --
-- Copyright and related rights are licensed under the Solderpad --
-- Hardware License, Version 0.51 (the "License"); you may not use this --
-- file except in compliance with the License. You may obtain a copy of --
-- the License at http://solderpad.org/licenses/SHL-0.51. --
-- --
-- Unless required by applicable law or agreed to in writing, software, --
-- hardware and materials distributed under this License is distributed --
-- on an "AS IS" BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, --
-- either express or implied. See the License for the specific language --
-- governing permissions and limitations under the License. --
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
package types_pkg is
type endianness_type is (
little_endian,
big_endian
);
subtype void_type is std_ulogic_vector(-1 downto 0);
constant void : void_type := "";
constant log2_byte_bits : natural := 3;
constant byte_bits : natural := 2**log2_byte_bits;
subtype byte_type is std_ulogic_vector(byte_bits-1 downto 0);
type std_ulogic_vector2 is array(natural range <>, natural range <>) of std_ulogic;
type std_logic_vector2 is array(natural range <>, natural range <>) of std_logic;
type std_ulogic_vector3 is array(natural range <>, natural range <>, natural range <>) of std_ulogic;
type std_logic_vector3 is array(natural range <>, natural range <>, natural range <>) of std_logic;
pure function std_ulogic_vector2_slice1(v : std_ulogic_vector2; n : natural) return std_ulogic_vector;
pure function std_ulogic_vector2_slice2(v : std_ulogic_vector2; n : natural) return std_ulogic_vector;
pure function std_ulogic_to_character(value : in std_ulogic) return character;
pure function std_logic_to_character(value : in std_logic) return character;
pure function character_to_std_ulogic(value : in character) return std_ulogic;
pure function character_to_std_logic(value : in character) return std_logic;
pure function std_ulogic_vector_to_string(value : in std_ulogic_vector) return string;
pure function std_logic_vector_to_string(value : in std_logic_vector) return string;
pure function string_to_std_ulogic_vector(value : in string) return std_ulogic_vector;
pure function string_to_std_logic_vector(value : in string) return std_ulogic_vector;
pure function boolean_to_string(value : in boolean) return string;
pure function string_to_boolean(value : in string) return boolean;
pure function integer_to_string(value : in integer) return string;
pure function string_to_integer(value : in string) return integer;
end package;
package body types_pkg is
pure function std_ulogic_vector2_slice1(v : std_ulogic_vector2; n : natural) return std_ulogic_vector is
variable ret : std_ulogic_vector(v'range(1));
begin
for m in v'range(1) loop
ret(m) := v(m, n);
end loop;
return ret;
end function;
pure function std_ulogic_vector2_slice2(v : std_ulogic_vector2; n : natural) return std_ulogic_vector is
variable ret : std_ulogic_vector(v'range(2));
begin
for m in v'range(2) loop
ret(m) := v(n, m);
end loop;
return ret;
end function;
pure function std_ulogic_to_character(value : in std_ulogic) return character is
begin
case value is
when 'U' =>
return 'U';
when 'X' =>
return 'X';
when '0' =>
return '0';
when '1' =>
return '1';
when 'Z' =>
return 'Z';
when 'W' =>
return 'W';
when 'L' =>
return 'L';
when 'H' =>
return 'H';
when '-' =>
return '-';
end case;
end function;
pure function std_logic_to_character(value : in std_logic) return character is
begin
case value is
when 'U' =>
return 'U';
when 'X' =>
return 'X';
when '0' =>
return '0';
when '1' =>
return '1';
when 'Z' =>
return 'Z';
when 'W' =>
return 'W';
when 'L' =>
return 'L';
when 'H' =>
return 'H';
when '-' =>
return '-';
end case;
end function;
pure function character_to_std_ulogic(value : in character) return std_ulogic is
begin
case value is
when 'U' =>
return 'U';
when 'X' =>
return 'X';
when '0' =>
return '0';
when '1' =>
return '1';
when 'Z' =>
return 'Z';
when 'W' =>
return 'W';
when 'L' =>
return 'L';
when 'H' =>
return 'H';
when '-' =>
return '-';
when others =>
assert false
report "invalid std_ulogic character: " & value
severity failure;
end case;
end function;
pure function character_to_std_logic(value : in character) return std_logic is
begin
case value is
when 'U' =>
return 'U';
when 'X' =>
return 'X';
when '0' =>
return '0';
when '1' =>
return '1';
when 'Z' =>
return 'Z';
when 'W' =>
return 'W';
when 'L' =>
return 'L';
when 'H' =>
return 'H';
when '-' =>
return '-';
when others =>
assert false
report "invalid std_logic character: " & value
severity failure;
end case;
end function;
pure function std_ulogic_vector_to_string(value : in std_ulogic_vector) return string is
variable ret : string(1 to value'length);
begin
if value'ascending then
for n in value'range loop
ret(n-value'left+ret'left) := std_ulogic_to_character(value(n));
end loop;
else
for n in value'range loop
ret(value'left-n+ret'left) := std_ulogic_to_character(value(n));
end loop;
end if;
return ret;
end function;
pure function std_logic_vector_to_string(value : in std_logic_vector) return string is
variable ret : string(1 to value'length);
begin
if value'ascending then
for n in value'range loop
ret(n-value'left+ret'left) := std_logic_to_character(value(n));
end loop;
else
for n in value'range loop
ret(value'left-n+ret'left) := std_logic_to_character(value(n));
end loop;
end if;
return ret;
end function;
pure function string_to_std_ulogic_vector(value : in string) return std_ulogic_vector is
variable ret : std_ulogic_vector(value'length-1 downto 0);
begin
if not value'ascending then
for n in value'range loop
ret(n-value'right+ret'right) := character_to_std_ulogic(value(n));
end loop;
else
for n in value'range loop
ret(value'right-n+ret'right) := character_to_std_ulogic(value(n));
end loop;
end if;
return ret;
end function;
pure function string_to_std_logic_vector(value : in string) return std_ulogic_vector is
variable ret : std_ulogic_vector(value'length-1 downto 0);
begin
if not value'ascending then
for n in value'range loop
ret(n-value'right+ret'right) := character_to_std_logic(value(n));
end loop;
else
for n in value'range loop
ret(value'right-n+ret'right) := character_to_std_logic(value(n));
end loop;
end if;
return ret;
end function;
pure function boolean_to_string(value : in boolean) return string is
begin
if value then
return "true";
else
return "false";
end if;
end function;
pure function string_to_boolean(value : in string) return boolean is
begin
if value = "true" then
return true;
elsif value = "false" then
return false;
else
assert false
report "invalid boolean string: " & value
severity failure;
end if;
end function;
pure function integer_to_string(value : in integer) return string is
begin
return integer'image(value);
end function;
pure function string_to_integer(value : in string) return integer is
variable ret : integer;
begin
ret := 0;
for n in value'left to value'right loop
ret := ret * 10;
case value(n) is
when '0' => ret := ret + 0;
when '1' => ret := ret + 1;
when '2' => ret := ret + 2;
when '3' => ret := ret + 3;
when '4' => ret := ret + 4;
when '5' => ret := ret + 5;
when '6' => ret := ret + 6;
when '7' => ret := ret + 7;
when '8' => ret := ret + 8;
when '9' => ret := ret + 9;
when others =>
report "invalid integer string: " & value
severity failure;
end case;
end loop;
return ret;
end function;
end package body;
|
-- megafunction wizard: %RAM: 1-PORT%
-- GENERATION: STANDARD
-- VERSION: WM1.0
-- MODULE: altsyncram
-- ============================================================
-- File Name: lpm_ram_dq0.vhd
-- Megafunction Name(s):
-- altsyncram
--
-- Simulation Library Files(s):
-- altera_mf
-- ============================================================
-- ************************************************************
-- THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE!
--
-- 10.1 Build 153 11/29/2010 SJ Full Version
-- ************************************************************
--Copyright (C) 1991-2010 Altera Corporation
--Your use of Altera Corporation's design tools, logic functions
--and other software and tools, and its AMPP partner logic
--functions, and any output files from any of the foregoing
--(including device programming or simulation files), and any
--associated documentation or information are expressly subject
--to the terms and conditions of the Altera Program License
--Subscription Agreement, Altera MegaCore Function License
--Agreement, or other applicable license agreement, including,
--without limitation, that your use is for the sole purpose of
--programming logic devices manufactured by Altera and sold by
--Altera or its authorized distributors. Please refer to the
--applicable agreement for further details.
LIBRARY ieee;
USE ieee.std_logic_1164.all;
LIBRARY altera_mf;
USE altera_mf.all;
ENTITY lpm_ram_dq0 IS
PORT
(
address : IN STD_LOGIC_VECTOR (15 DOWNTO 0);
clock : IN STD_LOGIC := '1';
data : IN STD_LOGIC_VECTOR (3 DOWNTO 0);
wren : IN STD_LOGIC ;
q : OUT STD_LOGIC_VECTOR (3 DOWNTO 0)
);
END lpm_ram_dq0;
ARCHITECTURE SYN OF lpm_ram_dq0 IS
SIGNAL sub_wire0 : STD_LOGIC_VECTOR (3 DOWNTO 0);
COMPONENT altsyncram
GENERIC (
clock_enable_input_a : STRING;
clock_enable_output_a : STRING;
init_file : STRING;
intended_device_family : STRING;
lpm_hint : STRING;
lpm_type : STRING;
numwords_a : NATURAL;
operation_mode : STRING;
outdata_aclr_a : STRING;
outdata_reg_a : STRING;
power_up_uninitialized : STRING;
widthad_a : NATURAL;
width_a : NATURAL;
width_byteena_a : NATURAL
);
PORT (
address_a : IN STD_LOGIC_VECTOR (15 DOWNTO 0);
clock0 : IN STD_LOGIC ;
data_a : IN STD_LOGIC_VECTOR (3 DOWNTO 0);
wren_a : IN STD_LOGIC ;
q_a : OUT STD_LOGIC_VECTOR (3 DOWNTO 0)
);
END COMPONENT;
BEGIN
q <= sub_wire0(3 DOWNTO 0);
altsyncram_component : altsyncram
GENERIC MAP (
clock_enable_input_a => "BYPASS",
clock_enable_output_a => "BYPASS",
init_file => "video_mem1.mif",
intended_device_family => "Cyclone II",
lpm_hint => "ENABLE_RUNTIME_MOD=NO",
lpm_type => "altsyncram",
numwords_a => 65536,
operation_mode => "SINGLE_PORT",
outdata_aclr_a => "NONE",
outdata_reg_a => "CLOCK0",
power_up_uninitialized => "FALSE",
widthad_a => 16,
width_a => 4,
width_byteena_a => 1
)
PORT MAP (
address_a => address,
clock0 => clock,
data_a => data,
wren_a => wren,
q_a => sub_wire0
);
END SYN;
-- ============================================================
-- CNX file retrieval info
-- ============================================================
-- Retrieval info: PRIVATE: ADDRESSSTALL_A NUMERIC "0"
-- Retrieval info: PRIVATE: AclrAddr NUMERIC "0"
-- Retrieval info: PRIVATE: AclrByte NUMERIC "0"
-- Retrieval info: PRIVATE: AclrData NUMERIC "0"
-- Retrieval info: PRIVATE: AclrOutput NUMERIC "0"
-- Retrieval info: PRIVATE: BYTE_ENABLE NUMERIC "0"
-- Retrieval info: PRIVATE: BYTE_SIZE NUMERIC "8"
-- Retrieval info: PRIVATE: BlankMemory NUMERIC "0"
-- Retrieval info: PRIVATE: CLOCK_ENABLE_INPUT_A NUMERIC "0"
-- Retrieval info: PRIVATE: CLOCK_ENABLE_OUTPUT_A NUMERIC "0"
-- Retrieval info: PRIVATE: Clken NUMERIC "0"
-- Retrieval info: PRIVATE: DataBusSeparated NUMERIC "1"
-- Retrieval info: PRIVATE: IMPLEMENT_IN_LES NUMERIC "0"
-- Retrieval info: PRIVATE: INIT_FILE_LAYOUT STRING "PORT_A"
-- Retrieval info: PRIVATE: INIT_TO_SIM_X NUMERIC "0"
-- Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "Cyclone II"
-- Retrieval info: PRIVATE: JTAG_ENABLED NUMERIC "0"
-- Retrieval info: PRIVATE: JTAG_ID STRING "NONE"
-- Retrieval info: PRIVATE: MAXIMUM_DEPTH NUMERIC "0"
-- Retrieval info: PRIVATE: MIFfilename STRING "video_mem1.mif"
-- Retrieval info: PRIVATE: NUMWORDS_A NUMERIC "65536"
-- Retrieval info: PRIVATE: RAM_BLOCK_TYPE NUMERIC "0"
-- Retrieval info: PRIVATE: READ_DURING_WRITE_MODE_PORT_A NUMERIC "3"
-- Retrieval info: PRIVATE: RegAddr NUMERIC "1"
-- Retrieval info: PRIVATE: RegData NUMERIC "1"
-- Retrieval info: PRIVATE: RegOutput NUMERIC "1"
-- Retrieval info: PRIVATE: SYNTH_WRAPPER_GEN_POSTFIX STRING "0"
-- Retrieval info: PRIVATE: SingleClock NUMERIC "1"
-- Retrieval info: PRIVATE: UseDQRAM NUMERIC "1"
-- Retrieval info: PRIVATE: WRCONTROL_ACLR_A NUMERIC "0"
-- Retrieval info: PRIVATE: WidthAddr NUMERIC "16"
-- Retrieval info: PRIVATE: WidthData NUMERIC "4"
-- Retrieval info: PRIVATE: rden NUMERIC "0"
-- Retrieval info: LIBRARY: altera_mf altera_mf.altera_mf_components.all
-- Retrieval info: CONSTANT: CLOCK_ENABLE_INPUT_A STRING "BYPASS"
-- Retrieval info: CONSTANT: CLOCK_ENABLE_OUTPUT_A STRING "BYPASS"
-- Retrieval info: CONSTANT: INIT_FILE STRING "video_mem1.mif"
-- Retrieval info: CONSTANT: INTENDED_DEVICE_FAMILY STRING "Cyclone II"
-- Retrieval info: CONSTANT: LPM_HINT STRING "ENABLE_RUNTIME_MOD=NO"
-- Retrieval info: CONSTANT: LPM_TYPE STRING "altsyncram"
-- Retrieval info: CONSTANT: NUMWORDS_A NUMERIC "65536"
-- Retrieval info: CONSTANT: OPERATION_MODE STRING "SINGLE_PORT"
-- Retrieval info: CONSTANT: OUTDATA_ACLR_A STRING "NONE"
-- Retrieval info: CONSTANT: OUTDATA_REG_A STRING "CLOCK0"
-- Retrieval info: CONSTANT: POWER_UP_UNINITIALIZED STRING "FALSE"
-- Retrieval info: CONSTANT: WIDTHAD_A NUMERIC "16"
-- Retrieval info: CONSTANT: WIDTH_A NUMERIC "4"
-- Retrieval info: CONSTANT: WIDTH_BYTEENA_A NUMERIC "1"
-- Retrieval info: USED_PORT: address 0 0 16 0 INPUT NODEFVAL "address[15..0]"
-- Retrieval info: USED_PORT: clock 0 0 0 0 INPUT VCC "clock"
-- Retrieval info: USED_PORT: data 0 0 4 0 INPUT NODEFVAL "data[3..0]"
-- Retrieval info: USED_PORT: q 0 0 4 0 OUTPUT NODEFVAL "q[3..0]"
-- Retrieval info: USED_PORT: wren 0 0 0 0 INPUT NODEFVAL "wren"
-- Retrieval info: CONNECT: @address_a 0 0 16 0 address 0 0 16 0
-- Retrieval info: CONNECT: @clock0 0 0 0 0 clock 0 0 0 0
-- Retrieval info: CONNECT: @data_a 0 0 4 0 data 0 0 4 0
-- Retrieval info: CONNECT: @wren_a 0 0 0 0 wren 0 0 0 0
-- Retrieval info: CONNECT: q 0 0 4 0 @q_a 0 0 4 0
-- Retrieval info: GEN_FILE: TYPE_NORMAL lpm_ram_dq0.vhd TRUE
-- Retrieval info: GEN_FILE: TYPE_NORMAL lpm_ram_dq0.inc FALSE
-- Retrieval info: GEN_FILE: TYPE_NORMAL lpm_ram_dq0.cmp TRUE
-- Retrieval info: GEN_FILE: TYPE_NORMAL lpm_ram_dq0.bsf TRUE FALSE
-- Retrieval info: GEN_FILE: TYPE_NORMAL lpm_ram_dq0_inst.vhd FALSE
-- Retrieval info: LIB_FILE: altera_mf
|
-- megafunction wizard: %RAM: 1-PORT%
-- GENERATION: STANDARD
-- VERSION: WM1.0
-- MODULE: altsyncram
-- ============================================================
-- File Name: lpm_ram_dq0.vhd
-- Megafunction Name(s):
-- altsyncram
--
-- Simulation Library Files(s):
-- altera_mf
-- ============================================================
-- ************************************************************
-- THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE!
--
-- 10.1 Build 153 11/29/2010 SJ Full Version
-- ************************************************************
--Copyright (C) 1991-2010 Altera Corporation
--Your use of Altera Corporation's design tools, logic functions
--and other software and tools, and its AMPP partner logic
--functions, and any output files from any of the foregoing
--(including device programming or simulation files), and any
--associated documentation or information are expressly subject
--to the terms and conditions of the Altera Program License
--Subscription Agreement, Altera MegaCore Function License
--Agreement, or other applicable license agreement, including,
--without limitation, that your use is for the sole purpose of
--programming logic devices manufactured by Altera and sold by
--Altera or its authorized distributors. Please refer to the
--applicable agreement for further details.
LIBRARY ieee;
USE ieee.std_logic_1164.all;
LIBRARY altera_mf;
USE altera_mf.all;
ENTITY lpm_ram_dq0 IS
PORT
(
address : IN STD_LOGIC_VECTOR (15 DOWNTO 0);
clock : IN STD_LOGIC := '1';
data : IN STD_LOGIC_VECTOR (3 DOWNTO 0);
wren : IN STD_LOGIC ;
q : OUT STD_LOGIC_VECTOR (3 DOWNTO 0)
);
END lpm_ram_dq0;
ARCHITECTURE SYN OF lpm_ram_dq0 IS
SIGNAL sub_wire0 : STD_LOGIC_VECTOR (3 DOWNTO 0);
COMPONENT altsyncram
GENERIC (
clock_enable_input_a : STRING;
clock_enable_output_a : STRING;
init_file : STRING;
intended_device_family : STRING;
lpm_hint : STRING;
lpm_type : STRING;
numwords_a : NATURAL;
operation_mode : STRING;
outdata_aclr_a : STRING;
outdata_reg_a : STRING;
power_up_uninitialized : STRING;
widthad_a : NATURAL;
width_a : NATURAL;
width_byteena_a : NATURAL
);
PORT (
address_a : IN STD_LOGIC_VECTOR (15 DOWNTO 0);
clock0 : IN STD_LOGIC ;
data_a : IN STD_LOGIC_VECTOR (3 DOWNTO 0);
wren_a : IN STD_LOGIC ;
q_a : OUT STD_LOGIC_VECTOR (3 DOWNTO 0)
);
END COMPONENT;
BEGIN
q <= sub_wire0(3 DOWNTO 0);
altsyncram_component : altsyncram
GENERIC MAP (
clock_enable_input_a => "BYPASS",
clock_enable_output_a => "BYPASS",
init_file => "video_mem1.mif",
intended_device_family => "Cyclone II",
lpm_hint => "ENABLE_RUNTIME_MOD=NO",
lpm_type => "altsyncram",
numwords_a => 65536,
operation_mode => "SINGLE_PORT",
outdata_aclr_a => "NONE",
outdata_reg_a => "CLOCK0",
power_up_uninitialized => "FALSE",
widthad_a => 16,
width_a => 4,
width_byteena_a => 1
)
PORT MAP (
address_a => address,
clock0 => clock,
data_a => data,
wren_a => wren,
q_a => sub_wire0
);
END SYN;
-- ============================================================
-- CNX file retrieval info
-- ============================================================
-- Retrieval info: PRIVATE: ADDRESSSTALL_A NUMERIC "0"
-- Retrieval info: PRIVATE: AclrAddr NUMERIC "0"
-- Retrieval info: PRIVATE: AclrByte NUMERIC "0"
-- Retrieval info: PRIVATE: AclrData NUMERIC "0"
-- Retrieval info: PRIVATE: AclrOutput NUMERIC "0"
-- Retrieval info: PRIVATE: BYTE_ENABLE NUMERIC "0"
-- Retrieval info: PRIVATE: BYTE_SIZE NUMERIC "8"
-- Retrieval info: PRIVATE: BlankMemory NUMERIC "0"
-- Retrieval info: PRIVATE: CLOCK_ENABLE_INPUT_A NUMERIC "0"
-- Retrieval info: PRIVATE: CLOCK_ENABLE_OUTPUT_A NUMERIC "0"
-- Retrieval info: PRIVATE: Clken NUMERIC "0"
-- Retrieval info: PRIVATE: DataBusSeparated NUMERIC "1"
-- Retrieval info: PRIVATE: IMPLEMENT_IN_LES NUMERIC "0"
-- Retrieval info: PRIVATE: INIT_FILE_LAYOUT STRING "PORT_A"
-- Retrieval info: PRIVATE: INIT_TO_SIM_X NUMERIC "0"
-- Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "Cyclone II"
-- Retrieval info: PRIVATE: JTAG_ENABLED NUMERIC "0"
-- Retrieval info: PRIVATE: JTAG_ID STRING "NONE"
-- Retrieval info: PRIVATE: MAXIMUM_DEPTH NUMERIC "0"
-- Retrieval info: PRIVATE: MIFfilename STRING "video_mem1.mif"
-- Retrieval info: PRIVATE: NUMWORDS_A NUMERIC "65536"
-- Retrieval info: PRIVATE: RAM_BLOCK_TYPE NUMERIC "0"
-- Retrieval info: PRIVATE: READ_DURING_WRITE_MODE_PORT_A NUMERIC "3"
-- Retrieval info: PRIVATE: RegAddr NUMERIC "1"
-- Retrieval info: PRIVATE: RegData NUMERIC "1"
-- Retrieval info: PRIVATE: RegOutput NUMERIC "1"
-- Retrieval info: PRIVATE: SYNTH_WRAPPER_GEN_POSTFIX STRING "0"
-- Retrieval info: PRIVATE: SingleClock NUMERIC "1"
-- Retrieval info: PRIVATE: UseDQRAM NUMERIC "1"
-- Retrieval info: PRIVATE: WRCONTROL_ACLR_A NUMERIC "0"
-- Retrieval info: PRIVATE: WidthAddr NUMERIC "16"
-- Retrieval info: PRIVATE: WidthData NUMERIC "4"
-- Retrieval info: PRIVATE: rden NUMERIC "0"
-- Retrieval info: LIBRARY: altera_mf altera_mf.altera_mf_components.all
-- Retrieval info: CONSTANT: CLOCK_ENABLE_INPUT_A STRING "BYPASS"
-- Retrieval info: CONSTANT: CLOCK_ENABLE_OUTPUT_A STRING "BYPASS"
-- Retrieval info: CONSTANT: INIT_FILE STRING "video_mem1.mif"
-- Retrieval info: CONSTANT: INTENDED_DEVICE_FAMILY STRING "Cyclone II"
-- Retrieval info: CONSTANT: LPM_HINT STRING "ENABLE_RUNTIME_MOD=NO"
-- Retrieval info: CONSTANT: LPM_TYPE STRING "altsyncram"
-- Retrieval info: CONSTANT: NUMWORDS_A NUMERIC "65536"
-- Retrieval info: CONSTANT: OPERATION_MODE STRING "SINGLE_PORT"
-- Retrieval info: CONSTANT: OUTDATA_ACLR_A STRING "NONE"
-- Retrieval info: CONSTANT: OUTDATA_REG_A STRING "CLOCK0"
-- Retrieval info: CONSTANT: POWER_UP_UNINITIALIZED STRING "FALSE"
-- Retrieval info: CONSTANT: WIDTHAD_A NUMERIC "16"
-- Retrieval info: CONSTANT: WIDTH_A NUMERIC "4"
-- Retrieval info: CONSTANT: WIDTH_BYTEENA_A NUMERIC "1"
-- Retrieval info: USED_PORT: address 0 0 16 0 INPUT NODEFVAL "address[15..0]"
-- Retrieval info: USED_PORT: clock 0 0 0 0 INPUT VCC "clock"
-- Retrieval info: USED_PORT: data 0 0 4 0 INPUT NODEFVAL "data[3..0]"
-- Retrieval info: USED_PORT: q 0 0 4 0 OUTPUT NODEFVAL "q[3..0]"
-- Retrieval info: USED_PORT: wren 0 0 0 0 INPUT NODEFVAL "wren"
-- Retrieval info: CONNECT: @address_a 0 0 16 0 address 0 0 16 0
-- Retrieval info: CONNECT: @clock0 0 0 0 0 clock 0 0 0 0
-- Retrieval info: CONNECT: @data_a 0 0 4 0 data 0 0 4 0
-- Retrieval info: CONNECT: @wren_a 0 0 0 0 wren 0 0 0 0
-- Retrieval info: CONNECT: q 0 0 4 0 @q_a 0 0 4 0
-- Retrieval info: GEN_FILE: TYPE_NORMAL lpm_ram_dq0.vhd TRUE
-- Retrieval info: GEN_FILE: TYPE_NORMAL lpm_ram_dq0.inc FALSE
-- Retrieval info: GEN_FILE: TYPE_NORMAL lpm_ram_dq0.cmp TRUE
-- Retrieval info: GEN_FILE: TYPE_NORMAL lpm_ram_dq0.bsf TRUE FALSE
-- Retrieval info: GEN_FILE: TYPE_NORMAL lpm_ram_dq0_inst.vhd FALSE
-- Retrieval info: LIB_FILE: altera_mf
|
-- megafunction wizard: %RAM: 1-PORT%
-- GENERATION: STANDARD
-- VERSION: WM1.0
-- MODULE: altsyncram
-- ============================================================
-- File Name: lpm_ram_dq0.vhd
-- Megafunction Name(s):
-- altsyncram
--
-- Simulation Library Files(s):
-- altera_mf
-- ============================================================
-- ************************************************************
-- THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE!
--
-- 10.1 Build 153 11/29/2010 SJ Full Version
-- ************************************************************
--Copyright (C) 1991-2010 Altera Corporation
--Your use of Altera Corporation's design tools, logic functions
--and other software and tools, and its AMPP partner logic
--functions, and any output files from any of the foregoing
--(including device programming or simulation files), and any
--associated documentation or information are expressly subject
--to the terms and conditions of the Altera Program License
--Subscription Agreement, Altera MegaCore Function License
--Agreement, or other applicable license agreement, including,
--without limitation, that your use is for the sole purpose of
--programming logic devices manufactured by Altera and sold by
--Altera or its authorized distributors. Please refer to the
--applicable agreement for further details.
LIBRARY ieee;
USE ieee.std_logic_1164.all;
LIBRARY altera_mf;
USE altera_mf.all;
ENTITY lpm_ram_dq0 IS
PORT
(
address : IN STD_LOGIC_VECTOR (15 DOWNTO 0);
clock : IN STD_LOGIC := '1';
data : IN STD_LOGIC_VECTOR (3 DOWNTO 0);
wren : IN STD_LOGIC ;
q : OUT STD_LOGIC_VECTOR (3 DOWNTO 0)
);
END lpm_ram_dq0;
ARCHITECTURE SYN OF lpm_ram_dq0 IS
SIGNAL sub_wire0 : STD_LOGIC_VECTOR (3 DOWNTO 0);
COMPONENT altsyncram
GENERIC (
clock_enable_input_a : STRING;
clock_enable_output_a : STRING;
init_file : STRING;
intended_device_family : STRING;
lpm_hint : STRING;
lpm_type : STRING;
numwords_a : NATURAL;
operation_mode : STRING;
outdata_aclr_a : STRING;
outdata_reg_a : STRING;
power_up_uninitialized : STRING;
widthad_a : NATURAL;
width_a : NATURAL;
width_byteena_a : NATURAL
);
PORT (
address_a : IN STD_LOGIC_VECTOR (15 DOWNTO 0);
clock0 : IN STD_LOGIC ;
data_a : IN STD_LOGIC_VECTOR (3 DOWNTO 0);
wren_a : IN STD_LOGIC ;
q_a : OUT STD_LOGIC_VECTOR (3 DOWNTO 0)
);
END COMPONENT;
BEGIN
q <= sub_wire0(3 DOWNTO 0);
altsyncram_component : altsyncram
GENERIC MAP (
clock_enable_input_a => "BYPASS",
clock_enable_output_a => "BYPASS",
init_file => "video_mem1.mif",
intended_device_family => "Cyclone II",
lpm_hint => "ENABLE_RUNTIME_MOD=NO",
lpm_type => "altsyncram",
numwords_a => 65536,
operation_mode => "SINGLE_PORT",
outdata_aclr_a => "NONE",
outdata_reg_a => "CLOCK0",
power_up_uninitialized => "FALSE",
widthad_a => 16,
width_a => 4,
width_byteena_a => 1
)
PORT MAP (
address_a => address,
clock0 => clock,
data_a => data,
wren_a => wren,
q_a => sub_wire0
);
END SYN;
-- ============================================================
-- CNX file retrieval info
-- ============================================================
-- Retrieval info: PRIVATE: ADDRESSSTALL_A NUMERIC "0"
-- Retrieval info: PRIVATE: AclrAddr NUMERIC "0"
-- Retrieval info: PRIVATE: AclrByte NUMERIC "0"
-- Retrieval info: PRIVATE: AclrData NUMERIC "0"
-- Retrieval info: PRIVATE: AclrOutput NUMERIC "0"
-- Retrieval info: PRIVATE: BYTE_ENABLE NUMERIC "0"
-- Retrieval info: PRIVATE: BYTE_SIZE NUMERIC "8"
-- Retrieval info: PRIVATE: BlankMemory NUMERIC "0"
-- Retrieval info: PRIVATE: CLOCK_ENABLE_INPUT_A NUMERIC "0"
-- Retrieval info: PRIVATE: CLOCK_ENABLE_OUTPUT_A NUMERIC "0"
-- Retrieval info: PRIVATE: Clken NUMERIC "0"
-- Retrieval info: PRIVATE: DataBusSeparated NUMERIC "1"
-- Retrieval info: PRIVATE: IMPLEMENT_IN_LES NUMERIC "0"
-- Retrieval info: PRIVATE: INIT_FILE_LAYOUT STRING "PORT_A"
-- Retrieval info: PRIVATE: INIT_TO_SIM_X NUMERIC "0"
-- Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "Cyclone II"
-- Retrieval info: PRIVATE: JTAG_ENABLED NUMERIC "0"
-- Retrieval info: PRIVATE: JTAG_ID STRING "NONE"
-- Retrieval info: PRIVATE: MAXIMUM_DEPTH NUMERIC "0"
-- Retrieval info: PRIVATE: MIFfilename STRING "video_mem1.mif"
-- Retrieval info: PRIVATE: NUMWORDS_A NUMERIC "65536"
-- Retrieval info: PRIVATE: RAM_BLOCK_TYPE NUMERIC "0"
-- Retrieval info: PRIVATE: READ_DURING_WRITE_MODE_PORT_A NUMERIC "3"
-- Retrieval info: PRIVATE: RegAddr NUMERIC "1"
-- Retrieval info: PRIVATE: RegData NUMERIC "1"
-- Retrieval info: PRIVATE: RegOutput NUMERIC "1"
-- Retrieval info: PRIVATE: SYNTH_WRAPPER_GEN_POSTFIX STRING "0"
-- Retrieval info: PRIVATE: SingleClock NUMERIC "1"
-- Retrieval info: PRIVATE: UseDQRAM NUMERIC "1"
-- Retrieval info: PRIVATE: WRCONTROL_ACLR_A NUMERIC "0"
-- Retrieval info: PRIVATE: WidthAddr NUMERIC "16"
-- Retrieval info: PRIVATE: WidthData NUMERIC "4"
-- Retrieval info: PRIVATE: rden NUMERIC "0"
-- Retrieval info: LIBRARY: altera_mf altera_mf.altera_mf_components.all
-- Retrieval info: CONSTANT: CLOCK_ENABLE_INPUT_A STRING "BYPASS"
-- Retrieval info: CONSTANT: CLOCK_ENABLE_OUTPUT_A STRING "BYPASS"
-- Retrieval info: CONSTANT: INIT_FILE STRING "video_mem1.mif"
-- Retrieval info: CONSTANT: INTENDED_DEVICE_FAMILY STRING "Cyclone II"
-- Retrieval info: CONSTANT: LPM_HINT STRING "ENABLE_RUNTIME_MOD=NO"
-- Retrieval info: CONSTANT: LPM_TYPE STRING "altsyncram"
-- Retrieval info: CONSTANT: NUMWORDS_A NUMERIC "65536"
-- Retrieval info: CONSTANT: OPERATION_MODE STRING "SINGLE_PORT"
-- Retrieval info: CONSTANT: OUTDATA_ACLR_A STRING "NONE"
-- Retrieval info: CONSTANT: OUTDATA_REG_A STRING "CLOCK0"
-- Retrieval info: CONSTANT: POWER_UP_UNINITIALIZED STRING "FALSE"
-- Retrieval info: CONSTANT: WIDTHAD_A NUMERIC "16"
-- Retrieval info: CONSTANT: WIDTH_A NUMERIC "4"
-- Retrieval info: CONSTANT: WIDTH_BYTEENA_A NUMERIC "1"
-- Retrieval info: USED_PORT: address 0 0 16 0 INPUT NODEFVAL "address[15..0]"
-- Retrieval info: USED_PORT: clock 0 0 0 0 INPUT VCC "clock"
-- Retrieval info: USED_PORT: data 0 0 4 0 INPUT NODEFVAL "data[3..0]"
-- Retrieval info: USED_PORT: q 0 0 4 0 OUTPUT NODEFVAL "q[3..0]"
-- Retrieval info: USED_PORT: wren 0 0 0 0 INPUT NODEFVAL "wren"
-- Retrieval info: CONNECT: @address_a 0 0 16 0 address 0 0 16 0
-- Retrieval info: CONNECT: @clock0 0 0 0 0 clock 0 0 0 0
-- Retrieval info: CONNECT: @data_a 0 0 4 0 data 0 0 4 0
-- Retrieval info: CONNECT: @wren_a 0 0 0 0 wren 0 0 0 0
-- Retrieval info: CONNECT: q 0 0 4 0 @q_a 0 0 4 0
-- Retrieval info: GEN_FILE: TYPE_NORMAL lpm_ram_dq0.vhd TRUE
-- Retrieval info: GEN_FILE: TYPE_NORMAL lpm_ram_dq0.inc FALSE
-- Retrieval info: GEN_FILE: TYPE_NORMAL lpm_ram_dq0.cmp TRUE
-- Retrieval info: GEN_FILE: TYPE_NORMAL lpm_ram_dq0.bsf TRUE FALSE
-- Retrieval info: GEN_FILE: TYPE_NORMAL lpm_ram_dq0_inst.vhd FALSE
-- Retrieval info: LIB_FILE: altera_mf
|
-- ==============================================================
-- File generated by Vivado(TM) HLS - High-Level Synthesis from C, C++ and SystemC
-- Version: 2014.1
-- Copyright (C) 2014 Xilinx Inc. All rights reserved.
--
-- ==============================================================
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
entity nfa_forward_buckets_if is
generic
(
C_PLB_AWIDTH : integer := 32;
C_PLB_DWIDTH : integer := 64;
PLB_ADDR_SHIFT : integer := 3;
USER_DATA_WIDTH : integer := 32;
USER_DATA_WIDTH_2N : integer := 32;
USER_ADDR_SHIFT : integer := 2; -- log2(byte_count_of_data_width)
REMOTE_DESTINATION_ADDRESS : std_logic_vector(0 to 31):= X"00000000"
);
port
(
-- Bus protocol ports, do not add to or delete
MPLB_Clk : in std_logic;
MPLB_Rst : in std_logic;
M_request : out std_logic;
M_priority : out std_logic_vector(0 to 1);
M_busLock : out std_logic;
M_RNW : out std_logic;
M_BE : out std_logic_vector(0 to C_PLB_DWIDTH/8-1);
M_MSize : out std_logic_vector(0 to 1);
M_size : out std_logic_vector(0 to 3);
M_type : out std_logic_vector(0 to 2);
M_TAttribute : out std_logic_vector(0 to 15);
M_lockErr : out std_logic;
M_abort : out std_logic;
M_ABus : out std_logic_vector(0 to C_PLB_AWIDTH-1);
M_UABus : out std_logic_vector(0 to 31);
M_wrDBus : out std_logic_vector(0 to C_PLB_DWIDTH-1);
M_wrBurst : out std_logic;
M_rdBurst : out std_logic;
PLB_MAddrAck : in std_logic;
PLB_MSSize : in std_logic_vector(0 to 1);
PLB_MRearbitrate : in std_logic;
PLB_MTimeout : in std_logic;
PLB_MBusy : in std_logic;
PLB_MRdErr : in std_logic;
PLB_MWrErr : in std_logic;
PLB_MIRQ : in std_logic;
PLB_MRdDBus : in std_logic_vector(0 to (C_PLB_DWIDTH-1));
PLB_MRdWdAddr : in std_logic_vector(0 to 3);
PLB_MRdDAck : in std_logic;
PLB_MRdBTerm : in std_logic;
PLB_MWrDAck : in std_logic;
PLB_MWrBTerm : in std_logic;
-- signals from user logic
USER_RdData : out std_logic_vector(USER_DATA_WIDTH - 1 downto 0); -- Bus read return data to user_logic
USER_WrData : in std_logic_vector(USER_DATA_WIDTH - 1 downto 0); -- Bus write data
USER_address : in std_logic_vector(31 downto 0); -- word offset from BASE_ADDRESS
USER_size : in std_logic_vector(31 downto 0); -- burst size of word
USER_req_nRW : in std_logic; -- req type 0: Read, 1: write
USER_req_full_n : out std_logic; -- req Fifo full
USER_req_push : in std_logic; -- req Fifo push (new request in)
USER_rsp_empty_n : out std_logic; -- return data FIFO empty
USER_rsp_pop : in std_logic -- return data FIFO pop
);
attribute SIGIS : string;
attribute SIGIS of MPLB_Clk : signal is "Clk";
attribute SIGIS of MPLB_Rst : signal is "Rst";
end entity;
------------------------------------------------------------------------------
-- Architecture section
------------------------------------------------------------------------------
architecture IMP of nfa_forward_buckets_if is
component nfa_forward_buckets_if_ap_fifo is
generic (
DATA_WIDTH : integer := 32;
ADDR_WIDTH : integer := 4;
DEPTH : integer := 16);
port (
clk : IN STD_LOGIC;
reset : IN STD_LOGIC;
if_empty_n : OUT STD_LOGIC;
if_read : IN STD_LOGIC;
if_dout : OUT STD_LOGIC_VECTOR(DATA_WIDTH - 1 downto 0);
if_full_n : OUT STD_LOGIC;
if_write : IN STD_LOGIC;
if_din : IN STD_LOGIC_VECTOR(DATA_WIDTH - 1 downto 0) );
end component;
component nfa_forward_buckets_if_plb_master_if is
generic (
C_PLB_AWIDTH : integer := 32;
C_PLB_DWIDTH : integer := 64;
PLB_ADDR_SHIFT : integer := 3);
port (
-- Bus protocol ports, do not add to or delete
PLB_Clk : in std_logic;
PLB_Rst : in std_logic;
M_abort : out std_logic;
M_ABus : out std_logic_vector(0 to C_PLB_AWIDTH-1);
M_BE : out std_logic_vector(0 to C_PLB_DWIDTH/8-1);
M_busLock : out std_logic;
M_lockErr : out std_logic;
M_MSize : out std_logic_vector(0 to 1);
M_priority : out std_logic_vector(0 to 1);
M_rdBurst : out std_logic;
M_request : out std_logic;
M_RNW : out std_logic;
M_size : out std_logic_vector(0 to 3);
M_type : out std_logic_vector(0 to 2);
M_wrBurst : out std_logic;
M_wrDBus : out std_logic_vector(0 to C_PLB_DWIDTH-1);
PLB_MBusy : in std_logic;
PLB_MWrBTerm : in std_logic;
PLB_MWrDAck : in std_logic;
PLB_MAddrAck : in std_logic;
PLB_MRdBTerm : in std_logic;
PLB_MRdDAck : in std_logic;
PLB_MRdDBus : in std_logic_vector(0 to (C_PLB_DWIDTH-1));
PLB_MRdWdAddr : in std_logic_vector(0 to 3);
PLB_MRearbitrate : in std_logic;
PLB_MSSize : in std_logic_vector(0 to 1);
-- signals from user logic
BUS_RdData : out std_logic_vector(C_PLB_DWIDTH-1 downto 0); -- Bus read return data to user_logic
BUS_WrData : in std_logic_vector(C_PLB_DWIDTH-1 downto 0); -- Bus write data
BUS_address : in std_logic_vector(31 downto 0); -- word offset from BASE_ADDRESS
BUS_size : in std_logic_vector(31 downto 0); -- burst size of word
BUS_req_nRW : in std_logic; -- req type 0: Read, 1: write
BUS_req_BE : in std_logic_vector(C_PLB_DWIDTH/8 -1 downto 0); -- Bus write data byte enable
BUS_req_full_n : out std_logic; -- req Fifo full
BUS_req_push : in std_logic; -- req Fifo push (new request in)
BUS_rsp_nRW : out std_logic; -- return data FIFO rsp type
BUS_rsp_empty_n : out std_logic; -- return data FIFO empty
BUS_rsp_pop : in std_logic -- return data FIFO pop
);
end component;
-- type state_type is (IDLE, );
-- signal cs, ns : st_type;
constant PLB_BW : integer := C_PLB_DWIDTH;
constant PLB_BYTE_COUNT : integer := C_PLB_DWIDTH/8;
constant USER_DATA_BYTE_COUNT : integer := USER_DATA_WIDTH_2N/8;
constant REQ_FIFO_DATA_WIDTH : integer := 1 + 32 + 32 + USER_DATA_WIDTH_2N; -- nRW + addr + size + wr_data
constant REQ_FIFO_ADDR_WIDTH : integer := 5;
constant REQ_FIFO_DEPTH : integer := 32;
constant ALIGN_DATA_WIDTH : integer := USER_DATA_WIDTH_2N + PLB_BW;
constant ALIGN_DATA_BE_WIDTH : integer := (USER_DATA_WIDTH_2N + PLB_BW)/8;
signal user_phy_address : STD_LOGIC_VECTOR(31 downto 0);
-- request FIFO
signal req_fifo_empty_n : STD_LOGIC;
signal req_fifo_pop : STD_LOGIC;
signal req_fifo_dout : STD_LOGIC_VECTOR(REQ_FIFO_DATA_WIDTH - 1 downto 0);
signal req_fifo_full_n : STD_LOGIC;
signal req_fifo_push : STD_LOGIC;
signal req_fifo_din : STD_LOGIC_VECTOR(REQ_FIFO_DATA_WIDTH - 1 downto 0);
signal user_WrData_2N : STD_LOGIC_VECTOR(USER_DATA_WIDTH_2N-1 downto 0);
signal req_fifo_dout_req_nRW : STD_LOGIC;
signal req_fifo_dout_req_address : STD_LOGIC_VECTOR(31 downto 0);
signal req_fifo_dout_req_size, req_fifo_dout_req_size_normalize : STD_LOGIC_VECTOR(31 downto 0);
-- internal request information
signal req_nRW : STD_LOGIC;
signal req_address : STD_LOGIC_VECTOR(31 downto 0);
signal req_size, burst_size : STD_LOGIC_VECTOR(31 downto 0);
signal req_size_user : STD_LOGIC_VECTOR(31 downto 0);
signal req_BE : STD_LOGIC_VECTOR(PLB_BYTE_COUNT-1 downto 0);
signal req_WrData : STD_LOGIC_VECTOR(ALIGN_DATA_WIDTH -1 downto 0);
signal req_WrData_BE : STD_LOGIC_VECTOR(ALIGN_DATA_BE_WIDTH -1 downto 0);
signal req_WrData_byte_p : STD_LOGIC_VECTOR(PLB_ADDR_SHIFT-1 downto 0);
signal req_valid, req_SOP, req_EOP_user, req_EOP : STD_LOGIC;
signal req_burst_write_counter : STD_LOGIC_VECTOR(31 downto 0);
signal req_burst_mode, req_last_burst: STD_LOGIC;
-- interface to PLB_master_if module
signal PLB_master_if_req_full_n : STD_LOGIC;
signal PLB_master_if_req_push : STD_LOGIC;
signal PLB_master_if_dataout : STD_LOGIC_VECTOR(PLB_BW-1 downto 0);
signal PLB_master_if_rsp_nRW : STD_LOGIC;
signal PLB_master_if_rsp_empty_n : STD_LOGIC;
signal PLB_master_if_rsp_pop : STD_LOGIC;
signal USER_size_local: STD_LOGIC_VECTOR(31 downto 0);
-- rsp FIFO
constant RSP_FIFO_DATA_WIDTH : integer := PLB_ADDR_SHIFT + 32; -- addr + size
constant RSP_FIFO_ADDR_WIDTH : integer := 6;
constant RSP_FIFO_DEPTH : integer := 64;
signal rsp_fifo_empty_n : STD_LOGIC;
signal rsp_fifo_pop : STD_LOGIC;
signal rsp_fifo_dout : STD_LOGIC_VECTOR(RSP_FIFO_DATA_WIDTH -1 downto 0);
signal rsp_fifo_full_n : STD_LOGIC;
signal rsp_fifo_push : STD_LOGIC;
signal rsp_fifo_din : STD_LOGIC_VECTOR(RSP_FIFO_DATA_WIDTH -1 downto 0);
signal rsp_valid, rsp_SOP : STD_LOGIC;
signal rsp_addr : STD_LOGIC_VECTOR(PLB_ADDR_SHIFT-1 downto 0);
signal rsp_size : STD_LOGIC_VECTOR(31 downto 0);
signal rsp_rd_data : STD_LOGIC_VECTOR(ALIGN_DATA_WIDTH -1 downto 0);
signal rsp_rd_data_byte_count : STD_LOGIC_VECTOR(4 downto 0);
-- rd data user FIFO
signal rd_data_user_fifo_empty_n : STD_LOGIC;
signal rd_data_user_fifo_pop : STD_LOGIC;
signal rd_data_user_fifo_dout : STD_LOGIC_VECTOR(USER_DATA_WIDTH -1 downto 0);
signal rd_data_user_fifo_full_n : STD_LOGIC;
signal rd_data_user_fifo_push : STD_LOGIC;
signal rd_data_user_fifo_din : STD_LOGIC_VECTOR(USER_DATA_WIDTH -1 downto 0);
signal rd_data_user_fifo_din_2N : STD_LOGIC_VECTOR(USER_DATA_WIDTH_2N -1 downto 0);
signal BE_ALL_ONE : STD_LOGIC_VECTOR(PLB_BYTE_COUNT -1 downto 0);
begin
BE_ALL_ONE <= (others => '1');
M_UABus <= (others => '0');
M_TAttribute <= (others => '0');
-- interface to user logic
user_phy_address(31 downto USER_ADDR_SHIFT) <= REMOTE_DESTINATION_ADDRESS(0 to C_PLB_AWIDTH - USER_ADDR_SHIFT -1) + USER_address(31 -USER_ADDR_SHIFT downto 0);
user_phy_address(USER_ADDR_SHIFT-1 downto 0) <= REMOTE_DESTINATION_ADDRESS(C_PLB_AWIDTH - USER_ADDR_SHIFT to C_PLB_AWIDTH -1);
USER_size_local <= X"00000001" when conv_integer(USER_size(31 downto 1)) = 0 else USER_size;
USER_req_full_n <= req_fifo_full_n;
process(USER_WrData)
variable i: integer;
begin
user_WrData_2N <= (others=> '0');
for i in 0 to USER_WrData'length -1 loop
user_WrData_2N (USER_DATA_WIDTH_2N-1 -i) <= USER_WrData(i);
end loop;
end process;
req_fifo_din(REQ_FIFO_DATA_WIDTH-1) <= USER_req_nRW;
req_fifo_din(REQ_FIFO_DATA_WIDTH-1-1 downto REQ_FIFO_DATA_WIDTH -1-32) <= user_phy_address;
req_fifo_din(REQ_FIFO_DATA_WIDTH-1-32-1 downto REQ_FIFO_DATA_WIDTH -1-32-32) <= USER_size_local;
req_fifo_din(USER_DATA_WIDTH_2N -1 downto 0) <= user_WrData_2N(USER_DATA_WIDTH_2N-1 downto 0);
req_fifo_push <= USER_req_push;
U_nfa_forward_buckets_if_req_fifo: component nfa_forward_buckets_if_ap_fifo
generic map(
DATA_WIDTH => REQ_FIFO_DATA_WIDTH,
ADDR_WIDTH => REQ_FIFO_ADDR_WIDTH,
DEPTH => REQ_FIFO_DEPTH)
port map(
clk => MPLB_Clk,
reset => MPLB_Rst,
if_empty_n => req_fifo_empty_n,
if_read => req_fifo_pop,
if_dout => req_fifo_dout,
if_full_n => req_fifo_full_n,
if_write => req_fifo_push,
if_din => req_fifo_din
);
req_fifo_dout_req_nRW <= req_fifo_dout(REQ_FIFO_DATA_WIDTH -1);
req_fifo_dout_req_size <= req_fifo_dout(REQ_FIFO_DATA_WIDTH-1-32-1 downto REQ_FIFO_DATA_WIDTH -1-32-32);
req_fifo_dout_req_address <= req_fifo_dout(REQ_FIFO_DATA_WIDTH-1-1 downto REQ_FIFO_DATA_WIDTH -1-32);
req_fifo_dout_req_size_normalize(31 downto USER_ADDR_SHIFT) <= req_fifo_dout_req_size(31-USER_ADDR_SHIFT downto 0);
req_fifo_dout_req_size_normalize(USER_ADDR_SHIFT-1 downto 0) <= (others => '0');
process(req_fifo_empty_n, req_valid)
begin
req_fifo_pop <= '0';
if (req_fifo_empty_n = '1' and req_valid = '0') then -- lunch next request
req_fifo_pop <= '1';
end if;
end process;
process (MPLB_Clk, MPLB_Rst)
variable offset: integer;
begin
if (MPLB_Rst = '1') then
req_nRW <= '0';
burst_size <= (others => '0');
req_size_user <= (others => '0');
req_address <= (others => '0');
req_WrData <= (others => '0'); -- set possible MSB to ZERO
req_WrData_BE <= (others => '0'); -- set possible MSB to ZERO
req_WrData_byte_p <= (others => '0'); -- set possible MSB to ZERO
req_valid <= '0';
req_EOP <= '0';
req_burst_write_counter <= (others => '0');
req_burst_mode <= '0';
elsif (MPLB_Clk'event and MPLB_Clk = '1') then
if (req_fifo_pop = '1') then -- lunch next request
req_valid <= '1';
if (req_burst_mode = '0') then
if (req_fifo_dout_req_nRW = '0') then
if (req_fifo_dout_req_size_normalize(PLB_ADDR_SHIFT-1 downto 0) = CONV_STD_LOGIC_VECTOR(0,PLB_ADDR_SHIFT) and
req_fifo_dout_req_address(PLB_ADDR_SHIFT-1 downto 0) = CONV_STD_LOGIC_VECTOR(0,PLB_ADDR_SHIFT)) then
burst_size(31-PLB_ADDR_SHIFT downto 0) <= req_fifo_dout_req_size_normalize(31 downto PLB_ADDR_SHIFT);
elsif (('0'&req_fifo_dout_req_size_normalize(PLB_ADDR_SHIFT-1 downto 0)) +
('0'&req_fifo_dout_req_address(PLB_ADDR_SHIFT-1 downto 0)) <= PLB_BYTE_COUNT) then
burst_size(31-PLB_ADDR_SHIFT downto 0) <= req_fifo_dout_req_size_normalize(31 downto PLB_ADDR_SHIFT) + 1;
else
burst_size(31-PLB_ADDR_SHIFT downto 0) <= req_fifo_dout_req_size_normalize(31 downto PLB_ADDR_SHIFT) + 2;
end if;
else
burst_size <= X"00000001"; -- single by default
if (req_fifo_dout_req_size_normalize(31 downto PLB_ADDR_SHIFT+1) /= CONV_STD_LOGIC_VECTOR(0,31-PLB_ADDR_SHIFT)) then -- may burst
burst_size(31 downto 32-PLB_ADDR_SHIFT) <= (others=>'0'); -- burst_size for write operation
if (req_fifo_dout_req_address(PLB_ADDR_SHIFT-1 downto 0) = CONV_STD_LOGIC_VECTOR(0, PLB_ADDR_SHIFT)) or
(conv_integer(req_fifo_dout_req_address(PLB_ADDR_SHIFT-1 downto 0)) + conv_integer(req_fifo_dout_req_size_normalize(PLB_ADDR_SHIFT-1 downto 0)) >= PLB_BYTE_COUNT) then
burst_size(31-PLB_ADDR_SHIFT downto 0) <= req_fifo_dout_req_size_normalize(31 downto PLB_ADDR_SHIFT);
else
burst_size(31-PLB_ADDR_SHIFT downto 0) <= req_fifo_dout_req_size_normalize(31 downto PLB_ADDR_SHIFT)-1;
end if;
end if;
end if;
offset := conv_integer(req_fifo_dout_req_address(PLB_ADDR_SHIFT-1 downto 0));
if (req_fifo_dout_req_nRW = '1') then
req_WrData(USER_DATA_WIDTH_2N +offset*8 -1 downto offset*8) <= req_fifo_dout(USER_DATA_WIDTH_2N -1 downto 0);
req_WrData_BE(USER_DATA_BYTE_COUNT+offset-1 downto offset) <= (others => '1');
end if;
req_size_user <= req_fifo_dout_req_size; -- for read operation
req_nRW <= req_fifo_dout_req_nRW;
req_EOP <= '1';
req_address <= req_fifo_dout_req_address;
req_burst_write_counter <= req_fifo_dout_req_size;
req_WrData_byte_p <= req_fifo_dout_req_address(PLB_ADDR_SHIFT-1 downto 0) + USER_DATA_BYTE_COUNT;
if (req_fifo_dout_req_nRW = '1' and req_fifo_dout_req_size(31 downto 1) /= "0000000000000000000000000000000") then
req_burst_mode <= '1';
req_EOP <= '0';
end if;
else -- in a burst write process
req_burst_write_counter <= req_burst_write_counter -1;
offset := conv_integer(req_WrData_byte_p);
req_WrData(USER_DATA_WIDTH_2N +offset*8 -1 downto offset*8) <= req_fifo_dout(USER_DATA_WIDTH_2N -1 downto 0);
req_WrData_BE(USER_DATA_BYTE_COUNT+offset-1 downto offset) <= (others => '1');
req_WrData_byte_p <= req_WrData_byte_p + USER_DATA_BYTE_COUNT;
if (req_last_burst = '1') then
req_burst_mode <= '0';
req_EOP <= '1';
end if;
end if;
elsif (req_valid = '1') then
if (req_nRW = '0' and PLB_master_if_req_push = '1') then
req_valid <= '0';
elsif (req_nRW = '1') then
if (req_EOP = '1' and PLB_master_if_req_push = '1') then -- last burst request
if (req_WrData_BE(ALIGN_DATA_BE_WIDTH-1 downto PLB_BYTE_COUNT) = CONV_STD_LOGIC_VECTOR(0, ALIGN_DATA_BE_WIDTH-PLB_BYTE_COUNT)) then
req_valid <= '0';
req_EOP <= '0';
req_WrData <= (others=>'0');
req_WrData_BE <= (others => '0');
else
req_WrData(USER_DATA_WIDTH_2N + PLB_BW -1 downto USER_DATA_WIDTH_2N) <= (others => '0');
req_WrData(USER_DATA_WIDTH_2N -1 downto 0) <= req_WrData(USER_DATA_WIDTH_2N +PLB_BW -1 downto PLB_BW);
req_WrData_BE(ALIGN_DATA_BE_WIDTH -1 downto ALIGN_DATA_BE_WIDTH-PLB_BYTE_COUNT) <= (others => '0');
req_WrData_BE(ALIGN_DATA_BE_WIDTH -PLB_BYTE_COUNT-1 downto 0) <= req_WrData_BE(ALIGN_DATA_BE_WIDTH -1 downto PLB_BYTE_COUNT);
req_address(31 downto PLB_ADDR_SHIFT) <= req_address(31 downto PLB_ADDR_SHIFT) +1;
req_address(PLB_ADDR_SHIFT-1 downto 0) <= (others=>'0');
end if;
elsif (req_EOP = '0') then
if (req_WrData_BE(PLB_BYTE_COUNT-1) = '0') then
req_valid <= '0';
elsif (req_WrData_BE(PLB_BYTE_COUNT-1) = '1' and PLB_master_if_req_push = '1') then
req_WrData(USER_DATA_WIDTH_2N + PLB_BW -1 downto USER_DATA_WIDTH_2N) <= (others => '0');
req_WrData(USER_DATA_WIDTH_2N -1 downto 0) <= req_WrData(USER_DATA_WIDTH_2N +PLB_BW -1 downto PLB_BW);
req_WrData_BE(ALIGN_DATA_BE_WIDTH -1 downto ALIGN_DATA_BE_WIDTH-PLB_BYTE_COUNT) <= (others => '0');
req_WrData_BE(ALIGN_DATA_BE_WIDTH-PLB_BYTE_COUNT-1 downto 0) <= req_WrData_BE(ALIGN_DATA_BE_WIDTH -1 downto PLB_BYTE_COUNT);
req_address(31 downto PLB_ADDR_SHIFT) <= req_address(31 downto PLB_ADDR_SHIFT) +1;
req_address(PLB_ADDR_SHIFT-1 downto 0) <= (others=>'0');
end if;
end if;
end if;
end if;
end if;
end process;
req_last_burst <= '1' when (req_burst_mode = '1' and req_burst_write_counter(31 downto 0) = X"00000002") else '0';
process(req_nRW, req_WrData_BE, burst_size)
begin
req_size <= (others => '0');
if (req_nRW = '0') then
req_size <= burst_size;
elsif (req_WrData_BE(PLB_BYTE_COUNT-1 downto 0) = BE_ALL_ONE) then
req_size <= burst_size;
else
req_size <= X"00000001";
end if;
end process;
process(req_valid, PLB_master_if_req_full_n, req_nRW, req_WrData_BE)
begin
PLB_master_if_req_push <= '0';
if (req_valid = '1' and PLB_master_if_req_full_n = '1') then
if (req_nRW = '0') then
PLB_master_if_req_push <= '1'; -- only push when the last byte been push
elsif (req_WrData_BE(PLB_BYTE_COUNT-1) = '1' or (req_EOP = '1' and req_WrData_BE(PLB_BYTE_COUNT-1 downto 0) /= CONV_STD_LOGIC_VECTOR(0, PLB_BYTE_COUNT))) then
PLB_master_if_req_push <= '1'; -- only push when the last byte been push
end if;
end if;
end process;
req_BE <= req_WrData_BE(PLB_BYTE_COUNT-1 downto 0) when req_nRW = '1' else (others => '1');
U_nfa_forward_buckets_if_plb_master_if: component nfa_forward_buckets_if_plb_master_if
generic map(
C_PLB_AWIDTH => C_PLB_AWIDTH,
C_PLB_DWIDTH => C_PLB_DWIDTH,
PLB_ADDR_SHIFT => PLB_ADDR_SHIFT)
port map (
-- Bus protocol ports, do not add to or delete
PLB_Clk => MPLB_Clk,
PLB_Rst => MPLB_Rst,
M_abort => M_abort,
M_ABus => M_ABus,
M_BE => M_BE,
M_busLock => M_busLock,
M_lockErr => M_lockErr,
M_MSize => M_MSize,
M_priority => M_priority,
M_rdBurst => M_rdBurst,
M_request => M_request,
M_RNW => M_RNW,
M_size => M_size,
M_type => M_type,
M_wrBurst => M_wrBurst,
M_wrDBus => M_wrDBus,
PLB_MBusy => PLB_MBusy,
PLB_MWrBTerm => PLB_MWrBTerm,
PLB_MWrDAck => PLB_MWrDAck,
PLB_MAddrAck => PLB_MAddrAck,
PLB_MRdBTerm => PLB_MRdBTerm,
PLB_MRdDAck => PLB_MRdDAck,
PLB_MRdDBus => PLB_MRdDBus,
PLB_MRdWdAddr => PLB_MRdWdAddr,
PLB_MRearbitrate => PLB_MRearbitrate,
PLB_MSSize => PLB_MSSize,
-- signals from user logic
BUS_RdData => PLB_master_if_dataout,
BUS_WrData => req_WrData(PLB_BW-1 downto 0),
BUS_address => req_address,
BUS_size => req_size,
BUS_req_nRW => req_nRW,
BUS_req_BE => req_BE,
BUS_req_full_n => PLB_master_if_req_full_n,
BUS_req_push => PLB_master_if_req_push,
BUS_rsp_nRW => PLB_master_if_rsp_nRW,
BUS_rsp_empty_n => PLB_master_if_rsp_empty_n,
BUS_rsp_pop => PLB_master_if_rsp_pop
);
-- below is the response (bus read data) part
U_nfa_forward_buckets_if_rsp_fifo: component nfa_forward_buckets_if_ap_fifo
generic map(
DATA_WIDTH => RSP_FIFO_DATA_WIDTH,
ADDR_WIDTH => RSP_FIFO_ADDR_WIDTH,
DEPTH => RSP_FIFO_DEPTH)
port map(
clk => MPLB_Clk,
reset => MPLB_Rst,
if_empty_n => rsp_fifo_empty_n,
if_read => rsp_fifo_pop,
if_dout => rsp_fifo_dout,
if_full_n => rsp_fifo_full_n,
if_write => rsp_fifo_push,
if_din => rsp_fifo_din
);
rsp_fifo_din(32+PLB_ADDR_SHIFT-1 downto 32) <= req_address(PLB_ADDR_SHIFT-1 downto 0);
rsp_fifo_din(31 downto 0) <= req_size_user;
rsp_fifo_push <= PLB_master_if_req_push and (not req_nRW);
process (rsp_valid, PLB_master_if_rsp_empty_n, rsp_rd_data_byte_count)
begin
PLB_master_if_rsp_pop <= '0';
-- fetch data to rsp_rd_data until enough bytes
if (rsp_valid = '1' and PLB_master_if_rsp_empty_n = '1' and CONV_INTEGER(rsp_rd_data_byte_count) < USER_DATA_BYTE_COUNT) then
PLB_master_if_rsp_pop <= '1';
end if;
end process;
process (MPLB_Clk, MPLB_Rst)
begin
if (MPLB_Rst = '1') then
rsp_valid <= '0';
rsp_addr <= (others=> '0');
rsp_size <= (others=> '0');
rsp_SOP <= '1';
rsp_rd_data_byte_count <= (others => '0');
rsp_rd_data <= (others=>'0');
rsp_fifo_pop <= '0';
elsif (MPLB_Clk'event and MPLB_Clk = '1') then
rsp_fifo_pop <= '0';
if (rsp_valid = '0' and rsp_fifo_empty_n = '1') then
rsp_valid <= '1';
rsp_addr <= rsp_fifo_dout(32+PLB_ADDR_SHIFT-1 downto 32);
rsp_size <= rsp_fifo_dout(31 downto 0);
rsp_fifo_pop <= '1';
rsp_rd_data_byte_count <= (others=>'0');
rsp_SOP <= '1';
end if;
-- fetch data to rsp_rd_data until enough bytes
if (PLB_master_if_rsp_pop = '1') then
rsp_rd_data(USER_DATA_WIDTH_2N-1 downto 0) <= rsp_rd_data(USER_DATA_WIDTH_2N + PLB_BW -1 downto PLB_BW);
rsp_rd_data(USER_DATA_WIDTH_2N +PLB_BW -1 downto USER_DATA_WIDTH_2N) <= PLB_master_if_dataout;
if (rsp_SOP = '1') then
rsp_rd_data_byte_count <= rsp_rd_data_byte_count + PLB_BYTE_COUNT - rsp_addr;
rsp_SOP <= '0';
else
rsp_rd_data_byte_count <= rsp_rd_data_byte_count + PLB_BYTE_COUNT;
end if;
end if;
-- write one unit of data to USER LOGIC
if (rd_data_user_fifo_push = '1') then
rsp_size <= rsp_size -1;
rsp_rd_data_byte_count <= rsp_rd_data_byte_count - USER_DATA_BYTE_COUNT;
rsp_addr <= rsp_addr + USER_DATA_BYTE_COUNT;
if (rsp_size = X"00000001") then
rsp_valid <= '0';
end if;
end if;
end if;
end process;
process(rsp_addr, rsp_rd_data,rsp_valid, rd_data_user_fifo_full_n, rsp_rd_data_byte_count, rd_data_user_fifo_din_2N)
variable i: integer;
begin
case CONV_INTEGER(rsp_addr) is
when 0 => rd_data_user_fifo_din_2N <= rsp_rd_data(USER_DATA_WIDTH_2N +32 -1 downto 32);
when 1 => rd_data_user_fifo_din_2N <= rsp_rd_data(USER_DATA_WIDTH_2N +40 -1 downto 40);
when 2 => rd_data_user_fifo_din_2N <= rsp_rd_data(USER_DATA_WIDTH_2N +48 -1 downto 48);
when 3 => rd_data_user_fifo_din_2N <= rsp_rd_data(USER_DATA_WIDTH_2N +56 -1 downto 56);
when 4 => rd_data_user_fifo_din_2N <= rsp_rd_data(USER_DATA_WIDTH_2N +64 -1 downto 64);
when 5 => rd_data_user_fifo_din_2N <= rsp_rd_data(USER_DATA_WIDTH_2N +8 -1 downto 8);
when 6 => rd_data_user_fifo_din_2N <= rsp_rd_data(USER_DATA_WIDTH_2N +16 -1 downto 16);
when 7 => rd_data_user_fifo_din_2N <= rsp_rd_data(USER_DATA_WIDTH_2N +24 -1 downto 24);
when others => null;
end case;
for i in 0 to USER_DATA_WIDTH -1 loop
rd_data_user_fifo_din(i) <= rd_data_user_fifo_din_2N(USER_DATA_WIDTH_2N-1-i);
end loop;
rd_data_user_fifo_push <= '0';
if (rsp_valid = '1' and rd_data_user_fifo_full_n = '1' and
CONV_INTEGER(rsp_rd_data_byte_count)>= USER_DATA_BYTE_COUNT) then
rd_data_user_fifo_push <= '1';
end if;
end process;
U_nfa_forward_buckets_if_rd_data_user_fifo: component nfa_forward_buckets_if_ap_fifo
generic map(
DATA_WIDTH => USER_DATA_WIDTH,
ADDR_WIDTH => 5,
DEPTH => 32)
port map(
clk => MPLB_Clk,
reset => MPLB_Rst,
if_empty_n => rd_data_user_fifo_empty_n,
if_read => USER_rsp_pop,
if_dout => rd_data_user_fifo_dout,
if_full_n => rd_data_user_fifo_full_n,
if_write => rd_data_user_fifo_push,
if_din => rd_data_user_fifo_din
);
USER_RdData <= rd_data_user_fifo_dout;
USER_rsp_empty_n <= rd_data_user_fifo_empty_n;
end IMP;
|
-- ==============================================================
-- File generated by Vivado(TM) HLS - High-Level Synthesis from C, C++ and SystemC
-- Version: 2014.1
-- Copyright (C) 2014 Xilinx Inc. All rights reserved.
--
-- ==============================================================
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
entity nfa_forward_buckets_if is
generic
(
C_PLB_AWIDTH : integer := 32;
C_PLB_DWIDTH : integer := 64;
PLB_ADDR_SHIFT : integer := 3;
USER_DATA_WIDTH : integer := 32;
USER_DATA_WIDTH_2N : integer := 32;
USER_ADDR_SHIFT : integer := 2; -- log2(byte_count_of_data_width)
REMOTE_DESTINATION_ADDRESS : std_logic_vector(0 to 31):= X"00000000"
);
port
(
-- Bus protocol ports, do not add to or delete
MPLB_Clk : in std_logic;
MPLB_Rst : in std_logic;
M_request : out std_logic;
M_priority : out std_logic_vector(0 to 1);
M_busLock : out std_logic;
M_RNW : out std_logic;
M_BE : out std_logic_vector(0 to C_PLB_DWIDTH/8-1);
M_MSize : out std_logic_vector(0 to 1);
M_size : out std_logic_vector(0 to 3);
M_type : out std_logic_vector(0 to 2);
M_TAttribute : out std_logic_vector(0 to 15);
M_lockErr : out std_logic;
M_abort : out std_logic;
M_ABus : out std_logic_vector(0 to C_PLB_AWIDTH-1);
M_UABus : out std_logic_vector(0 to 31);
M_wrDBus : out std_logic_vector(0 to C_PLB_DWIDTH-1);
M_wrBurst : out std_logic;
M_rdBurst : out std_logic;
PLB_MAddrAck : in std_logic;
PLB_MSSize : in std_logic_vector(0 to 1);
PLB_MRearbitrate : in std_logic;
PLB_MTimeout : in std_logic;
PLB_MBusy : in std_logic;
PLB_MRdErr : in std_logic;
PLB_MWrErr : in std_logic;
PLB_MIRQ : in std_logic;
PLB_MRdDBus : in std_logic_vector(0 to (C_PLB_DWIDTH-1));
PLB_MRdWdAddr : in std_logic_vector(0 to 3);
PLB_MRdDAck : in std_logic;
PLB_MRdBTerm : in std_logic;
PLB_MWrDAck : in std_logic;
PLB_MWrBTerm : in std_logic;
-- signals from user logic
USER_RdData : out std_logic_vector(USER_DATA_WIDTH - 1 downto 0); -- Bus read return data to user_logic
USER_WrData : in std_logic_vector(USER_DATA_WIDTH - 1 downto 0); -- Bus write data
USER_address : in std_logic_vector(31 downto 0); -- word offset from BASE_ADDRESS
USER_size : in std_logic_vector(31 downto 0); -- burst size of word
USER_req_nRW : in std_logic; -- req type 0: Read, 1: write
USER_req_full_n : out std_logic; -- req Fifo full
USER_req_push : in std_logic; -- req Fifo push (new request in)
USER_rsp_empty_n : out std_logic; -- return data FIFO empty
USER_rsp_pop : in std_logic -- return data FIFO pop
);
attribute SIGIS : string;
attribute SIGIS of MPLB_Clk : signal is "Clk";
attribute SIGIS of MPLB_Rst : signal is "Rst";
end entity;
------------------------------------------------------------------------------
-- Architecture section
------------------------------------------------------------------------------
architecture IMP of nfa_forward_buckets_if is
component nfa_forward_buckets_if_ap_fifo is
generic (
DATA_WIDTH : integer := 32;
ADDR_WIDTH : integer := 4;
DEPTH : integer := 16);
port (
clk : IN STD_LOGIC;
reset : IN STD_LOGIC;
if_empty_n : OUT STD_LOGIC;
if_read : IN STD_LOGIC;
if_dout : OUT STD_LOGIC_VECTOR(DATA_WIDTH - 1 downto 0);
if_full_n : OUT STD_LOGIC;
if_write : IN STD_LOGIC;
if_din : IN STD_LOGIC_VECTOR(DATA_WIDTH - 1 downto 0) );
end component;
component nfa_forward_buckets_if_plb_master_if is
generic (
C_PLB_AWIDTH : integer := 32;
C_PLB_DWIDTH : integer := 64;
PLB_ADDR_SHIFT : integer := 3);
port (
-- Bus protocol ports, do not add to or delete
PLB_Clk : in std_logic;
PLB_Rst : in std_logic;
M_abort : out std_logic;
M_ABus : out std_logic_vector(0 to C_PLB_AWIDTH-1);
M_BE : out std_logic_vector(0 to C_PLB_DWIDTH/8-1);
M_busLock : out std_logic;
M_lockErr : out std_logic;
M_MSize : out std_logic_vector(0 to 1);
M_priority : out std_logic_vector(0 to 1);
M_rdBurst : out std_logic;
M_request : out std_logic;
M_RNW : out std_logic;
M_size : out std_logic_vector(0 to 3);
M_type : out std_logic_vector(0 to 2);
M_wrBurst : out std_logic;
M_wrDBus : out std_logic_vector(0 to C_PLB_DWIDTH-1);
PLB_MBusy : in std_logic;
PLB_MWrBTerm : in std_logic;
PLB_MWrDAck : in std_logic;
PLB_MAddrAck : in std_logic;
PLB_MRdBTerm : in std_logic;
PLB_MRdDAck : in std_logic;
PLB_MRdDBus : in std_logic_vector(0 to (C_PLB_DWIDTH-1));
PLB_MRdWdAddr : in std_logic_vector(0 to 3);
PLB_MRearbitrate : in std_logic;
PLB_MSSize : in std_logic_vector(0 to 1);
-- signals from user logic
BUS_RdData : out std_logic_vector(C_PLB_DWIDTH-1 downto 0); -- Bus read return data to user_logic
BUS_WrData : in std_logic_vector(C_PLB_DWIDTH-1 downto 0); -- Bus write data
BUS_address : in std_logic_vector(31 downto 0); -- word offset from BASE_ADDRESS
BUS_size : in std_logic_vector(31 downto 0); -- burst size of word
BUS_req_nRW : in std_logic; -- req type 0: Read, 1: write
BUS_req_BE : in std_logic_vector(C_PLB_DWIDTH/8 -1 downto 0); -- Bus write data byte enable
BUS_req_full_n : out std_logic; -- req Fifo full
BUS_req_push : in std_logic; -- req Fifo push (new request in)
BUS_rsp_nRW : out std_logic; -- return data FIFO rsp type
BUS_rsp_empty_n : out std_logic; -- return data FIFO empty
BUS_rsp_pop : in std_logic -- return data FIFO pop
);
end component;
-- type state_type is (IDLE, );
-- signal cs, ns : st_type;
constant PLB_BW : integer := C_PLB_DWIDTH;
constant PLB_BYTE_COUNT : integer := C_PLB_DWIDTH/8;
constant USER_DATA_BYTE_COUNT : integer := USER_DATA_WIDTH_2N/8;
constant REQ_FIFO_DATA_WIDTH : integer := 1 + 32 + 32 + USER_DATA_WIDTH_2N; -- nRW + addr + size + wr_data
constant REQ_FIFO_ADDR_WIDTH : integer := 5;
constant REQ_FIFO_DEPTH : integer := 32;
constant ALIGN_DATA_WIDTH : integer := USER_DATA_WIDTH_2N + PLB_BW;
constant ALIGN_DATA_BE_WIDTH : integer := (USER_DATA_WIDTH_2N + PLB_BW)/8;
signal user_phy_address : STD_LOGIC_VECTOR(31 downto 0);
-- request FIFO
signal req_fifo_empty_n : STD_LOGIC;
signal req_fifo_pop : STD_LOGIC;
signal req_fifo_dout : STD_LOGIC_VECTOR(REQ_FIFO_DATA_WIDTH - 1 downto 0);
signal req_fifo_full_n : STD_LOGIC;
signal req_fifo_push : STD_LOGIC;
signal req_fifo_din : STD_LOGIC_VECTOR(REQ_FIFO_DATA_WIDTH - 1 downto 0);
signal user_WrData_2N : STD_LOGIC_VECTOR(USER_DATA_WIDTH_2N-1 downto 0);
signal req_fifo_dout_req_nRW : STD_LOGIC;
signal req_fifo_dout_req_address : STD_LOGIC_VECTOR(31 downto 0);
signal req_fifo_dout_req_size, req_fifo_dout_req_size_normalize : STD_LOGIC_VECTOR(31 downto 0);
-- internal request information
signal req_nRW : STD_LOGIC;
signal req_address : STD_LOGIC_VECTOR(31 downto 0);
signal req_size, burst_size : STD_LOGIC_VECTOR(31 downto 0);
signal req_size_user : STD_LOGIC_VECTOR(31 downto 0);
signal req_BE : STD_LOGIC_VECTOR(PLB_BYTE_COUNT-1 downto 0);
signal req_WrData : STD_LOGIC_VECTOR(ALIGN_DATA_WIDTH -1 downto 0);
signal req_WrData_BE : STD_LOGIC_VECTOR(ALIGN_DATA_BE_WIDTH -1 downto 0);
signal req_WrData_byte_p : STD_LOGIC_VECTOR(PLB_ADDR_SHIFT-1 downto 0);
signal req_valid, req_SOP, req_EOP_user, req_EOP : STD_LOGIC;
signal req_burst_write_counter : STD_LOGIC_VECTOR(31 downto 0);
signal req_burst_mode, req_last_burst: STD_LOGIC;
-- interface to PLB_master_if module
signal PLB_master_if_req_full_n : STD_LOGIC;
signal PLB_master_if_req_push : STD_LOGIC;
signal PLB_master_if_dataout : STD_LOGIC_VECTOR(PLB_BW-1 downto 0);
signal PLB_master_if_rsp_nRW : STD_LOGIC;
signal PLB_master_if_rsp_empty_n : STD_LOGIC;
signal PLB_master_if_rsp_pop : STD_LOGIC;
signal USER_size_local: STD_LOGIC_VECTOR(31 downto 0);
-- rsp FIFO
constant RSP_FIFO_DATA_WIDTH : integer := PLB_ADDR_SHIFT + 32; -- addr + size
constant RSP_FIFO_ADDR_WIDTH : integer := 6;
constant RSP_FIFO_DEPTH : integer := 64;
signal rsp_fifo_empty_n : STD_LOGIC;
signal rsp_fifo_pop : STD_LOGIC;
signal rsp_fifo_dout : STD_LOGIC_VECTOR(RSP_FIFO_DATA_WIDTH -1 downto 0);
signal rsp_fifo_full_n : STD_LOGIC;
signal rsp_fifo_push : STD_LOGIC;
signal rsp_fifo_din : STD_LOGIC_VECTOR(RSP_FIFO_DATA_WIDTH -1 downto 0);
signal rsp_valid, rsp_SOP : STD_LOGIC;
signal rsp_addr : STD_LOGIC_VECTOR(PLB_ADDR_SHIFT-1 downto 0);
signal rsp_size : STD_LOGIC_VECTOR(31 downto 0);
signal rsp_rd_data : STD_LOGIC_VECTOR(ALIGN_DATA_WIDTH -1 downto 0);
signal rsp_rd_data_byte_count : STD_LOGIC_VECTOR(4 downto 0);
-- rd data user FIFO
signal rd_data_user_fifo_empty_n : STD_LOGIC;
signal rd_data_user_fifo_pop : STD_LOGIC;
signal rd_data_user_fifo_dout : STD_LOGIC_VECTOR(USER_DATA_WIDTH -1 downto 0);
signal rd_data_user_fifo_full_n : STD_LOGIC;
signal rd_data_user_fifo_push : STD_LOGIC;
signal rd_data_user_fifo_din : STD_LOGIC_VECTOR(USER_DATA_WIDTH -1 downto 0);
signal rd_data_user_fifo_din_2N : STD_LOGIC_VECTOR(USER_DATA_WIDTH_2N -1 downto 0);
signal BE_ALL_ONE : STD_LOGIC_VECTOR(PLB_BYTE_COUNT -1 downto 0);
begin
BE_ALL_ONE <= (others => '1');
M_UABus <= (others => '0');
M_TAttribute <= (others => '0');
-- interface to user logic
user_phy_address(31 downto USER_ADDR_SHIFT) <= REMOTE_DESTINATION_ADDRESS(0 to C_PLB_AWIDTH - USER_ADDR_SHIFT -1) + USER_address(31 -USER_ADDR_SHIFT downto 0);
user_phy_address(USER_ADDR_SHIFT-1 downto 0) <= REMOTE_DESTINATION_ADDRESS(C_PLB_AWIDTH - USER_ADDR_SHIFT to C_PLB_AWIDTH -1);
USER_size_local <= X"00000001" when conv_integer(USER_size(31 downto 1)) = 0 else USER_size;
USER_req_full_n <= req_fifo_full_n;
process(USER_WrData)
variable i: integer;
begin
user_WrData_2N <= (others=> '0');
for i in 0 to USER_WrData'length -1 loop
user_WrData_2N (USER_DATA_WIDTH_2N-1 -i) <= USER_WrData(i);
end loop;
end process;
req_fifo_din(REQ_FIFO_DATA_WIDTH-1) <= USER_req_nRW;
req_fifo_din(REQ_FIFO_DATA_WIDTH-1-1 downto REQ_FIFO_DATA_WIDTH -1-32) <= user_phy_address;
req_fifo_din(REQ_FIFO_DATA_WIDTH-1-32-1 downto REQ_FIFO_DATA_WIDTH -1-32-32) <= USER_size_local;
req_fifo_din(USER_DATA_WIDTH_2N -1 downto 0) <= user_WrData_2N(USER_DATA_WIDTH_2N-1 downto 0);
req_fifo_push <= USER_req_push;
U_nfa_forward_buckets_if_req_fifo: component nfa_forward_buckets_if_ap_fifo
generic map(
DATA_WIDTH => REQ_FIFO_DATA_WIDTH,
ADDR_WIDTH => REQ_FIFO_ADDR_WIDTH,
DEPTH => REQ_FIFO_DEPTH)
port map(
clk => MPLB_Clk,
reset => MPLB_Rst,
if_empty_n => req_fifo_empty_n,
if_read => req_fifo_pop,
if_dout => req_fifo_dout,
if_full_n => req_fifo_full_n,
if_write => req_fifo_push,
if_din => req_fifo_din
);
req_fifo_dout_req_nRW <= req_fifo_dout(REQ_FIFO_DATA_WIDTH -1);
req_fifo_dout_req_size <= req_fifo_dout(REQ_FIFO_DATA_WIDTH-1-32-1 downto REQ_FIFO_DATA_WIDTH -1-32-32);
req_fifo_dout_req_address <= req_fifo_dout(REQ_FIFO_DATA_WIDTH-1-1 downto REQ_FIFO_DATA_WIDTH -1-32);
req_fifo_dout_req_size_normalize(31 downto USER_ADDR_SHIFT) <= req_fifo_dout_req_size(31-USER_ADDR_SHIFT downto 0);
req_fifo_dout_req_size_normalize(USER_ADDR_SHIFT-1 downto 0) <= (others => '0');
process(req_fifo_empty_n, req_valid)
begin
req_fifo_pop <= '0';
if (req_fifo_empty_n = '1' and req_valid = '0') then -- lunch next request
req_fifo_pop <= '1';
end if;
end process;
process (MPLB_Clk, MPLB_Rst)
variable offset: integer;
begin
if (MPLB_Rst = '1') then
req_nRW <= '0';
burst_size <= (others => '0');
req_size_user <= (others => '0');
req_address <= (others => '0');
req_WrData <= (others => '0'); -- set possible MSB to ZERO
req_WrData_BE <= (others => '0'); -- set possible MSB to ZERO
req_WrData_byte_p <= (others => '0'); -- set possible MSB to ZERO
req_valid <= '0';
req_EOP <= '0';
req_burst_write_counter <= (others => '0');
req_burst_mode <= '0';
elsif (MPLB_Clk'event and MPLB_Clk = '1') then
if (req_fifo_pop = '1') then -- lunch next request
req_valid <= '1';
if (req_burst_mode = '0') then
if (req_fifo_dout_req_nRW = '0') then
if (req_fifo_dout_req_size_normalize(PLB_ADDR_SHIFT-1 downto 0) = CONV_STD_LOGIC_VECTOR(0,PLB_ADDR_SHIFT) and
req_fifo_dout_req_address(PLB_ADDR_SHIFT-1 downto 0) = CONV_STD_LOGIC_VECTOR(0,PLB_ADDR_SHIFT)) then
burst_size(31-PLB_ADDR_SHIFT downto 0) <= req_fifo_dout_req_size_normalize(31 downto PLB_ADDR_SHIFT);
elsif (('0'&req_fifo_dout_req_size_normalize(PLB_ADDR_SHIFT-1 downto 0)) +
('0'&req_fifo_dout_req_address(PLB_ADDR_SHIFT-1 downto 0)) <= PLB_BYTE_COUNT) then
burst_size(31-PLB_ADDR_SHIFT downto 0) <= req_fifo_dout_req_size_normalize(31 downto PLB_ADDR_SHIFT) + 1;
else
burst_size(31-PLB_ADDR_SHIFT downto 0) <= req_fifo_dout_req_size_normalize(31 downto PLB_ADDR_SHIFT) + 2;
end if;
else
burst_size <= X"00000001"; -- single by default
if (req_fifo_dout_req_size_normalize(31 downto PLB_ADDR_SHIFT+1) /= CONV_STD_LOGIC_VECTOR(0,31-PLB_ADDR_SHIFT)) then -- may burst
burst_size(31 downto 32-PLB_ADDR_SHIFT) <= (others=>'0'); -- burst_size for write operation
if (req_fifo_dout_req_address(PLB_ADDR_SHIFT-1 downto 0) = CONV_STD_LOGIC_VECTOR(0, PLB_ADDR_SHIFT)) or
(conv_integer(req_fifo_dout_req_address(PLB_ADDR_SHIFT-1 downto 0)) + conv_integer(req_fifo_dout_req_size_normalize(PLB_ADDR_SHIFT-1 downto 0)) >= PLB_BYTE_COUNT) then
burst_size(31-PLB_ADDR_SHIFT downto 0) <= req_fifo_dout_req_size_normalize(31 downto PLB_ADDR_SHIFT);
else
burst_size(31-PLB_ADDR_SHIFT downto 0) <= req_fifo_dout_req_size_normalize(31 downto PLB_ADDR_SHIFT)-1;
end if;
end if;
end if;
offset := conv_integer(req_fifo_dout_req_address(PLB_ADDR_SHIFT-1 downto 0));
if (req_fifo_dout_req_nRW = '1') then
req_WrData(USER_DATA_WIDTH_2N +offset*8 -1 downto offset*8) <= req_fifo_dout(USER_DATA_WIDTH_2N -1 downto 0);
req_WrData_BE(USER_DATA_BYTE_COUNT+offset-1 downto offset) <= (others => '1');
end if;
req_size_user <= req_fifo_dout_req_size; -- for read operation
req_nRW <= req_fifo_dout_req_nRW;
req_EOP <= '1';
req_address <= req_fifo_dout_req_address;
req_burst_write_counter <= req_fifo_dout_req_size;
req_WrData_byte_p <= req_fifo_dout_req_address(PLB_ADDR_SHIFT-1 downto 0) + USER_DATA_BYTE_COUNT;
if (req_fifo_dout_req_nRW = '1' and req_fifo_dout_req_size(31 downto 1) /= "0000000000000000000000000000000") then
req_burst_mode <= '1';
req_EOP <= '0';
end if;
else -- in a burst write process
req_burst_write_counter <= req_burst_write_counter -1;
offset := conv_integer(req_WrData_byte_p);
req_WrData(USER_DATA_WIDTH_2N +offset*8 -1 downto offset*8) <= req_fifo_dout(USER_DATA_WIDTH_2N -1 downto 0);
req_WrData_BE(USER_DATA_BYTE_COUNT+offset-1 downto offset) <= (others => '1');
req_WrData_byte_p <= req_WrData_byte_p + USER_DATA_BYTE_COUNT;
if (req_last_burst = '1') then
req_burst_mode <= '0';
req_EOP <= '1';
end if;
end if;
elsif (req_valid = '1') then
if (req_nRW = '0' and PLB_master_if_req_push = '1') then
req_valid <= '0';
elsif (req_nRW = '1') then
if (req_EOP = '1' and PLB_master_if_req_push = '1') then -- last burst request
if (req_WrData_BE(ALIGN_DATA_BE_WIDTH-1 downto PLB_BYTE_COUNT) = CONV_STD_LOGIC_VECTOR(0, ALIGN_DATA_BE_WIDTH-PLB_BYTE_COUNT)) then
req_valid <= '0';
req_EOP <= '0';
req_WrData <= (others=>'0');
req_WrData_BE <= (others => '0');
else
req_WrData(USER_DATA_WIDTH_2N + PLB_BW -1 downto USER_DATA_WIDTH_2N) <= (others => '0');
req_WrData(USER_DATA_WIDTH_2N -1 downto 0) <= req_WrData(USER_DATA_WIDTH_2N +PLB_BW -1 downto PLB_BW);
req_WrData_BE(ALIGN_DATA_BE_WIDTH -1 downto ALIGN_DATA_BE_WIDTH-PLB_BYTE_COUNT) <= (others => '0');
req_WrData_BE(ALIGN_DATA_BE_WIDTH -PLB_BYTE_COUNT-1 downto 0) <= req_WrData_BE(ALIGN_DATA_BE_WIDTH -1 downto PLB_BYTE_COUNT);
req_address(31 downto PLB_ADDR_SHIFT) <= req_address(31 downto PLB_ADDR_SHIFT) +1;
req_address(PLB_ADDR_SHIFT-1 downto 0) <= (others=>'0');
end if;
elsif (req_EOP = '0') then
if (req_WrData_BE(PLB_BYTE_COUNT-1) = '0') then
req_valid <= '0';
elsif (req_WrData_BE(PLB_BYTE_COUNT-1) = '1' and PLB_master_if_req_push = '1') then
req_WrData(USER_DATA_WIDTH_2N + PLB_BW -1 downto USER_DATA_WIDTH_2N) <= (others => '0');
req_WrData(USER_DATA_WIDTH_2N -1 downto 0) <= req_WrData(USER_DATA_WIDTH_2N +PLB_BW -1 downto PLB_BW);
req_WrData_BE(ALIGN_DATA_BE_WIDTH -1 downto ALIGN_DATA_BE_WIDTH-PLB_BYTE_COUNT) <= (others => '0');
req_WrData_BE(ALIGN_DATA_BE_WIDTH-PLB_BYTE_COUNT-1 downto 0) <= req_WrData_BE(ALIGN_DATA_BE_WIDTH -1 downto PLB_BYTE_COUNT);
req_address(31 downto PLB_ADDR_SHIFT) <= req_address(31 downto PLB_ADDR_SHIFT) +1;
req_address(PLB_ADDR_SHIFT-1 downto 0) <= (others=>'0');
end if;
end if;
end if;
end if;
end if;
end process;
req_last_burst <= '1' when (req_burst_mode = '1' and req_burst_write_counter(31 downto 0) = X"00000002") else '0';
process(req_nRW, req_WrData_BE, burst_size)
begin
req_size <= (others => '0');
if (req_nRW = '0') then
req_size <= burst_size;
elsif (req_WrData_BE(PLB_BYTE_COUNT-1 downto 0) = BE_ALL_ONE) then
req_size <= burst_size;
else
req_size <= X"00000001";
end if;
end process;
process(req_valid, PLB_master_if_req_full_n, req_nRW, req_WrData_BE)
begin
PLB_master_if_req_push <= '0';
if (req_valid = '1' and PLB_master_if_req_full_n = '1') then
if (req_nRW = '0') then
PLB_master_if_req_push <= '1'; -- only push when the last byte been push
elsif (req_WrData_BE(PLB_BYTE_COUNT-1) = '1' or (req_EOP = '1' and req_WrData_BE(PLB_BYTE_COUNT-1 downto 0) /= CONV_STD_LOGIC_VECTOR(0, PLB_BYTE_COUNT))) then
PLB_master_if_req_push <= '1'; -- only push when the last byte been push
end if;
end if;
end process;
req_BE <= req_WrData_BE(PLB_BYTE_COUNT-1 downto 0) when req_nRW = '1' else (others => '1');
U_nfa_forward_buckets_if_plb_master_if: component nfa_forward_buckets_if_plb_master_if
generic map(
C_PLB_AWIDTH => C_PLB_AWIDTH,
C_PLB_DWIDTH => C_PLB_DWIDTH,
PLB_ADDR_SHIFT => PLB_ADDR_SHIFT)
port map (
-- Bus protocol ports, do not add to or delete
PLB_Clk => MPLB_Clk,
PLB_Rst => MPLB_Rst,
M_abort => M_abort,
M_ABus => M_ABus,
M_BE => M_BE,
M_busLock => M_busLock,
M_lockErr => M_lockErr,
M_MSize => M_MSize,
M_priority => M_priority,
M_rdBurst => M_rdBurst,
M_request => M_request,
M_RNW => M_RNW,
M_size => M_size,
M_type => M_type,
M_wrBurst => M_wrBurst,
M_wrDBus => M_wrDBus,
PLB_MBusy => PLB_MBusy,
PLB_MWrBTerm => PLB_MWrBTerm,
PLB_MWrDAck => PLB_MWrDAck,
PLB_MAddrAck => PLB_MAddrAck,
PLB_MRdBTerm => PLB_MRdBTerm,
PLB_MRdDAck => PLB_MRdDAck,
PLB_MRdDBus => PLB_MRdDBus,
PLB_MRdWdAddr => PLB_MRdWdAddr,
PLB_MRearbitrate => PLB_MRearbitrate,
PLB_MSSize => PLB_MSSize,
-- signals from user logic
BUS_RdData => PLB_master_if_dataout,
BUS_WrData => req_WrData(PLB_BW-1 downto 0),
BUS_address => req_address,
BUS_size => req_size,
BUS_req_nRW => req_nRW,
BUS_req_BE => req_BE,
BUS_req_full_n => PLB_master_if_req_full_n,
BUS_req_push => PLB_master_if_req_push,
BUS_rsp_nRW => PLB_master_if_rsp_nRW,
BUS_rsp_empty_n => PLB_master_if_rsp_empty_n,
BUS_rsp_pop => PLB_master_if_rsp_pop
);
-- below is the response (bus read data) part
U_nfa_forward_buckets_if_rsp_fifo: component nfa_forward_buckets_if_ap_fifo
generic map(
DATA_WIDTH => RSP_FIFO_DATA_WIDTH,
ADDR_WIDTH => RSP_FIFO_ADDR_WIDTH,
DEPTH => RSP_FIFO_DEPTH)
port map(
clk => MPLB_Clk,
reset => MPLB_Rst,
if_empty_n => rsp_fifo_empty_n,
if_read => rsp_fifo_pop,
if_dout => rsp_fifo_dout,
if_full_n => rsp_fifo_full_n,
if_write => rsp_fifo_push,
if_din => rsp_fifo_din
);
rsp_fifo_din(32+PLB_ADDR_SHIFT-1 downto 32) <= req_address(PLB_ADDR_SHIFT-1 downto 0);
rsp_fifo_din(31 downto 0) <= req_size_user;
rsp_fifo_push <= PLB_master_if_req_push and (not req_nRW);
process (rsp_valid, PLB_master_if_rsp_empty_n, rsp_rd_data_byte_count)
begin
PLB_master_if_rsp_pop <= '0';
-- fetch data to rsp_rd_data until enough bytes
if (rsp_valid = '1' and PLB_master_if_rsp_empty_n = '1' and CONV_INTEGER(rsp_rd_data_byte_count) < USER_DATA_BYTE_COUNT) then
PLB_master_if_rsp_pop <= '1';
end if;
end process;
process (MPLB_Clk, MPLB_Rst)
begin
if (MPLB_Rst = '1') then
rsp_valid <= '0';
rsp_addr <= (others=> '0');
rsp_size <= (others=> '0');
rsp_SOP <= '1';
rsp_rd_data_byte_count <= (others => '0');
rsp_rd_data <= (others=>'0');
rsp_fifo_pop <= '0';
elsif (MPLB_Clk'event and MPLB_Clk = '1') then
rsp_fifo_pop <= '0';
if (rsp_valid = '0' and rsp_fifo_empty_n = '1') then
rsp_valid <= '1';
rsp_addr <= rsp_fifo_dout(32+PLB_ADDR_SHIFT-1 downto 32);
rsp_size <= rsp_fifo_dout(31 downto 0);
rsp_fifo_pop <= '1';
rsp_rd_data_byte_count <= (others=>'0');
rsp_SOP <= '1';
end if;
-- fetch data to rsp_rd_data until enough bytes
if (PLB_master_if_rsp_pop = '1') then
rsp_rd_data(USER_DATA_WIDTH_2N-1 downto 0) <= rsp_rd_data(USER_DATA_WIDTH_2N + PLB_BW -1 downto PLB_BW);
rsp_rd_data(USER_DATA_WIDTH_2N +PLB_BW -1 downto USER_DATA_WIDTH_2N) <= PLB_master_if_dataout;
if (rsp_SOP = '1') then
rsp_rd_data_byte_count <= rsp_rd_data_byte_count + PLB_BYTE_COUNT - rsp_addr;
rsp_SOP <= '0';
else
rsp_rd_data_byte_count <= rsp_rd_data_byte_count + PLB_BYTE_COUNT;
end if;
end if;
-- write one unit of data to USER LOGIC
if (rd_data_user_fifo_push = '1') then
rsp_size <= rsp_size -1;
rsp_rd_data_byte_count <= rsp_rd_data_byte_count - USER_DATA_BYTE_COUNT;
rsp_addr <= rsp_addr + USER_DATA_BYTE_COUNT;
if (rsp_size = X"00000001") then
rsp_valid <= '0';
end if;
end if;
end if;
end process;
process(rsp_addr, rsp_rd_data,rsp_valid, rd_data_user_fifo_full_n, rsp_rd_data_byte_count, rd_data_user_fifo_din_2N)
variable i: integer;
begin
case CONV_INTEGER(rsp_addr) is
when 0 => rd_data_user_fifo_din_2N <= rsp_rd_data(USER_DATA_WIDTH_2N +32 -1 downto 32);
when 1 => rd_data_user_fifo_din_2N <= rsp_rd_data(USER_DATA_WIDTH_2N +40 -1 downto 40);
when 2 => rd_data_user_fifo_din_2N <= rsp_rd_data(USER_DATA_WIDTH_2N +48 -1 downto 48);
when 3 => rd_data_user_fifo_din_2N <= rsp_rd_data(USER_DATA_WIDTH_2N +56 -1 downto 56);
when 4 => rd_data_user_fifo_din_2N <= rsp_rd_data(USER_DATA_WIDTH_2N +64 -1 downto 64);
when 5 => rd_data_user_fifo_din_2N <= rsp_rd_data(USER_DATA_WIDTH_2N +8 -1 downto 8);
when 6 => rd_data_user_fifo_din_2N <= rsp_rd_data(USER_DATA_WIDTH_2N +16 -1 downto 16);
when 7 => rd_data_user_fifo_din_2N <= rsp_rd_data(USER_DATA_WIDTH_2N +24 -1 downto 24);
when others => null;
end case;
for i in 0 to USER_DATA_WIDTH -1 loop
rd_data_user_fifo_din(i) <= rd_data_user_fifo_din_2N(USER_DATA_WIDTH_2N-1-i);
end loop;
rd_data_user_fifo_push <= '0';
if (rsp_valid = '1' and rd_data_user_fifo_full_n = '1' and
CONV_INTEGER(rsp_rd_data_byte_count)>= USER_DATA_BYTE_COUNT) then
rd_data_user_fifo_push <= '1';
end if;
end process;
U_nfa_forward_buckets_if_rd_data_user_fifo: component nfa_forward_buckets_if_ap_fifo
generic map(
DATA_WIDTH => USER_DATA_WIDTH,
ADDR_WIDTH => 5,
DEPTH => 32)
port map(
clk => MPLB_Clk,
reset => MPLB_Rst,
if_empty_n => rd_data_user_fifo_empty_n,
if_read => USER_rsp_pop,
if_dout => rd_data_user_fifo_dout,
if_full_n => rd_data_user_fifo_full_n,
if_write => rd_data_user_fifo_push,
if_din => rd_data_user_fifo_din
);
USER_RdData <= rd_data_user_fifo_dout;
USER_rsp_empty_n <= rd_data_user_fifo_empty_n;
end IMP;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc1646.vhd,v 1.2 2001-10-26 16:29:42 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c08s13b00x00p03n01i01646ent IS
END c08s13b00x00p03n01i01646ent;
ARCHITECTURE c08s13b00x00p03n01i01646arch OF c08s13b00x00p03n01i01646ent IS
BEGIN
TESTING: PROCESS
variable k : integer := 0;
BEGIN
if TRUE then
k := 5;
NULL;
elsif FALSE then
k := 5;
NULL;
end if;
assert NOT(k = 5)
report "***PASSED TEST: c08s13b00x00p03n01i01646"
severity NOTE;
assert (k = 5)
report "***FAILED TEST: c08s13b00x00p03n01i01646 - NULL statement has no effect other than to pass on to the next statement"
severity ERROR;
wait;
END PROCESS TESTING;
END c08s13b00x00p03n01i01646arch;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc1646.vhd,v 1.2 2001-10-26 16:29:42 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c08s13b00x00p03n01i01646ent IS
END c08s13b00x00p03n01i01646ent;
ARCHITECTURE c08s13b00x00p03n01i01646arch OF c08s13b00x00p03n01i01646ent IS
BEGIN
TESTING: PROCESS
variable k : integer := 0;
BEGIN
if TRUE then
k := 5;
NULL;
elsif FALSE then
k := 5;
NULL;
end if;
assert NOT(k = 5)
report "***PASSED TEST: c08s13b00x00p03n01i01646"
severity NOTE;
assert (k = 5)
report "***FAILED TEST: c08s13b00x00p03n01i01646 - NULL statement has no effect other than to pass on to the next statement"
severity ERROR;
wait;
END PROCESS TESTING;
END c08s13b00x00p03n01i01646arch;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc1646.vhd,v 1.2 2001-10-26 16:29:42 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c08s13b00x00p03n01i01646ent IS
END c08s13b00x00p03n01i01646ent;
ARCHITECTURE c08s13b00x00p03n01i01646arch OF c08s13b00x00p03n01i01646ent IS
BEGIN
TESTING: PROCESS
variable k : integer := 0;
BEGIN
if TRUE then
k := 5;
NULL;
elsif FALSE then
k := 5;
NULL;
end if;
assert NOT(k = 5)
report "***PASSED TEST: c08s13b00x00p03n01i01646"
severity NOTE;
assert (k = 5)
report "***FAILED TEST: c08s13b00x00p03n01i01646 - NULL statement has no effect other than to pass on to the next statement"
severity ERROR;
wait;
END PROCESS TESTING;
END c08s13b00x00p03n01i01646arch;
|
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use ieee.std_logic_misc.all;
entity deblocking_filter_node is
generic (
data_width : integer := 64;
addr_width : integer := 1;
vc_sel_width : integer := 1;
num_vc : integer := 2;
flit_buff_depth : integer := 8
);
port(
clk : in std_logic;
rst : in std_logic;
-- recv interface to network
recv_data : in std_logic_vector(data_width-1 downto 0);
src_addr : in std_logic_vector(addr_width-1 downto 0);
is_tail_flit : in std_logic;
data_in_buffer : in std_logic_vector(num_vc-1 downto 0);
dequeue : out std_logic_vector(num_vc-1 downto 0);
select_vc_read : out std_logic_vector(vc_sel_width-1 downto 0);
-- send interface to network
send_data : out std_logic_vector(data_width-1 downto 0);
dest_addr : out std_logic_vector(addr_width-1 downto 0);
set_tail_flit : out std_logic;
send_flit : out std_logic;
ready_to_send : in std_logic;
--debugging
has_rxd : out std_logic;
is_idle : out std_logic;
is_filtering : out std_logic;
is_tx_ing : out std_logic;
is_cleanup_ing : out std_logic;
rx_non_zero : out std_logic;
tx_non_zero : out std_logic
);
end entity deblocking_filter_node;
architecture fsmd of deblocking_filter_node is
component h264_deblock_filter_core is
port(
clk : in std_logic;
rst : in std_logic;
is_chroma : in std_logic;
boundary_strength : in signed(8 downto 0);
p0 : in signed(8 downto 0);
p1 : in signed(8 downto 0);
p2 : in signed(8 downto 0);
p3 : in signed(8 downto 0);
q0 : in signed(8 downto 0);
q1 : in signed(8 downto 0);
q2 : in signed(8 downto 0);
q3 : in signed(8 downto 0);
alpha : in signed(8 downto 0);
beta : in signed(8 downto 0);
tc0 : in signed(8 downto 0);
p0_out : out signed(8 downto 0);
p1_out : out signed(8 downto 0);
p2_out : out signed(8 downto 0);
q0_out : out signed(8 downto 0);
q1_out : out signed(8 downto 0);
q2_out : out signed(8 downto 0)
);
end component h264_deblock_filter_core;
component priority_encoder is
generic(
encoded_word_size : integer := 2
);
Port(
input : in std_logic_vector(2**encoded_word_size-1 downto 0);
output : out std_logic_vector(encoded_word_size-1 downto 0)
);
end component priority_encoder;
--signals
signal is_chroma : std_logic;
signal boundary_strength : std_logic_vector(8 downto 0);
signal p0 : std_logic_vector(8 downto 0);
signal p1 : std_logic_vector(8 downto 0);
signal p2 : std_logic_vector(8 downto 0);
signal p3 : std_logic_vector(8 downto 0);
signal q0 : std_logic_vector(8 downto 0);
signal q1 : std_logic_vector(8 downto 0);
signal q2 : std_logic_vector(8 downto 0);
signal q3 : std_logic_vector(8 downto 0);
signal alpha : std_logic_vector(8 downto 0);
signal beta : std_logic_vector(8 downto 0);
signal tc0 : std_logic_vector(8 downto 0);
signal bS : std_logic_vector(8 downto 0);
signal p0_out : signed(8 downto 0);
signal p1_out : signed(8 downto 0);
signal p2_out : signed(8 downto 0);
signal q0_out : signed(8 downto 0);
signal q1_out : signed(8 downto 0);
signal q2_out : signed(8 downto 0);
signal p0_out_vector : std_logic_vector(8 downto 0);
signal p1_out_vector : std_logic_vector(8 downto 0);
signal p2_out_vector : std_logic_vector(8 downto 0);
signal q0_out_vector : std_logic_vector(8 downto 0);
signal q1_out_vector : std_logic_vector(8 downto 0);
signal q2_out_vector : std_logic_vector(8 downto 0);
signal identifier : std_logic_vector(7 downto 0);
signal selected_vc_enc : std_logic_vector(vc_sel_width-1 downto 0);
signal selected_vc_q : std_logic_vector(vc_sel_width-1 downto 0);
signal selected_vc_d : std_logic_vector(vc_sel_width-1 downto 0);
signal selected_vc_one_hot : std_logic_vector(num_vc-1 downto 0);
signal has_rxd_q, has_rxd_d : std_logic;
signal recv_packet_q : std_logic_vector(127 downto 0);
signal recv_packet_d : std_logic_vector(127 downto 0);
signal send_data_0 : std_logic_vector(63 downto 0);
signal send_data_1 : std_logic_vector(63 downto 0);
--constants
constant p_index : integer := 96;
constant q_index : integer := 64;
constant param_index : integer := 32;
constant sys_param_index : integer := 0;
--states
type db_filter_states is (idle, select_vc, rx_0, rx_1, wait_rx_0, tx_0, tx_1, dequeue_0, dequeue_1, wait_tx_0, wait_tx_1);
signal next_state, current_state : db_filter_states;
begin
---------------------------------------------------------------------------
-- DATAPATH
---------------------------------------------------------------------------
process(clk, rst)
begin
if rst = '1' then
recv_packet_q <= (others => '0');
selected_vc_q <= (others => '0');
elsif rising_edge(clk) then
recv_packet_q <= recv_packet_d;
selected_vc_q <= selected_vc_d;
end if;
end process;
recv_packet_d(63 downto 0) <= recv_data when current_state = rx_0 else recv_packet_q(63 downto 0);
recv_packet_d(127 downto 64) <= recv_data when current_state = rx_1 else recv_packet_q(127 downto 64);
selected_vc_d <= selected_vc_enc when current_state = select_vc else selected_vc_q;
selected_vc_one_hot <= "01" when selected_vc_q = "0" else "10";
--parse input
p3( 7 downto 0 ) <= (recv_packet_q( p_index + 31 downto p_index + 24));
p2( 7 downto 0 ) <= (recv_packet_q( p_index + 23 downto p_index + 16));
p1( 7 downto 0 ) <= (recv_packet_q( p_index + 15 downto p_index + 8));
p0( 7 downto 0 ) <= (recv_packet_q( p_index + 7 downto p_index + 0));
q3( 7 downto 0 ) <= (recv_packet_q( q_index + 31 downto q_index + 24));
q2( 7 downto 0 ) <= (recv_packet_q( q_index + 23 downto q_index + 16));
q1( 7 downto 0 ) <= (recv_packet_q( q_index + 15 downto q_index + 8));
q0( 7 downto 0 ) <= (recv_packet_q( q_index + 7 downto q_index + 0));
alpha( 7 downto 0 ) <= (recv_packet_q( param_index + 31 downto param_index + 24));
beta( 7 downto 0 ) <= (recv_packet_q( param_index + 23 downto param_index + 16));
bS( 7 downto 0 ) <= (recv_packet_q( param_index + 15 downto param_index + 8 ));
tc0( 7 downto 0 ) <= (recv_packet_q( param_index + 7 downto param_index + 0 ));
p3( 8 ) <= '0';
p2( 8 ) <= '0';
p1( 8 ) <= '0';
p0( 8 ) <= '0';
q3( 8 ) <= '0';
q2( 8 ) <= '0';
q1( 8 ) <= '0';
q0( 8 ) <= '0';
alpha( 8 ) <= '0';
beta( 8 ) <= '0';
bS( 8 ) <= '0';
tc0( 8 ) <= '0';
is_chroma <= recv_packet_q( sys_param_index + 16 );
identifier <= recv_packet_q( sys_param_index + 15 downto sys_param_index + 8 );
--form response
p0_out_vector <= std_logic_vector(p0_out);
p1_out_vector <= std_logic_vector(p1_out);
p2_out_vector <= std_logic_vector(p2_out);
q0_out_vector <= std_logic_vector(q0_out);
q1_out_vector <= std_logic_vector(q1_out);
q2_out_vector <= std_logic_vector(q2_out);
send_data_1 <= p3(7 downto 0) &
p2_out_vector(7 downto 0) &
p1_out_vector(7 downto 0) &
p0_out_vector(7 downto 0) &
q3(7 downto 0) &
q2_out_vector(7 downto 0) &
q1_out_vector(7 downto 0) &
q0_out_vector(7 downto 0);
send_data_0 <= X"00000000" &
x"000000" &
identifier;
send_data <= send_data_0 when current_state = wait_tx_0 or current_state = dequeue_1 or current_state = tx_0 else
send_data_1;
--network controls
dest_addr <= std_logic_vector(to_unsigned(7, addr_width));
select_vc_read <= selected_vc_q;
set_tail_flit <= '1' when current_state = wait_tx_1 or current_state = tx_1 else '0';
send_flit <= '1' when current_state = tx_0 or current_state = tx_1 else '0';
dequeue <= selected_vc_one_hot when current_state = dequeue_0 or current_state = dequeue_1 else "00";
-- filter core
u0: component h264_deblock_filter_core port map(
clk => '0',
rst => '0',
is_chroma => is_chroma,
boundary_strength => signed( bs ),
p0 => signed( p0 ),
p1 => signed( p1 ),
p2 => signed( p2 ),
p3 => signed( p3 ),
q0 => signed( q0 ),
q1 => signed( q1 ),
q2 => signed( q2 ),
q3 => signed( q3 ),
alpha => signed( alpha ),
beta => signed( beta ),
tc0 => signed( tc0 ),
p0_out => p0_out,
p1_out => p1_out,
p2_out => p2_out,
q0_out => q0_out,
q1_out => q1_out,
q2_out => q2_out
);
-- select which bufer to read from
u1: priority_encoder generic map(vc_sel_width)
port map(data_in_buffer, selected_vc_enc);
---------------------------------------------------------------------------
-- STATE MACHINE
---------------------------------------------------------------------------
--state register
process(clk, rst) begin
if rst = '1' then
current_state <= idle;
elsif rising_edge(clk) then
current_state <= next_state;
end if;
end process;
--update logic
process(current_state, data_in_buffer, ready_to_send) begin
--default
next_state <= current_state;
if current_state = idle and or_reduce(data_in_buffer) = '1' then
next_state <= select_vc;
end if;
if current_state = select_vc then
next_state <= rx_0;
end if;
if current_state = rx_0 then
next_state <= dequeue_0;
end if;
if current_state = dequeue_0 then
next_state <= wait_rx_0;
end if;
if current_state = wait_rx_0 and or_reduce(data_in_buffer and selected_vc_one_hot) = '1' then
next_state <= rx_1;
end if;
if current_state = rx_1 then
next_state <= dequeue_1;
end if;
if current_state = dequeue_1 then
next_state <= wait_tx_0;
end if;
if current_state = wait_tx_0 and ready_to_send = '1' then
next_state <= tx_0;
end if;
if current_state = tx_0 then
next_state <= wait_tx_1;
end if;
if current_state = wait_tx_1 and ready_to_send = '1' then
next_state <= tx_1;
end if;
if current_state = tx_1 then
next_state <= idle;
end if;
end process;
---------------------------------------------------------------------------
-- rx tester
---------------------------------------------------------------------------
--process(clk, rst) begin
-- if rst = '1' then
-- has_rxd_q <= '0';
-- elsif rising_edge(clk) then
-- has_rxd_q <= has_rxd_d;
-- end if;
--end process;
--has_rxd_d <= has_rxd_q or or_reduce(data_in_buffer);
----has_rxd <= or_reduce(data_in_buffer);--has_rxd_d;
----
----is_idle <= '1' when current_state = idle else '0';
----is_filtering <= '1' when current_state = filter else '0';
----is_tx_ing <= '1' when current_state = transmit else '0';
----is_cleanup_ing <= '1' when current_state = cleanup else '0';
----
----rx_non_zero <= or_reduce(recv_data);
----tx_non_zero <= or_reduce(
---- p3(7 downto 0) &
---- p2_out_vector(7 downto 0) &
---- p1_out_vector(7 downto 0) &
---- p0_out_vector(7 downto 0) &
---- q3(7 downto 0) &
---- q2_out_vector(7 downto 0) &
---- q1_out_vector(7 downto 0) &
---- q0_out_vector(7 downto 0) &
---- X"00000000" &
---- x"000000" &
---- identifier );
----
end architecture fsmd;
|
-- $Id: xlib.vhd 538 2013-10-06 17:21:25Z mueller $
--
-- Copyright 2007-2013 by Walter F.J. Mueller <[email protected]>
--
-- This program is free software; you may redistribute and/or modify it under
-- the terms of the GNU General Public License as published by the Free
-- Software Foundation, either version 2, or at your option any later version.
--
-- This program is distributed in the hope that it will be useful, but
-- WITHOUT ANY WARRANTY, without even the implied warranty of MERCHANTABILITY
-- or FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for complete details.
--
------------------------------------------------------------------------------
-- Package Name: xlib
-- Description: Xilinx specific components
--
-- Dependencies: -
-- Tool versions: xst 8.2, 9.1, 9.2, 13.1, 14.5, 14.6; ghdl 0.18-0.29
-- Revision History:
-- Date Rev Version Comment
-- 2013-10-06 538 1.0.10 add s6_cmt_sfs
-- 2013-09-28 535 1.0.9 add s7_cmt_sfs
-- 2011-11-24 432 1.0.8 add iob_oddr2_simple
-- 2011-11-17 426 1.0.7 rename dcm_sp_sfs -> dcm_sfs; remove family generic
-- 2011-11-10 423 1.0.6 add family generic for dcm_sp_sfs
-- 2010-11-07 337 1.0.5 add dcm_sp_sfs
-- 2008-05-23 149 1.0.4 add iob_io(_gen)
-- 2008-05-22 148 1.0.3 add iob_keeper(_gen);
-- 2008-05-18 147 1.0.2 add PULL generic to iob_reg_io(_gen)
-- 2007-12-16 101 1.0.1 add INIT generic ports
-- 2007-12-08 100 1.0 Initial version
------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use work.slvtypes.all;
package xlib is
component iob_reg_i is -- registered IOB, input
generic (
INIT : slbit := '0'); -- initial state
port (
CLK : in slbit; -- clock
CE : in slbit := '1'; -- clock enable
DI : out slbit; -- input data
PAD : in slbit -- i/o pad
);
end component;
component iob_reg_i_gen is -- registered IOB, input, vector
generic (
DWIDTH : positive := 16; -- data port width
INIT : slbit := '0'); -- initial state
port (
CLK : in slbit; -- clock
CE : in slbit := '1'; -- clock enable
DI : out slv(DWIDTH-1 downto 0); -- input data
PAD : in slv(DWIDTH-1 downto 0) -- i/o pad
);
end component;
component iob_reg_o is -- registered IOB, output
generic (
INIT : slbit := '0'); -- initial state
port (
CLK : in slbit; -- clock
CE : in slbit := '1'; -- clock enable
DO : in slbit; -- output data
PAD : out slbit -- i/o pad
);
end component;
component iob_reg_o_gen is -- registered IOB, output, vector
generic (
DWIDTH : positive := 16; -- data port width
INIT : slbit := '0'); -- initial state
port (
CLK : in slbit; -- clock
CE : in slbit := '1'; -- clock enable
DO : in slv(DWIDTH-1 downto 0); -- output data
PAD : out slv(DWIDTH-1 downto 0) -- i/o pad
);
end component;
component iob_reg_io is -- registered IOB, in/output
generic (
INITI : slbit := '0'; -- initial state ( in flop)
INITO : slbit := '0'; -- initial state (out flop)
INITE : slbit := '0'; -- initial state ( oe flop)
PULL : string := "NONE"); -- pull-up,-down or keeper
port (
CLK : in slbit; -- clock
CEI : in slbit := '1'; -- clock enable ( in flops)
CEO : in slbit := '1'; -- clock enable (out flops)
OE : in slbit; -- output enable
DI : out slbit; -- input data (read from pad)
DO : in slbit; -- output data (write to pad)
PAD : inout slbit -- i/o pad
);
end component;
component iob_reg_io_gen is -- registered IOB, in/output, vector
generic (
DWIDTH : positive := 16; -- data port width
INITI : slbit := '0'; -- initial state ( in flop)
INITO : slbit := '0'; -- initial state (out flop)
INITE : slbit := '0'; -- initial state ( oe flop)
PULL : string := "NONE"); -- pull-up,-down or keeper
port (
CLK : in slbit; -- clock
CEI : in slbit := '1'; -- clock enable ( in flops)
CEO : in slbit := '1'; -- clock enable (out flops)
OE : in slbit; -- output enable
DI : out slv(DWIDTH-1 downto 0); -- input data (read from pad)
DO : in slv(DWIDTH-1 downto 0); -- output data (write to pad)
PAD : inout slv(DWIDTH-1 downto 0) -- i/o pad
);
end component;
component iob_io is -- un-registered IOB, in/output
generic (
PULL : string := "NONE"); -- pull-up,-down or keeper
port (
OE : in slbit; -- output enable
DI : out slbit; -- input data (read from pad)
DO : in slbit; -- output data (write to pad)
PAD : inout slbit -- i/o pad
);
end component;
component iob_oddr2_simple is -- DDR2 output I/O pad
generic (
ALIGN : string := "NONE"; -- ddr_alignment
INIT : slbit := '0'); -- initial state
port (
CLK : in slbit; -- clock
CE : in slbit := '1'; -- clock enable
DO0 : in slbit; -- output data
DO1 : in slbit; -- output data
PAD : out slbit -- i/o pad
);
end component;
component iob_io_gen is -- un-registered IOB, in/output, vector
generic (
DWIDTH : positive := 16; -- data port width
PULL : string := "NONE"); -- pull-up,-down or keeper
port (
OE : in slbit; -- output enable
DI : out slv(DWIDTH-1 downto 0); -- input data (read from pad)
DO : in slv(DWIDTH-1 downto 0); -- output data (write to pad)
PAD : inout slv(DWIDTH-1 downto 0) -- i/o pad
);
end component;
component iob_keeper is -- keeper for IOB
port (
PAD : inout slbit -- i/o pad
);
end component;
component iob_keeper_gen is -- keeper for IOB, vector
generic (
DWIDTH : positive := 16); -- data port width
port (
PAD : inout slv(DWIDTH-1 downto 0) -- i/o pad
);
end component;
component dcm_sfs is -- DCM for simple frequency synthesis
generic (
CLKFX_DIVIDE : positive := 2; -- FX clock divide (1-32)
CLKFX_MULTIPLY : positive := 2; -- FX clock multiply (2-32) (1->no DCM)
CLKIN_PERIOD : real := 20.0); -- CLKIN period (def is 20.0 ns)
port (
CLKIN : in slbit; -- clock input
CLKFX : out slbit; -- clock output (synthesized freq.)
LOCKED : out slbit -- dcm locked
);
end component;
component s7_cmt_sfs is -- 7-Series CMT for simple freq. synth.
generic (
VCO_DIVIDE : positive := 1; -- vco clock divide
VCO_MULTIPLY : positive := 1; -- vco clock multiply
OUT_DIVIDE : positive := 1; -- output divide
CLKIN_PERIOD : real := 10.0; -- CLKIN period (def is 10.0 ns)
CLKIN_JITTER : real := 0.01; -- CLKIN jitter (def is 10 ps)
STARTUP_WAIT : boolean := false; -- hold FPGA startup till LOCKED
GEN_TYPE : string := "PLL"); -- PLL or MMCM
port (
CLKIN : in slbit; -- clock input
CLKFX : out slbit; -- clock output (synthesized freq.)
LOCKED : out slbit -- pll/mmcm locked
);
end component;
component s6_cmt_sfs is -- Spartan-6 CMT for simple freq. synth.
generic (
VCO_DIVIDE : positive := 1; -- vco clock divide
VCO_MULTIPLY : positive := 1; -- vco clock multiply
OUT_DIVIDE : positive := 1; -- output divide
CLKIN_PERIOD : real := 10.0; -- CLKIN period (def is 10.0 ns)
CLKIN_JITTER : real := 0.01; -- CLKIN jitter (def is 10 ps)
STARTUP_WAIT : boolean := false; -- hold FPGA startup till LOCKED
GEN_TYPE : string := "PLL"); -- PLL or DCM
port (
CLKIN : in slbit; -- clock input
CLKFX : out slbit; -- clock output (synthesized freq.)
LOCKED : out slbit -- pll/mmcm locked
);
end component;
end package xlib;
|
-- -------------------------------------------------------------
--
-- File Name: hdl_prj/hdlsrc/hdl_ofdm_tx/RADIX22FFT_SDNF1_1_block3.vhd
-- Created: 2018-02-27 13:25:18
--
-- Generated by MATLAB 9.3 and HDL Coder 3.11
--
-- -------------------------------------------------------------
-- -------------------------------------------------------------
--
-- Module: RADIX22FFT_SDNF1_1_block3
-- Source Path: hdl_ofdm_tx/ifft/RADIX22FFT_SDNF1_1
-- Hierarchy Level: 2
--
-- -------------------------------------------------------------
LIBRARY IEEE;
USE IEEE.std_logic_1164.ALL;
USE IEEE.numeric_std.ALL;
USE work.hdl_ofdm_tx_pkg.ALL;
ENTITY RADIX22FFT_SDNF1_1_block3 IS
PORT( clk : IN std_logic;
reset : IN std_logic;
enb_1_16_0 : IN std_logic;
twdlXdin_9_re : IN std_logic_vector(16 DOWNTO 0); -- sfix17_En13
twdlXdin_9_im : IN std_logic_vector(16 DOWNTO 0); -- sfix17_En13
twdlXdin_10_re : IN std_logic_vector(16 DOWNTO 0); -- sfix17_En13
twdlXdin_10_im : IN std_logic_vector(16 DOWNTO 0); -- sfix17_En13
twdlXdin_1_vld : IN std_logic;
softReset : IN std_logic;
dout_9_re : OUT std_logic_vector(16 DOWNTO 0); -- sfix17_En13
dout_9_im : OUT std_logic_vector(16 DOWNTO 0); -- sfix17_En13
dout_10_re : OUT std_logic_vector(16 DOWNTO 0); -- sfix17_En13
dout_10_im : OUT std_logic_vector(16 DOWNTO 0); -- sfix17_En13
dout_9_vld : OUT std_logic
);
END RADIX22FFT_SDNF1_1_block3;
ARCHITECTURE rtl OF RADIX22FFT_SDNF1_1_block3 IS
-- Signals
SIGNAL twdlXdin_9_re_signed : signed(16 DOWNTO 0); -- sfix17_En13
SIGNAL twdlXdin_9_im_signed : signed(16 DOWNTO 0); -- sfix17_En13
SIGNAL twdlXdin_10_re_signed : signed(16 DOWNTO 0); -- sfix17_En13
SIGNAL twdlXdin_10_im_signed : signed(16 DOWNTO 0); -- sfix17_En13
SIGNAL Radix22ButterflyG1_NF_btf1_re_reg : signed(17 DOWNTO 0); -- sfix18
SIGNAL Radix22ButterflyG1_NF_btf1_im_reg : signed(17 DOWNTO 0); -- sfix18
SIGNAL Radix22ButterflyG1_NF_btf2_re_reg : signed(17 DOWNTO 0); -- sfix18
SIGNAL Radix22ButterflyG1_NF_btf2_im_reg : signed(17 DOWNTO 0); -- sfix18
SIGNAL Radix22ButterflyG1_NF_dinXtwdl_vld_dly1 : std_logic;
SIGNAL Radix22ButterflyG1_NF_btf1_re_reg_next : signed(17 DOWNTO 0); -- sfix18_En13
SIGNAL Radix22ButterflyG1_NF_btf1_im_reg_next : signed(17 DOWNTO 0); -- sfix18_En13
SIGNAL Radix22ButterflyG1_NF_btf2_re_reg_next : signed(17 DOWNTO 0); -- sfix18_En13
SIGNAL Radix22ButterflyG1_NF_btf2_im_reg_next : signed(17 DOWNTO 0); -- sfix18_En13
SIGNAL Radix22ButterflyG1_NF_dinXtwdl_vld_dly1_next : std_logic;
SIGNAL dout_9_re_tmp : signed(16 DOWNTO 0); -- sfix17_En13
SIGNAL dout_9_im_tmp : signed(16 DOWNTO 0); -- sfix17_En13
SIGNAL dout_10_re_tmp : signed(16 DOWNTO 0); -- sfix17_En13
SIGNAL dout_10_im_tmp : signed(16 DOWNTO 0); -- sfix17_En13
BEGIN
twdlXdin_9_re_signed <= signed(twdlXdin_9_re);
twdlXdin_9_im_signed <= signed(twdlXdin_9_im);
twdlXdin_10_re_signed <= signed(twdlXdin_10_re);
twdlXdin_10_im_signed <= signed(twdlXdin_10_im);
-- Radix22ButterflyG1_NF
Radix22ButterflyG1_NF_process : PROCESS (clk, reset)
BEGIN
IF reset = '1' THEN
Radix22ButterflyG1_NF_btf1_re_reg <= to_signed(16#00000#, 18);
Radix22ButterflyG1_NF_btf1_im_reg <= to_signed(16#00000#, 18);
Radix22ButterflyG1_NF_btf2_re_reg <= to_signed(16#00000#, 18);
Radix22ButterflyG1_NF_btf2_im_reg <= to_signed(16#00000#, 18);
Radix22ButterflyG1_NF_dinXtwdl_vld_dly1 <= '0';
ELSIF clk'EVENT AND clk = '1' THEN
IF enb_1_16_0 = '1' THEN
Radix22ButterflyG1_NF_btf1_re_reg <= Radix22ButterflyG1_NF_btf1_re_reg_next;
Radix22ButterflyG1_NF_btf1_im_reg <= Radix22ButterflyG1_NF_btf1_im_reg_next;
Radix22ButterflyG1_NF_btf2_re_reg <= Radix22ButterflyG1_NF_btf2_re_reg_next;
Radix22ButterflyG1_NF_btf2_im_reg <= Radix22ButterflyG1_NF_btf2_im_reg_next;
Radix22ButterflyG1_NF_dinXtwdl_vld_dly1 <= Radix22ButterflyG1_NF_dinXtwdl_vld_dly1_next;
END IF;
END IF;
END PROCESS Radix22ButterflyG1_NF_process;
Radix22ButterflyG1_NF_output : PROCESS (Radix22ButterflyG1_NF_btf1_re_reg, Radix22ButterflyG1_NF_btf1_im_reg,
Radix22ButterflyG1_NF_btf2_re_reg, Radix22ButterflyG1_NF_btf2_im_reg,
Radix22ButterflyG1_NF_dinXtwdl_vld_dly1, twdlXdin_9_re_signed,
twdlXdin_9_im_signed, twdlXdin_10_re_signed, twdlXdin_10_im_signed,
twdlXdin_1_vld)
VARIABLE add_cast : signed(17 DOWNTO 0);
VARIABLE add_cast_0 : signed(17 DOWNTO 0);
VARIABLE sub_cast : signed(17 DOWNTO 0);
VARIABLE sub_cast_0 : signed(17 DOWNTO 0);
VARIABLE add_cast_1 : signed(17 DOWNTO 0);
VARIABLE add_cast_2 : signed(17 DOWNTO 0);
VARIABLE sub_cast_1 : signed(17 DOWNTO 0);
VARIABLE sub_cast_2 : signed(17 DOWNTO 0);
BEGIN
Radix22ButterflyG1_NF_btf1_re_reg_next <= Radix22ButterflyG1_NF_btf1_re_reg;
Radix22ButterflyG1_NF_btf1_im_reg_next <= Radix22ButterflyG1_NF_btf1_im_reg;
Radix22ButterflyG1_NF_btf2_re_reg_next <= Radix22ButterflyG1_NF_btf2_re_reg;
Radix22ButterflyG1_NF_btf2_im_reg_next <= Radix22ButterflyG1_NF_btf2_im_reg;
Radix22ButterflyG1_NF_dinXtwdl_vld_dly1_next <= twdlXdin_1_vld;
IF twdlXdin_1_vld = '1' THEN
add_cast := resize(twdlXdin_9_re_signed, 18);
add_cast_0 := resize(twdlXdin_10_re_signed, 18);
Radix22ButterflyG1_NF_btf1_re_reg_next <= add_cast + add_cast_0;
sub_cast := resize(twdlXdin_9_re_signed, 18);
sub_cast_0 := resize(twdlXdin_10_re_signed, 18);
Radix22ButterflyG1_NF_btf2_re_reg_next <= sub_cast - sub_cast_0;
add_cast_1 := resize(twdlXdin_9_im_signed, 18);
add_cast_2 := resize(twdlXdin_10_im_signed, 18);
Radix22ButterflyG1_NF_btf1_im_reg_next <= add_cast_1 + add_cast_2;
sub_cast_1 := resize(twdlXdin_9_im_signed, 18);
sub_cast_2 := resize(twdlXdin_10_im_signed, 18);
Radix22ButterflyG1_NF_btf2_im_reg_next <= sub_cast_1 - sub_cast_2;
END IF;
dout_9_re_tmp <= Radix22ButterflyG1_NF_btf1_re_reg(16 DOWNTO 0);
dout_9_im_tmp <= Radix22ButterflyG1_NF_btf1_im_reg(16 DOWNTO 0);
dout_10_re_tmp <= Radix22ButterflyG1_NF_btf2_re_reg(16 DOWNTO 0);
dout_10_im_tmp <= Radix22ButterflyG1_NF_btf2_im_reg(16 DOWNTO 0);
dout_9_vld <= Radix22ButterflyG1_NF_dinXtwdl_vld_dly1;
END PROCESS Radix22ButterflyG1_NF_output;
dout_9_re <= std_logic_vector(dout_9_re_tmp);
dout_9_im <= std_logic_vector(dout_9_im_tmp);
dout_10_re <= std_logic_vector(dout_10_re_tmp);
dout_10_im <= std_logic_vector(dout_10_im_tmp);
END rtl;
|
--
-- File Name : RandomPkg.vhd
-- Design Unit Name : RandomPkg
-- Revision : STANDARD VERSION
--
-- Maintainer : Jim Lewis email : [email protected]
-- Contributor(s) :
-- Jim Lewis email : [email protected]
-- *
--
-- * In writing procedures normal, poisson, the following sources were referenced :
-- Wikipedia
-- package rnd2 written by John Breen and Ken Christensen
-- package RNG written by Gnanasekaran Swaminathan
--
--
-- Description :
-- RandomPType, a protected type, defined to hold randomization RandomSeeds and
-- function methods to facilitate randomization with uniform and weighted
-- distributions
--
-- Developed for :
-- SynthWorks Design Inc.
-- VHDL Training Classes
-- 11898 SW 128th Ave. Tigard, Or 97223
-- http ://www.SynthWorks.com
--
-- Revision History :
-- Date Version Description
-- 12/2006 : 0.1 Initial revision
-- Numerous revisions for SynthWorks' Advanced VHDL Testbenches and Verification
-- 02/2009 : 1.0 First Public Released Version
-- 02/25/2009 1.1 Replaced reference to std_2008 with a reference to
-- ieee_proposed.standard_additions.all ;
-- 06/2010 1.2 Added Normal and Poisson distributions
-- 03/2011 2.0 Major clean-up. Moved RandomParmType and control to here
-- 07/2011 2.1 Bug fix to convenience functions for slv, unsigned, and signed.
-- 06/2012 2.2 Removed '_' in the name of subprograms FavorBig and FavorSmall
-- 04/2013 2013.04 Changed DistInt. Return array indices now match input
-- Better Min, Max error handling in Uniform, FavorBig, FavorSmall, Normal, Poisson
-- 5/2013 - Removed extra variable declaration in functions RandInt and RandReal
-- 5/2013 2013.05 Big vector randomization added overloading RandUnsigned, RandSlv, and RandSigned
-- Added NULL_RANGE_TYPE to minimize null range warnings
-- 1/2014 2014.01 Added RandTime, RandReal(set), RandIntV, RandRealV, RandTimeV
-- Made sort, revsort from SortListPkg_int visible via aliases
-- 1/2015 2015.01 Changed Assert/Report to Alert
-- 5/2015 2015.06 Revised Alerts to Alert(OSVVM_ALERTLOG_ID, ...) ;
-- 11/2016 2016.11 No changes. Updated release numbers to make documentation and
-- package have consistent release identifiers.
--
-- Copyright (c) 2006 - 2016 by SynthWorks Design Inc. All rights reserved.
--
-- Verbatim copies of this source file may be used and
-- distributed without restriction.
--
-- This source file is free software ; you can redistribute it
-- and/or modify it under the terms of the ARTISTIC License
-- as published by The Perl Foundation ; either version 2.0 of
-- the License, or (at your option) any later version.
--
-- This source is distributed in the hope that it will be
-- useful, but WITHOUT ANY WARRANTY ; without even the implied
-- warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR
-- PURPOSE. See the Artistic License for details.
--
-- You should have received a copy of the license with this source.
-- If not download it from,
-- http ://www.perlfoundation.org/artistic_license_2_0
--
use work.OsvvmGlobalPkg.all ;
use work.AlertLogPkg.all ;
use work.RandomBasePkg.all ;
use work.SortListPkg_int.all ;
use std.textio.all ;
library ieee ;
use ieee.std_logic_1164.all ;
use ieee.numeric_std.all ;
use ieee.numeric_std_unsigned.all ;
use ieee.math_real.all ;
-- comment out following 3 lines with VHDL-2008. Leave in for VHDL-2002
-- library ieee_proposed ; -- remove with VHDL-2008
-- use ieee_proposed.standard_additions.all ; -- remove with VHDL-2008
-- use ieee_proposed.standard_textio_additions.all ; -- remove with VHDL-2008
package RandomPkg is
-- Uncomment the following with VHDL-2008 package generics.
-- For now they are defined in the package RandomBasePkg.vhd
-- package RandomGenericPkg is
-- generic (
-- type RandomSeedType ; -- base type for randomization
-- procedure Uniform (Result : out real ; Seed : inout RandomSeedType) ;
-- function GenRandSeed(IV : integer_vector) return RandomSeedType ;
-- function GenRandSeed(I : integer) return RandomSeedType ;
-- function GenRandSeed(S : string) return RandomSeedType ;
-- ) ;
-- make things from SortListPkg_int visible
alias sort is work.SortListPkg_int.sort[integer_vector return integer_vector] ;
alias revsort is work.SortListPkg_int.revsort[integer_vector return integer_vector] ;
-- note NULL_RANGE_TYPE should probably be in std.standard
subtype NULL_RANGE_TYPE is integer range 0 downto 1 ;
constant NULL_INTV : integer_vector (NULL_RANGE_TYPE) := (others => 0) ;
-- Supports DistValInt functionality
type DistRecType is record
Value : integer ;
Weight : integer ;
end record ;
type DistType is array (natural range <>) of DistRecType ;
-- Parameters for randomization
-- RandomDistType specifies the distribution to use for randomize
type RandomDistType is (NONE, UNIFORM, FAVOR_SMALL, FAVOR_BIG, NORMAL, POISSON) ;
type RandomParmType is record
Distribution : RandomDistType ;
Mean : Real ; -- also used as probability of success
StdDeviation : Real ; -- also used as number of trials for binomial
end record ;
-- RandomParm IO
function to_string(A : RandomDistType) return string ;
procedure write(variable L : inout line ; A : RandomDistType ) ;
procedure read(variable L : inout line ; A : out RandomDistType ; good : out boolean ) ;
procedure read(variable L : inout line ; A : out RandomDistType ) ;
function to_string(A : RandomParmType) return string ;
procedure write(variable L : inout line ; A : RandomParmType ) ;
procedure read(variable L : inout line ; A : out RandomParmType ; good : out boolean ) ;
procedure read(variable L : inout line ; A : out RandomParmType ) ;
type RandomPType is protected
-- Seed Manipulation
-- Known ambiguity between InitSeed with string and integer_vector
-- Recommendation, use : RV.InitSeed(RV'instance_path) ;
-- For integer_vector use either : RV.InitSeed(IV => (1,5)) ;
-- or : RV.InitSeed(integer_vector'(1,5)) ;
procedure InitSeed (S : string ) ;
procedure InitSeed (I : integer ) ;
procedure InitSeed (IV : integer_vector ) ;
-- SetSeed & GetSeed : Used to save and restore seed values
procedure SetSeed (RandomSeedIn : RandomSeedType ) ;
impure function GetSeed return RandomSeedType ;
-- SeedRandom = SetSeed & GetSeed for SV compatibility
-- replace with aliases when they work in popular simulators
procedure SeedRandom (RandomSeedIn : RandomSeedType ) ;
impure function SeedRandom return RandomSeedType ;
-- alias SeedRandom is SetSeed [RandomSeedType] ;
-- alias SeedRandom is GetSeed [return RandomSeedType] ;
-- Setting Randomization Parameters
-- Allows RandInt to have distributions other than uniform
procedure SetRandomParm (RandomParmIn : RandomParmType) ;
procedure SetRandomParm (
Distribution : RandomDistType ;
Mean : Real := 0.0 ;
Deviation : Real := 0.0
) ;
impure function GetRandomParm return RandomParmType ;
impure function GetRandomParm return RandomDistType ;
-- For compatibility with previous version - replace with alias
procedure SetRandomMode (RandomDistIn : RandomDistType) ;
-- alias SetRandomMode is SetRandomParm [RandomDistType, Real, Real] ;
-- Base Randomization Distributions
-- Uniform : Generate a random number with a Uniform distribution
impure function Uniform (Min, Max : in real) return real ;
impure function Uniform (Min, Max : integer) return integer ;
impure function Uniform (Min, Max : integer ; Exclude : integer_vector) return integer ;
-- FavorSmall
-- Generate random numbers with a greater number of small
-- values than large values
impure function FavorSmall (Min, Max : real) return real ;
impure function FavorSmall (Min, Max : integer) return integer ;
impure function FavorSmall (Min, Max : integer ; Exclude : integer_vector) return integer ;
-- FavorBig
-- Generate random numbers with a greater number of large
-- values than small values
impure function FavorBig (Min, Max : real) return real ;
impure function FavorBig (Min, Max : integer) return integer ;
impure function FavorBig (Min, Max : integer ; Exclude : integer_vector) return integer ;
-- Normal : Generate a random number with a normal distribution
impure function Normal (Mean, StdDeviation : real) return real ;
-- Normal + RandomVal >= Min and RandomVal < Max
impure function Normal (Mean, StdDeviation, Min, Max : real) return real ;
impure function Normal (
Mean : real ;
StdDeviation : real ;
Min : integer ;
Max : integer ;
Exclude : integer_vector := NULL_INTV
) return integer ;
-- Poisson : Generate a random number with a poisson distribution
-- Discrete distribution = only generates integral values
impure function Poisson (Mean : real) return real ;
-- Poisson + RandomVal >= Min and RandomVal < Max
impure function Poisson (Mean, Min, Max : real) return real ;
impure function Poisson (
Mean : real ;
Min : integer ;
Max : integer ;
Exclude : integer_vector := NULL_INTV
) return integer ;
-- randomization with a range
impure function RandInt (Min, Max : integer) return integer ;
impure function RandReal(Min, Max : Real) return real ;
impure function RandTime (Min, Max : time ; Unit : time := ns) return time ;
impure function RandSlv (Min, Max, Size : natural) return std_logic_vector ;
impure function RandUnsigned (Min, Max, Size : natural) return Unsigned ;
impure function RandSigned (Min, Max : integer ; Size : natural ) return Signed ;
impure function RandIntV (Min, Max : integer ; Size : natural) return integer_vector ;
impure function RandIntV (Min, Max : integer ; Unique : natural ; Size : natural) return integer_vector ;
impure function RandRealV (Min, Max : real ; Size : natural) return real_vector ;
impure function RandTimeV (Min, Max : time ; Size : natural ; Unit : time := ns) return time_vector ;
impure function RandTimeV (Min, Max : time ; Unique : natural ; Size : natural ; Unit : time := ns) return time_vector ;
-- randomization with a range and exclude vector
impure function RandInt (Min, Max : integer ; Exclude : integer_vector ) return integer ;
impure function RandTime (Min, Max : time ; Exclude : time_vector ; Unit : time := ns) return time ;
impure function RandSlv (Min, Max : natural ; Exclude : integer_vector ; Size : natural ) return std_logic_vector ;
impure function RandUnsigned (Min, Max : natural ; Exclude : integer_vector ; Size : natural ) return Unsigned ;
impure function RandSigned (Min, Max : integer ; Exclude : integer_vector ; Size : natural ) return Signed ;
impure function RandIntV (Min, Max : integer ; Exclude : integer_vector ; Size : natural) return integer_vector ;
impure function RandIntV (Min, Max : integer ; Exclude : integer_vector ; Unique : natural ; Size : natural) return integer_vector ;
impure function RandTimeV (Min, Max : time ; Exclude : time_vector ; Size : natural ; Unit : in time := ns) return time_vector ;
impure function RandTimeV (Min, Max : time ; Exclude : time_vector ; Unique : natural ; Size : natural ; Unit : in time := ns) return time_vector ;
-- Randomly select a value within a set of values
impure function RandInt ( A : integer_vector ) return integer ;
impure function RandReal ( A : real_vector ) return real ;
impure function RandTime (A : time_vector) return time ;
impure function RandSlv (A : integer_vector ; Size : natural) return std_logic_vector ;
impure function RandUnsigned (A : integer_vector ; Size : natural) return Unsigned ;
impure function RandSigned (A : integer_vector ; Size : natural ) return Signed ;
impure function RandIntV (A : integer_vector ; Size : natural) return integer_vector ;
impure function RandIntV (A : integer_vector ; Unique : natural ; Size : natural) return integer_vector ;
impure function RandRealV (A : real_vector ; Size : natural) return real_vector ;
impure function RandRealV (A : real_vector ; Unique : natural ; Size : natural) return real_vector ;
impure function RandTimeV (A : time_vector ; Size : natural) return time_vector ;
impure function RandTimeV (A : time_vector ; Unique : natural ; Size : natural) return time_vector ;
-- Randomly select a value within a set of values with exclude values (so can skip last or last n)
impure function RandInt ( A, Exclude : integer_vector ) return integer ;
impure function RandReal ( A, Exclude : real_vector ) return real ;
impure function RandTime (A, Exclude : time_vector) return time ;
impure function RandSlv (A, Exclude : integer_vector ; Size : natural) return std_logic_vector ;
impure function RandUnsigned (A, Exclude : integer_vector ; Size : natural) return Unsigned ;
impure function RandSigned (A, Exclude : integer_vector ; Size : natural ) return Signed ;
impure function RandIntV (A, Exclude : integer_vector ; Size : natural) return integer_vector ;
impure function RandIntV (A, Exclude : integer_vector ; Unique : natural ; Size : natural) return integer_vector ;
impure function RandRealV (A, Exclude : real_vector ; Size : natural) return real_vector ;
impure function RandRealV (A, Exclude : real_vector ; Unique : natural ; Size : natural) return real_vector ;
impure function RandTimeV (A, Exclude : time_vector ; Size : natural) return time_vector ;
impure function RandTimeV (A, Exclude : time_vector ; Unique : natural ; Size : natural) return time_vector ;
-- Randomly select between 0 and N-1 based on the specified weight.
-- where N = number values in weight array
impure function DistInt ( Weight : integer_vector ) return integer ;
impure function DistSlv ( Weight : integer_vector ; Size : natural ) return std_logic_vector ;
impure function DistUnsigned ( Weight : integer_vector ; Size : natural ) return unsigned ;
impure function DistSigned ( Weight : integer_vector ; Size : natural ) return signed ;
-- Distribution with just weights and with exclude values
impure function DistInt ( Weight : integer_vector ; Exclude : integer_vector ) return integer ;
impure function DistSlv ( Weight : integer_vector ; Exclude : integer_vector ; Size : natural ) return std_logic_vector ;
impure function DistUnsigned ( Weight : integer_vector ; Exclude : integer_vector ; Size : natural ) return unsigned ;
impure function DistSigned ( Weight : integer_vector ; Exclude : integer_vector ; Size : natural ) return signed ;
-- Distribution with weight and value
impure function DistValInt ( A : DistType ) return integer ;
impure function DistValSlv ( A : DistType ; Size : natural) return std_logic_vector ;
impure function DistValUnsigned ( A : DistType ; Size : natural) return unsigned ;
impure function DistValSigned ( A : DistType ; Size : natural) return signed ;
-- Distribution with weight and value and with exclude values
impure function DistValInt ( A : DistType ; Exclude : integer_vector ) return integer ;
impure function DistValSlv ( A : DistType ; Exclude : integer_vector ; Size : natural) return std_logic_vector ;
impure function DistValUnsigned ( A : DistType ; Exclude : integer_vector ; Size : natural) return unsigned ;
impure function DistValSigned ( A : DistType ; Exclude : integer_vector ; Size : natural) return signed ;
-- Large vector handling.
impure function RandUnsigned (Size : natural) return unsigned ;
impure function RandSlv (Size : natural) return std_logic_vector ;
impure function RandSigned (Size : natural) return signed ;
impure function RandUnsigned (Max : Unsigned) return unsigned ;
impure function RandSlv (Max : std_logic_vector) return std_logic_vector ;
impure function RandSigned (Max : signed) return signed ;
impure function RandUnsigned (Min, Max : unsigned) return unsigned ;
impure function RandSlv (Min, Max : std_logic_vector) return std_logic_vector ;
impure function RandSigned (Min, Max : signed) return signed ;
-- Convenience Functions
impure function RandReal return real ; -- 0.0 to 1.0
impure function RandReal(Max : Real) return real ; -- 0.0 to Max
impure function RandInt (Max : integer) return integer ;
impure function RandSlv (Max, Size : natural) return std_logic_vector ;
impure function RandUnsigned (Max, Size : natural) return Unsigned ;
impure function RandSigned (Max : integer ; Size : natural ) return Signed ;
end protected RandomPType ;
end RandomPkg ;
--- ///////////////////////////////////////////////////////////////////////////
--- ///////////////////////////////////////////////////////////////////////////
--- ///////////////////////////////////////////////////////////////////////////
package body RandomPkg is
-----------------------------------------------------------------
-- Local Randomization Support
-----------------------------------------------------------------
constant NULL_SLV : std_logic_vector (NULL_RANGE_TYPE) := (others => '0') ;
constant NULL_UV : unsigned (NULL_RANGE_TYPE) := (others => '0') ;
constant NULL_SV : signed (NULL_RANGE_TYPE) := (others => '0') ;
-----------------------------------------------------------------
-- Scale -- Scale a value to be within a given range
--
function Scale (A, Min, Max : real) return real is
variable ValRange : Real ;
begin
if Max >= Min then
ValRange := Max - Min ;
return A * ValRange + Min ;
else
return real'left ;
end if ;
end function Scale ;
function Scale (A : real ; Min, Max : integer) return integer is
variable ValRange : real ;
variable rMin, rMax : real ;
begin
if Max >= Min then
rMin := real(Min) - 0.5 ;
rMax := real(Max) + 0.5 ;
ValRange := rMax - rMin ;
return integer(round(A * ValRange + rMin)) ;
else
return integer'left ;
end if ;
end function Scale ;
-- create more smaller values
function FavorSmall (A : real) return real is
begin
return 1.0 - sqrt(A) ;
end FavorSmall ;
-- create more larger values
-- alias FavorBig is sqrt[real return real] ;
function FavorBig (A : real) return real is
begin
return sqrt(A) ;
end FavorBig ;
-- local.
function to_time_vector (A : integer_vector ; Unit : time) return time_vector is
variable result : time_vector(A'range) ;
begin
for i in A'range loop
result(i) := A(i) * Unit ;
end loop ;
return result ;
end function to_time_vector ;
-- local
function to_integer_vector (A : time_vector ; Unit : time) return integer_vector is
variable result : integer_vector(A'range) ;
begin
for i in A'range loop
result(i) := A(i) / Unit ;
end loop ;
return result ;
end function to_integer_vector ;
-- Local. Remove the exclude list from the list - integer_vector
procedure RemoveExclude(A, Exclude : integer_vector ; variable NewA : out integer_vector ; variable NewALength : inout natural ) is
alias norm_NewA : integer_vector(1 to NewA'length) is NewA ;
begin
NewALength := 0 ;
for i in A'range loop
if not inside(A(i), Exclude) then
NewALength := NewALength + 1 ;
norm_NewA(NewALength) := A(i) ;
end if ;
end loop ;
end procedure RemoveExclude ;
-- Local. Inside - real_vector
function inside(A : real ; Exclude : real_vector) return boolean is
begin
for i in Exclude'range loop
if A = Exclude(i) then
return TRUE ;
end if ;
end loop ;
return FALSE ;
end function inside ;
-- Local. Remove the exclude list from the list - real_vector
procedure RemoveExclude(A, Exclude : real_vector ; variable NewA : out real_vector ; variable NewALength : inout natural ) is
alias norm_NewA : real_vector(1 to NewA'length) is NewA ;
begin
NewALength := 0 ;
for i in A'range loop
if not inside(A(i), Exclude) then
NewALength := NewALength + 1 ;
norm_NewA(NewALength) := A(i) ;
end if ;
end loop ;
end procedure RemoveExclude ;
-- Local. Inside - time_vector
function inside(A : time ; Exclude : time_vector) return boolean is
begin
for i in Exclude'range loop
if A = Exclude(i) then
return TRUE ;
end if ;
end loop ;
return FALSE ;
end function inside ;
-- Local. Remove the exclude list from the list - time_vector
procedure RemoveExclude(A, Exclude : time_vector ; variable NewA : out time_vector ; variable NewALength : inout natural ) is
alias norm_NewA : time_vector(1 to NewA'length) is NewA ;
begin
NewALength := 0 ;
for i in A'range loop
if not inside(A(i), Exclude) then
NewALength := NewALength + 1 ;
norm_NewA(NewALength) := A(i) ;
end if ;
end loop ;
end procedure RemoveExclude ;
-----------------------------------------------------------------
-- RandomParmType IO
-----------------------------------------------------------------
-----------------------------------------------------------------
function to_string(A : RandomDistType) return string is
begin
return RandomDistType'image(A) ;
end function to_string ;
-----------------------------------------------------------------
procedure write(variable L : inout line ; A : RandomDistType ) is
begin
write(L, to_string(A)) ;
end procedure write ;
-----------------------------------------------------------------
procedure read(variable L : inout line ; A : out RandomDistType ; good : out boolean ) is
variable strval : string(1 to 40) ;
variable len : natural ;
begin
-- procedure SREAD (L : inout LINE ; VALUE : out STRING ; STRLEN : out NATURAL) ;
sread(L, strval, len) ;
A := RandomDistType'value(strval(1 to len)) ;
good := len > 0 ;
end procedure read ;
-----------------------------------------------------------------
procedure read(variable L : inout line ; A : out RandomDistType ) is
variable ReadValid : boolean ;
begin
read(L, A, ReadValid) ;
AlertIfNot( OSVVM_ALERTLOG_ID, ReadValid, "RandomPkg.read[line, RandomDistType] failed", FAILURE) ;
end procedure read ;
-----------------------------------------------------------------
function to_string(A : RandomParmType) return string is
begin
return RandomDistType'image(A.Distribution) & " " &
to_string(A.Mean, 2) & " " & to_string(A.StdDeviation, 2) ;
end function to_string ;
-----------------------------------------------------------------
procedure write(variable L : inout line ; A : RandomParmType ) is
begin
write(L, to_string(A)) ;
end procedure write ;
-----------------------------------------------------------------
procedure read(variable L : inout line ; A : out RandomParmType ; good : out boolean ) is
variable strval : string(1 to 40) ;
variable len : natural ;
variable igood : boolean ;
begin
loop
-- procedure SREAD (L : inout LINE ; VALUE : out STRING ; STRLEN : out NATURAL) ;
sread(L, strval, len) ;
A.Distribution := RandomDistType'value(strval(1 to len)) ;
igood := len > 0 ;
exit when not igood ;
read(L, A.Mean, igood) ;
exit when not igood ;
read(L, A.StdDeviation, igood) ;
exit ;
end loop ;
good := igood ;
end procedure read ;
-----------------------------------------------------------------
procedure read(variable L : inout line ; A : out RandomParmType ) is
variable ReadValid : boolean ;
begin
read(L, A, ReadValid) ;
AlertIfNot( OSVVM_ALERTLOG_ID, ReadValid, "RandomPkg.read[line, RandomParmType] failed", FAILURE) ;
end procedure read ;
-----------------------------------------------------------------
-----------------------------------------------------------------
type RandomPType is protected body
--
-- RandomSeed manipulation
--
variable RandomSeed : RandomSeedType := GenRandSeed(integer_vector'(1,7)) ;
procedure InitSeed (S : string ) is
begin
RandomSeed := GenRandSeed(S) ;
end procedure InitSeed ;
procedure InitSeed (I : integer ) is
begin
RandomSeed := GenRandSeed(I) ;
end procedure InitSeed ;
procedure InitSeed (IV : integer_vector ) is
begin
RandomSeed := GenRandSeed(IV) ;
end procedure InitSeed ;
procedure SetSeed (RandomSeedIn : RandomSeedType ) is
begin
RandomSeed := RandomSeedIn ;
end procedure SetSeed ;
procedure SeedRandom (RandomSeedIn : RandomSeedType ) is
begin
RandomSeed := RandomSeedIn ;
end procedure SeedRandom ;
impure function GetSeed return RandomSeedType is
begin
return RandomSeed ;
end function GetSeed ;
impure function SeedRandom return RandomSeedType is
begin
return RandomSeed ;
end function SeedRandom ;
--
-- randomization mode
--
variable RandomParm : RandomParmType ; -- left most values ok for init
procedure SetRandomParm (RandomParmIn : RandomParmType) is
begin
RandomParm := RandomParmIn ;
end procedure SetRandomParm ;
procedure SetRandomParm (
Distribution : RandomDistType ;
Mean : Real := 0.0 ;
Deviation : Real := 0.0
) is
begin
RandomParm := RandomParmType'(Distribution, Mean, Deviation) ;
end procedure SetRandomParm ;
impure function GetRandomParm return RandomParmType is
begin
return RandomParm ;
end function GetRandomParm ;
impure function GetRandomParm return RandomDistType is
begin
return RandomParm.Distribution ;
end function GetRandomParm ;
-- For compatibility with previous version
procedure SetRandomMode (RandomDistIn : RandomDistType) is
begin
SetRandomParm(RandomDistIn) ;
end procedure SetRandomMode ;
--
-- Base Randomization Distributions
--
--
-- Uniform : Generate a random number with a Uniform distribution
--
impure function Uniform (Min, Max : in real) return real is
variable rRandomVal : real ;
begin
AlertIf (OSVVM_ALERTLOG_ID, Max < Min, "RandomPkg.Uniform: Max < Min", FAILURE) ;
Uniform(rRandomVal, RandomSeed) ;
return scale(rRandomVal, Min, Max) ;
end function Uniform ;
impure function Uniform (Min, Max : integer) return integer is
variable rRandomVal : real ;
begin
AlertIf (OSVVM_ALERTLOG_ID, Max < Min, "RandomPkg.Uniform: Max < Min", FAILURE) ;
Uniform(rRandomVal, RandomSeed) ;
return scale(rRandomVal, Min, Max) ;
end function Uniform ;
impure function Uniform (Min, Max : integer ; Exclude : integer_vector) return integer is
variable iRandomVal : integer ;
variable ExcludeList : SortListPType ;
variable count : integer ;
begin
ExcludeList.add(Exclude, Min, Max) ;
count := ExcludeList.count ;
iRandomVal := Uniform(Min, Max - count) ;
-- adjust count, note iRandomVal changes while checking.
for i in 1 to count loop
exit when iRandomVal < ExcludeList.Get(i) ;
iRandomVal := iRandomVal + 1 ;
end loop ;
ExcludeList.erase ;
return iRandomVal ;
end function Uniform ;
--
-- FavorSmall
-- Generate random numbers with a greater number of small
-- values than large values
--
impure function FavorSmall (Min, Max : real) return real is
variable rRandomVal : real ;
begin
AlertIf (OSVVM_ALERTLOG_ID, Max < Min, "RandomPkg.FavorSmall: Max < Min", FAILURE) ;
Uniform(rRandomVal, RandomSeed) ;
return scale(FavorSmall(rRandomVal), Min, Max) ; -- real
end function FavorSmall ;
impure function FavorSmall (Min, Max : integer) return integer is
variable rRandomVal : real ;
begin
AlertIf (OSVVM_ALERTLOG_ID, Max < Min, "RandomPkg.FavorSmall: Max < Min", FAILURE) ;
Uniform(rRandomVal, RandomSeed) ;
return scale(FavorSmall(rRandomVal), Min, Max) ; -- integer
end function FavorSmall ;
impure function FavorSmall (Min, Max : integer ; Exclude : integer_vector) return integer is
variable iRandomVal : integer ;
variable ExcludeList : SortListPType ;
variable count : integer ;
begin
ExcludeList.add(Exclude, Min, Max) ;
count := ExcludeList.count ;
iRandomVal := FavorSmall(Min, Max - count) ;
-- adjust count, note iRandomVal changes while checking.
for i in 1 to count loop
exit when iRandomVal < ExcludeList.Get(i) ;
iRandomVal := iRandomVal + 1 ;
end loop ;
ExcludeList.erase ;
return iRandomVal ;
end function FavorSmall ;
--
-- FavorBig
-- Generate random numbers with a greater number of large
-- values than small values
--
impure function FavorBig (Min, Max : real) return real is
variable rRandomVal : real ;
begin
AlertIf (OSVVM_ALERTLOG_ID, Max < Min, "RandomPkg.FavorBig: Max < Min", FAILURE) ;
Uniform(rRandomVal, RandomSeed) ;
return scale(FavorBig(rRandomVal), Min, Max) ; -- real
end function FavorBig ;
impure function FavorBig (Min, Max : integer) return integer is
variable rRandomVal : real ;
begin
AlertIf (OSVVM_ALERTLOG_ID, Max < Min, "RandomPkg.FavorBig: Max < Min", FAILURE) ;
Uniform(rRandomVal, RandomSeed) ;
return scale(FavorBig(rRandomVal), Min, Max) ; -- integer
end function FavorBig ;
impure function FavorBig (Min, Max : integer ; Exclude : integer_vector) return integer is
variable iRandomVal : integer ;
variable ExcludeList : SortListPType ;
variable count : integer ;
begin
ExcludeList.add(Exclude, Min, Max) ;
count := ExcludeList.count ;
iRandomVal := FavorBig(Min, Max - count) ;
-- adjust count, note iRandomVal changes while checking.
for i in 1 to count loop
exit when iRandomVal < ExcludeList.Get(i) ;
iRandomVal := iRandomVal + 1 ;
end loop ;
ExcludeList.erase ;
return iRandomVal ;
end function FavorBig ;
-----------------------------------------------------------------
-- Normal
-- Generate a random number with a normal distribution
--
-- Use Box Muller, per Wikipedia :
-- http ://en.wikipedia.org/wiki/Box%E2%80%93Muller_transform
--
-- Use polar method, per Wikipedia :
-- http ://en.wikipedia.org/wiki/Marsaglia_polar_method
--
impure function Normal (Mean, StdDeviation : real) return real is
variable x01, y01 : real ;
variable StdNormalDist : real ; -- mean 0, variance 1
begin
-- add this check to set parameters?
if StdDeviation < 0.0 then
Alert(OSVVM_ALERTLOG_ID, "RandomPkg.Normal: Standard deviation must be >= 0.0", FAILURE) ;
return -1.0 ;
end if ;
-- Box Muller
Uniform (x01, RandomSeed) ;
Uniform (y01, RandomSeed) ;
StdNormalDist := sqrt(-2.0 * log(x01)) * cos(math_2_pi*y01) ;
-- Polar form rejected due to mean 50.0, std deviation = 5 resulted
-- in a median of 49
-- -- find two Uniform distributed values with range -1 to 1
-- -- that satisify S = X **2 + Y**2 < 1.0
-- loop
-- Uniform (x01, RandomSeed) ;
-- Uniform (y01, RandomSeed) ;
-- x := 2.0 * x01 - 1.0 ; -- scale to -1 to 1
-- y := 2.0 * y01 - 1.0 ;
-- s := x*x + y*y ;
-- exit when s < 1.0 and s > 0.0 ;
-- end loop ;
-- -- Calculate Standard Normal Distribution
-- StdNormalDist := x * sqrt((-2.0 * log(s)) / s) ;
-- Convert to have Mean and StdDeviation
return StdDeviation * StdNormalDist + Mean ;
end function Normal ;
-- Normal + RandomVal >= Min and RandomVal <= Max
impure function Normal (Mean, StdDeviation, Min, Max : real) return real is
variable rRandomVal : real ;
begin
if Max < Min then
Alert(OSVVM_ALERTLOG_ID, "RandomPkg.Normal: Max < Min", FAILURE) ;
return Mean ;
else
loop
rRandomVal := Normal (Mean, StdDeviation) ;
exit when rRandomVal >= Min and rRandomVal <= Max ;
end loop ;
end if ;
return rRandomVal ;
end function Normal ;
-- Normal + RandomVal >= Min and RandomVal <= Max
impure function Normal (
Mean : real ;
StdDeviation : real ;
Min : integer ;
Max : integer ;
Exclude : integer_vector := NULL_INTV
) return integer is
variable iRandomVal : integer ;
begin
if Max < Min then
Alert(OSVVM_ALERTLOG_ID, "RandomPkg.Normal: Max < Min", FAILURE) ;
return integer(round(Mean)) ;
else
loop
iRandomVal := integer(round( Normal(Mean, StdDeviation) )) ;
exit when iRandomVal >= Min and iRandomVal <= Max and
not inside(iRandomVal, Exclude) ;
end loop ;
end if ;
return iRandomVal ;
end function Normal ;
-----------------------------------------------------------------
-- Poisson
-- Generate a random number with a poisson distribution
-- Discrete distribution = only generates integral values
--
-- Use knuth method, per Wikipedia :
-- http ://en.wikipedia.org/wiki/Poisson_distribution
--
impure function Poisson (Mean : real) return real is
variable Product : Real := 1.0 ;
variable Bound : Real := 0.0 ;
variable UniformRand : Real := 0.0 ;
variable PoissonRand : Real := 0.0 ;
begin
Bound := exp(-1.0 * Mean) ;
Product := 1.0 ;
-- add this check to set parameters?
if Mean <= 0.0 or Bound <= 0.0 then
Alert(OSVVM_ALERTLOG_ID, "RandomPkg.Poisson: Mean < 0 or too large. Mean = " & real'image(Mean), FAILURE) ;
return Mean ;
end if ;
while (Product >= Bound) loop
PoissonRand := PoissonRand + 1.0 ;
Uniform(UniformRand, RandomSeed) ;
Product := Product * UniformRand ;
end loop ;
return PoissonRand ;
end function Poisson ; -- no range
-- Poisson + RandomVal >= Min and RandomVal < Max
impure function Poisson (Mean, Min, Max : real) return real is
variable rRandomVal : real ;
begin
if Max < Min then
Alert(OSVVM_ALERTLOG_ID, "RandomPkg.Poisson: Max < Min", FAILURE) ;
return Mean ;
else
loop
rRandomVal := Poisson (Mean) ;
exit when rRandomVal >= Min and rRandomVal <= Max ;
end loop ;
end if ;
return rRandomVal ;
end function Poisson ;
impure function Poisson (
Mean : real ;
Min : integer ;
Max : integer ;
Exclude : integer_vector := NULL_INTV
) return integer is
variable iRandomVal : integer ;
begin
if Max < Min then
Alert(OSVVM_ALERTLOG_ID, "RandomPkg.Poisson: Max < Min", FAILURE) ;
return integer(round(Mean)) ;
else
loop
iRandomVal := integer(round( Poisson (Mean) )) ;
exit when iRandomVal >= Min and iRandomVal <= Max and
not inside(iRandomVal, Exclude) ;
end loop ;
end if ;
return iRandomVal ;
end function Poisson ;
--
-- integer randomization with a range
-- Distribution determined by RandomParm
--
impure function RandInt (Min, Max : integer) return integer is
begin
case RandomParm.Distribution is
when NONE | UNIFORM => return Uniform(Min, Max) ;
when FAVOR_SMALL => return FavorSmall(Min, Max) ;
when FAVOR_BIG => return FavorBig (Min, Max) ;
when NORMAL => return Normal(RandomParm.Mean, RandomParm.StdDeviation, Min, Max) ;
when POISSON => return Poisson(RandomParm.Mean, Min, Max) ;
when others =>
Alert(OSVVM_ALERTLOG_ID, "RandomPkg.RandInt: RandomParm.Distribution not implemented", FAILURE) ;
return integer'low ;
end case ;
end function RandInt ;
--
-- real randomization with a range
-- Distribution determined by RandomParm
--
impure function RandReal(Min, Max : Real) return real is
begin
case RandomParm.Distribution is
when NONE | UNIFORM => return Uniform(Min, Max) ;
when FAVOR_SMALL => return FavorSmall(Min, Max) ;
when FAVOR_BIG => return FavorBig (Min, Max) ;
when NORMAL => return Normal(RandomParm.Mean, RandomParm.StdDeviation, Min, Max) ;
when POISSON => return Poisson(RandomParm.Mean, Min, Max) ;
when others =>
Alert(OSVVM_ALERTLOG_ID, "RandomPkg.RandReal: Specified RandomParm.Distribution not implemented", FAILURE) ;
return real(integer'low) ;
end case ;
end function RandReal ;
impure function RandTime (Min, Max : time ; Unit :time := ns) return time is
variable IntVal : integer ;
begin
-- if Max - Min > 2**31 result will be out of range
IntVal := RandInt(0, (Max - Min)/Unit) ;
Return Min + Unit*IntVal ;
end function RandTime ;
impure function RandSlv (Min, Max, Size : natural) return std_logic_vector is
begin
return std_logic_vector(to_unsigned(RandInt(Min, Max), Size)) ;
end function RandSlv ;
impure function RandUnsigned (Min, Max, Size : natural) return Unsigned is
begin
return to_unsigned(RandInt(Min, Max), Size) ;
end function RandUnsigned ;
impure function RandSigned (Min, Max : integer ; Size : natural ) return Signed is
begin
return to_signed(RandInt(Min, Max), Size) ;
end function RandSigned ;
impure function RandIntV (Min, Max : integer ; Size : natural) return integer_vector is
variable result : integer_vector(1 to Size) ;
begin
for i in result'range loop
result(i) := RandInt(Min, Max) ;
end loop ;
return result ;
end function RandIntV ;
impure function RandIntV (Min, Max : integer ; Unique : natural ; Size : natural) return integer_vector is
variable result : integer_vector(1 to Size) ;
variable iUnique : natural ;
begin
-- if Unique = 0, it is more efficient to call RandIntV(Min, Max, Size)
iUnique := Unique ;
if Max-Min+1 < Unique then
Alert(OSVVM_ALERTLOG_ID, "RandomPkg.(RandIntV | RandRealV | RandTimeV): Unique > number of values available", FAILURE) ;
iUnique := Max-Min+1 ;
end if ;
for i in result'range loop
result(i) := RandInt(Min, Max, result(maximum(1, 1 + i - iUnique) to Size)) ;
end loop ;
return result ;
end function RandIntV ;
impure function RandRealV (Min, Max : real ; Size : natural) return real_vector is
variable result : real_vector(1 to Size) ;
begin
for i in result'range loop
result(i) := RandReal(Min, Max) ;
end loop ;
return result ;
end function RandRealV ;
impure function RandTimeV (Min, Max : time ; Size : natural ; Unit : time := ns) return time_vector is
variable result : time_vector(1 to Size) ;
begin
for i in result'range loop
result(i) := RandTime(Min, Max, Unit) ;
end loop ;
return result ;
end function RandTimeV ;
impure function RandTimeV (Min, Max : time ; Unique : natural ; Size : natural ; Unit : time := ns) return time_vector is
begin
-- if Unique = 0, it is more efficient to call RandTimeV(Min, Max, Size)
return to_time_vector(RandIntV(Min/Unit, Max/Unit, Unique, Size), Unit) ;
end function RandTimeV ;
--
-- integer randomization with a range and exclude vector
-- Distribution determined by RandomParm
--
impure function RandInt (Min, Max : integer ; Exclude : integer_vector ) return integer is
begin
case RandomParm.Distribution is
when NONE | UNIFORM => return Uniform(Min, Max, Exclude) ;
when FAVOR_SMALL => return FavorSmall(Min, Max, Exclude) ;
when FAVOR_BIG => return FavorBig (Min, Max, Exclude) ;
when NORMAL => return Normal(RandomParm.Mean, RandomParm.StdDeviation, Min, Max, Exclude) ;
when POISSON => return Poisson(RandomParm.Mean, Min, Max, Exclude) ;
when others =>
Alert(OSVVM_ALERTLOG_ID, "RandomPkg.RandInt: Specified RandomParm.Distribution not implemented", FAILURE) ;
return integer'low ;
end case ;
end function RandInt ;
impure function RandTime (Min, Max : time ; Exclude : time_vector ; Unit : time := ns) return time is
variable IntVal : integer ;
begin
-- if Min or Max > 2**31 value will be out of range
return RandInt(Min/Unit, Max/Unit, to_integer_vector(Exclude, Unit)) * Unit ;
end function RandTime ;
impure function RandSlv (Min, Max : natural ; Exclude : integer_vector ; Size : natural ) return std_logic_vector is
begin
return std_logic_vector(to_unsigned(RandInt(Min, Max, Exclude), Size)) ;
end function RandSlv ;
impure function RandUnsigned (Min, Max : natural ; Exclude : integer_vector ; Size : natural ) return Unsigned is
begin
return to_unsigned(RandInt(Min, Max, Exclude), Size) ;
end function RandUnsigned ;
impure function RandSigned (Min, Max : integer ; Exclude : integer_vector ; Size : natural ) return Signed is
begin
return to_signed(RandInt(Min, Max, Exclude), Size) ;
end function RandSigned ;
impure function RandIntV (Min, Max : integer ; Exclude : integer_vector ; Size : natural) return integer_vector is
variable result : integer_vector(1 to Size) ;
begin
for i in result'range loop
result(i) := RandInt(Min, Max, Exclude) ;
end loop ;
return result ;
end function RandIntV ;
impure function RandIntV (Min, Max : integer ; Exclude : integer_vector ; Unique : natural ; Size : natural) return integer_vector is
variable ResultPlus : integer_vector(1 to Size + Exclude'length) ;
begin
-- if Unique = 0, it is more efficient to call RandIntV(Min, Max, Size)
ResultPlus(Size+1 to ResultPlus'right) := Exclude ;
for i in 1 to Size loop
ResultPlus(i) := RandInt(Min, Max, ResultPlus(maximum(1, 1 + i - Unique) to ResultPlus'right)) ;
end loop ;
return ResultPlus(1 to Size) ;
end function RandIntV ;
impure function RandTimeV (Min, Max : time ; Exclude : time_vector ; Size : natural ; Unit : in time := ns) return time_vector is
begin
return to_time_vector( RandIntV(Min/Unit, Max/Unit, to_integer_vector(Exclude, Unit), Size), Unit ) ;
end function RandTimeV ;
impure function RandTimeV (Min, Max : time ; Exclude : time_vector ; Unique : natural ; Size : natural ; Unit : in time := ns) return time_vector is
begin
-- if Unique = 0, it is more efficient to call RandIntV(Min, Max, Size)
return to_time_vector( RandIntV(Min/Unit, Max/Unit, to_integer_vector(Exclude, Unit), Unique, Size), Unit ) ;
end function RandTimeV ;
--
-- Randomly select a value within a set of values
-- Distribution determined by RandomParm
--
impure function RandInt ( A : integer_vector ) return integer is
alias A_norm : integer_vector(1 to A'length) is A ;
begin
return A_norm( RandInt(1, A'length) ) ;
end function RandInt ;
impure function RandReal ( A : real_vector ) return real is
alias A_norm : real_vector(1 to A'length) is A ;
begin
return A_norm( RandInt(1, A'length) ) ;
end function RandReal ;
impure function RandTime ( A : time_vector ) return time is
alias A_norm : time_vector(1 to A'length) is A ;
begin
return A_norm( RandInt(1, A'length) ) ;
end function RandTime ;
impure function RandSlv (A : integer_vector ; Size : natural) return std_logic_vector is
begin
return std_logic_vector(to_unsigned(RandInt(A), Size)) ;
end function RandSlv ;
impure function RandUnsigned (A : integer_vector ; Size : natural) return Unsigned is
begin
return to_unsigned(RandInt(A), Size) ;
end function RandUnsigned ;
impure function RandSigned (A : integer_vector ; Size : natural ) return Signed is
begin
return to_signed(RandInt(A), Size) ;
end function RandSigned ;
impure function RandIntV (A : integer_vector ; Size : natural) return integer_vector is
variable result : integer_vector(1 to Size) ;
begin
for i in result'range loop
result(i) := RandInt(A) ;
end loop ;
return result ;
end function RandIntV ;
impure function RandIntV (A : integer_vector ; Unique : natural ; Size : natural) return integer_vector is
variable result : integer_vector(1 to Size) ;
variable iUnique : natural ;
begin
-- if Unique = 0, it is more efficient to call RandIntV(A, Size)
-- require A'length >= Unique
iUnique := Unique ;
if A'length < Unique then
Alert(OSVVM_ALERTLOG_ID, "RandomPkg.RandIntV: Unique > length of set of values", FAILURE) ;
iUnique := A'length ;
end if ;
for i in result'range loop
result(i) := RandInt(A, result(maximum(1, 1 + i - iUnique) to Size)) ;
end loop ;
return result ;
end function RandIntV ;
impure function RandRealV (A : real_vector ; Size : natural) return real_vector is
variable result : real_vector(1 to Size) ;
begin
for i in result'range loop
result(i) := RandReal(A) ;
end loop ;
return result ;
end function RandRealV ;
impure function RandRealV (A : real_vector ; Unique : natural ; Size : natural) return real_vector is
alias A_norm : real_vector(1 to A'length) is A ;
variable result : real_vector(1 to Size) ;
variable IntResult : integer_vector(result'range) ;
begin
-- randomly generate indices
IntResult := RandIntV(1, A'length, Unique, Size) ;
-- translate indicies into result values
for i in result'range loop
result(i) := A_norm(IntResult(i)) ;
end loop ;
return result ;
end function RandRealV ;
impure function RandTimeV (A : time_vector ; Size : natural) return time_vector is
variable result : time_vector(1 to Size) ;
begin
for i in result'range loop
result(i) := RandTime(A) ;
end loop ;
return result ;
end function RandTimeV ;
impure function RandTimeV (A : time_vector ; Unique : natural ; Size : natural) return time_vector is
alias A_norm : time_vector(1 to A'length) is A ;
variable result : time_vector(1 to Size) ;
variable IntResult : integer_vector(result'range) ;
begin
-- randomly generate indices
IntResult := RandIntV(1, A'length, Unique, Size) ;
-- translate indicies into result values
for i in result'range loop
result(i) := A_norm(IntResult(i)) ;
end loop ;
return result ;
end function RandTimeV ;
--
-- Randomly select a value within a set of values with exclude values (so can skip last or last n)
-- Distribution determined by RandomParm
--
impure function RandInt ( A, Exclude : integer_vector ) return integer is
variable NewA : integer_vector(1 to A'length) ;
variable NewALength : natural ;
begin
-- Remove Exclude from A
RemoveExclude(A, Exclude, NewA, NewALength) ;
-- Randomize Index
return NewA(RandInt(1, NewALength)) ;
end function RandInt ;
impure function RandReal ( A, Exclude : real_vector ) return real is
variable NewA : real_vector(1 to A'length) ;
variable NewALength : natural ;
begin
-- Remove Exclude from A
RemoveExclude(A, Exclude, NewA, NewALength) ;
-- Randomize Index
return NewA(RandInt(1, NewALength)) ;
end function RandReal ;
impure function RandTime ( A, Exclude : time_vector ) return time is
variable NewA : time_vector(1 to A'length) ;
variable NewALength : natural ;
begin
-- Remove Exclude from A
RemoveExclude(A, Exclude, NewA, NewALength) ;
-- Randomize Index
return NewA(RandInt(1, NewALength)) ;
end function RandTime ;
impure function RandSlv (A, Exclude : integer_vector ; Size : natural) return std_logic_vector is
begin
return std_logic_vector(to_unsigned(RandInt(A, Exclude), Size)) ;
end function RandSlv ;
impure function RandUnsigned (A, Exclude : integer_vector ; Size : natural) return Unsigned is
begin
return to_unsigned(RandInt(A, Exclude), Size) ;
end function RandUnsigned ;
impure function RandSigned (A, Exclude : integer_vector ; Size : natural ) return Signed is
begin
return to_signed(RandInt(A, Exclude), Size) ;
end function RandSigned ;
impure function RandIntV (A, Exclude : integer_vector ; Size : natural) return integer_vector is
variable result : integer_vector(1 to Size) ;
variable NewA : integer_vector(1 to A'length) ;
variable NewALength : natural ;
begin
-- Remove Exclude from A
RemoveExclude(A, Exclude, NewA, NewALength) ;
-- Randomize Index
for i in result'range loop
result(i) := NewA(RandInt(1, NewALength)) ;
end loop ;
return result ;
end function RandIntV ;
impure function RandIntV (A, Exclude : integer_vector ; Unique : natural ; Size : natural) return integer_vector is
variable result : integer_vector(1 to Size) ;
variable NewA : integer_vector(1 to A'length) ;
variable NewALength, iUnique : natural ;
begin
-- if Unique = 0, it is more efficient to call RandIntV(Min, Max, Size)
-- Remove Exclude from A
RemoveExclude(A, Exclude, NewA, NewALength) ;
-- Require NewALength >= Unique
iUnique := Unique ;
if NewALength < Unique then
Alert(OSVVM_ALERTLOG_ID, "RandomPkg.RandIntV: Unique > Length of Set A - Exclude", FAILURE) ;
iUnique := NewALength ;
end if ;
-- Randomize using exclude list of Unique # of newly generated values
for i in result'range loop
result(i) := RandInt(NewA(1 to NewALength), result(maximum(1, 1 + i - iUnique) to Size)) ;
end loop ;
return result ;
end function RandIntV ;
impure function RandRealV (A, Exclude : real_vector ; Size : natural) return real_vector is
variable result : real_vector(1 to Size) ;
variable NewA : real_vector(1 to A'length) ;
variable NewALength : natural ;
begin
-- Remove Exclude from A
RemoveExclude(A, Exclude, NewA, NewALength) ;
-- Randomize Index
for i in result'range loop
result(i) := NewA(RandInt(1, NewALength)) ;
end loop ;
return result ;
end function RandRealV ;
impure function RandRealV (A, Exclude : real_vector ; Unique : natural ; Size : natural) return real_vector is
variable result : real_vector(1 to Size) ;
variable NewA : real_vector(1 to A'length) ;
variable NewALength, iUnique : natural ;
begin
-- if Unique = 0, it is more efficient to call RandRealV(Min, Max, Size)
-- Remove Exclude from A
RemoveExclude(A, Exclude, NewA, NewALength) ;
-- Require NewALength >= Unique
iUnique := Unique ;
if NewALength < Unique then
Alert(OSVVM_ALERTLOG_ID, "RandomPkg.RandRealV: Unique > Length of Set A - Exclude", FAILURE) ;
iUnique := NewALength ;
end if ;
-- Randomize using exclude list of Unique # of newly generated values
for i in result'range loop
result(i) := RandReal(NewA(1 to NewALength), result(maximum(1, 1 + i - iUnique) to Size)) ;
end loop ;
return result ;
end function RandRealV ;
impure function RandTimeV (A, Exclude : time_vector ; Size : natural) return time_vector is
variable result : time_vector(1 to Size) ;
variable NewA : time_vector(1 to A'length) ;
variable NewALength : natural ;
begin
-- Remove Exclude from A
RemoveExclude(A, Exclude, NewA, NewALength) ;
-- Randomize Index
for i in result'range loop
result(i) := NewA(RandInt(1, NewALength)) ;
end loop ;
return result ;
end function RandTimeV ;
impure function RandTimeV (A, Exclude : time_vector ; Unique : natural ; Size : natural) return time_vector is
variable result : time_vector(1 to Size) ;
variable NewA : time_vector(1 to A'length) ;
variable NewALength, iUnique : natural ;
begin
-- if Unique = 0, it is more efficient to call RandRealV(Min, Max, Size)
-- Remove Exclude from A
RemoveExclude(A, Exclude, NewA, NewALength) ;
-- Require NewALength >= Unique
iUnique := Unique ;
if NewALength < Unique then
Alert(OSVVM_ALERTLOG_ID, "RandomPkg.RandTimeV: Unique > Length of Set A - Exclude", FAILURE) ;
iUnique := NewALength ;
end if ;
-- Randomize using exclude list of Unique # of newly generated values
for i in result'range loop
result(i) := RandTime(NewA(1 to NewALength), result(maximum(1, 1 + i - iUnique) to Size)) ;
end loop ;
return result ;
end function RandTimeV ;
--
-- Basic Discrete Distributions
-- Always uses Uniform
--
impure function DistInt ( Weight : integer_vector ) return integer is
variable DistArray : integer_vector(weight'range) ;
variable sum : integer ;
variable iRandomVal : integer ;
begin
DistArray := Weight ;
sum := 0 ;
for i in DistArray'range loop
DistArray(i) := DistArray(i) + sum ;
if DistArray(i) < sum then
Alert(OSVVM_ALERTLOG_ID, "RandomPkg.DistInt: negative weight or sum > 31 bits", FAILURE) ;
return DistArray'low ; -- allows debugging vs integer'left, out of range
end if ;
sum := DistArray(i) ;
end loop ;
if sum >= 1 then
iRandomVal := Uniform(1, sum) ;
for i in DistArray'range loop
if iRandomVal <= DistArray(i) then
return i ;
end if ;
end loop ;
Alert(OSVVM_ALERTLOG_ID, "RandomPkg.DistInt: randomization failed", FAILURE) ;
else
Alert(OSVVM_ALERTLOG_ID, "RandomPkg.DistInt: No randomization weights", FAILURE) ;
end if ;
return DistArray'low ; -- allows debugging vs integer'left, out of range
end function DistInt ;
impure function DistSlv ( Weight : integer_vector ; Size : natural ) return std_logic_vector is
begin
return std_logic_vector(to_unsigned(DistInt(Weight), Size)) ;
end function DistSlv ;
impure function DistUnsigned ( Weight : integer_vector ; Size : natural ) return unsigned is
begin
return to_unsigned(DistInt(Weight), Size) ;
end function DistUnsigned ;
impure function DistSigned ( Weight : integer_vector ; Size : natural ) return signed is
begin
return to_signed(DistInt(Weight), Size) ;
end function DistSigned ;
--
-- Basic Distributions with exclude values (so can skip last or last n)
-- Always uses Uniform via DistInt
--
impure function DistInt ( Weight : integer_vector ; Exclude : integer_vector ) return integer is
variable DistArray : integer_vector(weight'range) ;
variable ExcludeTemp : integer ;
begin
DistArray := Weight ;
for i in Exclude'range loop
ExcludeTemp := Exclude(i) ;
if ExcludeTemp >= DistArray'low and ExcludeTemp <= DistArray'high then
DistArray(ExcludeTemp) := 0 ;
end if ;
end loop ;
return DistInt(DistArray) ;
end function DistInt ;
impure function DistSlv ( Weight : integer_vector ; Exclude : integer_vector ; Size : natural ) return std_logic_vector is
begin
return std_logic_vector(to_unsigned(DistInt(Weight, Exclude), Size)) ;
end function DistSlv ;
impure function DistUnsigned ( Weight : integer_vector ; Exclude : integer_vector ; Size : natural ) return unsigned is
begin
return to_unsigned(DistInt(Weight, Exclude), Size) ;
end function DistUnsigned ;
impure function DistSigned ( Weight : integer_vector ; Exclude : integer_vector ; Size : natural ) return signed is
begin
return to_signed(DistInt(Weight, Exclude), Size) ;
end function DistSigned ;
--
-- Distribution for sparse values
-- Always uses Uniform via DistInt
--
impure function DistValInt ( A : DistType ) return integer is
variable DistArray : integer_vector(0 to A'length -1) ;
alias DistRecArray : DistType(DistArray'range) is A ;
begin
for i in DistArray'range loop
DistArray(i) := DistRecArray(i).Weight ;
end loop ;
return DistRecArray(DistInt(DistArray)).Value ;
end function DistValInt ;
impure function DistValSlv ( A : DistType ; Size : natural ) return std_logic_vector is
begin
return std_logic_vector(to_unsigned(DistValInt(A), Size)) ;
end function DistValSlv ;
impure function DistValUnsigned ( A : DistType ; Size : natural ) return unsigned is
begin
return to_unsigned(DistValInt(A), Size) ;
end function DistValUnsigned ;
impure function DistValSigned ( A : DistType ; Size : natural ) return signed is
begin
return to_signed(DistValInt(A), Size) ;
end function DistValSigned ;
--
-- Distribution for sparse values with exclude values (so can skip last or last n)
-- Always uses Uniform via DistInt
--
impure function DistValInt ( A : DistType ; Exclude : integer_vector ) return integer is
variable DistArray : integer_vector(0 to A'length -1) ;
alias DistRecArray : DistType(DistArray'range) is A ;
begin
for i in DistRecArray'range loop
if inside(DistRecArray(i).Value, exclude) then
DistArray(i) := 0 ; -- exclude
else
DistArray(i) := DistRecArray(i).Weight ;
end if ;
end loop ;
return DistRecArray(DistInt(DistArray)).Value ;
end function DistValInt ;
impure function DistValSlv ( A : DistType ; Exclude : integer_vector ; Size : natural ) return std_logic_vector is
begin
return std_logic_vector(to_unsigned(DistValInt(A, Exclude), Size)) ;
end function DistValSlv ;
impure function DistValUnsigned ( A : DistType ; Exclude : integer_vector ; Size : natural ) return unsigned is
begin
return to_unsigned(DistValInt(A, Exclude), Size) ;
end function DistValUnsigned ;
impure function DistValSigned ( A : DistType ; Exclude : integer_vector ; Size : natural ) return signed is
begin
return to_signed(DistValInt(A, Exclude), Size) ;
end function DistValSigned ;
--
-- Large vector handling.
--
impure function RandUnsigned (Size : natural) return unsigned is
constant NumLoops : integer := integer(ceil(real(Size)/30.0)) ;
constant Remain : integer := (Size - 1) mod 30 + 1 ; -- range 1 to 30
variable RandVal : unsigned(1 to Size) ;
begin
if size = 0 then
return NULL_UV ; -- Null array
end if ;
for i in 0 to NumLoops-2 loop
RandVal(1 + 30*i to 30 + 30*i) := to_unsigned(RandInt(0, 2**30-1), 30) ;
end loop ;
RandVal(1+30*(NumLoops-1) to Remain + 30*(NumLoops-1)) := to_unsigned(RandInt(0, 2**Remain-1), Remain) ;
return RandVal ;
end function RandUnsigned ;
impure function RandSlv (Size : natural) return std_logic_vector is
begin
return std_logic_vector(RandUnsigned(Size)) ;
end function RandSlv ;
impure function RandSigned (Size : natural) return signed is
begin
return signed(RandUnsigned(Size)) ;
end function RandSigned ;
impure function RandUnsigned (Max : unsigned) return unsigned is
alias normMax : unsigned (Max'length downto 1) is Max ;
variable Result : unsigned(Max'range) := (others => '0') ;
alias normResult : unsigned(normMax'range) is Result ;
variable Size : integer ;
begin
-- Size = -1 if not found or Max'length = 0
Size := find_leftmost(normMax, '1') ;
if Size > 0 then
loop
normResult(Size downto 1) := RandUnsigned(Size) ;
exit when normResult <= Max ;
end loop ;
return Result ; -- = normResult with range same as Max
else
return resize("0", Max'length) ;
end if ;
end function RandUnsigned ;
-- Working version that scales the value
-- impure function RandUnsigned (Max : unsigned) return unsigned is
-- constant MaxVal : unsigned(Max'length+3 downto 1) := (others => '1') ;
-- begin
-- if max'length > 0 then
-- -- "Max'length+3" creates 3 guard bits
-- return resize( RandUnsigned(Max'length+3) * ('0'&Max+1) / ('0'&MaxVal+1), Max'length) ;
-- else
-- return NULL_UV ; -- Null Array
-- end if ;
-- end function RandUnsigned ;
impure function RandSlv (Max : std_logic_vector) return std_logic_vector is
begin
return std_logic_vector(RandUnsigned( unsigned(Max))) ;
end function RandSlv ;
impure function RandSigned (Max : signed) return signed is
begin
if max'length > 0 then
AlertIf (OSVVM_ALERTLOG_ID, Max < 0, "RandomPkg.RandSigned: Max < 0", FAILURE) ;
return signed(RandUnsigned( unsigned(Max))) ;
else
return NULL_SV ; -- Null Array
end if ;
end function RandSigned ;
impure function RandUnsigned (Min, Max : unsigned) return unsigned is
constant LEN : integer := maximum(Max'length, Min'length) ;
begin
if LEN > 0 and Min <= Max then
return RandUnsigned(Max-Min) + Min ;
else
if Len > 0 then
Alert(OSVVM_ALERTLOG_ID, "RandomPkg.RandUnsigned: Max < Min", FAILURE) ;
end if ;
return NULL_UV ;
end if ;
end function RandUnsigned ;
impure function RandSlv (Min, Max : std_logic_vector) return std_logic_vector is
constant LEN : integer := maximum(Max'length, Min'length) ;
begin
if LEN > 0 and Min <= Max then
return RandSlv(Max-Min) + Min ;
else
if Len > 0 then
Alert(OSVVM_ALERTLOG_ID, "RandomPkg.RandSlv: Max < Min", FAILURE) ;
end if ;
return NULL_SlV ;
end if ;
end function RandSlv ;
impure function RandSigned (Min, Max : signed) return signed is
constant LEN : integer := maximum(Max'length, Min'length) ;
begin
if LEN > 0 and Min <= Max then
return resize(RandSigned(resize(Max,LEN+1) - resize(Min,LEN+1)) + Min, LEN) ;
else
if Len > 0 then
Alert(OSVVM_ALERTLOG_ID, "RandomPkg.RandSigned: Max < Min", FAILURE) ;
end if ;
return NULL_SV ;
end if ;
end function RandSigned ;
--
-- Convenience Functions. Resolve into calls into the other functions
--
impure function RandReal return real is
begin
return RandReal(0.0, 1.0) ;
end function RandReal ;
impure function RandReal(Max : Real) return real is -- 0.0 to Max
begin
return RandReal(0.0, Max) ;
end function RandReal ;
impure function RandInt (Max : integer) return integer is
begin
return RandInt(0, Max) ;
end function RandInt ;
impure function RandSlv (Max, Size : natural) return std_logic_vector is
begin
return std_logic_vector(to_unsigned(RandInt(0, Max), Size)) ;
end function RandSlv ;
impure function RandUnsigned (Max, Size : natural) return Unsigned is
begin
return to_unsigned(RandInt(0, Max), Size) ;
end function RandUnsigned ;
impure function RandSigned (Max : integer ; Size : natural ) return Signed is
begin
-- chose 0 to Max rather than -Max to +Max to be same as RandUnsigned, either seems logical
return to_signed(RandInt(0, Max), Size) ;
end function RandSigned ;
end protected body RandomPType ;
end RandomPkg ; |
--
-- File Name : RandomPkg.vhd
-- Design Unit Name : RandomPkg
-- Revision : STANDARD VERSION
--
-- Maintainer : Jim Lewis email : [email protected]
-- Contributor(s) :
-- Jim Lewis email : [email protected]
-- *
--
-- * In writing procedures normal, poisson, the following sources were referenced :
-- Wikipedia
-- package rnd2 written by John Breen and Ken Christensen
-- package RNG written by Gnanasekaran Swaminathan
--
--
-- Description :
-- RandomPType, a protected type, defined to hold randomization RandomSeeds and
-- function methods to facilitate randomization with uniform and weighted
-- distributions
--
-- Developed for :
-- SynthWorks Design Inc.
-- VHDL Training Classes
-- 11898 SW 128th Ave. Tigard, Or 97223
-- http ://www.SynthWorks.com
--
-- Revision History :
-- Date Version Description
-- 12/2006 : 0.1 Initial revision
-- Numerous revisions for SynthWorks' Advanced VHDL Testbenches and Verification
-- 02/2009 : 1.0 First Public Released Version
-- 02/25/2009 1.1 Replaced reference to std_2008 with a reference to
-- ieee_proposed.standard_additions.all ;
-- 06/2010 1.2 Added Normal and Poisson distributions
-- 03/2011 2.0 Major clean-up. Moved RandomParmType and control to here
-- 07/2011 2.1 Bug fix to convenience functions for slv, unsigned, and signed.
-- 06/2012 2.2 Removed '_' in the name of subprograms FavorBig and FavorSmall
-- 04/2013 2013.04 Changed DistInt. Return array indices now match input
-- Better Min, Max error handling in Uniform, FavorBig, FavorSmall, Normal, Poisson
-- 5/2013 - Removed extra variable declaration in functions RandInt and RandReal
-- 5/2013 2013.05 Big vector randomization added overloading RandUnsigned, RandSlv, and RandSigned
-- Added NULL_RANGE_TYPE to minimize null range warnings
-- 1/2014 2014.01 Added RandTime, RandReal(set), RandIntV, RandRealV, RandTimeV
-- Made sort, revsort from SortListPkg_int visible via aliases
-- 1/2015 2015.01 Changed Assert/Report to Alert
-- 5/2015 2015.06 Revised Alerts to Alert(OSVVM_ALERTLOG_ID, ...) ;
-- 11/2016 2016.11 No changes. Updated release numbers to make documentation and
-- package have consistent release identifiers.
--
-- Copyright (c) 2006 - 2016 by SynthWorks Design Inc. All rights reserved.
--
-- Verbatim copies of this source file may be used and
-- distributed without restriction.
--
-- This source file is free software ; you can redistribute it
-- and/or modify it under the terms of the ARTISTIC License
-- as published by The Perl Foundation ; either version 2.0 of
-- the License, or (at your option) any later version.
--
-- This source is distributed in the hope that it will be
-- useful, but WITHOUT ANY WARRANTY ; without even the implied
-- warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR
-- PURPOSE. See the Artistic License for details.
--
-- You should have received a copy of the license with this source.
-- If not download it from,
-- http ://www.perlfoundation.org/artistic_license_2_0
--
use work.OsvvmGlobalPkg.all ;
use work.AlertLogPkg.all ;
use work.RandomBasePkg.all ;
use work.SortListPkg_int.all ;
use std.textio.all ;
library ieee ;
use ieee.std_logic_1164.all ;
use ieee.numeric_std.all ;
use ieee.numeric_std_unsigned.all ;
use ieee.math_real.all ;
-- comment out following 3 lines with VHDL-2008. Leave in for VHDL-2002
-- library ieee_proposed ; -- remove with VHDL-2008
-- use ieee_proposed.standard_additions.all ; -- remove with VHDL-2008
-- use ieee_proposed.standard_textio_additions.all ; -- remove with VHDL-2008
package RandomPkg is
-- Uncomment the following with VHDL-2008 package generics.
-- For now they are defined in the package RandomBasePkg.vhd
-- package RandomGenericPkg is
-- generic (
-- type RandomSeedType ; -- base type for randomization
-- procedure Uniform (Result : out real ; Seed : inout RandomSeedType) ;
-- function GenRandSeed(IV : integer_vector) return RandomSeedType ;
-- function GenRandSeed(I : integer) return RandomSeedType ;
-- function GenRandSeed(S : string) return RandomSeedType ;
-- ) ;
-- make things from SortListPkg_int visible
alias sort is work.SortListPkg_int.sort[integer_vector return integer_vector] ;
alias revsort is work.SortListPkg_int.revsort[integer_vector return integer_vector] ;
-- note NULL_RANGE_TYPE should probably be in std.standard
subtype NULL_RANGE_TYPE is integer range 0 downto 1 ;
constant NULL_INTV : integer_vector (NULL_RANGE_TYPE) := (others => 0) ;
-- Supports DistValInt functionality
type DistRecType is record
Value : integer ;
Weight : integer ;
end record ;
type DistType is array (natural range <>) of DistRecType ;
-- Parameters for randomization
-- RandomDistType specifies the distribution to use for randomize
type RandomDistType is (NONE, UNIFORM, FAVOR_SMALL, FAVOR_BIG, NORMAL, POISSON) ;
type RandomParmType is record
Distribution : RandomDistType ;
Mean : Real ; -- also used as probability of success
StdDeviation : Real ; -- also used as number of trials for binomial
end record ;
-- RandomParm IO
function to_string(A : RandomDistType) return string ;
procedure write(variable L : inout line ; A : RandomDistType ) ;
procedure read(variable L : inout line ; A : out RandomDistType ; good : out boolean ) ;
procedure read(variable L : inout line ; A : out RandomDistType ) ;
function to_string(A : RandomParmType) return string ;
procedure write(variable L : inout line ; A : RandomParmType ) ;
procedure read(variable L : inout line ; A : out RandomParmType ; good : out boolean ) ;
procedure read(variable L : inout line ; A : out RandomParmType ) ;
type RandomPType is protected
-- Seed Manipulation
-- Known ambiguity between InitSeed with string and integer_vector
-- Recommendation, use : RV.InitSeed(RV'instance_path) ;
-- For integer_vector use either : RV.InitSeed(IV => (1,5)) ;
-- or : RV.InitSeed(integer_vector'(1,5)) ;
procedure InitSeed (S : string ) ;
procedure InitSeed (I : integer ) ;
procedure InitSeed (IV : integer_vector ) ;
-- SetSeed & GetSeed : Used to save and restore seed values
procedure SetSeed (RandomSeedIn : RandomSeedType ) ;
impure function GetSeed return RandomSeedType ;
-- SeedRandom = SetSeed & GetSeed for SV compatibility
-- replace with aliases when they work in popular simulators
procedure SeedRandom (RandomSeedIn : RandomSeedType ) ;
impure function SeedRandom return RandomSeedType ;
-- alias SeedRandom is SetSeed [RandomSeedType] ;
-- alias SeedRandom is GetSeed [return RandomSeedType] ;
-- Setting Randomization Parameters
-- Allows RandInt to have distributions other than uniform
procedure SetRandomParm (RandomParmIn : RandomParmType) ;
procedure SetRandomParm (
Distribution : RandomDistType ;
Mean : Real := 0.0 ;
Deviation : Real := 0.0
) ;
impure function GetRandomParm return RandomParmType ;
impure function GetRandomParm return RandomDistType ;
-- For compatibility with previous version - replace with alias
procedure SetRandomMode (RandomDistIn : RandomDistType) ;
-- alias SetRandomMode is SetRandomParm [RandomDistType, Real, Real] ;
-- Base Randomization Distributions
-- Uniform : Generate a random number with a Uniform distribution
impure function Uniform (Min, Max : in real) return real ;
impure function Uniform (Min, Max : integer) return integer ;
impure function Uniform (Min, Max : integer ; Exclude : integer_vector) return integer ;
-- FavorSmall
-- Generate random numbers with a greater number of small
-- values than large values
impure function FavorSmall (Min, Max : real) return real ;
impure function FavorSmall (Min, Max : integer) return integer ;
impure function FavorSmall (Min, Max : integer ; Exclude : integer_vector) return integer ;
-- FavorBig
-- Generate random numbers with a greater number of large
-- values than small values
impure function FavorBig (Min, Max : real) return real ;
impure function FavorBig (Min, Max : integer) return integer ;
impure function FavorBig (Min, Max : integer ; Exclude : integer_vector) return integer ;
-- Normal : Generate a random number with a normal distribution
impure function Normal (Mean, StdDeviation : real) return real ;
-- Normal + RandomVal >= Min and RandomVal < Max
impure function Normal (Mean, StdDeviation, Min, Max : real) return real ;
impure function Normal (
Mean : real ;
StdDeviation : real ;
Min : integer ;
Max : integer ;
Exclude : integer_vector := NULL_INTV
) return integer ;
-- Poisson : Generate a random number with a poisson distribution
-- Discrete distribution = only generates integral values
impure function Poisson (Mean : real) return real ;
-- Poisson + RandomVal >= Min and RandomVal < Max
impure function Poisson (Mean, Min, Max : real) return real ;
impure function Poisson (
Mean : real ;
Min : integer ;
Max : integer ;
Exclude : integer_vector := NULL_INTV
) return integer ;
-- randomization with a range
impure function RandInt (Min, Max : integer) return integer ;
impure function RandReal(Min, Max : Real) return real ;
impure function RandTime (Min, Max : time ; Unit : time := ns) return time ;
impure function RandSlv (Min, Max, Size : natural) return std_logic_vector ;
impure function RandUnsigned (Min, Max, Size : natural) return Unsigned ;
impure function RandSigned (Min, Max : integer ; Size : natural ) return Signed ;
impure function RandIntV (Min, Max : integer ; Size : natural) return integer_vector ;
impure function RandIntV (Min, Max : integer ; Unique : natural ; Size : natural) return integer_vector ;
impure function RandRealV (Min, Max : real ; Size : natural) return real_vector ;
impure function RandTimeV (Min, Max : time ; Size : natural ; Unit : time := ns) return time_vector ;
impure function RandTimeV (Min, Max : time ; Unique : natural ; Size : natural ; Unit : time := ns) return time_vector ;
-- randomization with a range and exclude vector
impure function RandInt (Min, Max : integer ; Exclude : integer_vector ) return integer ;
impure function RandTime (Min, Max : time ; Exclude : time_vector ; Unit : time := ns) return time ;
impure function RandSlv (Min, Max : natural ; Exclude : integer_vector ; Size : natural ) return std_logic_vector ;
impure function RandUnsigned (Min, Max : natural ; Exclude : integer_vector ; Size : natural ) return Unsigned ;
impure function RandSigned (Min, Max : integer ; Exclude : integer_vector ; Size : natural ) return Signed ;
impure function RandIntV (Min, Max : integer ; Exclude : integer_vector ; Size : natural) return integer_vector ;
impure function RandIntV (Min, Max : integer ; Exclude : integer_vector ; Unique : natural ; Size : natural) return integer_vector ;
impure function RandTimeV (Min, Max : time ; Exclude : time_vector ; Size : natural ; Unit : in time := ns) return time_vector ;
impure function RandTimeV (Min, Max : time ; Exclude : time_vector ; Unique : natural ; Size : natural ; Unit : in time := ns) return time_vector ;
-- Randomly select a value within a set of values
impure function RandInt ( A : integer_vector ) return integer ;
impure function RandReal ( A : real_vector ) return real ;
impure function RandTime (A : time_vector) return time ;
impure function RandSlv (A : integer_vector ; Size : natural) return std_logic_vector ;
impure function RandUnsigned (A : integer_vector ; Size : natural) return Unsigned ;
impure function RandSigned (A : integer_vector ; Size : natural ) return Signed ;
impure function RandIntV (A : integer_vector ; Size : natural) return integer_vector ;
impure function RandIntV (A : integer_vector ; Unique : natural ; Size : natural) return integer_vector ;
impure function RandRealV (A : real_vector ; Size : natural) return real_vector ;
impure function RandRealV (A : real_vector ; Unique : natural ; Size : natural) return real_vector ;
impure function RandTimeV (A : time_vector ; Size : natural) return time_vector ;
impure function RandTimeV (A : time_vector ; Unique : natural ; Size : natural) return time_vector ;
-- Randomly select a value within a set of values with exclude values (so can skip last or last n)
impure function RandInt ( A, Exclude : integer_vector ) return integer ;
impure function RandReal ( A, Exclude : real_vector ) return real ;
impure function RandTime (A, Exclude : time_vector) return time ;
impure function RandSlv (A, Exclude : integer_vector ; Size : natural) return std_logic_vector ;
impure function RandUnsigned (A, Exclude : integer_vector ; Size : natural) return Unsigned ;
impure function RandSigned (A, Exclude : integer_vector ; Size : natural ) return Signed ;
impure function RandIntV (A, Exclude : integer_vector ; Size : natural) return integer_vector ;
impure function RandIntV (A, Exclude : integer_vector ; Unique : natural ; Size : natural) return integer_vector ;
impure function RandRealV (A, Exclude : real_vector ; Size : natural) return real_vector ;
impure function RandRealV (A, Exclude : real_vector ; Unique : natural ; Size : natural) return real_vector ;
impure function RandTimeV (A, Exclude : time_vector ; Size : natural) return time_vector ;
impure function RandTimeV (A, Exclude : time_vector ; Unique : natural ; Size : natural) return time_vector ;
-- Randomly select between 0 and N-1 based on the specified weight.
-- where N = number values in weight array
impure function DistInt ( Weight : integer_vector ) return integer ;
impure function DistSlv ( Weight : integer_vector ; Size : natural ) return std_logic_vector ;
impure function DistUnsigned ( Weight : integer_vector ; Size : natural ) return unsigned ;
impure function DistSigned ( Weight : integer_vector ; Size : natural ) return signed ;
-- Distribution with just weights and with exclude values
impure function DistInt ( Weight : integer_vector ; Exclude : integer_vector ) return integer ;
impure function DistSlv ( Weight : integer_vector ; Exclude : integer_vector ; Size : natural ) return std_logic_vector ;
impure function DistUnsigned ( Weight : integer_vector ; Exclude : integer_vector ; Size : natural ) return unsigned ;
impure function DistSigned ( Weight : integer_vector ; Exclude : integer_vector ; Size : natural ) return signed ;
-- Distribution with weight and value
impure function DistValInt ( A : DistType ) return integer ;
impure function DistValSlv ( A : DistType ; Size : natural) return std_logic_vector ;
impure function DistValUnsigned ( A : DistType ; Size : natural) return unsigned ;
impure function DistValSigned ( A : DistType ; Size : natural) return signed ;
-- Distribution with weight and value and with exclude values
impure function DistValInt ( A : DistType ; Exclude : integer_vector ) return integer ;
impure function DistValSlv ( A : DistType ; Exclude : integer_vector ; Size : natural) return std_logic_vector ;
impure function DistValUnsigned ( A : DistType ; Exclude : integer_vector ; Size : natural) return unsigned ;
impure function DistValSigned ( A : DistType ; Exclude : integer_vector ; Size : natural) return signed ;
-- Large vector handling.
impure function RandUnsigned (Size : natural) return unsigned ;
impure function RandSlv (Size : natural) return std_logic_vector ;
impure function RandSigned (Size : natural) return signed ;
impure function RandUnsigned (Max : Unsigned) return unsigned ;
impure function RandSlv (Max : std_logic_vector) return std_logic_vector ;
impure function RandSigned (Max : signed) return signed ;
impure function RandUnsigned (Min, Max : unsigned) return unsigned ;
impure function RandSlv (Min, Max : std_logic_vector) return std_logic_vector ;
impure function RandSigned (Min, Max : signed) return signed ;
-- Convenience Functions
impure function RandReal return real ; -- 0.0 to 1.0
impure function RandReal(Max : Real) return real ; -- 0.0 to Max
impure function RandInt (Max : integer) return integer ;
impure function RandSlv (Max, Size : natural) return std_logic_vector ;
impure function RandUnsigned (Max, Size : natural) return Unsigned ;
impure function RandSigned (Max : integer ; Size : natural ) return Signed ;
end protected RandomPType ;
end RandomPkg ;
--- ///////////////////////////////////////////////////////////////////////////
--- ///////////////////////////////////////////////////////////////////////////
--- ///////////////////////////////////////////////////////////////////////////
package body RandomPkg is
-----------------------------------------------------------------
-- Local Randomization Support
-----------------------------------------------------------------
constant NULL_SLV : std_logic_vector (NULL_RANGE_TYPE) := (others => '0') ;
constant NULL_UV : unsigned (NULL_RANGE_TYPE) := (others => '0') ;
constant NULL_SV : signed (NULL_RANGE_TYPE) := (others => '0') ;
-----------------------------------------------------------------
-- Scale -- Scale a value to be within a given range
--
function Scale (A, Min, Max : real) return real is
variable ValRange : Real ;
begin
if Max >= Min then
ValRange := Max - Min ;
return A * ValRange + Min ;
else
return real'left ;
end if ;
end function Scale ;
function Scale (A : real ; Min, Max : integer) return integer is
variable ValRange : real ;
variable rMin, rMax : real ;
begin
if Max >= Min then
rMin := real(Min) - 0.5 ;
rMax := real(Max) + 0.5 ;
ValRange := rMax - rMin ;
return integer(round(A * ValRange + rMin)) ;
else
return integer'left ;
end if ;
end function Scale ;
-- create more smaller values
function FavorSmall (A : real) return real is
begin
return 1.0 - sqrt(A) ;
end FavorSmall ;
-- create more larger values
-- alias FavorBig is sqrt[real return real] ;
function FavorBig (A : real) return real is
begin
return sqrt(A) ;
end FavorBig ;
-- local.
function to_time_vector (A : integer_vector ; Unit : time) return time_vector is
variable result : time_vector(A'range) ;
begin
for i in A'range loop
result(i) := A(i) * Unit ;
end loop ;
return result ;
end function to_time_vector ;
-- local
function to_integer_vector (A : time_vector ; Unit : time) return integer_vector is
variable result : integer_vector(A'range) ;
begin
for i in A'range loop
result(i) := A(i) / Unit ;
end loop ;
return result ;
end function to_integer_vector ;
-- Local. Remove the exclude list from the list - integer_vector
procedure RemoveExclude(A, Exclude : integer_vector ; variable NewA : out integer_vector ; variable NewALength : inout natural ) is
alias norm_NewA : integer_vector(1 to NewA'length) is NewA ;
begin
NewALength := 0 ;
for i in A'range loop
if not inside(A(i), Exclude) then
NewALength := NewALength + 1 ;
norm_NewA(NewALength) := A(i) ;
end if ;
end loop ;
end procedure RemoveExclude ;
-- Local. Inside - real_vector
function inside(A : real ; Exclude : real_vector) return boolean is
begin
for i in Exclude'range loop
if A = Exclude(i) then
return TRUE ;
end if ;
end loop ;
return FALSE ;
end function inside ;
-- Local. Remove the exclude list from the list - real_vector
procedure RemoveExclude(A, Exclude : real_vector ; variable NewA : out real_vector ; variable NewALength : inout natural ) is
alias norm_NewA : real_vector(1 to NewA'length) is NewA ;
begin
NewALength := 0 ;
for i in A'range loop
if not inside(A(i), Exclude) then
NewALength := NewALength + 1 ;
norm_NewA(NewALength) := A(i) ;
end if ;
end loop ;
end procedure RemoveExclude ;
-- Local. Inside - time_vector
function inside(A : time ; Exclude : time_vector) return boolean is
begin
for i in Exclude'range loop
if A = Exclude(i) then
return TRUE ;
end if ;
end loop ;
return FALSE ;
end function inside ;
-- Local. Remove the exclude list from the list - time_vector
procedure RemoveExclude(A, Exclude : time_vector ; variable NewA : out time_vector ; variable NewALength : inout natural ) is
alias norm_NewA : time_vector(1 to NewA'length) is NewA ;
begin
NewALength := 0 ;
for i in A'range loop
if not inside(A(i), Exclude) then
NewALength := NewALength + 1 ;
norm_NewA(NewALength) := A(i) ;
end if ;
end loop ;
end procedure RemoveExclude ;
-----------------------------------------------------------------
-- RandomParmType IO
-----------------------------------------------------------------
-----------------------------------------------------------------
function to_string(A : RandomDistType) return string is
begin
return RandomDistType'image(A) ;
end function to_string ;
-----------------------------------------------------------------
procedure write(variable L : inout line ; A : RandomDistType ) is
begin
write(L, to_string(A)) ;
end procedure write ;
-----------------------------------------------------------------
procedure read(variable L : inout line ; A : out RandomDistType ; good : out boolean ) is
variable strval : string(1 to 40) ;
variable len : natural ;
begin
-- procedure SREAD (L : inout LINE ; VALUE : out STRING ; STRLEN : out NATURAL) ;
sread(L, strval, len) ;
A := RandomDistType'value(strval(1 to len)) ;
good := len > 0 ;
end procedure read ;
-----------------------------------------------------------------
procedure read(variable L : inout line ; A : out RandomDistType ) is
variable ReadValid : boolean ;
begin
read(L, A, ReadValid) ;
AlertIfNot( OSVVM_ALERTLOG_ID, ReadValid, "RandomPkg.read[line, RandomDistType] failed", FAILURE) ;
end procedure read ;
-----------------------------------------------------------------
function to_string(A : RandomParmType) return string is
begin
return RandomDistType'image(A.Distribution) & " " &
to_string(A.Mean, 2) & " " & to_string(A.StdDeviation, 2) ;
end function to_string ;
-----------------------------------------------------------------
procedure write(variable L : inout line ; A : RandomParmType ) is
begin
write(L, to_string(A)) ;
end procedure write ;
-----------------------------------------------------------------
procedure read(variable L : inout line ; A : out RandomParmType ; good : out boolean ) is
variable strval : string(1 to 40) ;
variable len : natural ;
variable igood : boolean ;
begin
loop
-- procedure SREAD (L : inout LINE ; VALUE : out STRING ; STRLEN : out NATURAL) ;
sread(L, strval, len) ;
A.Distribution := RandomDistType'value(strval(1 to len)) ;
igood := len > 0 ;
exit when not igood ;
read(L, A.Mean, igood) ;
exit when not igood ;
read(L, A.StdDeviation, igood) ;
exit ;
end loop ;
good := igood ;
end procedure read ;
-----------------------------------------------------------------
procedure read(variable L : inout line ; A : out RandomParmType ) is
variable ReadValid : boolean ;
begin
read(L, A, ReadValid) ;
AlertIfNot( OSVVM_ALERTLOG_ID, ReadValid, "RandomPkg.read[line, RandomParmType] failed", FAILURE) ;
end procedure read ;
-----------------------------------------------------------------
-----------------------------------------------------------------
type RandomPType is protected body
--
-- RandomSeed manipulation
--
variable RandomSeed : RandomSeedType := GenRandSeed(integer_vector'(1,7)) ;
procedure InitSeed (S : string ) is
begin
RandomSeed := GenRandSeed(S) ;
end procedure InitSeed ;
procedure InitSeed (I : integer ) is
begin
RandomSeed := GenRandSeed(I) ;
end procedure InitSeed ;
procedure InitSeed (IV : integer_vector ) is
begin
RandomSeed := GenRandSeed(IV) ;
end procedure InitSeed ;
procedure SetSeed (RandomSeedIn : RandomSeedType ) is
begin
RandomSeed := RandomSeedIn ;
end procedure SetSeed ;
procedure SeedRandom (RandomSeedIn : RandomSeedType ) is
begin
RandomSeed := RandomSeedIn ;
end procedure SeedRandom ;
impure function GetSeed return RandomSeedType is
begin
return RandomSeed ;
end function GetSeed ;
impure function SeedRandom return RandomSeedType is
begin
return RandomSeed ;
end function SeedRandom ;
--
-- randomization mode
--
variable RandomParm : RandomParmType ; -- left most values ok for init
procedure SetRandomParm (RandomParmIn : RandomParmType) is
begin
RandomParm := RandomParmIn ;
end procedure SetRandomParm ;
procedure SetRandomParm (
Distribution : RandomDistType ;
Mean : Real := 0.0 ;
Deviation : Real := 0.0
) is
begin
RandomParm := RandomParmType'(Distribution, Mean, Deviation) ;
end procedure SetRandomParm ;
impure function GetRandomParm return RandomParmType is
begin
return RandomParm ;
end function GetRandomParm ;
impure function GetRandomParm return RandomDistType is
begin
return RandomParm.Distribution ;
end function GetRandomParm ;
-- For compatibility with previous version
procedure SetRandomMode (RandomDistIn : RandomDistType) is
begin
SetRandomParm(RandomDistIn) ;
end procedure SetRandomMode ;
--
-- Base Randomization Distributions
--
--
-- Uniform : Generate a random number with a Uniform distribution
--
impure function Uniform (Min, Max : in real) return real is
variable rRandomVal : real ;
begin
AlertIf (OSVVM_ALERTLOG_ID, Max < Min, "RandomPkg.Uniform: Max < Min", FAILURE) ;
Uniform(rRandomVal, RandomSeed) ;
return scale(rRandomVal, Min, Max) ;
end function Uniform ;
impure function Uniform (Min, Max : integer) return integer is
variable rRandomVal : real ;
begin
AlertIf (OSVVM_ALERTLOG_ID, Max < Min, "RandomPkg.Uniform: Max < Min", FAILURE) ;
Uniform(rRandomVal, RandomSeed) ;
return scale(rRandomVal, Min, Max) ;
end function Uniform ;
impure function Uniform (Min, Max : integer ; Exclude : integer_vector) return integer is
variable iRandomVal : integer ;
variable ExcludeList : SortListPType ;
variable count : integer ;
begin
ExcludeList.add(Exclude, Min, Max) ;
count := ExcludeList.count ;
iRandomVal := Uniform(Min, Max - count) ;
-- adjust count, note iRandomVal changes while checking.
for i in 1 to count loop
exit when iRandomVal < ExcludeList.Get(i) ;
iRandomVal := iRandomVal + 1 ;
end loop ;
ExcludeList.erase ;
return iRandomVal ;
end function Uniform ;
--
-- FavorSmall
-- Generate random numbers with a greater number of small
-- values than large values
--
impure function FavorSmall (Min, Max : real) return real is
variable rRandomVal : real ;
begin
AlertIf (OSVVM_ALERTLOG_ID, Max < Min, "RandomPkg.FavorSmall: Max < Min", FAILURE) ;
Uniform(rRandomVal, RandomSeed) ;
return scale(FavorSmall(rRandomVal), Min, Max) ; -- real
end function FavorSmall ;
impure function FavorSmall (Min, Max : integer) return integer is
variable rRandomVal : real ;
begin
AlertIf (OSVVM_ALERTLOG_ID, Max < Min, "RandomPkg.FavorSmall: Max < Min", FAILURE) ;
Uniform(rRandomVal, RandomSeed) ;
return scale(FavorSmall(rRandomVal), Min, Max) ; -- integer
end function FavorSmall ;
impure function FavorSmall (Min, Max : integer ; Exclude : integer_vector) return integer is
variable iRandomVal : integer ;
variable ExcludeList : SortListPType ;
variable count : integer ;
begin
ExcludeList.add(Exclude, Min, Max) ;
count := ExcludeList.count ;
iRandomVal := FavorSmall(Min, Max - count) ;
-- adjust count, note iRandomVal changes while checking.
for i in 1 to count loop
exit when iRandomVal < ExcludeList.Get(i) ;
iRandomVal := iRandomVal + 1 ;
end loop ;
ExcludeList.erase ;
return iRandomVal ;
end function FavorSmall ;
--
-- FavorBig
-- Generate random numbers with a greater number of large
-- values than small values
--
impure function FavorBig (Min, Max : real) return real is
variable rRandomVal : real ;
begin
AlertIf (OSVVM_ALERTLOG_ID, Max < Min, "RandomPkg.FavorBig: Max < Min", FAILURE) ;
Uniform(rRandomVal, RandomSeed) ;
return scale(FavorBig(rRandomVal), Min, Max) ; -- real
end function FavorBig ;
impure function FavorBig (Min, Max : integer) return integer is
variable rRandomVal : real ;
begin
AlertIf (OSVVM_ALERTLOG_ID, Max < Min, "RandomPkg.FavorBig: Max < Min", FAILURE) ;
Uniform(rRandomVal, RandomSeed) ;
return scale(FavorBig(rRandomVal), Min, Max) ; -- integer
end function FavorBig ;
impure function FavorBig (Min, Max : integer ; Exclude : integer_vector) return integer is
variable iRandomVal : integer ;
variable ExcludeList : SortListPType ;
variable count : integer ;
begin
ExcludeList.add(Exclude, Min, Max) ;
count := ExcludeList.count ;
iRandomVal := FavorBig(Min, Max - count) ;
-- adjust count, note iRandomVal changes while checking.
for i in 1 to count loop
exit when iRandomVal < ExcludeList.Get(i) ;
iRandomVal := iRandomVal + 1 ;
end loop ;
ExcludeList.erase ;
return iRandomVal ;
end function FavorBig ;
-----------------------------------------------------------------
-- Normal
-- Generate a random number with a normal distribution
--
-- Use Box Muller, per Wikipedia :
-- http ://en.wikipedia.org/wiki/Box%E2%80%93Muller_transform
--
-- Use polar method, per Wikipedia :
-- http ://en.wikipedia.org/wiki/Marsaglia_polar_method
--
impure function Normal (Mean, StdDeviation : real) return real is
variable x01, y01 : real ;
variable StdNormalDist : real ; -- mean 0, variance 1
begin
-- add this check to set parameters?
if StdDeviation < 0.0 then
Alert(OSVVM_ALERTLOG_ID, "RandomPkg.Normal: Standard deviation must be >= 0.0", FAILURE) ;
return -1.0 ;
end if ;
-- Box Muller
Uniform (x01, RandomSeed) ;
Uniform (y01, RandomSeed) ;
StdNormalDist := sqrt(-2.0 * log(x01)) * cos(math_2_pi*y01) ;
-- Polar form rejected due to mean 50.0, std deviation = 5 resulted
-- in a median of 49
-- -- find two Uniform distributed values with range -1 to 1
-- -- that satisify S = X **2 + Y**2 < 1.0
-- loop
-- Uniform (x01, RandomSeed) ;
-- Uniform (y01, RandomSeed) ;
-- x := 2.0 * x01 - 1.0 ; -- scale to -1 to 1
-- y := 2.0 * y01 - 1.0 ;
-- s := x*x + y*y ;
-- exit when s < 1.0 and s > 0.0 ;
-- end loop ;
-- -- Calculate Standard Normal Distribution
-- StdNormalDist := x * sqrt((-2.0 * log(s)) / s) ;
-- Convert to have Mean and StdDeviation
return StdDeviation * StdNormalDist + Mean ;
end function Normal ;
-- Normal + RandomVal >= Min and RandomVal <= Max
impure function Normal (Mean, StdDeviation, Min, Max : real) return real is
variable rRandomVal : real ;
begin
if Max < Min then
Alert(OSVVM_ALERTLOG_ID, "RandomPkg.Normal: Max < Min", FAILURE) ;
return Mean ;
else
loop
rRandomVal := Normal (Mean, StdDeviation) ;
exit when rRandomVal >= Min and rRandomVal <= Max ;
end loop ;
end if ;
return rRandomVal ;
end function Normal ;
-- Normal + RandomVal >= Min and RandomVal <= Max
impure function Normal (
Mean : real ;
StdDeviation : real ;
Min : integer ;
Max : integer ;
Exclude : integer_vector := NULL_INTV
) return integer is
variable iRandomVal : integer ;
begin
if Max < Min then
Alert(OSVVM_ALERTLOG_ID, "RandomPkg.Normal: Max < Min", FAILURE) ;
return integer(round(Mean)) ;
else
loop
iRandomVal := integer(round( Normal(Mean, StdDeviation) )) ;
exit when iRandomVal >= Min and iRandomVal <= Max and
not inside(iRandomVal, Exclude) ;
end loop ;
end if ;
return iRandomVal ;
end function Normal ;
-----------------------------------------------------------------
-- Poisson
-- Generate a random number with a poisson distribution
-- Discrete distribution = only generates integral values
--
-- Use knuth method, per Wikipedia :
-- http ://en.wikipedia.org/wiki/Poisson_distribution
--
impure function Poisson (Mean : real) return real is
variable Product : Real := 1.0 ;
variable Bound : Real := 0.0 ;
variable UniformRand : Real := 0.0 ;
variable PoissonRand : Real := 0.0 ;
begin
Bound := exp(-1.0 * Mean) ;
Product := 1.0 ;
-- add this check to set parameters?
if Mean <= 0.0 or Bound <= 0.0 then
Alert(OSVVM_ALERTLOG_ID, "RandomPkg.Poisson: Mean < 0 or too large. Mean = " & real'image(Mean), FAILURE) ;
return Mean ;
end if ;
while (Product >= Bound) loop
PoissonRand := PoissonRand + 1.0 ;
Uniform(UniformRand, RandomSeed) ;
Product := Product * UniformRand ;
end loop ;
return PoissonRand ;
end function Poisson ; -- no range
-- Poisson + RandomVal >= Min and RandomVal < Max
impure function Poisson (Mean, Min, Max : real) return real is
variable rRandomVal : real ;
begin
if Max < Min then
Alert(OSVVM_ALERTLOG_ID, "RandomPkg.Poisson: Max < Min", FAILURE) ;
return Mean ;
else
loop
rRandomVal := Poisson (Mean) ;
exit when rRandomVal >= Min and rRandomVal <= Max ;
end loop ;
end if ;
return rRandomVal ;
end function Poisson ;
impure function Poisson (
Mean : real ;
Min : integer ;
Max : integer ;
Exclude : integer_vector := NULL_INTV
) return integer is
variable iRandomVal : integer ;
begin
if Max < Min then
Alert(OSVVM_ALERTLOG_ID, "RandomPkg.Poisson: Max < Min", FAILURE) ;
return integer(round(Mean)) ;
else
loop
iRandomVal := integer(round( Poisson (Mean) )) ;
exit when iRandomVal >= Min and iRandomVal <= Max and
not inside(iRandomVal, Exclude) ;
end loop ;
end if ;
return iRandomVal ;
end function Poisson ;
--
-- integer randomization with a range
-- Distribution determined by RandomParm
--
impure function RandInt (Min, Max : integer) return integer is
begin
case RandomParm.Distribution is
when NONE | UNIFORM => return Uniform(Min, Max) ;
when FAVOR_SMALL => return FavorSmall(Min, Max) ;
when FAVOR_BIG => return FavorBig (Min, Max) ;
when NORMAL => return Normal(RandomParm.Mean, RandomParm.StdDeviation, Min, Max) ;
when POISSON => return Poisson(RandomParm.Mean, Min, Max) ;
when others =>
Alert(OSVVM_ALERTLOG_ID, "RandomPkg.RandInt: RandomParm.Distribution not implemented", FAILURE) ;
return integer'low ;
end case ;
end function RandInt ;
--
-- real randomization with a range
-- Distribution determined by RandomParm
--
impure function RandReal(Min, Max : Real) return real is
begin
case RandomParm.Distribution is
when NONE | UNIFORM => return Uniform(Min, Max) ;
when FAVOR_SMALL => return FavorSmall(Min, Max) ;
when FAVOR_BIG => return FavorBig (Min, Max) ;
when NORMAL => return Normal(RandomParm.Mean, RandomParm.StdDeviation, Min, Max) ;
when POISSON => return Poisson(RandomParm.Mean, Min, Max) ;
when others =>
Alert(OSVVM_ALERTLOG_ID, "RandomPkg.RandReal: Specified RandomParm.Distribution not implemented", FAILURE) ;
return real(integer'low) ;
end case ;
end function RandReal ;
impure function RandTime (Min, Max : time ; Unit :time := ns) return time is
variable IntVal : integer ;
begin
-- if Max - Min > 2**31 result will be out of range
IntVal := RandInt(0, (Max - Min)/Unit) ;
Return Min + Unit*IntVal ;
end function RandTime ;
impure function RandSlv (Min, Max, Size : natural) return std_logic_vector is
begin
return std_logic_vector(to_unsigned(RandInt(Min, Max), Size)) ;
end function RandSlv ;
impure function RandUnsigned (Min, Max, Size : natural) return Unsigned is
begin
return to_unsigned(RandInt(Min, Max), Size) ;
end function RandUnsigned ;
impure function RandSigned (Min, Max : integer ; Size : natural ) return Signed is
begin
return to_signed(RandInt(Min, Max), Size) ;
end function RandSigned ;
impure function RandIntV (Min, Max : integer ; Size : natural) return integer_vector is
variable result : integer_vector(1 to Size) ;
begin
for i in result'range loop
result(i) := RandInt(Min, Max) ;
end loop ;
return result ;
end function RandIntV ;
impure function RandIntV (Min, Max : integer ; Unique : natural ; Size : natural) return integer_vector is
variable result : integer_vector(1 to Size) ;
variable iUnique : natural ;
begin
-- if Unique = 0, it is more efficient to call RandIntV(Min, Max, Size)
iUnique := Unique ;
if Max-Min+1 < Unique then
Alert(OSVVM_ALERTLOG_ID, "RandomPkg.(RandIntV | RandRealV | RandTimeV): Unique > number of values available", FAILURE) ;
iUnique := Max-Min+1 ;
end if ;
for i in result'range loop
result(i) := RandInt(Min, Max, result(maximum(1, 1 + i - iUnique) to Size)) ;
end loop ;
return result ;
end function RandIntV ;
impure function RandRealV (Min, Max : real ; Size : natural) return real_vector is
variable result : real_vector(1 to Size) ;
begin
for i in result'range loop
result(i) := RandReal(Min, Max) ;
end loop ;
return result ;
end function RandRealV ;
impure function RandTimeV (Min, Max : time ; Size : natural ; Unit : time := ns) return time_vector is
variable result : time_vector(1 to Size) ;
begin
for i in result'range loop
result(i) := RandTime(Min, Max, Unit) ;
end loop ;
return result ;
end function RandTimeV ;
impure function RandTimeV (Min, Max : time ; Unique : natural ; Size : natural ; Unit : time := ns) return time_vector is
begin
-- if Unique = 0, it is more efficient to call RandTimeV(Min, Max, Size)
return to_time_vector(RandIntV(Min/Unit, Max/Unit, Unique, Size), Unit) ;
end function RandTimeV ;
--
-- integer randomization with a range and exclude vector
-- Distribution determined by RandomParm
--
impure function RandInt (Min, Max : integer ; Exclude : integer_vector ) return integer is
begin
case RandomParm.Distribution is
when NONE | UNIFORM => return Uniform(Min, Max, Exclude) ;
when FAVOR_SMALL => return FavorSmall(Min, Max, Exclude) ;
when FAVOR_BIG => return FavorBig (Min, Max, Exclude) ;
when NORMAL => return Normal(RandomParm.Mean, RandomParm.StdDeviation, Min, Max, Exclude) ;
when POISSON => return Poisson(RandomParm.Mean, Min, Max, Exclude) ;
when others =>
Alert(OSVVM_ALERTLOG_ID, "RandomPkg.RandInt: Specified RandomParm.Distribution not implemented", FAILURE) ;
return integer'low ;
end case ;
end function RandInt ;
impure function RandTime (Min, Max : time ; Exclude : time_vector ; Unit : time := ns) return time is
variable IntVal : integer ;
begin
-- if Min or Max > 2**31 value will be out of range
return RandInt(Min/Unit, Max/Unit, to_integer_vector(Exclude, Unit)) * Unit ;
end function RandTime ;
impure function RandSlv (Min, Max : natural ; Exclude : integer_vector ; Size : natural ) return std_logic_vector is
begin
return std_logic_vector(to_unsigned(RandInt(Min, Max, Exclude), Size)) ;
end function RandSlv ;
impure function RandUnsigned (Min, Max : natural ; Exclude : integer_vector ; Size : natural ) return Unsigned is
begin
return to_unsigned(RandInt(Min, Max, Exclude), Size) ;
end function RandUnsigned ;
impure function RandSigned (Min, Max : integer ; Exclude : integer_vector ; Size : natural ) return Signed is
begin
return to_signed(RandInt(Min, Max, Exclude), Size) ;
end function RandSigned ;
impure function RandIntV (Min, Max : integer ; Exclude : integer_vector ; Size : natural) return integer_vector is
variable result : integer_vector(1 to Size) ;
begin
for i in result'range loop
result(i) := RandInt(Min, Max, Exclude) ;
end loop ;
return result ;
end function RandIntV ;
impure function RandIntV (Min, Max : integer ; Exclude : integer_vector ; Unique : natural ; Size : natural) return integer_vector is
variable ResultPlus : integer_vector(1 to Size + Exclude'length) ;
begin
-- if Unique = 0, it is more efficient to call RandIntV(Min, Max, Size)
ResultPlus(Size+1 to ResultPlus'right) := Exclude ;
for i in 1 to Size loop
ResultPlus(i) := RandInt(Min, Max, ResultPlus(maximum(1, 1 + i - Unique) to ResultPlus'right)) ;
end loop ;
return ResultPlus(1 to Size) ;
end function RandIntV ;
impure function RandTimeV (Min, Max : time ; Exclude : time_vector ; Size : natural ; Unit : in time := ns) return time_vector is
begin
return to_time_vector( RandIntV(Min/Unit, Max/Unit, to_integer_vector(Exclude, Unit), Size), Unit ) ;
end function RandTimeV ;
impure function RandTimeV (Min, Max : time ; Exclude : time_vector ; Unique : natural ; Size : natural ; Unit : in time := ns) return time_vector is
begin
-- if Unique = 0, it is more efficient to call RandIntV(Min, Max, Size)
return to_time_vector( RandIntV(Min/Unit, Max/Unit, to_integer_vector(Exclude, Unit), Unique, Size), Unit ) ;
end function RandTimeV ;
--
-- Randomly select a value within a set of values
-- Distribution determined by RandomParm
--
impure function RandInt ( A : integer_vector ) return integer is
alias A_norm : integer_vector(1 to A'length) is A ;
begin
return A_norm( RandInt(1, A'length) ) ;
end function RandInt ;
impure function RandReal ( A : real_vector ) return real is
alias A_norm : real_vector(1 to A'length) is A ;
begin
return A_norm( RandInt(1, A'length) ) ;
end function RandReal ;
impure function RandTime ( A : time_vector ) return time is
alias A_norm : time_vector(1 to A'length) is A ;
begin
return A_norm( RandInt(1, A'length) ) ;
end function RandTime ;
impure function RandSlv (A : integer_vector ; Size : natural) return std_logic_vector is
begin
return std_logic_vector(to_unsigned(RandInt(A), Size)) ;
end function RandSlv ;
impure function RandUnsigned (A : integer_vector ; Size : natural) return Unsigned is
begin
return to_unsigned(RandInt(A), Size) ;
end function RandUnsigned ;
impure function RandSigned (A : integer_vector ; Size : natural ) return Signed is
begin
return to_signed(RandInt(A), Size) ;
end function RandSigned ;
impure function RandIntV (A : integer_vector ; Size : natural) return integer_vector is
variable result : integer_vector(1 to Size) ;
begin
for i in result'range loop
result(i) := RandInt(A) ;
end loop ;
return result ;
end function RandIntV ;
impure function RandIntV (A : integer_vector ; Unique : natural ; Size : natural) return integer_vector is
variable result : integer_vector(1 to Size) ;
variable iUnique : natural ;
begin
-- if Unique = 0, it is more efficient to call RandIntV(A, Size)
-- require A'length >= Unique
iUnique := Unique ;
if A'length < Unique then
Alert(OSVVM_ALERTLOG_ID, "RandomPkg.RandIntV: Unique > length of set of values", FAILURE) ;
iUnique := A'length ;
end if ;
for i in result'range loop
result(i) := RandInt(A, result(maximum(1, 1 + i - iUnique) to Size)) ;
end loop ;
return result ;
end function RandIntV ;
impure function RandRealV (A : real_vector ; Size : natural) return real_vector is
variable result : real_vector(1 to Size) ;
begin
for i in result'range loop
result(i) := RandReal(A) ;
end loop ;
return result ;
end function RandRealV ;
impure function RandRealV (A : real_vector ; Unique : natural ; Size : natural) return real_vector is
alias A_norm : real_vector(1 to A'length) is A ;
variable result : real_vector(1 to Size) ;
variable IntResult : integer_vector(result'range) ;
begin
-- randomly generate indices
IntResult := RandIntV(1, A'length, Unique, Size) ;
-- translate indicies into result values
for i in result'range loop
result(i) := A_norm(IntResult(i)) ;
end loop ;
return result ;
end function RandRealV ;
impure function RandTimeV (A : time_vector ; Size : natural) return time_vector is
variable result : time_vector(1 to Size) ;
begin
for i in result'range loop
result(i) := RandTime(A) ;
end loop ;
return result ;
end function RandTimeV ;
impure function RandTimeV (A : time_vector ; Unique : natural ; Size : natural) return time_vector is
alias A_norm : time_vector(1 to A'length) is A ;
variable result : time_vector(1 to Size) ;
variable IntResult : integer_vector(result'range) ;
begin
-- randomly generate indices
IntResult := RandIntV(1, A'length, Unique, Size) ;
-- translate indicies into result values
for i in result'range loop
result(i) := A_norm(IntResult(i)) ;
end loop ;
return result ;
end function RandTimeV ;
--
-- Randomly select a value within a set of values with exclude values (so can skip last or last n)
-- Distribution determined by RandomParm
--
impure function RandInt ( A, Exclude : integer_vector ) return integer is
variable NewA : integer_vector(1 to A'length) ;
variable NewALength : natural ;
begin
-- Remove Exclude from A
RemoveExclude(A, Exclude, NewA, NewALength) ;
-- Randomize Index
return NewA(RandInt(1, NewALength)) ;
end function RandInt ;
impure function RandReal ( A, Exclude : real_vector ) return real is
variable NewA : real_vector(1 to A'length) ;
variable NewALength : natural ;
begin
-- Remove Exclude from A
RemoveExclude(A, Exclude, NewA, NewALength) ;
-- Randomize Index
return NewA(RandInt(1, NewALength)) ;
end function RandReal ;
impure function RandTime ( A, Exclude : time_vector ) return time is
variable NewA : time_vector(1 to A'length) ;
variable NewALength : natural ;
begin
-- Remove Exclude from A
RemoveExclude(A, Exclude, NewA, NewALength) ;
-- Randomize Index
return NewA(RandInt(1, NewALength)) ;
end function RandTime ;
impure function RandSlv (A, Exclude : integer_vector ; Size : natural) return std_logic_vector is
begin
return std_logic_vector(to_unsigned(RandInt(A, Exclude), Size)) ;
end function RandSlv ;
impure function RandUnsigned (A, Exclude : integer_vector ; Size : natural) return Unsigned is
begin
return to_unsigned(RandInt(A, Exclude), Size) ;
end function RandUnsigned ;
impure function RandSigned (A, Exclude : integer_vector ; Size : natural ) return Signed is
begin
return to_signed(RandInt(A, Exclude), Size) ;
end function RandSigned ;
impure function RandIntV (A, Exclude : integer_vector ; Size : natural) return integer_vector is
variable result : integer_vector(1 to Size) ;
variable NewA : integer_vector(1 to A'length) ;
variable NewALength : natural ;
begin
-- Remove Exclude from A
RemoveExclude(A, Exclude, NewA, NewALength) ;
-- Randomize Index
for i in result'range loop
result(i) := NewA(RandInt(1, NewALength)) ;
end loop ;
return result ;
end function RandIntV ;
impure function RandIntV (A, Exclude : integer_vector ; Unique : natural ; Size : natural) return integer_vector is
variable result : integer_vector(1 to Size) ;
variable NewA : integer_vector(1 to A'length) ;
variable NewALength, iUnique : natural ;
begin
-- if Unique = 0, it is more efficient to call RandIntV(Min, Max, Size)
-- Remove Exclude from A
RemoveExclude(A, Exclude, NewA, NewALength) ;
-- Require NewALength >= Unique
iUnique := Unique ;
if NewALength < Unique then
Alert(OSVVM_ALERTLOG_ID, "RandomPkg.RandIntV: Unique > Length of Set A - Exclude", FAILURE) ;
iUnique := NewALength ;
end if ;
-- Randomize using exclude list of Unique # of newly generated values
for i in result'range loop
result(i) := RandInt(NewA(1 to NewALength), result(maximum(1, 1 + i - iUnique) to Size)) ;
end loop ;
return result ;
end function RandIntV ;
impure function RandRealV (A, Exclude : real_vector ; Size : natural) return real_vector is
variable result : real_vector(1 to Size) ;
variable NewA : real_vector(1 to A'length) ;
variable NewALength : natural ;
begin
-- Remove Exclude from A
RemoveExclude(A, Exclude, NewA, NewALength) ;
-- Randomize Index
for i in result'range loop
result(i) := NewA(RandInt(1, NewALength)) ;
end loop ;
return result ;
end function RandRealV ;
impure function RandRealV (A, Exclude : real_vector ; Unique : natural ; Size : natural) return real_vector is
variable result : real_vector(1 to Size) ;
variable NewA : real_vector(1 to A'length) ;
variable NewALength, iUnique : natural ;
begin
-- if Unique = 0, it is more efficient to call RandRealV(Min, Max, Size)
-- Remove Exclude from A
RemoveExclude(A, Exclude, NewA, NewALength) ;
-- Require NewALength >= Unique
iUnique := Unique ;
if NewALength < Unique then
Alert(OSVVM_ALERTLOG_ID, "RandomPkg.RandRealV: Unique > Length of Set A - Exclude", FAILURE) ;
iUnique := NewALength ;
end if ;
-- Randomize using exclude list of Unique # of newly generated values
for i in result'range loop
result(i) := RandReal(NewA(1 to NewALength), result(maximum(1, 1 + i - iUnique) to Size)) ;
end loop ;
return result ;
end function RandRealV ;
impure function RandTimeV (A, Exclude : time_vector ; Size : natural) return time_vector is
variable result : time_vector(1 to Size) ;
variable NewA : time_vector(1 to A'length) ;
variable NewALength : natural ;
begin
-- Remove Exclude from A
RemoveExclude(A, Exclude, NewA, NewALength) ;
-- Randomize Index
for i in result'range loop
result(i) := NewA(RandInt(1, NewALength)) ;
end loop ;
return result ;
end function RandTimeV ;
impure function RandTimeV (A, Exclude : time_vector ; Unique : natural ; Size : natural) return time_vector is
variable result : time_vector(1 to Size) ;
variable NewA : time_vector(1 to A'length) ;
variable NewALength, iUnique : natural ;
begin
-- if Unique = 0, it is more efficient to call RandRealV(Min, Max, Size)
-- Remove Exclude from A
RemoveExclude(A, Exclude, NewA, NewALength) ;
-- Require NewALength >= Unique
iUnique := Unique ;
if NewALength < Unique then
Alert(OSVVM_ALERTLOG_ID, "RandomPkg.RandTimeV: Unique > Length of Set A - Exclude", FAILURE) ;
iUnique := NewALength ;
end if ;
-- Randomize using exclude list of Unique # of newly generated values
for i in result'range loop
result(i) := RandTime(NewA(1 to NewALength), result(maximum(1, 1 + i - iUnique) to Size)) ;
end loop ;
return result ;
end function RandTimeV ;
--
-- Basic Discrete Distributions
-- Always uses Uniform
--
impure function DistInt ( Weight : integer_vector ) return integer is
variable DistArray : integer_vector(weight'range) ;
variable sum : integer ;
variable iRandomVal : integer ;
begin
DistArray := Weight ;
sum := 0 ;
for i in DistArray'range loop
DistArray(i) := DistArray(i) + sum ;
if DistArray(i) < sum then
Alert(OSVVM_ALERTLOG_ID, "RandomPkg.DistInt: negative weight or sum > 31 bits", FAILURE) ;
return DistArray'low ; -- allows debugging vs integer'left, out of range
end if ;
sum := DistArray(i) ;
end loop ;
if sum >= 1 then
iRandomVal := Uniform(1, sum) ;
for i in DistArray'range loop
if iRandomVal <= DistArray(i) then
return i ;
end if ;
end loop ;
Alert(OSVVM_ALERTLOG_ID, "RandomPkg.DistInt: randomization failed", FAILURE) ;
else
Alert(OSVVM_ALERTLOG_ID, "RandomPkg.DistInt: No randomization weights", FAILURE) ;
end if ;
return DistArray'low ; -- allows debugging vs integer'left, out of range
end function DistInt ;
impure function DistSlv ( Weight : integer_vector ; Size : natural ) return std_logic_vector is
begin
return std_logic_vector(to_unsigned(DistInt(Weight), Size)) ;
end function DistSlv ;
impure function DistUnsigned ( Weight : integer_vector ; Size : natural ) return unsigned is
begin
return to_unsigned(DistInt(Weight), Size) ;
end function DistUnsigned ;
impure function DistSigned ( Weight : integer_vector ; Size : natural ) return signed is
begin
return to_signed(DistInt(Weight), Size) ;
end function DistSigned ;
--
-- Basic Distributions with exclude values (so can skip last or last n)
-- Always uses Uniform via DistInt
--
impure function DistInt ( Weight : integer_vector ; Exclude : integer_vector ) return integer is
variable DistArray : integer_vector(weight'range) ;
variable ExcludeTemp : integer ;
begin
DistArray := Weight ;
for i in Exclude'range loop
ExcludeTemp := Exclude(i) ;
if ExcludeTemp >= DistArray'low and ExcludeTemp <= DistArray'high then
DistArray(ExcludeTemp) := 0 ;
end if ;
end loop ;
return DistInt(DistArray) ;
end function DistInt ;
impure function DistSlv ( Weight : integer_vector ; Exclude : integer_vector ; Size : natural ) return std_logic_vector is
begin
return std_logic_vector(to_unsigned(DistInt(Weight, Exclude), Size)) ;
end function DistSlv ;
impure function DistUnsigned ( Weight : integer_vector ; Exclude : integer_vector ; Size : natural ) return unsigned is
begin
return to_unsigned(DistInt(Weight, Exclude), Size) ;
end function DistUnsigned ;
impure function DistSigned ( Weight : integer_vector ; Exclude : integer_vector ; Size : natural ) return signed is
begin
return to_signed(DistInt(Weight, Exclude), Size) ;
end function DistSigned ;
--
-- Distribution for sparse values
-- Always uses Uniform via DistInt
--
impure function DistValInt ( A : DistType ) return integer is
variable DistArray : integer_vector(0 to A'length -1) ;
alias DistRecArray : DistType(DistArray'range) is A ;
begin
for i in DistArray'range loop
DistArray(i) := DistRecArray(i).Weight ;
end loop ;
return DistRecArray(DistInt(DistArray)).Value ;
end function DistValInt ;
impure function DistValSlv ( A : DistType ; Size : natural ) return std_logic_vector is
begin
return std_logic_vector(to_unsigned(DistValInt(A), Size)) ;
end function DistValSlv ;
impure function DistValUnsigned ( A : DistType ; Size : natural ) return unsigned is
begin
return to_unsigned(DistValInt(A), Size) ;
end function DistValUnsigned ;
impure function DistValSigned ( A : DistType ; Size : natural ) return signed is
begin
return to_signed(DistValInt(A), Size) ;
end function DistValSigned ;
--
-- Distribution for sparse values with exclude values (so can skip last or last n)
-- Always uses Uniform via DistInt
--
impure function DistValInt ( A : DistType ; Exclude : integer_vector ) return integer is
variable DistArray : integer_vector(0 to A'length -1) ;
alias DistRecArray : DistType(DistArray'range) is A ;
begin
for i in DistRecArray'range loop
if inside(DistRecArray(i).Value, exclude) then
DistArray(i) := 0 ; -- exclude
else
DistArray(i) := DistRecArray(i).Weight ;
end if ;
end loop ;
return DistRecArray(DistInt(DistArray)).Value ;
end function DistValInt ;
impure function DistValSlv ( A : DistType ; Exclude : integer_vector ; Size : natural ) return std_logic_vector is
begin
return std_logic_vector(to_unsigned(DistValInt(A, Exclude), Size)) ;
end function DistValSlv ;
impure function DistValUnsigned ( A : DistType ; Exclude : integer_vector ; Size : natural ) return unsigned is
begin
return to_unsigned(DistValInt(A, Exclude), Size) ;
end function DistValUnsigned ;
impure function DistValSigned ( A : DistType ; Exclude : integer_vector ; Size : natural ) return signed is
begin
return to_signed(DistValInt(A, Exclude), Size) ;
end function DistValSigned ;
--
-- Large vector handling.
--
impure function RandUnsigned (Size : natural) return unsigned is
constant NumLoops : integer := integer(ceil(real(Size)/30.0)) ;
constant Remain : integer := (Size - 1) mod 30 + 1 ; -- range 1 to 30
variable RandVal : unsigned(1 to Size) ;
begin
if size = 0 then
return NULL_UV ; -- Null array
end if ;
for i in 0 to NumLoops-2 loop
RandVal(1 + 30*i to 30 + 30*i) := to_unsigned(RandInt(0, 2**30-1), 30) ;
end loop ;
RandVal(1+30*(NumLoops-1) to Remain + 30*(NumLoops-1)) := to_unsigned(RandInt(0, 2**Remain-1), Remain) ;
return RandVal ;
end function RandUnsigned ;
impure function RandSlv (Size : natural) return std_logic_vector is
begin
return std_logic_vector(RandUnsigned(Size)) ;
end function RandSlv ;
impure function RandSigned (Size : natural) return signed is
begin
return signed(RandUnsigned(Size)) ;
end function RandSigned ;
impure function RandUnsigned (Max : unsigned) return unsigned is
alias normMax : unsigned (Max'length downto 1) is Max ;
variable Result : unsigned(Max'range) := (others => '0') ;
alias normResult : unsigned(normMax'range) is Result ;
variable Size : integer ;
begin
-- Size = -1 if not found or Max'length = 0
Size := find_leftmost(normMax, '1') ;
if Size > 0 then
loop
normResult(Size downto 1) := RandUnsigned(Size) ;
exit when normResult <= Max ;
end loop ;
return Result ; -- = normResult with range same as Max
else
return resize("0", Max'length) ;
end if ;
end function RandUnsigned ;
-- Working version that scales the value
-- impure function RandUnsigned (Max : unsigned) return unsigned is
-- constant MaxVal : unsigned(Max'length+3 downto 1) := (others => '1') ;
-- begin
-- if max'length > 0 then
-- -- "Max'length+3" creates 3 guard bits
-- return resize( RandUnsigned(Max'length+3) * ('0'&Max+1) / ('0'&MaxVal+1), Max'length) ;
-- else
-- return NULL_UV ; -- Null Array
-- end if ;
-- end function RandUnsigned ;
impure function RandSlv (Max : std_logic_vector) return std_logic_vector is
begin
return std_logic_vector(RandUnsigned( unsigned(Max))) ;
end function RandSlv ;
impure function RandSigned (Max : signed) return signed is
begin
if max'length > 0 then
AlertIf (OSVVM_ALERTLOG_ID, Max < 0, "RandomPkg.RandSigned: Max < 0", FAILURE) ;
return signed(RandUnsigned( unsigned(Max))) ;
else
return NULL_SV ; -- Null Array
end if ;
end function RandSigned ;
impure function RandUnsigned (Min, Max : unsigned) return unsigned is
constant LEN : integer := maximum(Max'length, Min'length) ;
begin
if LEN > 0 and Min <= Max then
return RandUnsigned(Max-Min) + Min ;
else
if Len > 0 then
Alert(OSVVM_ALERTLOG_ID, "RandomPkg.RandUnsigned: Max < Min", FAILURE) ;
end if ;
return NULL_UV ;
end if ;
end function RandUnsigned ;
impure function RandSlv (Min, Max : std_logic_vector) return std_logic_vector is
constant LEN : integer := maximum(Max'length, Min'length) ;
begin
if LEN > 0 and Min <= Max then
return RandSlv(Max-Min) + Min ;
else
if Len > 0 then
Alert(OSVVM_ALERTLOG_ID, "RandomPkg.RandSlv: Max < Min", FAILURE) ;
end if ;
return NULL_SlV ;
end if ;
end function RandSlv ;
impure function RandSigned (Min, Max : signed) return signed is
constant LEN : integer := maximum(Max'length, Min'length) ;
begin
if LEN > 0 and Min <= Max then
return resize(RandSigned(resize(Max,LEN+1) - resize(Min,LEN+1)) + Min, LEN) ;
else
if Len > 0 then
Alert(OSVVM_ALERTLOG_ID, "RandomPkg.RandSigned: Max < Min", FAILURE) ;
end if ;
return NULL_SV ;
end if ;
end function RandSigned ;
--
-- Convenience Functions. Resolve into calls into the other functions
--
impure function RandReal return real is
begin
return RandReal(0.0, 1.0) ;
end function RandReal ;
impure function RandReal(Max : Real) return real is -- 0.0 to Max
begin
return RandReal(0.0, Max) ;
end function RandReal ;
impure function RandInt (Max : integer) return integer is
begin
return RandInt(0, Max) ;
end function RandInt ;
impure function RandSlv (Max, Size : natural) return std_logic_vector is
begin
return std_logic_vector(to_unsigned(RandInt(0, Max), Size)) ;
end function RandSlv ;
impure function RandUnsigned (Max, Size : natural) return Unsigned is
begin
return to_unsigned(RandInt(0, Max), Size) ;
end function RandUnsigned ;
impure function RandSigned (Max : integer ; Size : natural ) return Signed is
begin
-- chose 0 to Max rather than -Max to +Max to be same as RandUnsigned, either seems logical
return to_signed(RandInt(0, Max), Size) ;
end function RandSigned ;
end protected body RandomPType ;
end RandomPkg ; |
--
-- File Name : RandomPkg.vhd
-- Design Unit Name : RandomPkg
-- Revision : STANDARD VERSION
--
-- Maintainer : Jim Lewis email : [email protected]
-- Contributor(s) :
-- Jim Lewis email : [email protected]
-- *
--
-- * In writing procedures normal, poisson, the following sources were referenced :
-- Wikipedia
-- package rnd2 written by John Breen and Ken Christensen
-- package RNG written by Gnanasekaran Swaminathan
--
--
-- Description :
-- RandomPType, a protected type, defined to hold randomization RandomSeeds and
-- function methods to facilitate randomization with uniform and weighted
-- distributions
--
-- Developed for :
-- SynthWorks Design Inc.
-- VHDL Training Classes
-- 11898 SW 128th Ave. Tigard, Or 97223
-- http ://www.SynthWorks.com
--
-- Revision History :
-- Date Version Description
-- 12/2006 : 0.1 Initial revision
-- Numerous revisions for SynthWorks' Advanced VHDL Testbenches and Verification
-- 02/2009 : 1.0 First Public Released Version
-- 02/25/2009 1.1 Replaced reference to std_2008 with a reference to
-- ieee_proposed.standard_additions.all ;
-- 06/2010 1.2 Added Normal and Poisson distributions
-- 03/2011 2.0 Major clean-up. Moved RandomParmType and control to here
-- 07/2011 2.1 Bug fix to convenience functions for slv, unsigned, and signed.
-- 06/2012 2.2 Removed '_' in the name of subprograms FavorBig and FavorSmall
-- 04/2013 2013.04 Changed DistInt. Return array indices now match input
-- Better Min, Max error handling in Uniform, FavorBig, FavorSmall, Normal, Poisson
-- 5/2013 - Removed extra variable declaration in functions RandInt and RandReal
-- 5/2013 2013.05 Big vector randomization added overloading RandUnsigned, RandSlv, and RandSigned
-- Added NULL_RANGE_TYPE to minimize null range warnings
-- 1/2014 2014.01 Added RandTime, RandReal(set), RandIntV, RandRealV, RandTimeV
-- Made sort, revsort from SortListPkg_int visible via aliases
-- 1/2015 2015.01 Changed Assert/Report to Alert
-- 5/2015 2015.06 Revised Alerts to Alert(OSVVM_ALERTLOG_ID, ...) ;
-- 11/2016 2016.11 No changes. Updated release numbers to make documentation and
-- package have consistent release identifiers.
--
-- Copyright (c) 2006 - 2016 by SynthWorks Design Inc. All rights reserved.
--
-- Verbatim copies of this source file may be used and
-- distributed without restriction.
--
-- This source file is free software ; you can redistribute it
-- and/or modify it under the terms of the ARTISTIC License
-- as published by The Perl Foundation ; either version 2.0 of
-- the License, or (at your option) any later version.
--
-- This source is distributed in the hope that it will be
-- useful, but WITHOUT ANY WARRANTY ; without even the implied
-- warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR
-- PURPOSE. See the Artistic License for details.
--
-- You should have received a copy of the license with this source.
-- If not download it from,
-- http ://www.perlfoundation.org/artistic_license_2_0
--
use work.OsvvmGlobalPkg.all ;
use work.AlertLogPkg.all ;
use work.RandomBasePkg.all ;
use work.SortListPkg_int.all ;
use std.textio.all ;
library ieee ;
use ieee.std_logic_1164.all ;
use ieee.numeric_std.all ;
use ieee.numeric_std_unsigned.all ;
use ieee.math_real.all ;
-- comment out following 3 lines with VHDL-2008. Leave in for VHDL-2002
-- library ieee_proposed ; -- remove with VHDL-2008
-- use ieee_proposed.standard_additions.all ; -- remove with VHDL-2008
-- use ieee_proposed.standard_textio_additions.all ; -- remove with VHDL-2008
package RandomPkg is
-- Uncomment the following with VHDL-2008 package generics.
-- For now they are defined in the package RandomBasePkg.vhd
-- package RandomGenericPkg is
-- generic (
-- type RandomSeedType ; -- base type for randomization
-- procedure Uniform (Result : out real ; Seed : inout RandomSeedType) ;
-- function GenRandSeed(IV : integer_vector) return RandomSeedType ;
-- function GenRandSeed(I : integer) return RandomSeedType ;
-- function GenRandSeed(S : string) return RandomSeedType ;
-- ) ;
-- make things from SortListPkg_int visible
alias sort is work.SortListPkg_int.sort[integer_vector return integer_vector] ;
alias revsort is work.SortListPkg_int.revsort[integer_vector return integer_vector] ;
-- note NULL_RANGE_TYPE should probably be in std.standard
subtype NULL_RANGE_TYPE is integer range 0 downto 1 ;
constant NULL_INTV : integer_vector (NULL_RANGE_TYPE) := (others => 0) ;
-- Supports DistValInt functionality
type DistRecType is record
Value : integer ;
Weight : integer ;
end record ;
type DistType is array (natural range <>) of DistRecType ;
-- Parameters for randomization
-- RandomDistType specifies the distribution to use for randomize
type RandomDistType is (NONE, UNIFORM, FAVOR_SMALL, FAVOR_BIG, NORMAL, POISSON) ;
type RandomParmType is record
Distribution : RandomDistType ;
Mean : Real ; -- also used as probability of success
StdDeviation : Real ; -- also used as number of trials for binomial
end record ;
-- RandomParm IO
function to_string(A : RandomDistType) return string ;
procedure write(variable L : inout line ; A : RandomDistType ) ;
procedure read(variable L : inout line ; A : out RandomDistType ; good : out boolean ) ;
procedure read(variable L : inout line ; A : out RandomDistType ) ;
function to_string(A : RandomParmType) return string ;
procedure write(variable L : inout line ; A : RandomParmType ) ;
procedure read(variable L : inout line ; A : out RandomParmType ; good : out boolean ) ;
procedure read(variable L : inout line ; A : out RandomParmType ) ;
type RandomPType is protected
-- Seed Manipulation
-- Known ambiguity between InitSeed with string and integer_vector
-- Recommendation, use : RV.InitSeed(RV'instance_path) ;
-- For integer_vector use either : RV.InitSeed(IV => (1,5)) ;
-- or : RV.InitSeed(integer_vector'(1,5)) ;
procedure InitSeed (S : string ) ;
procedure InitSeed (I : integer ) ;
procedure InitSeed (IV : integer_vector ) ;
-- SetSeed & GetSeed : Used to save and restore seed values
procedure SetSeed (RandomSeedIn : RandomSeedType ) ;
impure function GetSeed return RandomSeedType ;
-- SeedRandom = SetSeed & GetSeed for SV compatibility
-- replace with aliases when they work in popular simulators
procedure SeedRandom (RandomSeedIn : RandomSeedType ) ;
impure function SeedRandom return RandomSeedType ;
-- alias SeedRandom is SetSeed [RandomSeedType] ;
-- alias SeedRandom is GetSeed [return RandomSeedType] ;
-- Setting Randomization Parameters
-- Allows RandInt to have distributions other than uniform
procedure SetRandomParm (RandomParmIn : RandomParmType) ;
procedure SetRandomParm (
Distribution : RandomDistType ;
Mean : Real := 0.0 ;
Deviation : Real := 0.0
) ;
impure function GetRandomParm return RandomParmType ;
impure function GetRandomParm return RandomDistType ;
-- For compatibility with previous version - replace with alias
procedure SetRandomMode (RandomDistIn : RandomDistType) ;
-- alias SetRandomMode is SetRandomParm [RandomDistType, Real, Real] ;
-- Base Randomization Distributions
-- Uniform : Generate a random number with a Uniform distribution
impure function Uniform (Min, Max : in real) return real ;
impure function Uniform (Min, Max : integer) return integer ;
impure function Uniform (Min, Max : integer ; Exclude : integer_vector) return integer ;
-- FavorSmall
-- Generate random numbers with a greater number of small
-- values than large values
impure function FavorSmall (Min, Max : real) return real ;
impure function FavorSmall (Min, Max : integer) return integer ;
impure function FavorSmall (Min, Max : integer ; Exclude : integer_vector) return integer ;
-- FavorBig
-- Generate random numbers with a greater number of large
-- values than small values
impure function FavorBig (Min, Max : real) return real ;
impure function FavorBig (Min, Max : integer) return integer ;
impure function FavorBig (Min, Max : integer ; Exclude : integer_vector) return integer ;
-- Normal : Generate a random number with a normal distribution
impure function Normal (Mean, StdDeviation : real) return real ;
-- Normal + RandomVal >= Min and RandomVal < Max
impure function Normal (Mean, StdDeviation, Min, Max : real) return real ;
impure function Normal (
Mean : real ;
StdDeviation : real ;
Min : integer ;
Max : integer ;
Exclude : integer_vector := NULL_INTV
) return integer ;
-- Poisson : Generate a random number with a poisson distribution
-- Discrete distribution = only generates integral values
impure function Poisson (Mean : real) return real ;
-- Poisson + RandomVal >= Min and RandomVal < Max
impure function Poisson (Mean, Min, Max : real) return real ;
impure function Poisson (
Mean : real ;
Min : integer ;
Max : integer ;
Exclude : integer_vector := NULL_INTV
) return integer ;
-- randomization with a range
impure function RandInt (Min, Max : integer) return integer ;
impure function RandReal(Min, Max : Real) return real ;
impure function RandTime (Min, Max : time ; Unit : time := ns) return time ;
impure function RandSlv (Min, Max, Size : natural) return std_logic_vector ;
impure function RandUnsigned (Min, Max, Size : natural) return Unsigned ;
impure function RandSigned (Min, Max : integer ; Size : natural ) return Signed ;
impure function RandIntV (Min, Max : integer ; Size : natural) return integer_vector ;
impure function RandIntV (Min, Max : integer ; Unique : natural ; Size : natural) return integer_vector ;
impure function RandRealV (Min, Max : real ; Size : natural) return real_vector ;
impure function RandTimeV (Min, Max : time ; Size : natural ; Unit : time := ns) return time_vector ;
impure function RandTimeV (Min, Max : time ; Unique : natural ; Size : natural ; Unit : time := ns) return time_vector ;
-- randomization with a range and exclude vector
impure function RandInt (Min, Max : integer ; Exclude : integer_vector ) return integer ;
impure function RandTime (Min, Max : time ; Exclude : time_vector ; Unit : time := ns) return time ;
impure function RandSlv (Min, Max : natural ; Exclude : integer_vector ; Size : natural ) return std_logic_vector ;
impure function RandUnsigned (Min, Max : natural ; Exclude : integer_vector ; Size : natural ) return Unsigned ;
impure function RandSigned (Min, Max : integer ; Exclude : integer_vector ; Size : natural ) return Signed ;
impure function RandIntV (Min, Max : integer ; Exclude : integer_vector ; Size : natural) return integer_vector ;
impure function RandIntV (Min, Max : integer ; Exclude : integer_vector ; Unique : natural ; Size : natural) return integer_vector ;
impure function RandTimeV (Min, Max : time ; Exclude : time_vector ; Size : natural ; Unit : in time := ns) return time_vector ;
impure function RandTimeV (Min, Max : time ; Exclude : time_vector ; Unique : natural ; Size : natural ; Unit : in time := ns) return time_vector ;
-- Randomly select a value within a set of values
impure function RandInt ( A : integer_vector ) return integer ;
impure function RandReal ( A : real_vector ) return real ;
impure function RandTime (A : time_vector) return time ;
impure function RandSlv (A : integer_vector ; Size : natural) return std_logic_vector ;
impure function RandUnsigned (A : integer_vector ; Size : natural) return Unsigned ;
impure function RandSigned (A : integer_vector ; Size : natural ) return Signed ;
impure function RandIntV (A : integer_vector ; Size : natural) return integer_vector ;
impure function RandIntV (A : integer_vector ; Unique : natural ; Size : natural) return integer_vector ;
impure function RandRealV (A : real_vector ; Size : natural) return real_vector ;
impure function RandRealV (A : real_vector ; Unique : natural ; Size : natural) return real_vector ;
impure function RandTimeV (A : time_vector ; Size : natural) return time_vector ;
impure function RandTimeV (A : time_vector ; Unique : natural ; Size : natural) return time_vector ;
-- Randomly select a value within a set of values with exclude values (so can skip last or last n)
impure function RandInt ( A, Exclude : integer_vector ) return integer ;
impure function RandReal ( A, Exclude : real_vector ) return real ;
impure function RandTime (A, Exclude : time_vector) return time ;
impure function RandSlv (A, Exclude : integer_vector ; Size : natural) return std_logic_vector ;
impure function RandUnsigned (A, Exclude : integer_vector ; Size : natural) return Unsigned ;
impure function RandSigned (A, Exclude : integer_vector ; Size : natural ) return Signed ;
impure function RandIntV (A, Exclude : integer_vector ; Size : natural) return integer_vector ;
impure function RandIntV (A, Exclude : integer_vector ; Unique : natural ; Size : natural) return integer_vector ;
impure function RandRealV (A, Exclude : real_vector ; Size : natural) return real_vector ;
impure function RandRealV (A, Exclude : real_vector ; Unique : natural ; Size : natural) return real_vector ;
impure function RandTimeV (A, Exclude : time_vector ; Size : natural) return time_vector ;
impure function RandTimeV (A, Exclude : time_vector ; Unique : natural ; Size : natural) return time_vector ;
-- Randomly select between 0 and N-1 based on the specified weight.
-- where N = number values in weight array
impure function DistInt ( Weight : integer_vector ) return integer ;
impure function DistSlv ( Weight : integer_vector ; Size : natural ) return std_logic_vector ;
impure function DistUnsigned ( Weight : integer_vector ; Size : natural ) return unsigned ;
impure function DistSigned ( Weight : integer_vector ; Size : natural ) return signed ;
-- Distribution with just weights and with exclude values
impure function DistInt ( Weight : integer_vector ; Exclude : integer_vector ) return integer ;
impure function DistSlv ( Weight : integer_vector ; Exclude : integer_vector ; Size : natural ) return std_logic_vector ;
impure function DistUnsigned ( Weight : integer_vector ; Exclude : integer_vector ; Size : natural ) return unsigned ;
impure function DistSigned ( Weight : integer_vector ; Exclude : integer_vector ; Size : natural ) return signed ;
-- Distribution with weight and value
impure function DistValInt ( A : DistType ) return integer ;
impure function DistValSlv ( A : DistType ; Size : natural) return std_logic_vector ;
impure function DistValUnsigned ( A : DistType ; Size : natural) return unsigned ;
impure function DistValSigned ( A : DistType ; Size : natural) return signed ;
-- Distribution with weight and value and with exclude values
impure function DistValInt ( A : DistType ; Exclude : integer_vector ) return integer ;
impure function DistValSlv ( A : DistType ; Exclude : integer_vector ; Size : natural) return std_logic_vector ;
impure function DistValUnsigned ( A : DistType ; Exclude : integer_vector ; Size : natural) return unsigned ;
impure function DistValSigned ( A : DistType ; Exclude : integer_vector ; Size : natural) return signed ;
-- Large vector handling.
impure function RandUnsigned (Size : natural) return unsigned ;
impure function RandSlv (Size : natural) return std_logic_vector ;
impure function RandSigned (Size : natural) return signed ;
impure function RandUnsigned (Max : Unsigned) return unsigned ;
impure function RandSlv (Max : std_logic_vector) return std_logic_vector ;
impure function RandSigned (Max : signed) return signed ;
impure function RandUnsigned (Min, Max : unsigned) return unsigned ;
impure function RandSlv (Min, Max : std_logic_vector) return std_logic_vector ;
impure function RandSigned (Min, Max : signed) return signed ;
-- Convenience Functions
impure function RandReal return real ; -- 0.0 to 1.0
impure function RandReal(Max : Real) return real ; -- 0.0 to Max
impure function RandInt (Max : integer) return integer ;
impure function RandSlv (Max, Size : natural) return std_logic_vector ;
impure function RandUnsigned (Max, Size : natural) return Unsigned ;
impure function RandSigned (Max : integer ; Size : natural ) return Signed ;
end protected RandomPType ;
end RandomPkg ;
--- ///////////////////////////////////////////////////////////////////////////
--- ///////////////////////////////////////////////////////////////////////////
--- ///////////////////////////////////////////////////////////////////////////
package body RandomPkg is
-----------------------------------------------------------------
-- Local Randomization Support
-----------------------------------------------------------------
constant NULL_SLV : std_logic_vector (NULL_RANGE_TYPE) := (others => '0') ;
constant NULL_UV : unsigned (NULL_RANGE_TYPE) := (others => '0') ;
constant NULL_SV : signed (NULL_RANGE_TYPE) := (others => '0') ;
-----------------------------------------------------------------
-- Scale -- Scale a value to be within a given range
--
function Scale (A, Min, Max : real) return real is
variable ValRange : Real ;
begin
if Max >= Min then
ValRange := Max - Min ;
return A * ValRange + Min ;
else
return real'left ;
end if ;
end function Scale ;
function Scale (A : real ; Min, Max : integer) return integer is
variable ValRange : real ;
variable rMin, rMax : real ;
begin
if Max >= Min then
rMin := real(Min) - 0.5 ;
rMax := real(Max) + 0.5 ;
ValRange := rMax - rMin ;
return integer(round(A * ValRange + rMin)) ;
else
return integer'left ;
end if ;
end function Scale ;
-- create more smaller values
function FavorSmall (A : real) return real is
begin
return 1.0 - sqrt(A) ;
end FavorSmall ;
-- create more larger values
-- alias FavorBig is sqrt[real return real] ;
function FavorBig (A : real) return real is
begin
return sqrt(A) ;
end FavorBig ;
-- local.
function to_time_vector (A : integer_vector ; Unit : time) return time_vector is
variable result : time_vector(A'range) ;
begin
for i in A'range loop
result(i) := A(i) * Unit ;
end loop ;
return result ;
end function to_time_vector ;
-- local
function to_integer_vector (A : time_vector ; Unit : time) return integer_vector is
variable result : integer_vector(A'range) ;
begin
for i in A'range loop
result(i) := A(i) / Unit ;
end loop ;
return result ;
end function to_integer_vector ;
-- Local. Remove the exclude list from the list - integer_vector
procedure RemoveExclude(A, Exclude : integer_vector ; variable NewA : out integer_vector ; variable NewALength : inout natural ) is
alias norm_NewA : integer_vector(1 to NewA'length) is NewA ;
begin
NewALength := 0 ;
for i in A'range loop
if not inside(A(i), Exclude) then
NewALength := NewALength + 1 ;
norm_NewA(NewALength) := A(i) ;
end if ;
end loop ;
end procedure RemoveExclude ;
-- Local. Inside - real_vector
function inside(A : real ; Exclude : real_vector) return boolean is
begin
for i in Exclude'range loop
if A = Exclude(i) then
return TRUE ;
end if ;
end loop ;
return FALSE ;
end function inside ;
-- Local. Remove the exclude list from the list - real_vector
procedure RemoveExclude(A, Exclude : real_vector ; variable NewA : out real_vector ; variable NewALength : inout natural ) is
alias norm_NewA : real_vector(1 to NewA'length) is NewA ;
begin
NewALength := 0 ;
for i in A'range loop
if not inside(A(i), Exclude) then
NewALength := NewALength + 1 ;
norm_NewA(NewALength) := A(i) ;
end if ;
end loop ;
end procedure RemoveExclude ;
-- Local. Inside - time_vector
function inside(A : time ; Exclude : time_vector) return boolean is
begin
for i in Exclude'range loop
if A = Exclude(i) then
return TRUE ;
end if ;
end loop ;
return FALSE ;
end function inside ;
-- Local. Remove the exclude list from the list - time_vector
procedure RemoveExclude(A, Exclude : time_vector ; variable NewA : out time_vector ; variable NewALength : inout natural ) is
alias norm_NewA : time_vector(1 to NewA'length) is NewA ;
begin
NewALength := 0 ;
for i in A'range loop
if not inside(A(i), Exclude) then
NewALength := NewALength + 1 ;
norm_NewA(NewALength) := A(i) ;
end if ;
end loop ;
end procedure RemoveExclude ;
-----------------------------------------------------------------
-- RandomParmType IO
-----------------------------------------------------------------
-----------------------------------------------------------------
function to_string(A : RandomDistType) return string is
begin
return RandomDistType'image(A) ;
end function to_string ;
-----------------------------------------------------------------
procedure write(variable L : inout line ; A : RandomDistType ) is
begin
write(L, to_string(A)) ;
end procedure write ;
-----------------------------------------------------------------
procedure read(variable L : inout line ; A : out RandomDistType ; good : out boolean ) is
variable strval : string(1 to 40) ;
variable len : natural ;
begin
-- procedure SREAD (L : inout LINE ; VALUE : out STRING ; STRLEN : out NATURAL) ;
sread(L, strval, len) ;
A := RandomDistType'value(strval(1 to len)) ;
good := len > 0 ;
end procedure read ;
-----------------------------------------------------------------
procedure read(variable L : inout line ; A : out RandomDistType ) is
variable ReadValid : boolean ;
begin
read(L, A, ReadValid) ;
AlertIfNot( OSVVM_ALERTLOG_ID, ReadValid, "RandomPkg.read[line, RandomDistType] failed", FAILURE) ;
end procedure read ;
-----------------------------------------------------------------
function to_string(A : RandomParmType) return string is
begin
return RandomDistType'image(A.Distribution) & " " &
to_string(A.Mean, 2) & " " & to_string(A.StdDeviation, 2) ;
end function to_string ;
-----------------------------------------------------------------
procedure write(variable L : inout line ; A : RandomParmType ) is
begin
write(L, to_string(A)) ;
end procedure write ;
-----------------------------------------------------------------
procedure read(variable L : inout line ; A : out RandomParmType ; good : out boolean ) is
variable strval : string(1 to 40) ;
variable len : natural ;
variable igood : boolean ;
begin
loop
-- procedure SREAD (L : inout LINE ; VALUE : out STRING ; STRLEN : out NATURAL) ;
sread(L, strval, len) ;
A.Distribution := RandomDistType'value(strval(1 to len)) ;
igood := len > 0 ;
exit when not igood ;
read(L, A.Mean, igood) ;
exit when not igood ;
read(L, A.StdDeviation, igood) ;
exit ;
end loop ;
good := igood ;
end procedure read ;
-----------------------------------------------------------------
procedure read(variable L : inout line ; A : out RandomParmType ) is
variable ReadValid : boolean ;
begin
read(L, A, ReadValid) ;
AlertIfNot( OSVVM_ALERTLOG_ID, ReadValid, "RandomPkg.read[line, RandomParmType] failed", FAILURE) ;
end procedure read ;
-----------------------------------------------------------------
-----------------------------------------------------------------
type RandomPType is protected body
--
-- RandomSeed manipulation
--
variable RandomSeed : RandomSeedType := GenRandSeed(integer_vector'(1,7)) ;
procedure InitSeed (S : string ) is
begin
RandomSeed := GenRandSeed(S) ;
end procedure InitSeed ;
procedure InitSeed (I : integer ) is
begin
RandomSeed := GenRandSeed(I) ;
end procedure InitSeed ;
procedure InitSeed (IV : integer_vector ) is
begin
RandomSeed := GenRandSeed(IV) ;
end procedure InitSeed ;
procedure SetSeed (RandomSeedIn : RandomSeedType ) is
begin
RandomSeed := RandomSeedIn ;
end procedure SetSeed ;
procedure SeedRandom (RandomSeedIn : RandomSeedType ) is
begin
RandomSeed := RandomSeedIn ;
end procedure SeedRandom ;
impure function GetSeed return RandomSeedType is
begin
return RandomSeed ;
end function GetSeed ;
impure function SeedRandom return RandomSeedType is
begin
return RandomSeed ;
end function SeedRandom ;
--
-- randomization mode
--
variable RandomParm : RandomParmType ; -- left most values ok for init
procedure SetRandomParm (RandomParmIn : RandomParmType) is
begin
RandomParm := RandomParmIn ;
end procedure SetRandomParm ;
procedure SetRandomParm (
Distribution : RandomDistType ;
Mean : Real := 0.0 ;
Deviation : Real := 0.0
) is
begin
RandomParm := RandomParmType'(Distribution, Mean, Deviation) ;
end procedure SetRandomParm ;
impure function GetRandomParm return RandomParmType is
begin
return RandomParm ;
end function GetRandomParm ;
impure function GetRandomParm return RandomDistType is
begin
return RandomParm.Distribution ;
end function GetRandomParm ;
-- For compatibility with previous version
procedure SetRandomMode (RandomDistIn : RandomDistType) is
begin
SetRandomParm(RandomDistIn) ;
end procedure SetRandomMode ;
--
-- Base Randomization Distributions
--
--
-- Uniform : Generate a random number with a Uniform distribution
--
impure function Uniform (Min, Max : in real) return real is
variable rRandomVal : real ;
begin
AlertIf (OSVVM_ALERTLOG_ID, Max < Min, "RandomPkg.Uniform: Max < Min", FAILURE) ;
Uniform(rRandomVal, RandomSeed) ;
return scale(rRandomVal, Min, Max) ;
end function Uniform ;
impure function Uniform (Min, Max : integer) return integer is
variable rRandomVal : real ;
begin
AlertIf (OSVVM_ALERTLOG_ID, Max < Min, "RandomPkg.Uniform: Max < Min", FAILURE) ;
Uniform(rRandomVal, RandomSeed) ;
return scale(rRandomVal, Min, Max) ;
end function Uniform ;
impure function Uniform (Min, Max : integer ; Exclude : integer_vector) return integer is
variable iRandomVal : integer ;
variable ExcludeList : SortListPType ;
variable count : integer ;
begin
ExcludeList.add(Exclude, Min, Max) ;
count := ExcludeList.count ;
iRandomVal := Uniform(Min, Max - count) ;
-- adjust count, note iRandomVal changes while checking.
for i in 1 to count loop
exit when iRandomVal < ExcludeList.Get(i) ;
iRandomVal := iRandomVal + 1 ;
end loop ;
ExcludeList.erase ;
return iRandomVal ;
end function Uniform ;
--
-- FavorSmall
-- Generate random numbers with a greater number of small
-- values than large values
--
impure function FavorSmall (Min, Max : real) return real is
variable rRandomVal : real ;
begin
AlertIf (OSVVM_ALERTLOG_ID, Max < Min, "RandomPkg.FavorSmall: Max < Min", FAILURE) ;
Uniform(rRandomVal, RandomSeed) ;
return scale(FavorSmall(rRandomVal), Min, Max) ; -- real
end function FavorSmall ;
impure function FavorSmall (Min, Max : integer) return integer is
variable rRandomVal : real ;
begin
AlertIf (OSVVM_ALERTLOG_ID, Max < Min, "RandomPkg.FavorSmall: Max < Min", FAILURE) ;
Uniform(rRandomVal, RandomSeed) ;
return scale(FavorSmall(rRandomVal), Min, Max) ; -- integer
end function FavorSmall ;
impure function FavorSmall (Min, Max : integer ; Exclude : integer_vector) return integer is
variable iRandomVal : integer ;
variable ExcludeList : SortListPType ;
variable count : integer ;
begin
ExcludeList.add(Exclude, Min, Max) ;
count := ExcludeList.count ;
iRandomVal := FavorSmall(Min, Max - count) ;
-- adjust count, note iRandomVal changes while checking.
for i in 1 to count loop
exit when iRandomVal < ExcludeList.Get(i) ;
iRandomVal := iRandomVal + 1 ;
end loop ;
ExcludeList.erase ;
return iRandomVal ;
end function FavorSmall ;
--
-- FavorBig
-- Generate random numbers with a greater number of large
-- values than small values
--
impure function FavorBig (Min, Max : real) return real is
variable rRandomVal : real ;
begin
AlertIf (OSVVM_ALERTLOG_ID, Max < Min, "RandomPkg.FavorBig: Max < Min", FAILURE) ;
Uniform(rRandomVal, RandomSeed) ;
return scale(FavorBig(rRandomVal), Min, Max) ; -- real
end function FavorBig ;
impure function FavorBig (Min, Max : integer) return integer is
variable rRandomVal : real ;
begin
AlertIf (OSVVM_ALERTLOG_ID, Max < Min, "RandomPkg.FavorBig: Max < Min", FAILURE) ;
Uniform(rRandomVal, RandomSeed) ;
return scale(FavorBig(rRandomVal), Min, Max) ; -- integer
end function FavorBig ;
impure function FavorBig (Min, Max : integer ; Exclude : integer_vector) return integer is
variable iRandomVal : integer ;
variable ExcludeList : SortListPType ;
variable count : integer ;
begin
ExcludeList.add(Exclude, Min, Max) ;
count := ExcludeList.count ;
iRandomVal := FavorBig(Min, Max - count) ;
-- adjust count, note iRandomVal changes while checking.
for i in 1 to count loop
exit when iRandomVal < ExcludeList.Get(i) ;
iRandomVal := iRandomVal + 1 ;
end loop ;
ExcludeList.erase ;
return iRandomVal ;
end function FavorBig ;
-----------------------------------------------------------------
-- Normal
-- Generate a random number with a normal distribution
--
-- Use Box Muller, per Wikipedia :
-- http ://en.wikipedia.org/wiki/Box%E2%80%93Muller_transform
--
-- Use polar method, per Wikipedia :
-- http ://en.wikipedia.org/wiki/Marsaglia_polar_method
--
impure function Normal (Mean, StdDeviation : real) return real is
variable x01, y01 : real ;
variable StdNormalDist : real ; -- mean 0, variance 1
begin
-- add this check to set parameters?
if StdDeviation < 0.0 then
Alert(OSVVM_ALERTLOG_ID, "RandomPkg.Normal: Standard deviation must be >= 0.0", FAILURE) ;
return -1.0 ;
end if ;
-- Box Muller
Uniform (x01, RandomSeed) ;
Uniform (y01, RandomSeed) ;
StdNormalDist := sqrt(-2.0 * log(x01)) * cos(math_2_pi*y01) ;
-- Polar form rejected due to mean 50.0, std deviation = 5 resulted
-- in a median of 49
-- -- find two Uniform distributed values with range -1 to 1
-- -- that satisify S = X **2 + Y**2 < 1.0
-- loop
-- Uniform (x01, RandomSeed) ;
-- Uniform (y01, RandomSeed) ;
-- x := 2.0 * x01 - 1.0 ; -- scale to -1 to 1
-- y := 2.0 * y01 - 1.0 ;
-- s := x*x + y*y ;
-- exit when s < 1.0 and s > 0.0 ;
-- end loop ;
-- -- Calculate Standard Normal Distribution
-- StdNormalDist := x * sqrt((-2.0 * log(s)) / s) ;
-- Convert to have Mean and StdDeviation
return StdDeviation * StdNormalDist + Mean ;
end function Normal ;
-- Normal + RandomVal >= Min and RandomVal <= Max
impure function Normal (Mean, StdDeviation, Min, Max : real) return real is
variable rRandomVal : real ;
begin
if Max < Min then
Alert(OSVVM_ALERTLOG_ID, "RandomPkg.Normal: Max < Min", FAILURE) ;
return Mean ;
else
loop
rRandomVal := Normal (Mean, StdDeviation) ;
exit when rRandomVal >= Min and rRandomVal <= Max ;
end loop ;
end if ;
return rRandomVal ;
end function Normal ;
-- Normal + RandomVal >= Min and RandomVal <= Max
impure function Normal (
Mean : real ;
StdDeviation : real ;
Min : integer ;
Max : integer ;
Exclude : integer_vector := NULL_INTV
) return integer is
variable iRandomVal : integer ;
begin
if Max < Min then
Alert(OSVVM_ALERTLOG_ID, "RandomPkg.Normal: Max < Min", FAILURE) ;
return integer(round(Mean)) ;
else
loop
iRandomVal := integer(round( Normal(Mean, StdDeviation) )) ;
exit when iRandomVal >= Min and iRandomVal <= Max and
not inside(iRandomVal, Exclude) ;
end loop ;
end if ;
return iRandomVal ;
end function Normal ;
-----------------------------------------------------------------
-- Poisson
-- Generate a random number with a poisson distribution
-- Discrete distribution = only generates integral values
--
-- Use knuth method, per Wikipedia :
-- http ://en.wikipedia.org/wiki/Poisson_distribution
--
impure function Poisson (Mean : real) return real is
variable Product : Real := 1.0 ;
variable Bound : Real := 0.0 ;
variable UniformRand : Real := 0.0 ;
variable PoissonRand : Real := 0.0 ;
begin
Bound := exp(-1.0 * Mean) ;
Product := 1.0 ;
-- add this check to set parameters?
if Mean <= 0.0 or Bound <= 0.0 then
Alert(OSVVM_ALERTLOG_ID, "RandomPkg.Poisson: Mean < 0 or too large. Mean = " & real'image(Mean), FAILURE) ;
return Mean ;
end if ;
while (Product >= Bound) loop
PoissonRand := PoissonRand + 1.0 ;
Uniform(UniformRand, RandomSeed) ;
Product := Product * UniformRand ;
end loop ;
return PoissonRand ;
end function Poisson ; -- no range
-- Poisson + RandomVal >= Min and RandomVal < Max
impure function Poisson (Mean, Min, Max : real) return real is
variable rRandomVal : real ;
begin
if Max < Min then
Alert(OSVVM_ALERTLOG_ID, "RandomPkg.Poisson: Max < Min", FAILURE) ;
return Mean ;
else
loop
rRandomVal := Poisson (Mean) ;
exit when rRandomVal >= Min and rRandomVal <= Max ;
end loop ;
end if ;
return rRandomVal ;
end function Poisson ;
impure function Poisson (
Mean : real ;
Min : integer ;
Max : integer ;
Exclude : integer_vector := NULL_INTV
) return integer is
variable iRandomVal : integer ;
begin
if Max < Min then
Alert(OSVVM_ALERTLOG_ID, "RandomPkg.Poisson: Max < Min", FAILURE) ;
return integer(round(Mean)) ;
else
loop
iRandomVal := integer(round( Poisson (Mean) )) ;
exit when iRandomVal >= Min and iRandomVal <= Max and
not inside(iRandomVal, Exclude) ;
end loop ;
end if ;
return iRandomVal ;
end function Poisson ;
--
-- integer randomization with a range
-- Distribution determined by RandomParm
--
impure function RandInt (Min, Max : integer) return integer is
begin
case RandomParm.Distribution is
when NONE | UNIFORM => return Uniform(Min, Max) ;
when FAVOR_SMALL => return FavorSmall(Min, Max) ;
when FAVOR_BIG => return FavorBig (Min, Max) ;
when NORMAL => return Normal(RandomParm.Mean, RandomParm.StdDeviation, Min, Max) ;
when POISSON => return Poisson(RandomParm.Mean, Min, Max) ;
when others =>
Alert(OSVVM_ALERTLOG_ID, "RandomPkg.RandInt: RandomParm.Distribution not implemented", FAILURE) ;
return integer'low ;
end case ;
end function RandInt ;
--
-- real randomization with a range
-- Distribution determined by RandomParm
--
impure function RandReal(Min, Max : Real) return real is
begin
case RandomParm.Distribution is
when NONE | UNIFORM => return Uniform(Min, Max) ;
when FAVOR_SMALL => return FavorSmall(Min, Max) ;
when FAVOR_BIG => return FavorBig (Min, Max) ;
when NORMAL => return Normal(RandomParm.Mean, RandomParm.StdDeviation, Min, Max) ;
when POISSON => return Poisson(RandomParm.Mean, Min, Max) ;
when others =>
Alert(OSVVM_ALERTLOG_ID, "RandomPkg.RandReal: Specified RandomParm.Distribution not implemented", FAILURE) ;
return real(integer'low) ;
end case ;
end function RandReal ;
impure function RandTime (Min, Max : time ; Unit :time := ns) return time is
variable IntVal : integer ;
begin
-- if Max - Min > 2**31 result will be out of range
IntVal := RandInt(0, (Max - Min)/Unit) ;
Return Min + Unit*IntVal ;
end function RandTime ;
impure function RandSlv (Min, Max, Size : natural) return std_logic_vector is
begin
return std_logic_vector(to_unsigned(RandInt(Min, Max), Size)) ;
end function RandSlv ;
impure function RandUnsigned (Min, Max, Size : natural) return Unsigned is
begin
return to_unsigned(RandInt(Min, Max), Size) ;
end function RandUnsigned ;
impure function RandSigned (Min, Max : integer ; Size : natural ) return Signed is
begin
return to_signed(RandInt(Min, Max), Size) ;
end function RandSigned ;
impure function RandIntV (Min, Max : integer ; Size : natural) return integer_vector is
variable result : integer_vector(1 to Size) ;
begin
for i in result'range loop
result(i) := RandInt(Min, Max) ;
end loop ;
return result ;
end function RandIntV ;
impure function RandIntV (Min, Max : integer ; Unique : natural ; Size : natural) return integer_vector is
variable result : integer_vector(1 to Size) ;
variable iUnique : natural ;
begin
-- if Unique = 0, it is more efficient to call RandIntV(Min, Max, Size)
iUnique := Unique ;
if Max-Min+1 < Unique then
Alert(OSVVM_ALERTLOG_ID, "RandomPkg.(RandIntV | RandRealV | RandTimeV): Unique > number of values available", FAILURE) ;
iUnique := Max-Min+1 ;
end if ;
for i in result'range loop
result(i) := RandInt(Min, Max, result(maximum(1, 1 + i - iUnique) to Size)) ;
end loop ;
return result ;
end function RandIntV ;
impure function RandRealV (Min, Max : real ; Size : natural) return real_vector is
variable result : real_vector(1 to Size) ;
begin
for i in result'range loop
result(i) := RandReal(Min, Max) ;
end loop ;
return result ;
end function RandRealV ;
impure function RandTimeV (Min, Max : time ; Size : natural ; Unit : time := ns) return time_vector is
variable result : time_vector(1 to Size) ;
begin
for i in result'range loop
result(i) := RandTime(Min, Max, Unit) ;
end loop ;
return result ;
end function RandTimeV ;
impure function RandTimeV (Min, Max : time ; Unique : natural ; Size : natural ; Unit : time := ns) return time_vector is
begin
-- if Unique = 0, it is more efficient to call RandTimeV(Min, Max, Size)
return to_time_vector(RandIntV(Min/Unit, Max/Unit, Unique, Size), Unit) ;
end function RandTimeV ;
--
-- integer randomization with a range and exclude vector
-- Distribution determined by RandomParm
--
impure function RandInt (Min, Max : integer ; Exclude : integer_vector ) return integer is
begin
case RandomParm.Distribution is
when NONE | UNIFORM => return Uniform(Min, Max, Exclude) ;
when FAVOR_SMALL => return FavorSmall(Min, Max, Exclude) ;
when FAVOR_BIG => return FavorBig (Min, Max, Exclude) ;
when NORMAL => return Normal(RandomParm.Mean, RandomParm.StdDeviation, Min, Max, Exclude) ;
when POISSON => return Poisson(RandomParm.Mean, Min, Max, Exclude) ;
when others =>
Alert(OSVVM_ALERTLOG_ID, "RandomPkg.RandInt: Specified RandomParm.Distribution not implemented", FAILURE) ;
return integer'low ;
end case ;
end function RandInt ;
impure function RandTime (Min, Max : time ; Exclude : time_vector ; Unit : time := ns) return time is
variable IntVal : integer ;
begin
-- if Min or Max > 2**31 value will be out of range
return RandInt(Min/Unit, Max/Unit, to_integer_vector(Exclude, Unit)) * Unit ;
end function RandTime ;
impure function RandSlv (Min, Max : natural ; Exclude : integer_vector ; Size : natural ) return std_logic_vector is
begin
return std_logic_vector(to_unsigned(RandInt(Min, Max, Exclude), Size)) ;
end function RandSlv ;
impure function RandUnsigned (Min, Max : natural ; Exclude : integer_vector ; Size : natural ) return Unsigned is
begin
return to_unsigned(RandInt(Min, Max, Exclude), Size) ;
end function RandUnsigned ;
impure function RandSigned (Min, Max : integer ; Exclude : integer_vector ; Size : natural ) return Signed is
begin
return to_signed(RandInt(Min, Max, Exclude), Size) ;
end function RandSigned ;
impure function RandIntV (Min, Max : integer ; Exclude : integer_vector ; Size : natural) return integer_vector is
variable result : integer_vector(1 to Size) ;
begin
for i in result'range loop
result(i) := RandInt(Min, Max, Exclude) ;
end loop ;
return result ;
end function RandIntV ;
impure function RandIntV (Min, Max : integer ; Exclude : integer_vector ; Unique : natural ; Size : natural) return integer_vector is
variable ResultPlus : integer_vector(1 to Size + Exclude'length) ;
begin
-- if Unique = 0, it is more efficient to call RandIntV(Min, Max, Size)
ResultPlus(Size+1 to ResultPlus'right) := Exclude ;
for i in 1 to Size loop
ResultPlus(i) := RandInt(Min, Max, ResultPlus(maximum(1, 1 + i - Unique) to ResultPlus'right)) ;
end loop ;
return ResultPlus(1 to Size) ;
end function RandIntV ;
impure function RandTimeV (Min, Max : time ; Exclude : time_vector ; Size : natural ; Unit : in time := ns) return time_vector is
begin
return to_time_vector( RandIntV(Min/Unit, Max/Unit, to_integer_vector(Exclude, Unit), Size), Unit ) ;
end function RandTimeV ;
impure function RandTimeV (Min, Max : time ; Exclude : time_vector ; Unique : natural ; Size : natural ; Unit : in time := ns) return time_vector is
begin
-- if Unique = 0, it is more efficient to call RandIntV(Min, Max, Size)
return to_time_vector( RandIntV(Min/Unit, Max/Unit, to_integer_vector(Exclude, Unit), Unique, Size), Unit ) ;
end function RandTimeV ;
--
-- Randomly select a value within a set of values
-- Distribution determined by RandomParm
--
impure function RandInt ( A : integer_vector ) return integer is
alias A_norm : integer_vector(1 to A'length) is A ;
begin
return A_norm( RandInt(1, A'length) ) ;
end function RandInt ;
impure function RandReal ( A : real_vector ) return real is
alias A_norm : real_vector(1 to A'length) is A ;
begin
return A_norm( RandInt(1, A'length) ) ;
end function RandReal ;
impure function RandTime ( A : time_vector ) return time is
alias A_norm : time_vector(1 to A'length) is A ;
begin
return A_norm( RandInt(1, A'length) ) ;
end function RandTime ;
impure function RandSlv (A : integer_vector ; Size : natural) return std_logic_vector is
begin
return std_logic_vector(to_unsigned(RandInt(A), Size)) ;
end function RandSlv ;
impure function RandUnsigned (A : integer_vector ; Size : natural) return Unsigned is
begin
return to_unsigned(RandInt(A), Size) ;
end function RandUnsigned ;
impure function RandSigned (A : integer_vector ; Size : natural ) return Signed is
begin
return to_signed(RandInt(A), Size) ;
end function RandSigned ;
impure function RandIntV (A : integer_vector ; Size : natural) return integer_vector is
variable result : integer_vector(1 to Size) ;
begin
for i in result'range loop
result(i) := RandInt(A) ;
end loop ;
return result ;
end function RandIntV ;
impure function RandIntV (A : integer_vector ; Unique : natural ; Size : natural) return integer_vector is
variable result : integer_vector(1 to Size) ;
variable iUnique : natural ;
begin
-- if Unique = 0, it is more efficient to call RandIntV(A, Size)
-- require A'length >= Unique
iUnique := Unique ;
if A'length < Unique then
Alert(OSVVM_ALERTLOG_ID, "RandomPkg.RandIntV: Unique > length of set of values", FAILURE) ;
iUnique := A'length ;
end if ;
for i in result'range loop
result(i) := RandInt(A, result(maximum(1, 1 + i - iUnique) to Size)) ;
end loop ;
return result ;
end function RandIntV ;
impure function RandRealV (A : real_vector ; Size : natural) return real_vector is
variable result : real_vector(1 to Size) ;
begin
for i in result'range loop
result(i) := RandReal(A) ;
end loop ;
return result ;
end function RandRealV ;
impure function RandRealV (A : real_vector ; Unique : natural ; Size : natural) return real_vector is
alias A_norm : real_vector(1 to A'length) is A ;
variable result : real_vector(1 to Size) ;
variable IntResult : integer_vector(result'range) ;
begin
-- randomly generate indices
IntResult := RandIntV(1, A'length, Unique, Size) ;
-- translate indicies into result values
for i in result'range loop
result(i) := A_norm(IntResult(i)) ;
end loop ;
return result ;
end function RandRealV ;
impure function RandTimeV (A : time_vector ; Size : natural) return time_vector is
variable result : time_vector(1 to Size) ;
begin
for i in result'range loop
result(i) := RandTime(A) ;
end loop ;
return result ;
end function RandTimeV ;
impure function RandTimeV (A : time_vector ; Unique : natural ; Size : natural) return time_vector is
alias A_norm : time_vector(1 to A'length) is A ;
variable result : time_vector(1 to Size) ;
variable IntResult : integer_vector(result'range) ;
begin
-- randomly generate indices
IntResult := RandIntV(1, A'length, Unique, Size) ;
-- translate indicies into result values
for i in result'range loop
result(i) := A_norm(IntResult(i)) ;
end loop ;
return result ;
end function RandTimeV ;
--
-- Randomly select a value within a set of values with exclude values (so can skip last or last n)
-- Distribution determined by RandomParm
--
impure function RandInt ( A, Exclude : integer_vector ) return integer is
variable NewA : integer_vector(1 to A'length) ;
variable NewALength : natural ;
begin
-- Remove Exclude from A
RemoveExclude(A, Exclude, NewA, NewALength) ;
-- Randomize Index
return NewA(RandInt(1, NewALength)) ;
end function RandInt ;
impure function RandReal ( A, Exclude : real_vector ) return real is
variable NewA : real_vector(1 to A'length) ;
variable NewALength : natural ;
begin
-- Remove Exclude from A
RemoveExclude(A, Exclude, NewA, NewALength) ;
-- Randomize Index
return NewA(RandInt(1, NewALength)) ;
end function RandReal ;
impure function RandTime ( A, Exclude : time_vector ) return time is
variable NewA : time_vector(1 to A'length) ;
variable NewALength : natural ;
begin
-- Remove Exclude from A
RemoveExclude(A, Exclude, NewA, NewALength) ;
-- Randomize Index
return NewA(RandInt(1, NewALength)) ;
end function RandTime ;
impure function RandSlv (A, Exclude : integer_vector ; Size : natural) return std_logic_vector is
begin
return std_logic_vector(to_unsigned(RandInt(A, Exclude), Size)) ;
end function RandSlv ;
impure function RandUnsigned (A, Exclude : integer_vector ; Size : natural) return Unsigned is
begin
return to_unsigned(RandInt(A, Exclude), Size) ;
end function RandUnsigned ;
impure function RandSigned (A, Exclude : integer_vector ; Size : natural ) return Signed is
begin
return to_signed(RandInt(A, Exclude), Size) ;
end function RandSigned ;
impure function RandIntV (A, Exclude : integer_vector ; Size : natural) return integer_vector is
variable result : integer_vector(1 to Size) ;
variable NewA : integer_vector(1 to A'length) ;
variable NewALength : natural ;
begin
-- Remove Exclude from A
RemoveExclude(A, Exclude, NewA, NewALength) ;
-- Randomize Index
for i in result'range loop
result(i) := NewA(RandInt(1, NewALength)) ;
end loop ;
return result ;
end function RandIntV ;
impure function RandIntV (A, Exclude : integer_vector ; Unique : natural ; Size : natural) return integer_vector is
variable result : integer_vector(1 to Size) ;
variable NewA : integer_vector(1 to A'length) ;
variable NewALength, iUnique : natural ;
begin
-- if Unique = 0, it is more efficient to call RandIntV(Min, Max, Size)
-- Remove Exclude from A
RemoveExclude(A, Exclude, NewA, NewALength) ;
-- Require NewALength >= Unique
iUnique := Unique ;
if NewALength < Unique then
Alert(OSVVM_ALERTLOG_ID, "RandomPkg.RandIntV: Unique > Length of Set A - Exclude", FAILURE) ;
iUnique := NewALength ;
end if ;
-- Randomize using exclude list of Unique # of newly generated values
for i in result'range loop
result(i) := RandInt(NewA(1 to NewALength), result(maximum(1, 1 + i - iUnique) to Size)) ;
end loop ;
return result ;
end function RandIntV ;
impure function RandRealV (A, Exclude : real_vector ; Size : natural) return real_vector is
variable result : real_vector(1 to Size) ;
variable NewA : real_vector(1 to A'length) ;
variable NewALength : natural ;
begin
-- Remove Exclude from A
RemoveExclude(A, Exclude, NewA, NewALength) ;
-- Randomize Index
for i in result'range loop
result(i) := NewA(RandInt(1, NewALength)) ;
end loop ;
return result ;
end function RandRealV ;
impure function RandRealV (A, Exclude : real_vector ; Unique : natural ; Size : natural) return real_vector is
variable result : real_vector(1 to Size) ;
variable NewA : real_vector(1 to A'length) ;
variable NewALength, iUnique : natural ;
begin
-- if Unique = 0, it is more efficient to call RandRealV(Min, Max, Size)
-- Remove Exclude from A
RemoveExclude(A, Exclude, NewA, NewALength) ;
-- Require NewALength >= Unique
iUnique := Unique ;
if NewALength < Unique then
Alert(OSVVM_ALERTLOG_ID, "RandomPkg.RandRealV: Unique > Length of Set A - Exclude", FAILURE) ;
iUnique := NewALength ;
end if ;
-- Randomize using exclude list of Unique # of newly generated values
for i in result'range loop
result(i) := RandReal(NewA(1 to NewALength), result(maximum(1, 1 + i - iUnique) to Size)) ;
end loop ;
return result ;
end function RandRealV ;
impure function RandTimeV (A, Exclude : time_vector ; Size : natural) return time_vector is
variable result : time_vector(1 to Size) ;
variable NewA : time_vector(1 to A'length) ;
variable NewALength : natural ;
begin
-- Remove Exclude from A
RemoveExclude(A, Exclude, NewA, NewALength) ;
-- Randomize Index
for i in result'range loop
result(i) := NewA(RandInt(1, NewALength)) ;
end loop ;
return result ;
end function RandTimeV ;
impure function RandTimeV (A, Exclude : time_vector ; Unique : natural ; Size : natural) return time_vector is
variable result : time_vector(1 to Size) ;
variable NewA : time_vector(1 to A'length) ;
variable NewALength, iUnique : natural ;
begin
-- if Unique = 0, it is more efficient to call RandRealV(Min, Max, Size)
-- Remove Exclude from A
RemoveExclude(A, Exclude, NewA, NewALength) ;
-- Require NewALength >= Unique
iUnique := Unique ;
if NewALength < Unique then
Alert(OSVVM_ALERTLOG_ID, "RandomPkg.RandTimeV: Unique > Length of Set A - Exclude", FAILURE) ;
iUnique := NewALength ;
end if ;
-- Randomize using exclude list of Unique # of newly generated values
for i in result'range loop
result(i) := RandTime(NewA(1 to NewALength), result(maximum(1, 1 + i - iUnique) to Size)) ;
end loop ;
return result ;
end function RandTimeV ;
--
-- Basic Discrete Distributions
-- Always uses Uniform
--
impure function DistInt ( Weight : integer_vector ) return integer is
variable DistArray : integer_vector(weight'range) ;
variable sum : integer ;
variable iRandomVal : integer ;
begin
DistArray := Weight ;
sum := 0 ;
for i in DistArray'range loop
DistArray(i) := DistArray(i) + sum ;
if DistArray(i) < sum then
Alert(OSVVM_ALERTLOG_ID, "RandomPkg.DistInt: negative weight or sum > 31 bits", FAILURE) ;
return DistArray'low ; -- allows debugging vs integer'left, out of range
end if ;
sum := DistArray(i) ;
end loop ;
if sum >= 1 then
iRandomVal := Uniform(1, sum) ;
for i in DistArray'range loop
if iRandomVal <= DistArray(i) then
return i ;
end if ;
end loop ;
Alert(OSVVM_ALERTLOG_ID, "RandomPkg.DistInt: randomization failed", FAILURE) ;
else
Alert(OSVVM_ALERTLOG_ID, "RandomPkg.DistInt: No randomization weights", FAILURE) ;
end if ;
return DistArray'low ; -- allows debugging vs integer'left, out of range
end function DistInt ;
impure function DistSlv ( Weight : integer_vector ; Size : natural ) return std_logic_vector is
begin
return std_logic_vector(to_unsigned(DistInt(Weight), Size)) ;
end function DistSlv ;
impure function DistUnsigned ( Weight : integer_vector ; Size : natural ) return unsigned is
begin
return to_unsigned(DistInt(Weight), Size) ;
end function DistUnsigned ;
impure function DistSigned ( Weight : integer_vector ; Size : natural ) return signed is
begin
return to_signed(DistInt(Weight), Size) ;
end function DistSigned ;
--
-- Basic Distributions with exclude values (so can skip last or last n)
-- Always uses Uniform via DistInt
--
impure function DistInt ( Weight : integer_vector ; Exclude : integer_vector ) return integer is
variable DistArray : integer_vector(weight'range) ;
variable ExcludeTemp : integer ;
begin
DistArray := Weight ;
for i in Exclude'range loop
ExcludeTemp := Exclude(i) ;
if ExcludeTemp >= DistArray'low and ExcludeTemp <= DistArray'high then
DistArray(ExcludeTemp) := 0 ;
end if ;
end loop ;
return DistInt(DistArray) ;
end function DistInt ;
impure function DistSlv ( Weight : integer_vector ; Exclude : integer_vector ; Size : natural ) return std_logic_vector is
begin
return std_logic_vector(to_unsigned(DistInt(Weight, Exclude), Size)) ;
end function DistSlv ;
impure function DistUnsigned ( Weight : integer_vector ; Exclude : integer_vector ; Size : natural ) return unsigned is
begin
return to_unsigned(DistInt(Weight, Exclude), Size) ;
end function DistUnsigned ;
impure function DistSigned ( Weight : integer_vector ; Exclude : integer_vector ; Size : natural ) return signed is
begin
return to_signed(DistInt(Weight, Exclude), Size) ;
end function DistSigned ;
--
-- Distribution for sparse values
-- Always uses Uniform via DistInt
--
impure function DistValInt ( A : DistType ) return integer is
variable DistArray : integer_vector(0 to A'length -1) ;
alias DistRecArray : DistType(DistArray'range) is A ;
begin
for i in DistArray'range loop
DistArray(i) := DistRecArray(i).Weight ;
end loop ;
return DistRecArray(DistInt(DistArray)).Value ;
end function DistValInt ;
impure function DistValSlv ( A : DistType ; Size : natural ) return std_logic_vector is
begin
return std_logic_vector(to_unsigned(DistValInt(A), Size)) ;
end function DistValSlv ;
impure function DistValUnsigned ( A : DistType ; Size : natural ) return unsigned is
begin
return to_unsigned(DistValInt(A), Size) ;
end function DistValUnsigned ;
impure function DistValSigned ( A : DistType ; Size : natural ) return signed is
begin
return to_signed(DistValInt(A), Size) ;
end function DistValSigned ;
--
-- Distribution for sparse values with exclude values (so can skip last or last n)
-- Always uses Uniform via DistInt
--
impure function DistValInt ( A : DistType ; Exclude : integer_vector ) return integer is
variable DistArray : integer_vector(0 to A'length -1) ;
alias DistRecArray : DistType(DistArray'range) is A ;
begin
for i in DistRecArray'range loop
if inside(DistRecArray(i).Value, exclude) then
DistArray(i) := 0 ; -- exclude
else
DistArray(i) := DistRecArray(i).Weight ;
end if ;
end loop ;
return DistRecArray(DistInt(DistArray)).Value ;
end function DistValInt ;
impure function DistValSlv ( A : DistType ; Exclude : integer_vector ; Size : natural ) return std_logic_vector is
begin
return std_logic_vector(to_unsigned(DistValInt(A, Exclude), Size)) ;
end function DistValSlv ;
impure function DistValUnsigned ( A : DistType ; Exclude : integer_vector ; Size : natural ) return unsigned is
begin
return to_unsigned(DistValInt(A, Exclude), Size) ;
end function DistValUnsigned ;
impure function DistValSigned ( A : DistType ; Exclude : integer_vector ; Size : natural ) return signed is
begin
return to_signed(DistValInt(A, Exclude), Size) ;
end function DistValSigned ;
--
-- Large vector handling.
--
impure function RandUnsigned (Size : natural) return unsigned is
constant NumLoops : integer := integer(ceil(real(Size)/30.0)) ;
constant Remain : integer := (Size - 1) mod 30 + 1 ; -- range 1 to 30
variable RandVal : unsigned(1 to Size) ;
begin
if size = 0 then
return NULL_UV ; -- Null array
end if ;
for i in 0 to NumLoops-2 loop
RandVal(1 + 30*i to 30 + 30*i) := to_unsigned(RandInt(0, 2**30-1), 30) ;
end loop ;
RandVal(1+30*(NumLoops-1) to Remain + 30*(NumLoops-1)) := to_unsigned(RandInt(0, 2**Remain-1), Remain) ;
return RandVal ;
end function RandUnsigned ;
impure function RandSlv (Size : natural) return std_logic_vector is
begin
return std_logic_vector(RandUnsigned(Size)) ;
end function RandSlv ;
impure function RandSigned (Size : natural) return signed is
begin
return signed(RandUnsigned(Size)) ;
end function RandSigned ;
impure function RandUnsigned (Max : unsigned) return unsigned is
alias normMax : unsigned (Max'length downto 1) is Max ;
variable Result : unsigned(Max'range) := (others => '0') ;
alias normResult : unsigned(normMax'range) is Result ;
variable Size : integer ;
begin
-- Size = -1 if not found or Max'length = 0
Size := find_leftmost(normMax, '1') ;
if Size > 0 then
loop
normResult(Size downto 1) := RandUnsigned(Size) ;
exit when normResult <= Max ;
end loop ;
return Result ; -- = normResult with range same as Max
else
return resize("0", Max'length) ;
end if ;
end function RandUnsigned ;
-- Working version that scales the value
-- impure function RandUnsigned (Max : unsigned) return unsigned is
-- constant MaxVal : unsigned(Max'length+3 downto 1) := (others => '1') ;
-- begin
-- if max'length > 0 then
-- -- "Max'length+3" creates 3 guard bits
-- return resize( RandUnsigned(Max'length+3) * ('0'&Max+1) / ('0'&MaxVal+1), Max'length) ;
-- else
-- return NULL_UV ; -- Null Array
-- end if ;
-- end function RandUnsigned ;
impure function RandSlv (Max : std_logic_vector) return std_logic_vector is
begin
return std_logic_vector(RandUnsigned( unsigned(Max))) ;
end function RandSlv ;
impure function RandSigned (Max : signed) return signed is
begin
if max'length > 0 then
AlertIf (OSVVM_ALERTLOG_ID, Max < 0, "RandomPkg.RandSigned: Max < 0", FAILURE) ;
return signed(RandUnsigned( unsigned(Max))) ;
else
return NULL_SV ; -- Null Array
end if ;
end function RandSigned ;
impure function RandUnsigned (Min, Max : unsigned) return unsigned is
constant LEN : integer := maximum(Max'length, Min'length) ;
begin
if LEN > 0 and Min <= Max then
return RandUnsigned(Max-Min) + Min ;
else
if Len > 0 then
Alert(OSVVM_ALERTLOG_ID, "RandomPkg.RandUnsigned: Max < Min", FAILURE) ;
end if ;
return NULL_UV ;
end if ;
end function RandUnsigned ;
impure function RandSlv (Min, Max : std_logic_vector) return std_logic_vector is
constant LEN : integer := maximum(Max'length, Min'length) ;
begin
if LEN > 0 and Min <= Max then
return RandSlv(Max-Min) + Min ;
else
if Len > 0 then
Alert(OSVVM_ALERTLOG_ID, "RandomPkg.RandSlv: Max < Min", FAILURE) ;
end if ;
return NULL_SlV ;
end if ;
end function RandSlv ;
impure function RandSigned (Min, Max : signed) return signed is
constant LEN : integer := maximum(Max'length, Min'length) ;
begin
if LEN > 0 and Min <= Max then
return resize(RandSigned(resize(Max,LEN+1) - resize(Min,LEN+1)) + Min, LEN) ;
else
if Len > 0 then
Alert(OSVVM_ALERTLOG_ID, "RandomPkg.RandSigned: Max < Min", FAILURE) ;
end if ;
return NULL_SV ;
end if ;
end function RandSigned ;
--
-- Convenience Functions. Resolve into calls into the other functions
--
impure function RandReal return real is
begin
return RandReal(0.0, 1.0) ;
end function RandReal ;
impure function RandReal(Max : Real) return real is -- 0.0 to Max
begin
return RandReal(0.0, Max) ;
end function RandReal ;
impure function RandInt (Max : integer) return integer is
begin
return RandInt(0, Max) ;
end function RandInt ;
impure function RandSlv (Max, Size : natural) return std_logic_vector is
begin
return std_logic_vector(to_unsigned(RandInt(0, Max), Size)) ;
end function RandSlv ;
impure function RandUnsigned (Max, Size : natural) return Unsigned is
begin
return to_unsigned(RandInt(0, Max), Size) ;
end function RandUnsigned ;
impure function RandSigned (Max : integer ; Size : natural ) return Signed is
begin
-- chose 0 to Max rather than -Max to +Max to be same as RandUnsigned, either seems logical
return to_signed(RandInt(0, Max), Size) ;
end function RandSigned ;
end protected body RandomPType ;
end RandomPkg ; |
-- (c) Copyright 1995-2016 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- DO NOT MODIFY THIS FILE.
-- IP VLNV: xilinx.com:ip:axi_dma:7.1
-- IP Revision: 4
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.numeric_std.ALL;
LIBRARY axi_dma_v7_1;
USE axi_dma_v7_1.axi_dma;
ENTITY design_1_axi_dma_0_0 IS
PORT (
s_axi_lite_aclk : IN STD_LOGIC;
m_axi_s2mm_aclk : IN STD_LOGIC;
axi_resetn : IN STD_LOGIC;
s_axi_lite_awvalid : IN STD_LOGIC;
s_axi_lite_awready : OUT STD_LOGIC;
s_axi_lite_awaddr : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
s_axi_lite_wvalid : IN STD_LOGIC;
s_axi_lite_wready : OUT STD_LOGIC;
s_axi_lite_wdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axi_lite_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_lite_bvalid : OUT STD_LOGIC;
s_axi_lite_bready : IN STD_LOGIC;
s_axi_lite_arvalid : IN STD_LOGIC;
s_axi_lite_arready : OUT STD_LOGIC;
s_axi_lite_araddr : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
s_axi_lite_rvalid : OUT STD_LOGIC;
s_axi_lite_rready : IN STD_LOGIC;
s_axi_lite_rdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axi_lite_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
m_axi_s2mm_awaddr : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
m_axi_s2mm_awlen : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
m_axi_s2mm_awsize : OUT STD_LOGIC_VECTOR(2 DOWNTO 0);
m_axi_s2mm_awburst : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
m_axi_s2mm_awprot : OUT STD_LOGIC_VECTOR(2 DOWNTO 0);
m_axi_s2mm_awcache : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axi_s2mm_awvalid : OUT STD_LOGIC;
m_axi_s2mm_awready : IN STD_LOGIC;
m_axi_s2mm_wdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
m_axi_s2mm_wstrb : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axi_s2mm_wlast : OUT STD_LOGIC;
m_axi_s2mm_wvalid : OUT STD_LOGIC;
m_axi_s2mm_wready : IN STD_LOGIC;
m_axi_s2mm_bresp : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
m_axi_s2mm_bvalid : IN STD_LOGIC;
m_axi_s2mm_bready : OUT STD_LOGIC;
s2mm_prmry_reset_out_n : OUT STD_LOGIC;
s_axis_s2mm_tdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axis_s2mm_tkeep : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axis_s2mm_tvalid : IN STD_LOGIC;
s_axis_s2mm_tready : OUT STD_LOGIC;
s_axis_s2mm_tlast : IN STD_LOGIC;
s2mm_introut : OUT STD_LOGIC;
axi_dma_tstvec : OUT STD_LOGIC_VECTOR(31 DOWNTO 0)
);
END design_1_axi_dma_0_0;
ARCHITECTURE design_1_axi_dma_0_0_arch OF design_1_axi_dma_0_0 IS
ATTRIBUTE DowngradeIPIdentifiedWarnings : string;
ATTRIBUTE DowngradeIPIdentifiedWarnings OF design_1_axi_dma_0_0_arch: ARCHITECTURE IS "yes";
COMPONENT axi_dma IS
GENERIC (
C_S_AXI_LITE_ADDR_WIDTH : INTEGER;
C_S_AXI_LITE_DATA_WIDTH : INTEGER;
C_DLYTMR_RESOLUTION : INTEGER;
C_PRMRY_IS_ACLK_ASYNC : INTEGER;
C_ENABLE_MULTI_CHANNEL : INTEGER;
C_NUM_MM2S_CHANNELS : INTEGER;
C_NUM_S2MM_CHANNELS : INTEGER;
C_INCLUDE_SG : INTEGER;
C_SG_INCLUDE_STSCNTRL_STRM : INTEGER;
C_SG_USE_STSAPP_LENGTH : INTEGER;
C_SG_LENGTH_WIDTH : INTEGER;
C_M_AXI_SG_ADDR_WIDTH : INTEGER;
C_M_AXI_SG_DATA_WIDTH : INTEGER;
C_M_AXIS_MM2S_CNTRL_TDATA_WIDTH : INTEGER;
C_S_AXIS_S2MM_STS_TDATA_WIDTH : INTEGER;
C_MICRO_DMA : INTEGER;
C_INCLUDE_MM2S : INTEGER;
C_INCLUDE_MM2S_SF : INTEGER;
C_MM2S_BURST_SIZE : INTEGER;
C_M_AXI_MM2S_ADDR_WIDTH : INTEGER;
C_M_AXI_MM2S_DATA_WIDTH : INTEGER;
C_M_AXIS_MM2S_TDATA_WIDTH : INTEGER;
C_INCLUDE_MM2S_DRE : INTEGER;
C_INCLUDE_S2MM : INTEGER;
C_INCLUDE_S2MM_SF : INTEGER;
C_S2MM_BURST_SIZE : INTEGER;
C_M_AXI_S2MM_ADDR_WIDTH : INTEGER;
C_M_AXI_S2MM_DATA_WIDTH : INTEGER;
C_S_AXIS_S2MM_TDATA_WIDTH : INTEGER;
C_INCLUDE_S2MM_DRE : INTEGER;
C_FAMILY : STRING
);
PORT (
s_axi_lite_aclk : IN STD_LOGIC;
m_axi_sg_aclk : IN STD_LOGIC;
m_axi_mm2s_aclk : IN STD_LOGIC;
m_axi_s2mm_aclk : IN STD_LOGIC;
axi_resetn : IN STD_LOGIC;
s_axi_lite_awvalid : IN STD_LOGIC;
s_axi_lite_awready : OUT STD_LOGIC;
s_axi_lite_awaddr : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
s_axi_lite_wvalid : IN STD_LOGIC;
s_axi_lite_wready : OUT STD_LOGIC;
s_axi_lite_wdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axi_lite_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_lite_bvalid : OUT STD_LOGIC;
s_axi_lite_bready : IN STD_LOGIC;
s_axi_lite_arvalid : IN STD_LOGIC;
s_axi_lite_arready : OUT STD_LOGIC;
s_axi_lite_araddr : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
s_axi_lite_rvalid : OUT STD_LOGIC;
s_axi_lite_rready : IN STD_LOGIC;
s_axi_lite_rdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axi_lite_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
m_axi_sg_awaddr : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
m_axi_sg_awlen : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
m_axi_sg_awsize : OUT STD_LOGIC_VECTOR(2 DOWNTO 0);
m_axi_sg_awburst : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
m_axi_sg_awprot : OUT STD_LOGIC_VECTOR(2 DOWNTO 0);
m_axi_sg_awcache : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axi_sg_awuser : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axi_sg_awvalid : OUT STD_LOGIC;
m_axi_sg_awready : IN STD_LOGIC;
m_axi_sg_wdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
m_axi_sg_wstrb : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axi_sg_wlast : OUT STD_LOGIC;
m_axi_sg_wvalid : OUT STD_LOGIC;
m_axi_sg_wready : IN STD_LOGIC;
m_axi_sg_bresp : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
m_axi_sg_bvalid : IN STD_LOGIC;
m_axi_sg_bready : OUT STD_LOGIC;
m_axi_sg_araddr : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
m_axi_sg_arlen : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
m_axi_sg_arsize : OUT STD_LOGIC_VECTOR(2 DOWNTO 0);
m_axi_sg_arburst : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
m_axi_sg_arprot : OUT STD_LOGIC_VECTOR(2 DOWNTO 0);
m_axi_sg_arcache : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axi_sg_aruser : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axi_sg_arvalid : OUT STD_LOGIC;
m_axi_sg_arready : IN STD_LOGIC;
m_axi_sg_rdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
m_axi_sg_rresp : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
m_axi_sg_rlast : IN STD_LOGIC;
m_axi_sg_rvalid : IN STD_LOGIC;
m_axi_sg_rready : OUT STD_LOGIC;
m_axi_mm2s_araddr : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
m_axi_mm2s_arlen : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
m_axi_mm2s_arsize : OUT STD_LOGIC_VECTOR(2 DOWNTO 0);
m_axi_mm2s_arburst : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
m_axi_mm2s_arprot : OUT STD_LOGIC_VECTOR(2 DOWNTO 0);
m_axi_mm2s_arcache : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axi_mm2s_aruser : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axi_mm2s_arvalid : OUT STD_LOGIC;
m_axi_mm2s_arready : IN STD_LOGIC;
m_axi_mm2s_rdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
m_axi_mm2s_rresp : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
m_axi_mm2s_rlast : IN STD_LOGIC;
m_axi_mm2s_rvalid : IN STD_LOGIC;
m_axi_mm2s_rready : OUT STD_LOGIC;
mm2s_prmry_reset_out_n : OUT STD_LOGIC;
m_axis_mm2s_tdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
m_axis_mm2s_tkeep : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axis_mm2s_tvalid : OUT STD_LOGIC;
m_axis_mm2s_tready : IN STD_LOGIC;
m_axis_mm2s_tlast : OUT STD_LOGIC;
m_axis_mm2s_tuser : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axis_mm2s_tid : OUT STD_LOGIC_VECTOR(4 DOWNTO 0);
m_axis_mm2s_tdest : OUT STD_LOGIC_VECTOR(4 DOWNTO 0);
mm2s_cntrl_reset_out_n : OUT STD_LOGIC;
m_axis_mm2s_cntrl_tdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
m_axis_mm2s_cntrl_tkeep : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axis_mm2s_cntrl_tvalid : OUT STD_LOGIC;
m_axis_mm2s_cntrl_tready : IN STD_LOGIC;
m_axis_mm2s_cntrl_tlast : OUT STD_LOGIC;
m_axi_s2mm_awaddr : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
m_axi_s2mm_awlen : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
m_axi_s2mm_awsize : OUT STD_LOGIC_VECTOR(2 DOWNTO 0);
m_axi_s2mm_awburst : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
m_axi_s2mm_awprot : OUT STD_LOGIC_VECTOR(2 DOWNTO 0);
m_axi_s2mm_awcache : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axi_s2mm_awuser : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axi_s2mm_awvalid : OUT STD_LOGIC;
m_axi_s2mm_awready : IN STD_LOGIC;
m_axi_s2mm_wdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
m_axi_s2mm_wstrb : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axi_s2mm_wlast : OUT STD_LOGIC;
m_axi_s2mm_wvalid : OUT STD_LOGIC;
m_axi_s2mm_wready : IN STD_LOGIC;
m_axi_s2mm_bresp : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
m_axi_s2mm_bvalid : IN STD_LOGIC;
m_axi_s2mm_bready : OUT STD_LOGIC;
s2mm_prmry_reset_out_n : OUT STD_LOGIC;
s_axis_s2mm_tdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axis_s2mm_tkeep : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axis_s2mm_tvalid : IN STD_LOGIC;
s_axis_s2mm_tready : OUT STD_LOGIC;
s_axis_s2mm_tlast : IN STD_LOGIC;
s_axis_s2mm_tuser : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axis_s2mm_tid : IN STD_LOGIC_VECTOR(4 DOWNTO 0);
s_axis_s2mm_tdest : IN STD_LOGIC_VECTOR(4 DOWNTO 0);
s2mm_sts_reset_out_n : OUT STD_LOGIC;
s_axis_s2mm_sts_tdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axis_s2mm_sts_tkeep : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axis_s2mm_sts_tvalid : IN STD_LOGIC;
s_axis_s2mm_sts_tready : OUT STD_LOGIC;
s_axis_s2mm_sts_tlast : IN STD_LOGIC;
mm2s_introut : OUT STD_LOGIC;
s2mm_introut : OUT STD_LOGIC;
axi_dma_tstvec : OUT STD_LOGIC_VECTOR(31 DOWNTO 0)
);
END COMPONENT axi_dma;
ATTRIBUTE X_INTERFACE_INFO : STRING;
ATTRIBUTE X_INTERFACE_INFO OF s_axi_lite_aclk: SIGNAL IS "xilinx.com:signal:clock:1.0 S_AXI_LITE_ACLK CLK";
ATTRIBUTE X_INTERFACE_INFO OF m_axi_s2mm_aclk: SIGNAL IS "xilinx.com:signal:clock:1.0 M_AXI_S2MM_CLK CLK";
ATTRIBUTE X_INTERFACE_INFO OF axi_resetn: SIGNAL IS "xilinx.com:signal:reset:1.0 AXI_RESETN RST";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_lite_awvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI_LITE AWVALID";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_lite_awready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI_LITE AWREADY";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_lite_awaddr: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI_LITE AWADDR";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_lite_wvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI_LITE WVALID";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_lite_wready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI_LITE WREADY";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_lite_wdata: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI_LITE WDATA";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_lite_bresp: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI_LITE BRESP";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_lite_bvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI_LITE BVALID";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_lite_bready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI_LITE BREADY";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_lite_arvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI_LITE ARVALID";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_lite_arready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI_LITE ARREADY";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_lite_araddr: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI_LITE ARADDR";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_lite_rvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI_LITE RVALID";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_lite_rready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI_LITE RREADY";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_lite_rdata: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI_LITE RDATA";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_lite_rresp: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI_LITE RRESP";
ATTRIBUTE X_INTERFACE_INFO OF m_axi_s2mm_awaddr: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_S2MM AWADDR";
ATTRIBUTE X_INTERFACE_INFO OF m_axi_s2mm_awlen: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_S2MM AWLEN";
ATTRIBUTE X_INTERFACE_INFO OF m_axi_s2mm_awsize: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_S2MM AWSIZE";
ATTRIBUTE X_INTERFACE_INFO OF m_axi_s2mm_awburst: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_S2MM AWBURST";
ATTRIBUTE X_INTERFACE_INFO OF m_axi_s2mm_awprot: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_S2MM AWPROT";
ATTRIBUTE X_INTERFACE_INFO OF m_axi_s2mm_awcache: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_S2MM AWCACHE";
ATTRIBUTE X_INTERFACE_INFO OF m_axi_s2mm_awvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_S2MM AWVALID";
ATTRIBUTE X_INTERFACE_INFO OF m_axi_s2mm_awready: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_S2MM AWREADY";
ATTRIBUTE X_INTERFACE_INFO OF m_axi_s2mm_wdata: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_S2MM WDATA";
ATTRIBUTE X_INTERFACE_INFO OF m_axi_s2mm_wstrb: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_S2MM WSTRB";
ATTRIBUTE X_INTERFACE_INFO OF m_axi_s2mm_wlast: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_S2MM WLAST";
ATTRIBUTE X_INTERFACE_INFO OF m_axi_s2mm_wvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_S2MM WVALID";
ATTRIBUTE X_INTERFACE_INFO OF m_axi_s2mm_wready: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_S2MM WREADY";
ATTRIBUTE X_INTERFACE_INFO OF m_axi_s2mm_bresp: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_S2MM BRESP";
ATTRIBUTE X_INTERFACE_INFO OF m_axi_s2mm_bvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_S2MM BVALID";
ATTRIBUTE X_INTERFACE_INFO OF m_axi_s2mm_bready: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_S2MM BREADY";
ATTRIBUTE X_INTERFACE_INFO OF s2mm_prmry_reset_out_n: SIGNAL IS "xilinx.com:signal:reset:1.0 S2MM_PRMRY_RESET_OUT_N RST";
ATTRIBUTE X_INTERFACE_INFO OF s_axis_s2mm_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_S2MM TDATA";
ATTRIBUTE X_INTERFACE_INFO OF s_axis_s2mm_tkeep: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_S2MM TKEEP";
ATTRIBUTE X_INTERFACE_INFO OF s_axis_s2mm_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_S2MM TVALID";
ATTRIBUTE X_INTERFACE_INFO OF s_axis_s2mm_tready: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_S2MM TREADY";
ATTRIBUTE X_INTERFACE_INFO OF s_axis_s2mm_tlast: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_S2MM TLAST";
ATTRIBUTE X_INTERFACE_INFO OF s2mm_introut: SIGNAL IS "xilinx.com:signal:interrupt:1.0 S2MM_INTROUT INTERRUPT";
BEGIN
U0 : axi_dma
GENERIC MAP (
C_S_AXI_LITE_ADDR_WIDTH => 10,
C_S_AXI_LITE_DATA_WIDTH => 32,
C_DLYTMR_RESOLUTION => 125,
C_PRMRY_IS_ACLK_ASYNC => 0,
C_ENABLE_MULTI_CHANNEL => 0,
C_NUM_MM2S_CHANNELS => 1,
C_NUM_S2MM_CHANNELS => 1,
C_INCLUDE_SG => 0,
C_SG_INCLUDE_STSCNTRL_STRM => 0,
C_SG_USE_STSAPP_LENGTH => 0,
C_SG_LENGTH_WIDTH => 14,
C_M_AXI_SG_ADDR_WIDTH => 32,
C_M_AXI_SG_DATA_WIDTH => 32,
C_M_AXIS_MM2S_CNTRL_TDATA_WIDTH => 32,
C_S_AXIS_S2MM_STS_TDATA_WIDTH => 32,
C_MICRO_DMA => 0,
C_INCLUDE_MM2S => 0,
C_INCLUDE_MM2S_SF => 1,
C_MM2S_BURST_SIZE => 16,
C_M_AXI_MM2S_ADDR_WIDTH => 32,
C_M_AXI_MM2S_DATA_WIDTH => 32,
C_M_AXIS_MM2S_TDATA_WIDTH => 32,
C_INCLUDE_MM2S_DRE => 0,
C_INCLUDE_S2MM => 1,
C_INCLUDE_S2MM_SF => 1,
C_S2MM_BURST_SIZE => 16,
C_M_AXI_S2MM_ADDR_WIDTH => 32,
C_M_AXI_S2MM_DATA_WIDTH => 32,
C_S_AXIS_S2MM_TDATA_WIDTH => 32,
C_INCLUDE_S2MM_DRE => 0,
C_FAMILY => "zynq"
)
PORT MAP (
s_axi_lite_aclk => s_axi_lite_aclk,
m_axi_sg_aclk => '0',
m_axi_mm2s_aclk => '0',
m_axi_s2mm_aclk => m_axi_s2mm_aclk,
axi_resetn => axi_resetn,
s_axi_lite_awvalid => s_axi_lite_awvalid,
s_axi_lite_awready => s_axi_lite_awready,
s_axi_lite_awaddr => s_axi_lite_awaddr,
s_axi_lite_wvalid => s_axi_lite_wvalid,
s_axi_lite_wready => s_axi_lite_wready,
s_axi_lite_wdata => s_axi_lite_wdata,
s_axi_lite_bresp => s_axi_lite_bresp,
s_axi_lite_bvalid => s_axi_lite_bvalid,
s_axi_lite_bready => s_axi_lite_bready,
s_axi_lite_arvalid => s_axi_lite_arvalid,
s_axi_lite_arready => s_axi_lite_arready,
s_axi_lite_araddr => s_axi_lite_araddr,
s_axi_lite_rvalid => s_axi_lite_rvalid,
s_axi_lite_rready => s_axi_lite_rready,
s_axi_lite_rdata => s_axi_lite_rdata,
s_axi_lite_rresp => s_axi_lite_rresp,
m_axi_sg_awready => '0',
m_axi_sg_wready => '0',
m_axi_sg_bresp => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)),
m_axi_sg_bvalid => '0',
m_axi_sg_arready => '0',
m_axi_sg_rdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
m_axi_sg_rresp => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)),
m_axi_sg_rlast => '0',
m_axi_sg_rvalid => '0',
m_axi_mm2s_arready => '0',
m_axi_mm2s_rdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
m_axi_mm2s_rresp => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)),
m_axi_mm2s_rlast => '0',
m_axi_mm2s_rvalid => '0',
m_axis_mm2s_tready => '0',
m_axis_mm2s_cntrl_tready => '0',
m_axi_s2mm_awaddr => m_axi_s2mm_awaddr,
m_axi_s2mm_awlen => m_axi_s2mm_awlen,
m_axi_s2mm_awsize => m_axi_s2mm_awsize,
m_axi_s2mm_awburst => m_axi_s2mm_awburst,
m_axi_s2mm_awprot => m_axi_s2mm_awprot,
m_axi_s2mm_awcache => m_axi_s2mm_awcache,
m_axi_s2mm_awvalid => m_axi_s2mm_awvalid,
m_axi_s2mm_awready => m_axi_s2mm_awready,
m_axi_s2mm_wdata => m_axi_s2mm_wdata,
m_axi_s2mm_wstrb => m_axi_s2mm_wstrb,
m_axi_s2mm_wlast => m_axi_s2mm_wlast,
m_axi_s2mm_wvalid => m_axi_s2mm_wvalid,
m_axi_s2mm_wready => m_axi_s2mm_wready,
m_axi_s2mm_bresp => m_axi_s2mm_bresp,
m_axi_s2mm_bvalid => m_axi_s2mm_bvalid,
m_axi_s2mm_bready => m_axi_s2mm_bready,
s2mm_prmry_reset_out_n => s2mm_prmry_reset_out_n,
s_axis_s2mm_tdata => s_axis_s2mm_tdata,
s_axis_s2mm_tkeep => s_axis_s2mm_tkeep,
s_axis_s2mm_tvalid => s_axis_s2mm_tvalid,
s_axis_s2mm_tready => s_axis_s2mm_tready,
s_axis_s2mm_tlast => s_axis_s2mm_tlast,
s_axis_s2mm_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axis_s2mm_tid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 5)),
s_axis_s2mm_tdest => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 5)),
s_axis_s2mm_sts_tdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
s_axis_s2mm_sts_tkeep => X"F",
s_axis_s2mm_sts_tvalid => '0',
s_axis_s2mm_sts_tlast => '0',
s2mm_introut => s2mm_introut,
axi_dma_tstvec => axi_dma_tstvec
);
END design_1_axi_dma_0_0_arch;
|
package pkg is
procedure proc;
alias prog_alias is proc[];
end package;
package body pkg is
procedure proc is
begin
end;
impure function prog_alias return integer is
begin
prog_alias;
return 0;
end;
end package body;
|
package pkg is
procedure proc;
alias prog_alias is proc[];
end package;
package body pkg is
procedure proc is
begin
end;
impure function prog_alias return integer is
begin
prog_alias;
return 0;
end;
end package body;
|
package pkg is
procedure proc;
alias prog_alias is proc[];
end package;
package body pkg is
procedure proc is
begin
end;
impure function prog_alias return integer is
begin
prog_alias;
return 0;
end;
end package body;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc2606.vhd,v 1.2 2001-10-26 16:30:20 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c13s03b01x00p02n01i02606ent IS
END c13s03b01x00p02n01i02606ent;
ARCHITECTURE c13s03b01x00p02n01i02606arch OF c13s03b01x00p02n01i02606ent IS
BEGIN
TESTING: PROCESS
variable k> : integer := 0;
BEGIN
assert FALSE
report "***FAILED TEST: c13s03b01x00p02n01i02606 - Identifier can not end with '>'."
severity ERROR;
wait;
END PROCESS TESTING;
END c13s03b01x00p02n01i02606arch;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc2606.vhd,v 1.2 2001-10-26 16:30:20 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c13s03b01x00p02n01i02606ent IS
END c13s03b01x00p02n01i02606ent;
ARCHITECTURE c13s03b01x00p02n01i02606arch OF c13s03b01x00p02n01i02606ent IS
BEGIN
TESTING: PROCESS
variable k> : integer := 0;
BEGIN
assert FALSE
report "***FAILED TEST: c13s03b01x00p02n01i02606 - Identifier can not end with '>'."
severity ERROR;
wait;
END PROCESS TESTING;
END c13s03b01x00p02n01i02606arch;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc2606.vhd,v 1.2 2001-10-26 16:30:20 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c13s03b01x00p02n01i02606ent IS
END c13s03b01x00p02n01i02606ent;
ARCHITECTURE c13s03b01x00p02n01i02606arch OF c13s03b01x00p02n01i02606ent IS
BEGIN
TESTING: PROCESS
variable k> : integer := 0;
BEGIN
assert FALSE
report "***FAILED TEST: c13s03b01x00p02n01i02606 - Identifier can not end with '>'."
severity ERROR;
wait;
END PROCESS TESTING;
END c13s03b01x00p02n01i02606arch;
|
library verilog;
use verilog.vl_types.all;
entity my_ROM is
port(
addr : in vl_logic_vector(3 downto 0);
clk : in vl_logic;
\out\ : out vl_logic_vector(7 downto 0)
);
end my_ROM;
|
----------------------------------------------------------------------------------
-- Company: Federal University of Santa Catarina
-- Engineer:
--
-- Create Date:
-- Design Name:
-- Module Name:
-- Project Name:
-- Target Devices:
-- Tool versions:
-- Description:
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
----------------------------------------------------------------------------------
library IEEE;
use ieee.std_logic_1164.all;
entity deslocadorEsquerda is
generic(largura: natural := 8);
port(
entrada: in std_logic_vector(largura-1 downto 0);
saida: out std_logic_vector(largura-1 downto 0)
);
end entity;
architecture comportamental of deslocadorEsquerda is
begin
saida <= entrada(largura-3 downto 0) & "00";
end architecture;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc2324.vhd,v 1.2 2001-10-26 16:29:47 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c07s02b07x00p01n01i02324ent IS
END c07s02b07x00p01n01i02324ent;
ARCHITECTURE c07s02b07x00p01n01i02324arch OF c07s02b07x00p01n01i02324ent IS
BEGIN
TESTING: PROCESS
-- Local declarations.
variable INTV1 : INTEGER;
variable INTV2 : INTEGER;
variable INTV3 : INTEGER;
variable INTV4 : INTEGER;
variable INTV5 : INTEGER;
variable INTV6 : INTEGER;
variable INTV7 : INTEGER;
variable INTV8 : INTEGER;
variable REALV1 : REAL;
variable REALV2 : REAL;
variable REALV3 : REAL;
variable REALV4 : REAL;
variable REALV5 : REAL;
variable REALV6 : REAL;
variable REALV7 : REAL;
variable REALV8 : REAL;
BEGIN
-- Test absolute value of integer literals and variables.
INTV1 := abs (-5);
assert (INTV1 = 5)
report "Assertion Violation(1)";
INTV2 := abs 5;
assert (INTV2 = 5)
report "Assertion Violation(2)";
INTV3 := abs 0;
assert (INTV3 = 0)
report "Assertion Violation(3)";
INTV4 := abs INTEGER'HIGH;
assert (INTV4 = INTEGER'HIGH)
report "Assertion Violation(4)";
INTV5 := -5;
INTV5 := abs INTV5;
assert (INTV5 = 5)
report "Assertion Violation(5)";
INTV6 := 5;
INTV6 := abs 5;
assert (INTV6 = 5)
report "Assertion Violation(6)";
INTV7 := 0;
INTV7 := abs 0;
assert (INTV7 = 0)
report "Assertion Violation(7)";
INTV8 := INTEGER'HIGH;
INTV8 := abs INTEGER'HIGH;
assert (INTV8 = INTEGER'HIGH)
report "Assertion Violation(8)";
-- Do the same for the predefined physical type TIME.
assert (abs (-5 ns) = 5 ns)
report "Assertion Violation(9)";
assert (abs 5 ns = 5 ns)
report "Assertion Violation(10)";
assert (abs 0 fs = 0 fs)
report "Assertion Violation(11)";
assert (abs TIME'HIGH = TIME'HIGH)
report "Assertion Violation(12)";
-- Test absolute value of real literals and variables.
REALV1 := abs (-5.0);
assert (REALV1 = 5.0)
report "Assertion Violation(13)";
REALV2 := abs 5.0;
assert (REALV2 = 5.0)
report "Assertion Violation(14)";
REALV3 := abs 0.0;
assert (REALV3 = 0.0)
report "Assertion Violation(15)";
REALV4 := abs REAL'HIGH;
assert (REALV4 = REAL'HIGH)
report "Assertion Violation(16)";
REALV5 := -5.0;
REALV5 := abs REALV5;
assert (REALV5 = 5.0)
report "Assertion Violation(17)";
REALV6 := 5.0;
REALV6 := abs 5.0;
assert (REALV6 = 5.0)
report "Assertion Violation(18)";
REALV7 := 0.0;
REALV7 := abs 0.0;
assert (REALV7 = 0.0)
report "Assertion Violation(19)";
REALV8 := REAL'HIGH;
REALV8 := abs REAL'HIGH;
assert (REALV8 = REAL'HIGH)
report "Assertion Violation(20)";
wait for 5 ns;
assert NOT( (INTV1 = 5) and
(INTV2 = 5) and
(INTV3 = 0) and
(INTV4 = INTEGER'HIGH) and
(INTV5 = 5) and
(INTV6 = 5) and
(INTV7 = 0) and
(INTV8 = INTEGER'HIGH) and
(abs (-5 ns) = 5 ns) and
(abs 5 ns = 5 ns) and
(abs 0 fs = 0 fs) and
(abs TIME'HIGH = TIME'HIGH) and
(REALV1 = 5.0) and
(REALV2 = 5.0) and
(REALV3 = 0.0) and
(REALV4 = REAL'HIGH) and
(REALV5 = 5.0) and
(REALV6 = 5.0) and
(REALV7 = 0.0) and
(REALV8 = REAL'HIGH) )
report "***PASSED TEST: c07s02b07x00p01n01i02324"
severity NOTE;
assert ( (INTV1 = 5) and
(INTV2 = 5) and
(INTV3 = 0) and
(INTV4 = INTEGER'HIGH) and
(INTV5 = 5) and
(INTV6 = 5) and
(INTV7 = 0) and
(INTV8 = INTEGER'HIGH) and
(abs (-5 ns) = 5 ns) and
(abs 5 ns = 5 ns) and
(abs 0 fs = 0 fs) and
(abs TIME'HIGH = TIME'HIGH) and
(REALV1 = 5.0) and
(REALV2 = 5.0) and
(REALV3 = 0.0) and
(REALV4 = REAL'HIGH) and
(REALV5 = 5.0) and
(REALV6 = 5.0) and
(REALV7 = 0.0) and
(REALV8 = REAL'HIGH) )
report "***FAILED TEST: c07s02b07x00p01n01i02324 - Unary operator abs for any numeric type test failed."
severity ERROR;
wait;
END PROCESS TESTING;
END c07s02b07x00p01n01i02324arch;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc2324.vhd,v 1.2 2001-10-26 16:29:47 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c07s02b07x00p01n01i02324ent IS
END c07s02b07x00p01n01i02324ent;
ARCHITECTURE c07s02b07x00p01n01i02324arch OF c07s02b07x00p01n01i02324ent IS
BEGIN
TESTING: PROCESS
-- Local declarations.
variable INTV1 : INTEGER;
variable INTV2 : INTEGER;
variable INTV3 : INTEGER;
variable INTV4 : INTEGER;
variable INTV5 : INTEGER;
variable INTV6 : INTEGER;
variable INTV7 : INTEGER;
variable INTV8 : INTEGER;
variable REALV1 : REAL;
variable REALV2 : REAL;
variable REALV3 : REAL;
variable REALV4 : REAL;
variable REALV5 : REAL;
variable REALV6 : REAL;
variable REALV7 : REAL;
variable REALV8 : REAL;
BEGIN
-- Test absolute value of integer literals and variables.
INTV1 := abs (-5);
assert (INTV1 = 5)
report "Assertion Violation(1)";
INTV2 := abs 5;
assert (INTV2 = 5)
report "Assertion Violation(2)";
INTV3 := abs 0;
assert (INTV3 = 0)
report "Assertion Violation(3)";
INTV4 := abs INTEGER'HIGH;
assert (INTV4 = INTEGER'HIGH)
report "Assertion Violation(4)";
INTV5 := -5;
INTV5 := abs INTV5;
assert (INTV5 = 5)
report "Assertion Violation(5)";
INTV6 := 5;
INTV6 := abs 5;
assert (INTV6 = 5)
report "Assertion Violation(6)";
INTV7 := 0;
INTV7 := abs 0;
assert (INTV7 = 0)
report "Assertion Violation(7)";
INTV8 := INTEGER'HIGH;
INTV8 := abs INTEGER'HIGH;
assert (INTV8 = INTEGER'HIGH)
report "Assertion Violation(8)";
-- Do the same for the predefined physical type TIME.
assert (abs (-5 ns) = 5 ns)
report "Assertion Violation(9)";
assert (abs 5 ns = 5 ns)
report "Assertion Violation(10)";
assert (abs 0 fs = 0 fs)
report "Assertion Violation(11)";
assert (abs TIME'HIGH = TIME'HIGH)
report "Assertion Violation(12)";
-- Test absolute value of real literals and variables.
REALV1 := abs (-5.0);
assert (REALV1 = 5.0)
report "Assertion Violation(13)";
REALV2 := abs 5.0;
assert (REALV2 = 5.0)
report "Assertion Violation(14)";
REALV3 := abs 0.0;
assert (REALV3 = 0.0)
report "Assertion Violation(15)";
REALV4 := abs REAL'HIGH;
assert (REALV4 = REAL'HIGH)
report "Assertion Violation(16)";
REALV5 := -5.0;
REALV5 := abs REALV5;
assert (REALV5 = 5.0)
report "Assertion Violation(17)";
REALV6 := 5.0;
REALV6 := abs 5.0;
assert (REALV6 = 5.0)
report "Assertion Violation(18)";
REALV7 := 0.0;
REALV7 := abs 0.0;
assert (REALV7 = 0.0)
report "Assertion Violation(19)";
REALV8 := REAL'HIGH;
REALV8 := abs REAL'HIGH;
assert (REALV8 = REAL'HIGH)
report "Assertion Violation(20)";
wait for 5 ns;
assert NOT( (INTV1 = 5) and
(INTV2 = 5) and
(INTV3 = 0) and
(INTV4 = INTEGER'HIGH) and
(INTV5 = 5) and
(INTV6 = 5) and
(INTV7 = 0) and
(INTV8 = INTEGER'HIGH) and
(abs (-5 ns) = 5 ns) and
(abs 5 ns = 5 ns) and
(abs 0 fs = 0 fs) and
(abs TIME'HIGH = TIME'HIGH) and
(REALV1 = 5.0) and
(REALV2 = 5.0) and
(REALV3 = 0.0) and
(REALV4 = REAL'HIGH) and
(REALV5 = 5.0) and
(REALV6 = 5.0) and
(REALV7 = 0.0) and
(REALV8 = REAL'HIGH) )
report "***PASSED TEST: c07s02b07x00p01n01i02324"
severity NOTE;
assert ( (INTV1 = 5) and
(INTV2 = 5) and
(INTV3 = 0) and
(INTV4 = INTEGER'HIGH) and
(INTV5 = 5) and
(INTV6 = 5) and
(INTV7 = 0) and
(INTV8 = INTEGER'HIGH) and
(abs (-5 ns) = 5 ns) and
(abs 5 ns = 5 ns) and
(abs 0 fs = 0 fs) and
(abs TIME'HIGH = TIME'HIGH) and
(REALV1 = 5.0) and
(REALV2 = 5.0) and
(REALV3 = 0.0) and
(REALV4 = REAL'HIGH) and
(REALV5 = 5.0) and
(REALV6 = 5.0) and
(REALV7 = 0.0) and
(REALV8 = REAL'HIGH) )
report "***FAILED TEST: c07s02b07x00p01n01i02324 - Unary operator abs for any numeric type test failed."
severity ERROR;
wait;
END PROCESS TESTING;
END c07s02b07x00p01n01i02324arch;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc2324.vhd,v 1.2 2001-10-26 16:29:47 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c07s02b07x00p01n01i02324ent IS
END c07s02b07x00p01n01i02324ent;
ARCHITECTURE c07s02b07x00p01n01i02324arch OF c07s02b07x00p01n01i02324ent IS
BEGIN
TESTING: PROCESS
-- Local declarations.
variable INTV1 : INTEGER;
variable INTV2 : INTEGER;
variable INTV3 : INTEGER;
variable INTV4 : INTEGER;
variable INTV5 : INTEGER;
variable INTV6 : INTEGER;
variable INTV7 : INTEGER;
variable INTV8 : INTEGER;
variable REALV1 : REAL;
variable REALV2 : REAL;
variable REALV3 : REAL;
variable REALV4 : REAL;
variable REALV5 : REAL;
variable REALV6 : REAL;
variable REALV7 : REAL;
variable REALV8 : REAL;
BEGIN
-- Test absolute value of integer literals and variables.
INTV1 := abs (-5);
assert (INTV1 = 5)
report "Assertion Violation(1)";
INTV2 := abs 5;
assert (INTV2 = 5)
report "Assertion Violation(2)";
INTV3 := abs 0;
assert (INTV3 = 0)
report "Assertion Violation(3)";
INTV4 := abs INTEGER'HIGH;
assert (INTV4 = INTEGER'HIGH)
report "Assertion Violation(4)";
INTV5 := -5;
INTV5 := abs INTV5;
assert (INTV5 = 5)
report "Assertion Violation(5)";
INTV6 := 5;
INTV6 := abs 5;
assert (INTV6 = 5)
report "Assertion Violation(6)";
INTV7 := 0;
INTV7 := abs 0;
assert (INTV7 = 0)
report "Assertion Violation(7)";
INTV8 := INTEGER'HIGH;
INTV8 := abs INTEGER'HIGH;
assert (INTV8 = INTEGER'HIGH)
report "Assertion Violation(8)";
-- Do the same for the predefined physical type TIME.
assert (abs (-5 ns) = 5 ns)
report "Assertion Violation(9)";
assert (abs 5 ns = 5 ns)
report "Assertion Violation(10)";
assert (abs 0 fs = 0 fs)
report "Assertion Violation(11)";
assert (abs TIME'HIGH = TIME'HIGH)
report "Assertion Violation(12)";
-- Test absolute value of real literals and variables.
REALV1 := abs (-5.0);
assert (REALV1 = 5.0)
report "Assertion Violation(13)";
REALV2 := abs 5.0;
assert (REALV2 = 5.0)
report "Assertion Violation(14)";
REALV3 := abs 0.0;
assert (REALV3 = 0.0)
report "Assertion Violation(15)";
REALV4 := abs REAL'HIGH;
assert (REALV4 = REAL'HIGH)
report "Assertion Violation(16)";
REALV5 := -5.0;
REALV5 := abs REALV5;
assert (REALV5 = 5.0)
report "Assertion Violation(17)";
REALV6 := 5.0;
REALV6 := abs 5.0;
assert (REALV6 = 5.0)
report "Assertion Violation(18)";
REALV7 := 0.0;
REALV7 := abs 0.0;
assert (REALV7 = 0.0)
report "Assertion Violation(19)";
REALV8 := REAL'HIGH;
REALV8 := abs REAL'HIGH;
assert (REALV8 = REAL'HIGH)
report "Assertion Violation(20)";
wait for 5 ns;
assert NOT( (INTV1 = 5) and
(INTV2 = 5) and
(INTV3 = 0) and
(INTV4 = INTEGER'HIGH) and
(INTV5 = 5) and
(INTV6 = 5) and
(INTV7 = 0) and
(INTV8 = INTEGER'HIGH) and
(abs (-5 ns) = 5 ns) and
(abs 5 ns = 5 ns) and
(abs 0 fs = 0 fs) and
(abs TIME'HIGH = TIME'HIGH) and
(REALV1 = 5.0) and
(REALV2 = 5.0) and
(REALV3 = 0.0) and
(REALV4 = REAL'HIGH) and
(REALV5 = 5.0) and
(REALV6 = 5.0) and
(REALV7 = 0.0) and
(REALV8 = REAL'HIGH) )
report "***PASSED TEST: c07s02b07x00p01n01i02324"
severity NOTE;
assert ( (INTV1 = 5) and
(INTV2 = 5) and
(INTV3 = 0) and
(INTV4 = INTEGER'HIGH) and
(INTV5 = 5) and
(INTV6 = 5) and
(INTV7 = 0) and
(INTV8 = INTEGER'HIGH) and
(abs (-5 ns) = 5 ns) and
(abs 5 ns = 5 ns) and
(abs 0 fs = 0 fs) and
(abs TIME'HIGH = TIME'HIGH) and
(REALV1 = 5.0) and
(REALV2 = 5.0) and
(REALV3 = 0.0) and
(REALV4 = REAL'HIGH) and
(REALV5 = 5.0) and
(REALV6 = 5.0) and
(REALV7 = 0.0) and
(REALV8 = REAL'HIGH) )
report "***FAILED TEST: c07s02b07x00p01n01i02324 - Unary operator abs for any numeric type test failed."
severity ERROR;
wait;
END PROCESS TESTING;
END c07s02b07x00p01n01i02324arch;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc678.vhd,v 1.3 2001-10-29 02:12:46 paw Exp $
-- $Revision: 1.3 $
--
-- ---------------------------------------------------------------------
-- **************************** --
-- Ported to VHDL 93 by port93.pl - Tue Nov 5 16:38:00 1996 --
-- **************************** --
-- **************************** --
-- Reversed to VHDL 87 by reverse87.pl - Tue Nov 5 11:26:31 1996 --
-- **************************** --
-- **************************** --
-- Ported to VHDL 93 by port93.pl - Mon Nov 4 17:36:39 1996 --
-- **************************** --
ENTITY c03s04b01x00p23n01i00678ent IS
END c03s04b01x00p23n01i00678ent;
ARCHITECTURE c03s04b01x00p23n01i00678arch OF c03s04b01x00p23n01i00678ent IS
BEGIN
TESTING: PROCESS
-- Declare the type and the file.
type WORD is array(0 to 31) of BIT;
type FT is file of WORD;
-- Declare the actual file to read.
file FILEV : FT open read_mode is "iofile.50";
-- Declare a variable into which we will read.
constant CON : WORD := B"11111111111111111111111111111111";
variable VAR : WORD;
variable k : integer := 0;
BEGIN
-- Read in the file.
for I in 1 to 100 loop
if (ENDFILE( FILEV ) /= FALSE) then
k := 1;
end if;
assert( (ENDFILE( FILEV ) = FALSE) )
report "Hit the end of file too soon.";
READ( FILEV,VAR );
if (VAR /= CON) then
k := 1;
end if;
end loop;
-- Verify that we are at the end.
if (ENDFILE( FILEV ) /= TRUE) then
k := 1;
end if;
assert( ENDFILE( FILEV ) = TRUE )
report "Have not reached end of file yet."
severity ERROR;
assert NOT( k = 0 )
report "***PASSED TEST: c03s04b01x00p23n01i00678"
severity NOTE;
assert( k = 0 )
report "***FAILED TEST: c03s04b01x00p23n01i00678 - The variables don't equal the constants."
severity ERROR;
wait;
END PROCESS TESTING;
END c03s04b01x00p23n01i00678arch;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc678.vhd,v 1.3 2001-10-29 02:12:46 paw Exp $
-- $Revision: 1.3 $
--
-- ---------------------------------------------------------------------
-- **************************** --
-- Ported to VHDL 93 by port93.pl - Tue Nov 5 16:38:00 1996 --
-- **************************** --
-- **************************** --
-- Reversed to VHDL 87 by reverse87.pl - Tue Nov 5 11:26:31 1996 --
-- **************************** --
-- **************************** --
-- Ported to VHDL 93 by port93.pl - Mon Nov 4 17:36:39 1996 --
-- **************************** --
ENTITY c03s04b01x00p23n01i00678ent IS
END c03s04b01x00p23n01i00678ent;
ARCHITECTURE c03s04b01x00p23n01i00678arch OF c03s04b01x00p23n01i00678ent IS
BEGIN
TESTING: PROCESS
-- Declare the type and the file.
type WORD is array(0 to 31) of BIT;
type FT is file of WORD;
-- Declare the actual file to read.
file FILEV : FT open read_mode is "iofile.50";
-- Declare a variable into which we will read.
constant CON : WORD := B"11111111111111111111111111111111";
variable VAR : WORD;
variable k : integer := 0;
BEGIN
-- Read in the file.
for I in 1 to 100 loop
if (ENDFILE( FILEV ) /= FALSE) then
k := 1;
end if;
assert( (ENDFILE( FILEV ) = FALSE) )
report "Hit the end of file too soon.";
READ( FILEV,VAR );
if (VAR /= CON) then
k := 1;
end if;
end loop;
-- Verify that we are at the end.
if (ENDFILE( FILEV ) /= TRUE) then
k := 1;
end if;
assert( ENDFILE( FILEV ) = TRUE )
report "Have not reached end of file yet."
severity ERROR;
assert NOT( k = 0 )
report "***PASSED TEST: c03s04b01x00p23n01i00678"
severity NOTE;
assert( k = 0 )
report "***FAILED TEST: c03s04b01x00p23n01i00678 - The variables don't equal the constants."
severity ERROR;
wait;
END PROCESS TESTING;
END c03s04b01x00p23n01i00678arch;
|
Subsets and Splits
No community queries yet
The top public SQL queries from the community will appear here once available.