content
stringlengths 1
1.04M
⌀ |
---|
library ieee;
use ieee.std_logic_1164.all;
package ftlbase is
constant ZERO_std_ulogic : std_ulogic := '0';
constant UNDEF_std_ulogic : std_ulogic := 'U';
function to_bool(x: std_ulogic) return boolean;
function to_stdulogic(x: boolean) return std_ulogic;
function zero_std_logic_vector(hi: integer; lo: integer) return std_logic_vector;
function undef_std_logic_vector(hi: integer; lo: integer) return std_logic_vector;
end;
package body ftlbase is
function to_bool(x: std_ulogic) return boolean is
begin
return (x = '1');
end function to_bool;
function to_stdulogic(x: boolean) return std_ulogic is
begin
if x then
return '1';
else
return '0';
end if;
end function;
function zero_std_logic_vector(hi: integer; lo: integer) return std_logic_vector is
variable v : std_logic_vector(hi downto lo) := (others => '0');
begin
return v;
end function zero_std_logic_vector;
function undef_std_logic_vector(hi: integer; lo: integer) return std_logic_vector is
variable v : std_logic_vector(hi downto lo) := (others => 'U');
begin
return v;
end function undef_std_logic_vector;
end;
|
--------------------------------------------------------------------------------
-- Company:
-- Engineer:
--
-- Create Date: 16:53:03 06/10/2011
-- Design Name:
-- Module Name: C:/Users/pjf/Documents/projects/fpga/xilinx/Network/ip1/UDP_RX_tb.vhd
-- Project Name: ip1
-- Target Device:
-- Tool versions:
-- Description:
--
-- VHDL Test Bench Created by ISE for module: UDP_RX
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
-- Notes:
-- This testbench has been automatically generated using types std_logic and
-- std_logic_vector for the ports of the unit under test. Xilinx recommends
-- that these types always be used for the top-level I/O of a design in order
-- to guarantee that the testbench will bind correctly to the post-implementation
-- simulation model.
--------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.NUMERIC_STD.ALL;
use work.axi.all;
use work.ipv4_types.all;
ENTITY UDP_RX_tb IS
END UDP_RX_tb;
ARCHITECTURE behavior OF UDP_RX_tb IS
-- Component Declaration for the Unit Under Test (UUT)
COMPONENT UDP_RX
PORT(
-- UDP Layer signals
udp_rxo : inout udp_rx_type;
udp_rx_start : out std_logic; -- indicates receipt of udp header
-- system signals
clk : in STD_LOGIC;
reset : in STD_LOGIC;
-- IP layer RX signals
ip_rx_start : in std_logic; -- indicates receipt of ip header
ip_rx : inout ipv4_rx_type
);
END COMPONENT;
--Inputs
signal clk : std_logic := '0';
signal reset : std_logic := '0';
signal ip_rx_start : std_logic := '0';
--BiDirs
signal udp_rxo : udp_rx_type;
signal ip_rx : ipv4_rx_type;
--Outputs
signal udp_rx_start : std_logic;
-- Clock period definitions
constant clk_period : time := 8 ns;
BEGIN
-- Instantiate the Unit Under Test (UUT)
uut: UDP_RX PORT MAP (
udp_rxo => udp_rxo,
udp_rx_start => udp_rx_start,
clk => clk,
reset => reset,
ip_rx_start => ip_rx_start,
ip_rx => ip_rx
);
-- Clock process definitions
clk_process :process
begin
clk <= '0';
wait for clk_period/2;
clk <= '1';
wait for clk_period/2;
end process;
-- Stimulus process
stim_proc: process
begin
-- hold reset state for 100 ns.
wait for 100 ns;
ip_rx_start <= '0';
ip_rx.data.data_in_valid <= '0';
ip_rx.data.data_in_last <= '0';
ip_rx.hdr.is_valid <= '0';
ip_rx.hdr.protocol <= (others => '0');
ip_rx.hdr.num_frame_errors <= (others => '0');
ip_rx.hdr.last_error_code <= (others => '0');
ip_rx.hdr.is_broadcast <= '0';
reset <= '1';
wait for clk_period*10;
reset <= '0';
wait for clk_period*5;
reset <= '0';
-- check reset conditions
assert udp_rx_start = '0' report "udp_rx_start not initialised correctly on reset";
assert udp_rxo.hdr.is_valid = '0' report "udp_rxo.hdr.is_valid not initialised correctly on reset";
assert udp_rxo.data.data_in = x"00" report "udp_rxo.data.data_in not initialised correctly on reset";
assert udp_rxo.data.data_in_valid = '0' report "udp_rxo.data.data_in_valid not initialised correctly on reset";
assert udp_rxo.data.data_in_last = '0' report "udp_rxo.data.data_in_last not initialised correctly on reset";
-- insert stimulus here
------------
-- TEST 1 -- basic functional rx test with received ip pkt
------------
report "T1: Send an ip frame with IP src ip_address c0a80501, udp protocol from port x1498 to port x8724 and 3 bytes data";
ip_rx_start <= '1';
ip_rx.data.data_in_valid <= '0';
ip_rx.data.data_in_last <= '0';
ip_rx.hdr.is_valid <= '1';
ip_rx.hdr.protocol <= x"11"; -- UDP
ip_rx.hdr.data_length <= x"000b";
ip_rx.hdr.src_ip_addr<= x"c0a80501";
wait for clk_period*3;
-- now send the data
ip_rx.data.data_in_valid <= '1';
ip_rx.data.data_in <= x"14"; wait for clk_period; -- src port
ip_rx.data.data_in <= x"98"; wait for clk_period;
ip_rx.data.data_in <= x"87"; wait for clk_period; -- dst port
ip_rx.data.data_in <= x"24"; wait for clk_period;
ip_rx.data.data_in <= x"00"; wait for clk_period; -- len (hdr + data)
ip_rx.data.data_in <= x"0b"; wait for clk_period;
ip_rx.data.data_in <= x"00"; wait for clk_period; -- mty cks
ip_rx.data.data_in <= x"00"; wait for clk_period;
-- udp hdr should be valid
assert udp_rxo.hdr.is_valid = '1' report "T1: udp_rxo.hdr.is_valid not set";
ip_rx.data.data_in <= x"41"; wait for clk_period; -- data
assert udp_rxo.hdr.src_ip_addr = x"c0a80501" report "T1: udp_rxo.hdr.src_ip_addr not set correctly";
assert udp_rxo.hdr.src_port = x"1498" report "T1: udp_rxo.hdr.src_port not set correctly";
assert udp_rxo.hdr.dst_port = x"8724" report "T1: udp_rxo.hdr.dst_port not set correctly";
assert udp_rxo.hdr.data_length = x"0003" report "T1: udp_rxo.hdr.data_length not set correctly";
assert udp_rx_start = '1' report "T1: udp_rx_start not set";
assert udp_rxo.data.data_in_valid = '1' report "T1: udp_rxo.data.data_in_valid not set";
ip_rx.data.data_in <= x"45"; wait for clk_period; -- data
ip_rx.data.data_in <= x"49"; ip_rx.data.data_in_last <= '1'; wait for clk_period;
assert udp_rxo.data.data_in_last = '1' report "T1: udp_rxo.data.data_in_last not set";
ip_rx_start <= '0';
ip_rx.data.data_in_valid <= '0';
ip_rx.data.data_in_last <= '0';
ip_rx.hdr.is_valid <= '0';
wait for clk_period;
assert udp_rxo.data.data_in = x"00" report "T1: udp_rxo.data.data_in not cleared";
assert udp_rxo.data.data_in_valid = '0' report "T1: udp_rxo.data.data_in_valid not cleared";
assert udp_rxo.data.data_in_last = '0' report "T1: udp_rxo.data.data_in_last not cleared";
wait for clk_period;
------------
-- TEST 2 -- ability to receive 2nd ip pkt
------------
report "T2: Send an ip frame with IP src ip_address c0a80501, udp protocol from port x7623 to port x0365 and 5 bytes data";
ip_rx_start <= '1';
ip_rx.data.data_in_valid <= '0';
ip_rx.data.data_in_last <= '0';
ip_rx.hdr.is_valid <= '1';
ip_rx.hdr.protocol <= x"11"; -- UDP
ip_rx.hdr.data_length <= x"000b";
ip_rx.hdr.src_ip_addr<= x"c0a80501";
wait for clk_period*3;
-- now send the data
ip_rx.data.data_in_valid <= '1';
ip_rx.data.data_in <= x"76"; wait for clk_period; -- src port
ip_rx.data.data_in <= x"23"; wait for clk_period;
ip_rx.data.data_in <= x"03"; wait for clk_period; -- dst port
ip_rx.data.data_in <= x"65"; wait for clk_period;
ip_rx.data.data_in <= x"00"; wait for clk_period; -- len (hdr + data)
ip_rx.data.data_in <= x"0d"; wait for clk_period;
ip_rx.data.data_in <= x"00"; wait for clk_period; -- mty cks
ip_rx.data.data_in <= x"00"; wait for clk_period;
-- udp hdr should be valid
assert udp_rxo.hdr.is_valid = '1' report "T2: udp_rxo.hdr.is_valid not set";
ip_rx.data.data_in <= x"17"; wait for clk_period; -- data
assert udp_rxo.hdr.src_ip_addr = x"c0a80501" report "T2: udp_rxo.hdr.src_ip_addr not set correctly";
assert udp_rxo.hdr.src_port = x"7623" report "T2: udp_rxo.hdr.src_port not set correctly";
assert udp_rxo.hdr.dst_port = x"0365" report "T2: udp_rxo.hdr.dst_port not set correctly";
assert udp_rxo.hdr.data_length = x"0005" report "T2: udp_rxo.hdr.data_length not set correctly";
assert udp_rx_start = '1' report "T2: udp_rx_start not set";
assert udp_rxo.data.data_in_valid = '1' report "T2: udp_rxo.data.data_in_valid not set";
ip_rx.data.data_in <= x"37"; wait for clk_period; -- data
ip_rx.data.data_in <= x"57"; wait for clk_period; -- data
ip_rx.data.data_in <= x"73"; wait for clk_period; -- data
ip_rx.data.data_in <= x"f9"; ip_rx.data.data_in_last <= '1'; wait for clk_period;
assert udp_rxo.data.data_in_last = '1' report "T2: udp_rxo.data.data_in_last not set";
ip_rx_start <= '0';
ip_rx.data.data_in_valid <= '0';
ip_rx.data.data_in_last <= '0';
ip_rx.hdr.is_valid <= '0';
wait for clk_period;
assert udp_rxo.data.data_in = x"00" report "T2: udp_rxo.data.data_in not cleared";
assert udp_rxo.data.data_in_valid = '0' report "T2: udp_rxo.data.data_in_valid not cleared";
assert udp_rxo.data.data_in_last = '0' report "T2: udp_rxo.data.data_in_last not cleared";
------------
-- TEST 3 -- ability to reject non-udp protocols
------------
report "T3: Send an ip frame with IP src ip_address c0a80501, protocol x12 from port x7623 to port x0365 and 5 bytes data";
ip_rx_start <= '1';
ip_rx.data.data_in_valid <= '0';
ip_rx.data.data_in_last <= '0';
ip_rx.hdr.is_valid <= '1';
ip_rx.hdr.protocol <= x"12"; -- non-UDP
ip_rx.hdr.data_length <= x"000b";
ip_rx.hdr.src_ip_addr<= x"c0a80501";
wait for clk_period*3;
-- now send the data
ip_rx.data.data_in_valid <= '1';
ip_rx.data.data_in <= x"76"; wait for clk_period; -- src port
ip_rx.data.data_in <= x"23"; wait for clk_period;
ip_rx.data.data_in <= x"03"; wait for clk_period; -- dst port
ip_rx.data.data_in <= x"65"; wait for clk_period;
ip_rx.data.data_in <= x"00"; wait for clk_period; -- len (hdr + data)
ip_rx.data.data_in <= x"0d"; wait for clk_period;
ip_rx.data.data_in <= x"00"; wait for clk_period; -- mty cks
ip_rx.data.data_in <= x"00"; wait for clk_period;
-- udp hdr should be valid
assert udp_rxo.hdr.is_valid = '0' report "T3: udp_rxo.hdr.is_valid incorrectly set";
ip_rx.data.data_in <= x"17"; wait for clk_period; -- data
assert udp_rx_start = '0' report "T3: udp_rx_start incorrectly set";
assert udp_rxo.data.data_in_valid = '0' report "T3: udp_rxo.data.data_in_valid not set";
ip_rx.data.data_in <= x"37"; wait for clk_period; -- data
ip_rx.data.data_in <= x"57"; wait for clk_period; -- data
ip_rx.data.data_in <= x"73"; wait for clk_period; -- data
ip_rx.data.data_in <= x"f9"; ip_rx.data.data_in_last <= '1'; wait for clk_period;
assert udp_rxo.data.data_in_last = '0' report "T3: udp_rxo.data.data_in_last incorrectly set";
ip_rx_start <= '0';
ip_rx.data.data_in_valid <= '0';
ip_rx.data.data_in_last <= '0';
ip_rx.hdr.is_valid <= '0';
wait for clk_period;
assert udp_rxo.data.data_in = x"00" report "T3: udp_rxo.data.data_in not cleared";
assert udp_rxo.data.data_in_valid = '0' report "T3: udp_rxo.data.data_in_valid not cleared";
assert udp_rxo.data.data_in_last = '0' report "T3: udp_rxo.data.data_in_last not cleared";
wait for clk_period;
------------
-- TEST 4 -- Ability to receive UDP pkt after non-UDP pkt
------------
report "T4: Send an ip frame with IP src ip_address c0a80501, udp protocol from port x1498 to port x8724 and 3 bytes data";
ip_rx_start <= '1';
ip_rx.data.data_in_valid <= '0';
ip_rx.data.data_in_last <= '0';
ip_rx.hdr.is_valid <= '1';
ip_rx.hdr.protocol <= x"11"; -- UDP
ip_rx.hdr.data_length <= x"000b";
ip_rx.hdr.src_ip_addr<= x"c0a80501";
wait for clk_period*3;
-- now send the data
ip_rx.data.data_in_valid <= '1';
ip_rx.data.data_in <= x"14"; wait for clk_period; -- src port
ip_rx.data.data_in <= x"98"; wait for clk_period;
ip_rx.data.data_in <= x"87"; wait for clk_period; -- dst port
ip_rx.data.data_in <= x"24"; wait for clk_period;
ip_rx.data.data_in <= x"00"; wait for clk_period; -- len (hdr + data)
ip_rx.data.data_in <= x"0b"; wait for clk_period;
ip_rx.data.data_in <= x"00"; wait for clk_period; -- mty cks
ip_rx.data.data_in <= x"00"; wait for clk_period;
-- udp hdr should be valid
assert udp_rxo.hdr.is_valid = '1' report "T4: udp_rxo.hdr.is_valid not set";
ip_rx.data.data_in <= x"41"; wait for clk_period; -- data
assert udp_rxo.hdr.src_ip_addr = x"c0a80501" report "T4: udp_rxo.hdr.src_ip_addr not set correctly";
assert udp_rxo.hdr.src_port = x"1498" report "T4: udp_rxo.hdr.src_port not set correctly";
assert udp_rxo.hdr.dst_port = x"8724" report "T4: udp_rxo.hdr.dst_port not set correctly";
assert udp_rxo.hdr.data_length = x"0003" report "T4: udp_rxo.hdr.data_length not set correctly";
assert udp_rx_start = '1' report "T4: udp_rx_start not set";
assert udp_rxo.data.data_in_valid = '1' report "T4: udp_rxo.data.data_in_valid not set";
ip_rx.data.data_in <= x"45"; wait for clk_period; -- data
ip_rx.data.data_in <= x"49"; ip_rx.data.data_in_last <= '1'; wait for clk_period;
assert udp_rxo.data.data_in_last = '1' report "T4: udp_rxo.data.data_in_last not set";
ip_rx_start <= '0';
ip_rx.data.data_in_valid <= '0';
ip_rx.data.data_in_last <= '0';
ip_rx.hdr.is_valid <= '0';
wait for clk_period;
assert udp_rxo.data.data_in = x"00" report "T4: udp_rxo.data.data_in not cleared";
assert udp_rxo.data.data_in_valid = '0' report "T4: udp_rxo.data.data_in_valid not cleared";
assert udp_rxo.data.data_in_last = '0' report "T4: udp_rxo.data.data_in_last not cleared";
wait for clk_period;
report "--- end of tests ---";
wait;
end process;
END;
|
------------------------------------------------------------------------------
-- This file is a part of the GRLIB VHDL IP LIBRARY
-- Copyright (C) 2003 - 2008, Gaisler Research
-- Copyright (C) 2008 - 2013, Aeroflex Gaisler
--
-- This program is free software; you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation; either version 2 of the License, or
-- (at your option) any later version.
--
-- This program is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with this program; if not, write to the Free Software
-- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-------------------------------------------------------------------------------
-- Entity: i2cslv
-- File: i2cslv.vhd
-- Author: Jan Andersson - Gaisler Research
-- [email protected]
--
-- Description: Simple I2C-slave with AMBA APB interface
--
-- Documentation of generics:
--
-- [hardaddr]
-- If this generic is set to 1 the core uses i2caddr as the hard coded address.
-- If hardaddr is set to 0 the core's address can be changed via the SLVADDR
-- register.
--
-- [tenbit]
-- Support for ten bit addresses.
--
-- [i2caddr]
-- The slave's (initial) i2c address.
--
-- [oepol]
-- Output enable polarity
--
-- [filter]
-- Length of filters used on SCL and SDA
--
-- The slave has four different modes operation. The mode is defined by the
-- value of the bits RMODE and TMODE.
-- RMODE TMODE I2CSLAVE Mode
-- 0 0 0
-- 0 1 1
-- 1 0 2
-- 1 1 3
--
-- RMODE 0:
-- The slave accepts one byte and NAKs all other transfers until software has
-- acknowledged the received byte.
-- RMODE 1:
-- The slave accepts one byte and keeps SCL low until software has acknowledged
-- the received byte
-- TMODE 0:
-- The slave transmits the same byte to all if the master requests more than
-- one byte in the transfer. The slave then NAKs all read requests unless the
-- Transmit Always Valid (TAV) bit in the control register is set.
-- TMODE 1:
-- The slave transmits one byte and then keeps SCL low until software has
-- acknowledged that the byte has been transmitted.
library ieee;
use ieee.std_logic_1164.all;
library gaisler;
use gaisler.i2c.all;
library grlib;
use grlib.amba.all;
use grlib.devices.all;
use grlib.stdlib.all;
entity i2cslv is
generic (
-- APB generics
pindex : integer := 0; -- slave bus index
paddr : integer := 0;
pmask : integer := 16#fff#;
pirq : integer := 0; -- interrupt index
-- I2C configuration
hardaddr : integer range 0 to 1 := 0; -- See description above
tenbit : integer range 0 to 1 := 0;
i2caddr : integer range 0 to 1023 := 0;
oepol : integer range 0 to 1 := 0;
filter : integer range 2 to 512 := 2
);
port (
rstn : in std_ulogic;
clk : in std_ulogic;
-- APB signals
apbi : in apb_slv_in_type;
apbo : out apb_slv_out_type;
-- I2C signals
i2ci : in i2c_in_type;
i2co : out i2c_out_type
);
end entity i2cslv;
architecture rtl of i2cslv is
-----------------------------------------------------------------------------
-- Constants
-----------------------------------------------------------------------------
-- Core version
constant I2CSLV_REV : integer := 0;
-- AMBA PnP
constant PCONFIG : apb_config_type := (
0 => ahb_device_reg(VENDOR_GAISLER, GAISLER_I2CSLV, 0, I2CSLV_REV, pirq),
1 => apb_iobar(paddr, pmask));
-- Register addresses
constant SLV_ADDR : std_logic_vector(7 downto 2) := "000000";
constant CTRL_ADDR : std_logic_vector(7 downto 2) := "000001";
constant STS_ADDR : std_logic_vector(7 downto 2) := "000010";
constant MSK_ADDR : std_logic_vector(7 downto 2) := "000011";
constant RD_ADDR : std_logic_vector(7 downto 2) := "000100";
constant TD_ADDR : std_logic_vector(7 downto 2) := "000101";
-- Core configuration
constant TENBIT_SUPPORT : integer := tenbit;
constant I2CADDRLEN : integer := 7 + tenbit*3;
constant HARDCADDR : integer := hardaddr;
constant I2CSLVADDR : std_logic_vector((I2CADDRLEN-1) downto 0) :=
conv_std_logic_vector(i2caddr, I2CADDRLEN);
-- Misc constants
constant I2C_READ : std_ulogic := '1'; -- R/Wn bit
constant I2C_WRITE : std_ulogic := '0';
constant OEPOL_LEVEL : std_ulogic := conv_std_logic(oepol = 1);
constant I2C_LOW : std_ulogic := OEPOL_LEVEL; -- OE
constant I2C_HIZ : std_ulogic := not OEPOL_LEVEL;
constant I2C_ACK : std_ulogic := '0';
constant TENBIT_ADDR_START : std_logic_vector(4 downto 0) := "11110";
-----------------------------------------------------------------------------
-- Types
-----------------------------------------------------------------------------
type ctrl_reg_type is record -- Control register
rmode : std_ulogic; -- Receive mode
tmode : std_ulogic; -- Transmit mode
tv : std_ulogic; -- Transmit valid
tav : std_ulogic; -- Transmit always valid
en : std_ulogic; -- Enable
end record;
type sts_reg_type is record -- Status/Mask registers
rec : std_ulogic; -- Received byte
tra : std_ulogic; -- Transmitted byte
nak : std_ulogic; -- NAK'd address
end record;
type slvaddr_reg_type is record -- Slave address register
tba : std_ulogic; -- 10-bit address
slvaddr : std_logic_vector((I2CADDRLEN-1) downto 0);
end record;
type i2cslv_reg_bank is record -- APB registers
slvaddr : slvaddr_reg_type;
ctrl : ctrl_reg_type;
sts : sts_reg_type;
msk : sts_reg_type;
receive : std_logic_vector(7 downto 0);
transmit : std_logic_vector(7 downto 0);
end record;
type i2c_in_array is array (filter downto 0) of i2c_in_type;
type slv_state_type is (idle, checkaddr, check10bitaddr, sclhold,
movebyte, handshake);
type i2cslv_reg_type is record
slvstate : slv_state_type;
--
reg : i2cslv_reg_bank;
irq : std_ulogic;
-- Transfer phase
active : boolean;
addr : boolean;
transmit : boolean;
receive : boolean;
-- Shift register
sreg : std_logic_vector(7 downto 0);
cnt : std_logic_vector(2 downto 0);
-- Synchronizers for inputs SCL and SDA
scl : std_ulogic;
sda : std_ulogic;
i2ci : i2c_in_array;
-- Output enables
scloen : std_ulogic;
sdaoen : std_ulogic;
end record;
-----------------------------------------------------------------------------
-- Subprograms
-----------------------------------------------------------------------------
-- purpose: Compares the first byte of a received address with the slave's
-- address. The tba input determines if the slave is using a ten bit address.
function compaddr1stb (
ibyte : std_logic_vector(7 downto 0); -- I2C byte
sr : slvaddr_reg_type) -- slave address register
return boolean is
variable correct : std_logic_vector(7 downto 1);
begin -- compaddr1stb
if sr.tba = '1' then
correct(7 downto 3) := TENBIT_ADDR_START;
correct(2 downto 1):= sr.slvaddr((I2CADDRLEN-1) downto (I2CADDRLEN-2));
else
correct(7 downto 1) := sr.slvaddr(6 downto 0);
end if;
return ibyte(7 downto 1) = correct(7 downto 1);
end compaddr1stb;
-- purpose: Compares the 2nd byte of a ten bit address with the slave address
function compaddr2ndb (
ibyte : std_logic_vector(7 downto 0); -- I2C byte
slvaddr : std_logic_vector((I2CADDRLEN-1) downto 0)) -- slave address
return boolean is
begin -- compaddr2ndb
return ibyte((I2CADDRLEN-3) downto 0) = slvaddr((I2CADDRLEN-3) downto 0);
end compaddr2ndb;
-----------------------------------------------------------------------------
-- Signals
-----------------------------------------------------------------------------
-- Register interface
signal r, rin : i2cslv_reg_type;
begin
comb: process (r, rstn, apbi, i2ci)
variable v : i2cslv_reg_type;
variable irq : std_logic_vector((NAHBIRQ-1) downto 0);
variable apbaddr : std_logic_vector(5 downto 0);
variable apbout : std_logic_vector(31 downto 0);
variable sclfilt : std_logic_vector(filter-1 downto 0);
variable sdafilt : std_logic_vector(filter-1 downto 0);
variable tba : boolean;
begin -- process comb
v := r; v.irq := '0'; irq := (others=>'0'); irq(pirq) := r.irq;
apbaddr := apbi.paddr(7 downto 2); apbout := (others => '0');
v.i2ci(0) := i2ci; v.i2ci(filter downto 1) := r.i2ci(filter-1 downto 0);
tba := false;
---------------------------------------------------------------------------
-- APB register interface
---------------------------------------------------------------------------
-- read registers
if (apbi.psel(pindex) and apbi.penable and (not apbi.pwrite)) = '1' then
case apbaddr is
when SLV_ADDR =>
apbout(31) := r.reg.slvaddr.tba;
apbout((I2CADDRLEN-1) downto 0) := r.reg.slvaddr.slvaddr;
when CTRL_ADDR =>
apbout(4 downto 0) := r.reg.ctrl.rmode & r.reg.ctrl.tmode &
r.reg.ctrl.tv & r.reg.ctrl.tav & r.reg.ctrl.en;
when STS_ADDR =>
apbout(2 downto 0) := r.reg.sts.rec & r.reg.sts.tra & r.reg.sts.nak;
when MSK_ADDR =>
apbout(2 downto 0) := r.reg.msk.rec & r.reg.msk.tra & r.reg.msk.nak;
when RD_ADDR =>
v.reg.sts.rec := '0';
apbout(7 downto 0) := r.reg.receive;
when TD_ADDR =>
apbout(7 downto 0) := r.reg.transmit;
when others => null;
end case;
end if;
-- write registers
if (apbi.psel(pindex) and apbi.penable and apbi.pwrite) = '1' then
case apbaddr is
when SLV_ADDR =>
if HARDCADDR = 0 then
if TENBIT_SUPPORT = 1 then
v.reg.slvaddr.tba := apbi.pwdata(31);
end if;
v.reg.slvaddr.slvaddr := apbi.pwdata((I2CADDRLEN-1) downto 0);
end if;
when CTRL_ADDR =>
v.reg.ctrl.rmode := apbi.pwdata(4);
v.reg.ctrl.tmode := apbi.pwdata(3);
v.reg.ctrl.tv := apbi.pwdata(2);
v.reg.ctrl.tav := apbi.pwdata(1);
v.reg.ctrl.en := apbi.pwdata(0);
when STS_ADDR =>
v.reg.sts.tra := r.reg.sts.tra and not apbi.pwdata(1);
v.reg.sts.nak := r.reg.sts.nak and not apbi.pwdata(0);
when MSK_ADDR =>
v.reg.msk.rec := apbi.pwdata(2);
v.reg.msk.tra := apbi.pwdata(1);
v.reg.msk.nak := apbi.pwdata(0);
when TD_ADDR =>
v.reg.transmit := apbi.pwdata(7 downto 0);
when others => null;
end case;
end if;
----------------------------------------------------------------------------
-- Bus filtering
----------------------------------------------------------------------------
for i in 0 to filter-1 loop
sclfilt(i) := r.i2ci(i+1).scl; sdafilt(i) := r.i2ci(i+1).sda;
end loop; -- i
if andv(sclfilt) = '1' then v.scl := '1'; end if;
if orv(sclfilt) = '0' then v.scl := '0'; end if;
if andv(sdafilt) = '1' then v.sda := '1'; end if;
if orv(sdafilt) = '0' then v.sda := '0'; end if;
---------------------------------------------------------------------------
-- I2C slave control FSM
---------------------------------------------------------------------------
case r.slvstate is
when idle =>
-- Release bus
if (r.scl and not v.scl) = '1' then
v.sdaoen := I2C_HIZ;
end if;
when checkaddr =>
tba := r.reg.slvaddr.tba = '1';
if compaddr1stb(r.sreg, r.reg.slvaddr) then
if r.sreg(0) = I2C_READ then
if (not tba or (tba and r.active)) then
if r.reg.ctrl.tv = '1' then
-- Transmit data
v.transmit := true;
v.slvstate := handshake;
else
-- No data to transmit, NAK
if (not v.reg.sts.nak and r.reg.msk.nak) = '1' then
v.irq := '1';
end if;
v.reg.sts.nak := '1';
v.slvstate := idle;
end if;
else
-- Ten bit address with R/Wn = 1 and slave not previously
-- addressed.
v.slvstate := idle;
end if;
else
v.receive := not tba;
v.slvstate := handshake;
end if;
else
-- Slave address did not match
v.active := false;
v.slvstate := idle;
end if;
v.sreg := r.reg.transmit;
when check10bitaddr =>
if compaddr2ndb(r.sreg, r.reg.slvaddr.slvaddr) then
-- Slave has been addressed with a matching 10 bit address
-- If we receive a repeated start condition, matching address
-- and R/Wn = 1 we will transmit data. Without start condition we
-- will receive data.
v.addr := true;
v.active := true;
v.receive := true;
v.slvstate := handshake;
else
v.slvstate := idle;
end if;
when sclhold =>
-- This state is used when the device has been addressed to see if SCL
-- should be kept low until the receive register is free or the
-- transmit register is filled. It is also used when a data byte has
-- been transmitted or received to SCL low until software acknowledges
-- the transfer.
if (r.scl and not v.scl) = '1' then
v.scloen := I2C_LOW;
v.sdaoen := I2C_HIZ;
end if;
if ((r.receive and (not r.reg.sts.rec or not r.reg.ctrl.rmode) = '1') or
(r.transmit and (r.reg.ctrl.tv or not r.reg.ctrl.tmode) = '1')) then
v.slvstate := movebyte;
v.scloen := I2C_HIZ;
-- Falling edge that should be detected in movebyte may have passed
if r.transmit and v.scl = '0' then
v.sdaoen := r.sreg(7) xor OEPOL_LEVEL;
end if;
end if;
v.sreg := r.reg.transmit;
when movebyte =>
if (r.scl and not v.scl) = '1' then
if r.transmit then
v.sdaoen := r.sreg(7) xor OEPOL_LEVEL;
else
v.sdaoen := I2C_HIZ;
end if;
end if;
if (not r.scl and v.scl) = '1' then
v.sreg := r.sreg(6 downto 0) & r.sda;
if r.cnt = "111" then
if r.addr then
v.slvstate := checkaddr;
elsif r.receive nor r.transmit then
v.slvstate := check10bitaddr;
else
v.slvstate := handshake;
end if;
v.cnt := (others => '0');
else
v.cnt := r.cnt + 1;
end if;
end if;
when handshake =>
-- Falling edge
if (r.scl and not v.scl) = '1' then
if r.addr then
v.sdaoen := I2C_LOW;
elsif r.receive then
-- Receive, send ACK/NAK
-- Acknowledge byte if core has room in receive register
-- This code assumes that the core's receive register is free if we are
-- in RMODE 1. This should always be the case unless software has
-- reconfigured the core during operation.
if r.reg.sts.rec = '0' then
v.sdaoen := I2C_LOW;
v.reg.receive := r.sreg;
if r.reg.msk.rec = '1' then
v.irq := '1';
end if;
v.reg.sts.rec := '1';
else
-- NAK the byte, the master must abort the transfer
v.sdaoen := I2C_HIZ;
v.slvstate := idle;
end if;
else
-- Transmit, release bus
v.sdaoen := I2C_HIZ;
-- Byte transmitted, unset TV unless TAV is set.
v.reg.ctrl.tv := r.reg.ctrl.tav;
-- Set status bit and check if interrupt should be generated
if (not v.reg.sts.tra and r.reg.msk.tra) = '1' then
v.irq := '1';
end if;
v.reg.sts.tra := '1';
end if;
if not r.addr and r.receive and v.sdaoen = I2C_HIZ then
if (not v.reg.sts.nak and r.reg.msk.nak) = '1' then
v.irq := '1';
end if;
v.reg.sts.nak := '1';
end if;
end if;
-- Risinge edge
if (not r.scl and v.scl) = '1' then
if r.addr then
v.slvstate := movebyte;
else
if r.receive then
-- RMODE 0: Be ready to accept one more byte which will be NAK'd if
-- software has not read the receive register
-- RMODE 1: Keep SCL low until software has acknowledged received byte
if r.reg.ctrl.rmode = '0' then
v.slvstate := movebyte;
else
v.slvstate := sclhold;
end if;
else
-- Transmit, check ACK/NAK from master
-- If the master NAKs the transmitted byte the transfer has ended and
-- we should wait for the master's next action. If the master ACKs the
-- byte the core will act depending on tmode:
-- TMODE 0:
-- If the master ACKs the byte we must continue to transmit and will
-- transmit the same byte on all requests.
-- TMODE 1:
-- IF the master ACKs the byte we will keep SCL low until software has
-- put new transmit data into the transmit register.
if r.sda = I2C_ACK then
if r.reg.ctrl.tmode = '0' then
v.slvstate := movebyte;
else
v.slvstate := sclhold;
end if;
else
v.slvstate := idle;
end if;
end if;
end if;
v.addr := false;
v.sreg := r.reg.transmit;
end if;
end case;
if r.reg.ctrl.en = '1' then
-- STOP condition
if (r.scl and v.scl and not r.sda and v.sda) = '1' then
v.active := false;
v.slvstate := idle;
end if;
-- START or repeated START condition
if (r.scl and v.scl and r.sda and not v.sda) = '1' then
v.slvstate := movebyte;
v.cnt := (others => '0');
v.addr := true;
v.transmit := false;
v.receive := false;
end if;
end if;
----------------------------------------------------------------------------
-- Reset and idle operation
----------------------------------------------------------------------------
if rstn = '0' then
v.slvstate := idle;
v.reg.slvaddr.slvaddr := I2CSLVADDR;
if TENBIT_SUPPORT = 1 then v.reg.slvaddr.tba := '1';
else v.reg.slvaddr.tba := '0'; end if;
v.reg.ctrl.en := '0';
v.reg.sts := ('0', '0', '0');
v.scl := '0';
v.active := false;
v.scloen := I2C_HIZ; v.sdaoen := I2C_HIZ;
end if;
----------------------------------------------------------------------------
-- Signal assignments
----------------------------------------------------------------------------
-- Update registers
rin <= v;
-- Update outputs
apbo.prdata <= apbout;
apbo.pirq <= irq;
apbo.pconfig <= PCONFIG;
apbo.pindex <= pindex;
i2co.scl <= '0';
i2co.scloen <= r.scloen;
i2co.sda <= '0';
i2co.sdaoen <= r.sdaoen;
i2co.enable <= r.reg.ctrl.en;
end process comb;
reg: process (clk)
begin -- process reg
if rising_edge(clk) then
r <= rin;
end if;
end process reg;
-- Boot message
-- pragma translate_off
bootmsg : report_version
generic map (
"i2cslv" & tost(pindex) & ": I2C slave rev " &
tost(I2CSLV_REV) & ", irq " & tost(pirq));
-- pragma translate_on
end architecture rtl;
|
architecture rtl of fifo is
constant c_zeros : std_logic_vector(7 downto 0) := (others => '0');
constant c_one : std_logic_vector(7 downto 0) := (0 => '1', (others => '0'));
constant c_two : std_logic_vector(7 downto 0) := (1 => '1', (others => '0'));
constant c_stimulus : t_stimulus_array := ((name => "Hold in reset", clk_in => "01", rst_in => "11", cnt_en_in => "00", cnt_out => "00"), (name => "Not enabled", clk_in => "01", rst_in => "00", cnt_en_in => "00", cnt_out => "00"));
constant c_stimulus : t_stimulus_array := ((name => "Hold in reset", clk_in => "01", rst_in => "11", cnt_en_in => "00", cnt_out => "00"), (name => "Not enabled", clk_in => "01", rst_in => "00", cnt_en_in => "00", cnt_out => "00"));
constant c_stimulus : t_stimulus_array := ((name => "Hold in reset", clk_in => "01", rst_in => "11", cnt_en_in => "00", cnt_out => "00"), (name => "Not enabled", clk_in => "01", rst_in => "00", cnt_en_in => "00", cnt_out => "00"));
constant c_stimulus : t_stimulus_array := ((name => "Hold in reset", clk_in => "01", rst_in => "11", cnt_en_in => "00", cnt_out => "00"), (name => "Not enabled", clk_in => "01", rst_in => "00", cnt_en_in => "00", cnt_out => "00"));
constant c_stimulus : t_stimulus_array := ((name => "Hold in reset", clk_in => "01", rst_in => "11", cnt_en_in => "00", cnt_out => "00"), (name => "Not enabled", clk_in => "01", rst_in => "00", cnt_en_in => "00", cnt_out => "00"));
constant c_stimulus : t_stimulus_array := ((name => "Hold in reset", clk_in => "01", rst_in => "11", cnt_en_in => "00", cnt_out => "00"), (name => "Not enabled", clk_in => "01", rst_in => "00", cnt_en_in => "00", cnt_out => "00"));
constant c_stimulus : t_stimulus_array := ((name => "Hold in reset", clk_in => "01", rst_in => "11", cnt_en_in => "00", cnt_out => "00"), (name => "Not enabled", clk_in => "01", rst_in => "00", cnt_en_in => "00", cnt_out => "00"));
constant c_stimulus : t_stimulus_array := ((name => "Hold in reset", clk_in => "01", rst_in => "11", cnt_en_in => "00", cnt_out => "00"), (name => "Not enabled", clk_in => "01", rst_in => "00", cnt_en_in => "00", cnt_out => "00"));
constant c_stimulus : t_stimulus_array := (name => "Not enabled", clk_in => "01", rst_in => "00", cnt_en_in => "00", cnt_out => "00"); -- Comment
begin
end architecture rtl;
|
-------------------------------------------------------------------------------
-- $Id: parity.vhd,v 1.1.2.2 2010/09/06 09:01:24 rolandp Exp $
-------------------------------------------------------------------------------
--
-- (c) Copyright [2003] - [2011] Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES
--
------------------------------------------------------------------------------
-- Filename: parity.vhd
--
-- Description: Generate parity optimally for all target architectures
--
-- VHDL-Standard: VHDL'93
-------------------------------------------------------------------------------
-- Structure:
-- parity.vhd
-- xor18.vhd
-- parity_recursive_LUT6.vhd
--
-------------------------------------------------------------------------------
-- Author: stefana
-- Revision: $Revision: 1.1.2.2 $
-- Date: $Date: 2010/09/06 09:01:24 $
-------------------------------------------------------------------------------
-- Naming Conventions:
-- active low signals: "*_n"
-- clock signals: "clk", "clk_div#", "clk_#x"
-- reset signals: "rst", "rst_n"
-- generics: "C_*"
-- user defined types: "*_TYPE"
-- state machine next state: "*_ns"
-- state machine current state: "*_cs"
-- combinatorial signals: "*_com"
-- pipelined or register delay signals: "*_d#"
-- counter signals: "*cnt*"
-- clock enable signals: "*_ce"
-- internal version of output port "*_i"
-- device pins: "*_pin"
-- ports: - Names begin with Uppercase
-- processes: "*_PROCESS"
-- component instantiations: "<ENTITY_>I_<#|FUNC>
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
entity Parity is
generic (
C_USE_LUT6 : boolean := true;
C_SIZE : integer := 6
);
port (
InA : in std_logic_vector(0 to C_SIZE - 1);
Res : out std_logic
);
end entity Parity;
library unisim;
use unisim.vcomponents.all;
architecture IMP of Parity is
-- Non-recursive loop implementation
function ParityGen (InA : std_logic_vector) return std_logic is
variable result : std_logic;
begin
result := '0';
for I in InA'range loop
result := result xor InA(I);
end loop;
return result;
end function ParityGen;
begin -- architecture IMP
Using_LUT6 : if (C_USE_LUT6) generate
--------------------------------------------------------------------------------------------------
-- Single LUT6
--------------------------------------------------------------------------------------------------
Single_LUT6 : if C_SIZE > 1 and C_SIZE <= 6 generate
signal inA6 : std_logic_vector(0 to 5);
begin
Assign_InA : process (InA) is
begin
inA6 <= (others => '0');
inA6(0 to InA'length - 1) <= InA;
end process Assign_InA;
XOR6_LUT : LUT6
generic map(
INIT => X"6996966996696996")
port map(
O => Res,
I0 => inA6(5),
I1 => inA6(4),
I2 => inA6(3),
I3 => inA6(2),
I4 => inA6(1),
I5 => inA6(0));
end generate Single_LUT6;
--------------------------------------------------------------------------------------------------
-- Two LUT6 and one MUXF7
--------------------------------------------------------------------------------------------------
Use_MUXF7 : if C_SIZE = 7 generate
signal inA7 : std_logic_vector(0 to 6);
signal result6 : std_logic;
signal result6n : std_logic;
begin
Assign_InA : process (InA) is
begin
inA7 <= (others => '0');
inA7(0 to InA'length - 1) <= InA;
end process Assign_InA;
XOR6_LUT : LUT6
generic map(
INIT => X"6996966996696996")
port map(
O => result6,
I0 => inA7(5),
I1 => inA7(4),
I2 => inA7(3),
I3 => inA7(2),
I4 => inA7(1),
I5 => inA7(0));
XOR6_LUT_N : LUT6
generic map(
INIT => X"9669699669969669")
port map(
O => result6n,
I0 => inA7(5),
I1 => inA7(4),
I2 => inA7(3),
I3 => inA7(2),
I4 => inA7(1),
I5 => inA7(0));
MUXF7_LUT : MUXF7
port map (
O => Res,
I0 => result6,
I1 => result6n,
S => inA7(6));
end generate Use_MUXF7;
--------------------------------------------------------------------------------------------------
-- Four LUT6, two MUXF7 and one MUXF8
--------------------------------------------------------------------------------------------------
Use_MUXF8 : if C_SIZE = 8 generate
signal inA8 : std_logic_vector(0 to 7);
signal result6_1 : std_logic;
signal result6_1n : std_logic;
signal result6_2 : std_logic;
signal result6_2n : std_logic;
signal result7_1 : std_logic;
signal result7_1n : std_logic;
begin
Assign_InA : process (InA) is
begin
inA8 <= (others => '0');
inA8(0 to InA'length - 1) <= InA;
end process Assign_InA;
XOR6_LUT1 : LUT6
generic map(
INIT => X"6996966996696996")
port map(
O => result6_1,
I0 => inA8(5),
I1 => inA8(4),
I2 => inA8(3),
I3 => inA8(2),
I4 => inA8(1),
I5 => inA8(0));
XOR6_LUT2_N : LUT6
generic map(
INIT => X"9669699669969669")
port map(
O => result6_1n,
I0 => inA8(5),
I1 => inA8(4),
I2 => inA8(3),
I3 => inA8(2),
I4 => inA8(1),
I5 => inA8(0));
MUXF7_LUT1 : MUXF7
port map (
O => result7_1,
I0 => result6_1,
I1 => result6_1n,
S => inA8(6));
XOR6_LUT3 : LUT6
generic map(
INIT => X"6996966996696996")
port map(
O => result6_2,
I0 => inA8(5),
I1 => inA8(4),
I2 => inA8(3),
I3 => inA8(2),
I4 => inA8(1),
I5 => inA8(0));
XOR6_LUT4_N : LUT6
generic map(
INIT => X"9669699669969669")
port map(
O => result6_2n,
I0 => inA8(5),
I1 => inA8(4),
I2 => inA8(3),
I3 => inA8(2),
I4 => inA8(1),
I5 => inA8(0));
MUXF7_LUT2 : MUXF7
port map (
O => result7_1n,
I0 => result6_2n,
I1 => result6_2,
S => inA8(6));
MUXF8_LUT : MUXF8
port map (
O => res,
I0 => result7_1,
I1 => result7_1n,
S => inA8(7));
end generate Use_MUXF8;
end generate Using_LUT6;
-- Fall-back implementation without LUT6
Not_Using_LUT6 : if not C_USE_LUT6 or C_SIZE > 8 generate
begin
Res <= ParityGen(InA);
end generate Not_Using_LUT6;
end architecture IMP;
|
-- Copyright (C) 2002 Morgan Kaufmann Publishers, Inc
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
entity negate is
end entity negate;
architecture test of negate is
subtype word32 is bit_vector(31 downto 0);
-- code in book
procedure negate ( a : inout word32 ) is
variable carry_in : bit := '1';
variable carry_out : bit;
begin
a := not a;
for index in a'reverse_range loop
carry_out := a(index) and carry_in;
a(index) := a(index) xor carry_in;
carry_in := carry_out;
end loop;
end procedure negate;
-- end code in book
begin
stimulus : process is
-- code in book (in text)
variable op1 : word32;
-- . . .
-- end code in book
begin
op1 := X"0000_0002";
-- code in book (in text)
negate ( op1 );
-- end code in book
wait;
end process stimulus;
end architecture test;
|
-- Copyright (C) 2002 Morgan Kaufmann Publishers, Inc
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
entity negate is
end entity negate;
architecture test of negate is
subtype word32 is bit_vector(31 downto 0);
-- code in book
procedure negate ( a : inout word32 ) is
variable carry_in : bit := '1';
variable carry_out : bit;
begin
a := not a;
for index in a'reverse_range loop
carry_out := a(index) and carry_in;
a(index) := a(index) xor carry_in;
carry_in := carry_out;
end loop;
end procedure negate;
-- end code in book
begin
stimulus : process is
-- code in book (in text)
variable op1 : word32;
-- . . .
-- end code in book
begin
op1 := X"0000_0002";
-- code in book (in text)
negate ( op1 );
-- end code in book
wait;
end process stimulus;
end architecture test;
|
-- Copyright (C) 2002 Morgan Kaufmann Publishers, Inc
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
entity negate is
end entity negate;
architecture test of negate is
subtype word32 is bit_vector(31 downto 0);
-- code in book
procedure negate ( a : inout word32 ) is
variable carry_in : bit := '1';
variable carry_out : bit;
begin
a := not a;
for index in a'reverse_range loop
carry_out := a(index) and carry_in;
a(index) := a(index) xor carry_in;
carry_in := carry_out;
end loop;
end procedure negate;
-- end code in book
begin
stimulus : process is
-- code in book (in text)
variable op1 : word32;
-- . . .
-- end code in book
begin
op1 := X"0000_0002";
-- code in book (in text)
negate ( op1 );
-- end code in book
wait;
end process stimulus;
end architecture test;
|
--!
--! Copyright 2019 Sergey Khabarov, [email protected]
--!
--! Licensed under the Apache License, Version 2.0 (the "License");
--! you may not use this file except in compliance with the License.
--! You may obtain a copy of the License at
--!
--! http://www.apache.org/licenses/LICENSE-2.0
--!
--! Unless required by applicable law or agreed to in writing, software
--! distributed under the License is distributed on an "AS IS" BASIS,
--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
--! See the License for the specific language governing permissions and
--! limitations under the License.
--!
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_misc.all; -- or_reduce()
library commonlib;
use commonlib.types_common.all;
library techmap;
use techmap.types_mem.all;
library riverlib;
use riverlib.types_cache.all;
entity tagmemcoupled is generic (
memtech : integer := 0;
async_reset : boolean := false;
abus : integer := 64; -- system bus address bus (32 or 64 bits)
waybits : integer := 2; -- log2 of number of ways bits (=2 for 4 ways)
ibits : integer := 7; -- lines memory addres width (usually 6..8)
lnbits : integer := 5; -- One line bits: log2(bytes_per_line)
flbits : integer := 1 -- Total flags number saved with address tag
);
port (
i_clk : in std_logic;
i_nrst : in std_logic;
i_direct_access : in std_logic;
i_invalidate : in std_logic;
i_re : in std_logic;
i_we : in std_logic;
i_addr : in std_logic_vector(abus-1 downto 0);
i_wdata : in std_logic_vector(8*(2**lnbits)-1 downto 0);
i_wstrb : in std_logic_vector(2**lnbits-1 downto 0);
i_wflags : in std_logic_vector(flbits-1 downto 0);
o_raddr : out std_logic_vector(abus-1 downto 0);
o_rdata : out std_logic_vector(8*(2**lnbits)+15 downto 0);
o_rflags : out std_logic_vector(flbits-1 downto 0);
o_hit : out std_logic;
o_hit_next : out std_logic
);
end;
architecture arch_tagmemcoupled of tagmemcoupled is
constant TAG_START : integer := abus - (ibits + lnbits);
constant EVEN : integer := 0;
constant ODD : integer := 1;
constant MemTotal : integer := 2;
type tagmem_in_type is record
direct_access : std_logic;
invalidate : std_logic;
re : std_logic;
we : std_logic;
addr : std_logic_vector(abus-1 downto 0);
wdata : std_logic_vector(8*(2**lnbits)-1 downto 0);
wstrb : std_logic_vector((2**lnbits)-1 downto 0);
wflags : std_logic_vector(flbits-1 downto 0);
snoop_addr : std_logic_vector(abus-1 downto 0);
end record;
type tagmem_out_type is record
raddr : std_logic_vector(abus-1 downto 0);
rdata : std_logic_vector(8*(2**lnbits)-1 downto 0);
rflags : std_logic_vector(flbits-1 downto 0);
hit : std_logic;
snoop_ready : std_logic;
snoop_flags : std_logic_vector(flbits-1 downto 0);
end record;
type tagmem_in_vector is array (0 to MemTotal-1) of tagmem_in_type;
type tagmem_out_vector is array (0 to MemTotal-1) of tagmem_out_type;
signal r_req_addr : std_logic_vector(abus-1 downto 0);
signal linei : tagmem_in_vector;
signal lineo : tagmem_out_vector;
begin
dx : for i in 0 to MemTotal-1 generate
memx : tagmemnway generic map (
async_reset => async_reset,
memtech => memtech,
abus => abus,
waybits => waybits,
ibits => ibits - 1,
lnbits => lnbits,
flbits => flbits,
snoop => false
) port map (
i_clk => i_clk,
i_nrst => i_nrst,
i_direct_access => linei(i).direct_access,
i_invalidate => linei(i).invalidate,
i_re => linei(i).re,
i_we => linei(i).we,
i_addr => linei(i).addr,
i_wdata => linei(i).wdata,
i_wstrb => linei(i).wstrb,
i_wflags => linei(i).wflags,
o_raddr => lineo(i).raddr,
o_rdata => lineo(i).rdata,
o_rflags => lineo(i).rflags,
o_hit => lineo(i).hit,
i_snoop_addr => linei(i).snoop_addr,
o_snoop_ready => lineo(i).snoop_ready,
o_snoop_flags => lineo(i).snoop_flags
);
end generate;
comb : process(i_nrst, i_direct_access, i_invalidate, i_re, i_we,
i_addr, i_wstrb, i_wdata, i_wflags,
lineo, r_req_addr)
variable v_addr_sel : std_logic;
variable v_addr_sel_r : std_logic;
variable v_use_overlay : std_logic;
variable v_use_overlay_r : std_logic;
variable vb_index : std_logic_vector(ibits-1 downto 0);
variable vb_index_next : std_logic_vector(ibits-1 downto 0);
variable vb_addr_next : std_logic_vector(abus-1 downto 0);
variable vb_addr_tag_direct : std_logic_vector(abus-1 downto 0);
variable vb_addr_tag_next : std_logic_vector(abus-1 downto 0);
variable vb_raddr_tag : std_logic_vector(abus-1 downto 0);
variable vb_o_raddr : std_logic_vector(abus-1 downto 0);
variable vb_o_rdata : std_logic_vector(8*(2**lnbits)+15 downto 0);
variable v_o_hit : std_logic;
variable v_o_hit_next : std_logic;
variable vb_o_rflags : std_logic_vector(flbits-1 downto 0);
begin
v_addr_sel := i_addr(lnbits);
v_addr_sel_r := r_req_addr(lnbits);
vb_addr_next := i_addr + (2**lnbits);
vb_index := i_addr(ibits+lnbits-1 downto lnbits);
vb_index_next := vb_addr_next(ibits+lnbits-1 downto lnbits);
v_use_overlay := and_reduce(i_addr(lnbits-1 downto 1));
v_use_overlay_r := and_reduce(r_req_addr(lnbits-1 downto 1));
-- Change the bit order in the requested address:
-- [tag][line_idx][odd/evenbit][line_bytes] on
-- [tag][1'b0] [line_idx] [line_bytes]
--
-- Example (abus=32; ibits=7; lnbits=5;):
-- [4:0] byte in line [4:0]
-- [11:5] line index {[1'b0],[11:6]}
-- [31:12] tag [31:12]
vb_addr_tag_direct := i_addr;
vb_addr_tag_direct(ibits + lnbits - 1 downto lnbits) := '0' & vb_index(ibits-1 downto 1);
vb_addr_tag_next := vb_addr_next;
vb_addr_tag_next(ibits + lnbits - 1 downto lnbits) := '0' & vb_index_next(ibits-1 downto 1);
if v_addr_sel = '0' then
linei(EVEN).addr <= vb_addr_tag_direct;
linei(EVEN).wstrb <= i_wstrb;
linei(ODD).addr <= vb_addr_tag_next;
linei(ODD).wstrb <= (others => '0');
else
linei(EVEN).addr <= vb_addr_tag_next;
linei(EVEN).wstrb <= (others => '0');
linei(ODD).addr <= vb_addr_tag_direct;
linei(ODD).wstrb <= i_wstrb;
end if;
linei(EVEN).direct_access <= i_direct_access and ((not v_addr_sel) or v_use_overlay);
linei(ODD).direct_access <= i_direct_access and (v_addr_sel or v_use_overlay);
linei(EVEN).invalidate <= i_invalidate and ((not v_addr_sel) or v_use_overlay);
linei(ODD).invalidate <= i_invalidate and (v_addr_sel or v_use_overlay);
linei(EVEN).re <= i_re and ((not v_addr_sel) or v_use_overlay);
linei(ODD).re <= i_re and (v_addr_sel or v_use_overlay);
linei(EVEN).we <= i_we and ((not v_addr_sel) or v_use_overlay);
linei(ODD).we <= i_we and (v_addr_sel or v_use_overlay);
linei(EVEN).wdata <= i_wdata;
linei(ODD).wdata <= i_wdata;
linei(EVEN).wflags <= i_wflags;
linei(ODD).wflags <= i_wflags;
-- Form output:
if v_addr_sel_r = '0' then
vb_o_rdata := lineo(ODD).rdata(15 downto 0) & lineo(EVEN).rdata;
vb_raddr_tag := lineo(EVEN).raddr;
vb_o_rflags := lineo(EVEN).rflags;
v_o_hit := lineo(EVEN).hit;
if v_use_overlay_r = '0' then
v_o_hit_next := lineo(EVEN).hit;
else
v_o_hit_next := lineo(ODD).hit;
end if;
else
vb_o_rdata := lineo(EVEN).rdata(15 downto 0) & lineo(ODD).rdata;
vb_raddr_tag := lineo(ODD).raddr;
vb_o_rflags := lineo(ODD).rflags;
v_o_hit := lineo(ODD).hit;
if v_use_overlay_r = '0' then
v_o_hit_next := lineo(ODD).hit;
else
v_o_hit_next := lineo(EVEN).hit;
end if;
end if;
vb_o_raddr := vb_raddr_tag;
vb_o_raddr(lnbits) := v_addr_sel_r;
vb_o_raddr(ibits + lnbits - 1 downto lnbits + 1) :=
vb_raddr_tag(ibits + lnbits - 2 downto lnbits);
o_raddr <= vb_o_raddr;
o_rdata <= vb_o_rdata;
o_rflags <= vb_o_rflags;
o_hit <= v_o_hit;
o_hit_next <= v_o_hit_next;
end process;
-- registers:
regs : process(i_clk, i_nrst)
begin
if async_reset and i_nrst = '0' then
r_req_addr <= (others => '0');
elsif rising_edge(i_clk) then
r_req_addr <= i_addr;
end if;
end process;
end;
|
-- Copyright (C) 2002 Morgan Kaufmann Publishers, Inc
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
library ieee_proposed; use ieee_proposed.electrical_systems.all;
entity amp_lim is
port ( terminal ps : electrical; -- positive supply terminal
terminal input, output : electrical );
end entity amp_lim;
----------------------------------------------------------------
architecture simple of amp_lim is
quantity v_pwr across i_pwr through ps to electrical_ref;
quantity vin across iin through input to electrical_ref;
quantity vout across iout through output to electrical_ref;
quantity v_amplified : voltage ;
constant gain : real := 1.0;
begin
v_amplified == gain * vin;
if v_amplified'above(v_pwr) use
vout == v_pwr;
else
vout == v_amplified;
end use;
break on v_amplified'above(v_pwr);
-- ignore loading effects
i_pwr == 0.0;
iin == 0.0;
end architecture simple;
|
-- Copyright (C) 2002 Morgan Kaufmann Publishers, Inc
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
library ieee_proposed; use ieee_proposed.electrical_systems.all;
entity amp_lim is
port ( terminal ps : electrical; -- positive supply terminal
terminal input, output : electrical );
end entity amp_lim;
----------------------------------------------------------------
architecture simple of amp_lim is
quantity v_pwr across i_pwr through ps to electrical_ref;
quantity vin across iin through input to electrical_ref;
quantity vout across iout through output to electrical_ref;
quantity v_amplified : voltage ;
constant gain : real := 1.0;
begin
v_amplified == gain * vin;
if v_amplified'above(v_pwr) use
vout == v_pwr;
else
vout == v_amplified;
end use;
break on v_amplified'above(v_pwr);
-- ignore loading effects
i_pwr == 0.0;
iin == 0.0;
end architecture simple;
|
-- Copyright (C) 2002 Morgan Kaufmann Publishers, Inc
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
library ieee_proposed; use ieee_proposed.electrical_systems.all;
entity amp_lim is
port ( terminal ps : electrical; -- positive supply terminal
terminal input, output : electrical );
end entity amp_lim;
----------------------------------------------------------------
architecture simple of amp_lim is
quantity v_pwr across i_pwr through ps to electrical_ref;
quantity vin across iin through input to electrical_ref;
quantity vout across iout through output to electrical_ref;
quantity v_amplified : voltage ;
constant gain : real := 1.0;
begin
v_amplified == gain * vin;
if v_amplified'above(v_pwr) use
vout == v_pwr;
else
vout == v_amplified;
end use;
break on v_amplified'above(v_pwr);
-- ignore loading effects
i_pwr == 0.0;
iin == 0.0;
end architecture simple;
|
-- This module is used for dividing master clock
-- frecuency to required base Bauds frecuency.
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
use IEEE.std_logic_unsigned.all;
entity BaudRateRD is
port(
RST : in std_logic;
CLK : in std_logic;
ENC : in std_logic;
NBaud : in std_logic_vector(3 downto 0); -- Number of Bauds by second
FBaud : out std_logic -- Base frecuency
);
end BaudRateRD;
architecture simple of BaudRateRD is
signal NB, Qp, Qn : std_logic_vector(18 downto 0);
begin
COMB: process(NBaud,ENC,Qp)
begin
case NBaud is
when "0000"=>
NB<= "1111010000100100000"; -- 110 Bauds
when "0001"=>
NB<= "0101000101100001010"; -- 300 Bauds
when "0010"=>
NB<= "0010100010110000101"; -- 600 Bauds
when "0011"=>
NB<= "0001010001011000010"; -- 1200 Bauds
when "0100"=>
NB<= "0000101000101100001"; -- 2400 Bauds
when "0101"=>
NB<= "0000010100010110000"; -- 4800 Bauds
when "0110"=>
NB<= "0000001010001011000"; -- 9600 Bauds
when "0111"=>
NB<= "0000000110110010000"; -- 14400 Bauds
when "1000"=>
NB<= "0000000101000101100"; -- 19200 Bauds
when "1001"=>
NB<= "0000000010100010110"; -- 38400 Bauds
when "1010"=>
NB<= "0000000001101100100"; -- 57600 Bauds
when "1011"=>
NB<= "0000000000110110010"; -- 115200 Bauds
when "1100"=>
NB<= "0000000000110000110"; -- 128000 Bauds
when "1101"=>
NB<= "0000000000011000011"; -- 256000 Bauds
when others=>
NB<= "0000000000000000000"; -- 0 Bauds
end case;
if(ENC='0')then
Qn<= NB;
FBaud<= '0';
else
if(Qp= "0000000000000000000")then
Qn<= NB;
FBaud<= '1';
else
Qn<= Qp-1;
FBaud<= '0';
end if;
end if;
end process COMB;
FF: process(RST,CLK,Qn)
begin
if(RST='1')then
Qp <= (others=>'0');
elsif(CLK'event and CLK='1') then
Qp <= Qn;
end if;
end process FF;
end simple;
|
-- This module is used for dividing master clock
-- frecuency to required base Bauds frecuency.
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
use IEEE.std_logic_unsigned.all;
entity BaudRateRD is
port(
RST : in std_logic;
CLK : in std_logic;
ENC : in std_logic;
NBaud : in std_logic_vector(3 downto 0); -- Number of Bauds by second
FBaud : out std_logic -- Base frecuency
);
end BaudRateRD;
architecture simple of BaudRateRD is
signal NB, Qp, Qn : std_logic_vector(18 downto 0);
begin
COMB: process(NBaud,ENC,Qp)
begin
case NBaud is
when "0000"=>
NB<= "1111010000100100000"; -- 110 Bauds
when "0001"=>
NB<= "0101000101100001010"; -- 300 Bauds
when "0010"=>
NB<= "0010100010110000101"; -- 600 Bauds
when "0011"=>
NB<= "0001010001011000010"; -- 1200 Bauds
when "0100"=>
NB<= "0000101000101100001"; -- 2400 Bauds
when "0101"=>
NB<= "0000010100010110000"; -- 4800 Bauds
when "0110"=>
NB<= "0000001010001011000"; -- 9600 Bauds
when "0111"=>
NB<= "0000000110110010000"; -- 14400 Bauds
when "1000"=>
NB<= "0000000101000101100"; -- 19200 Bauds
when "1001"=>
NB<= "0000000010100010110"; -- 38400 Bauds
when "1010"=>
NB<= "0000000001101100100"; -- 57600 Bauds
when "1011"=>
NB<= "0000000000110110010"; -- 115200 Bauds
when "1100"=>
NB<= "0000000000110000110"; -- 128000 Bauds
when "1101"=>
NB<= "0000000000011000011"; -- 256000 Bauds
when others=>
NB<= "0000000000000000000"; -- 0 Bauds
end case;
if(ENC='0')then
Qn<= NB;
FBaud<= '0';
else
if(Qp= "0000000000000000000")then
Qn<= NB;
FBaud<= '1';
else
Qn<= Qp-1;
FBaud<= '0';
end if;
end if;
end process COMB;
FF: process(RST,CLK,Qn)
begin
if(RST='1')then
Qp <= (others=>'0');
elsif(CLK'event and CLK='1') then
Qp <= Qn;
end if;
end process FF;
end simple;
|
-- This module is used for dividing master clock
-- frecuency to required base Bauds frecuency.
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
use IEEE.std_logic_unsigned.all;
entity BaudRateRD is
port(
RST : in std_logic;
CLK : in std_logic;
ENC : in std_logic;
NBaud : in std_logic_vector(3 downto 0); -- Number of Bauds by second
FBaud : out std_logic -- Base frecuency
);
end BaudRateRD;
architecture simple of BaudRateRD is
signal NB, Qp, Qn : std_logic_vector(18 downto 0);
begin
COMB: process(NBaud,ENC,Qp)
begin
case NBaud is
when "0000"=>
NB<= "1111010000100100000"; -- 110 Bauds
when "0001"=>
NB<= "0101000101100001010"; -- 300 Bauds
when "0010"=>
NB<= "0010100010110000101"; -- 600 Bauds
when "0011"=>
NB<= "0001010001011000010"; -- 1200 Bauds
when "0100"=>
NB<= "0000101000101100001"; -- 2400 Bauds
when "0101"=>
NB<= "0000010100010110000"; -- 4800 Bauds
when "0110"=>
NB<= "0000001010001011000"; -- 9600 Bauds
when "0111"=>
NB<= "0000000110110010000"; -- 14400 Bauds
when "1000"=>
NB<= "0000000101000101100"; -- 19200 Bauds
when "1001"=>
NB<= "0000000010100010110"; -- 38400 Bauds
when "1010"=>
NB<= "0000000001101100100"; -- 57600 Bauds
when "1011"=>
NB<= "0000000000110110010"; -- 115200 Bauds
when "1100"=>
NB<= "0000000000110000110"; -- 128000 Bauds
when "1101"=>
NB<= "0000000000011000011"; -- 256000 Bauds
when others=>
NB<= "0000000000000000000"; -- 0 Bauds
end case;
if(ENC='0')then
Qn<= NB;
FBaud<= '0';
else
if(Qp= "0000000000000000000")then
Qn<= NB;
FBaud<= '1';
else
Qn<= Qp-1;
FBaud<= '0';
end if;
end if;
end process COMB;
FF: process(RST,CLK,Qn)
begin
if(RST='1')then
Qp <= (others=>'0');
elsif(CLK'event and CLK='1') then
Qp <= Qn;
end if;
end process FF;
end simple;
|
-- This module is used for dividing master clock
-- frecuency to required base Bauds frecuency.
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
use IEEE.std_logic_unsigned.all;
entity BaudRateRD is
port(
RST : in std_logic;
CLK : in std_logic;
ENC : in std_logic;
NBaud : in std_logic_vector(3 downto 0); -- Number of Bauds by second
FBaud : out std_logic -- Base frecuency
);
end BaudRateRD;
architecture simple of BaudRateRD is
signal NB, Qp, Qn : std_logic_vector(18 downto 0);
begin
COMB: process(NBaud,ENC,Qp)
begin
case NBaud is
when "0000"=>
NB<= "1111010000100100000"; -- 110 Bauds
when "0001"=>
NB<= "0101000101100001010"; -- 300 Bauds
when "0010"=>
NB<= "0010100010110000101"; -- 600 Bauds
when "0011"=>
NB<= "0001010001011000010"; -- 1200 Bauds
when "0100"=>
NB<= "0000101000101100001"; -- 2400 Bauds
when "0101"=>
NB<= "0000010100010110000"; -- 4800 Bauds
when "0110"=>
NB<= "0000001010001011000"; -- 9600 Bauds
when "0111"=>
NB<= "0000000110110010000"; -- 14400 Bauds
when "1000"=>
NB<= "0000000101000101100"; -- 19200 Bauds
when "1001"=>
NB<= "0000000010100010110"; -- 38400 Bauds
when "1010"=>
NB<= "0000000001101100100"; -- 57600 Bauds
when "1011"=>
NB<= "0000000000110110010"; -- 115200 Bauds
when "1100"=>
NB<= "0000000000110000110"; -- 128000 Bauds
when "1101"=>
NB<= "0000000000011000011"; -- 256000 Bauds
when others=>
NB<= "0000000000000000000"; -- 0 Bauds
end case;
if(ENC='0')then
Qn<= NB;
FBaud<= '0';
else
if(Qp= "0000000000000000000")then
Qn<= NB;
FBaud<= '1';
else
Qn<= Qp-1;
FBaud<= '0';
end if;
end if;
end process COMB;
FF: process(RST,CLK,Qn)
begin
if(RST='1')then
Qp <= (others=>'0');
elsif(CLK'event and CLK='1') then
Qp <= Qn;
end if;
end process FF;
end simple;
|
-- Copyright (C) 2002 Morgan Kaufmann Publishers, Inc
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
use work.resolve.all;
-- code from book (in text)
entity tri_state_reg is
port ( d : in resolved_byte;
q : out resolved_byte bus;
clock, out_enable : in bit );
end entity tri_state_reg;
-- end code from book
-- code from book
architecture behavioral of tri_state_reg is
begin
reg_behavior : process (d, clock, out_enable) is
variable stored_byte : byte;
begin
if clock'event and clock = '1' then
stored_byte := d;
end if;
if out_enable = '1' then
q <= stored_byte;
else
q <= null;
end if;
end process reg_behavior;
end architecture behavioral;
-- end code from book
|
-- Copyright (C) 2002 Morgan Kaufmann Publishers, Inc
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
use work.resolve.all;
-- code from book (in text)
entity tri_state_reg is
port ( d : in resolved_byte;
q : out resolved_byte bus;
clock, out_enable : in bit );
end entity tri_state_reg;
-- end code from book
-- code from book
architecture behavioral of tri_state_reg is
begin
reg_behavior : process (d, clock, out_enable) is
variable stored_byte : byte;
begin
if clock'event and clock = '1' then
stored_byte := d;
end if;
if out_enable = '1' then
q <= stored_byte;
else
q <= null;
end if;
end process reg_behavior;
end architecture behavioral;
-- end code from book
|
-- Copyright (C) 2002 Morgan Kaufmann Publishers, Inc
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
use work.resolve.all;
-- code from book (in text)
entity tri_state_reg is
port ( d : in resolved_byte;
q : out resolved_byte bus;
clock, out_enable : in bit );
end entity tri_state_reg;
-- end code from book
-- code from book
architecture behavioral of tri_state_reg is
begin
reg_behavior : process (d, clock, out_enable) is
variable stored_byte : byte;
begin
if clock'event and clock = '1' then
stored_byte := d;
end if;
if out_enable = '1' then
q <= stored_byte;
else
q <= null;
end if;
end process reg_behavior;
end architecture behavioral;
-- end code from book
|
-- -------------------------------------------------------------
--
-- Entity Declaration for ent_bb
--
-- Generated
-- by: wig
-- on: Wed Nov 30 13:58:36 2005
-- cmd: /cygdrive/h/work/eclipse/MIX/mix_0.pl -strip -nodelta ../../bugver.xls
--
-- !!! Do not edit this file! Autogenerated by MIX !!!
-- $Author: wig $
-- $Id: ent_bb-e.vhd,v 1.3 2006/03/17 11:59:29 wig Exp $
-- $Date: 2006/03/17 11:59:29 $
-- $Log: ent_bb-e.vhd,v $
-- Revision 1.3 2006/03/17 11:59:29 wig
-- Testcase updated ( [cut] was wrong!)
--
--
-- Based on Mix Entity Template built into RCSfile: MixWriter.pm,v
-- Id: MixWriter.pm,v 1.71 2005/11/22 11:00:47 wig Exp
--
-- Generator: mix_0.pl Version: Revision: 1.42 , [email protected]
-- (C) 2003,2005 Micronas GmbH
--
-- --------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
-- No project specific VHDL libraries/enty
--
--
-- Start of Generated Entity ent_bb
--
entity ent_bb is
-- Generics:
-- No Generated Generics for Entity ent_bb
-- Generated Port Declaration:
port(
-- Generated Port for Entity ent_bb
sc_p_3 : in std_ulogic_vector(31 downto 0); -- reverse order
-- multiline comments
sc_p_4 : in std_ulogic_vector(31 downto 0) -- reverse order
-- multiline comments
-- line 3
-- line 4
-- line 5
-- line 6
-- line 7
-- line 8
-- line 9
-- line 10
-- ...[cut]...
-- End of Generated Port for Entity ent_bb
);
end ent_bb;
--
-- End of Generated Entity ent_bb
--
--
--!End of Entity/ies
-- --------------------------------------------------------------
|
-- Technology and synthesis options
constant CFG_FABTECH : integer := CONFIG_SYN_TECH;
constant CFG_MEMTECH : integer := CFG_RAM_TECH;
constant CFG_PADTECH : integer := CFG_PAD_TECH;
constant CFG_NOASYNC : integer := CONFIG_SYN_NO_ASYNC;
constant CFG_SCAN : integer := CONFIG_SYN_SCAN;
|
-- Technology and synthesis options
constant CFG_FABTECH : integer := CONFIG_SYN_TECH;
constant CFG_MEMTECH : integer := CFG_RAM_TECH;
constant CFG_PADTECH : integer := CFG_PAD_TECH;
constant CFG_NOASYNC : integer := CONFIG_SYN_NO_ASYNC;
constant CFG_SCAN : integer := CONFIG_SYN_SCAN;
|
-- Technology and synthesis options
constant CFG_FABTECH : integer := CONFIG_SYN_TECH;
constant CFG_MEMTECH : integer := CFG_RAM_TECH;
constant CFG_PADTECH : integer := CFG_PAD_TECH;
constant CFG_NOASYNC : integer := CONFIG_SYN_NO_ASYNC;
constant CFG_SCAN : integer := CONFIG_SYN_SCAN;
|
-- Technology and synthesis options
constant CFG_FABTECH : integer := CONFIG_SYN_TECH;
constant CFG_MEMTECH : integer := CFG_RAM_TECH;
constant CFG_PADTECH : integer := CFG_PAD_TECH;
constant CFG_NOASYNC : integer := CONFIG_SYN_NO_ASYNC;
constant CFG_SCAN : integer := CONFIG_SYN_SCAN;
|
-- NEED RESULT: ARCH00700: Expressions, signal and variable names allowed as actual designator in association list passed
-------------------------------------------------------------------------------
--
-- Copyright (c) 1989 by Intermetrics, Inc.
-- All rights reserved.
--
-------------------------------------------------------------------------------
--
-- TEST NAME:
--
-- CT00700
--
-- AUTHOR:
--
-- A. Wilmot
--
-- TEST OBJECTIVES:
--
-- 4.3.3.2 (8)
--
-- DESIGN UNIT ORDERING:
--
-- PKG00700
-- ENT00700(ARCH00700)
-- ENT00700_Test_Bench(ARCH00700_Test_Bench)
--
-- REVISION HISTORY:
--
-- 09-SEP-1987 - initial revision
--
-- NOTES:
--
-- self-checking
--
use WORK.STANDARD_TYPES.all ;
package PKG00700 is
subtype integer1 is integer range -100 to 100 ;
subtype boolean1 is boolean range false to true ;
subtype st_arr31 is t_arr3 (highb downto lowb, true downto false ) ;
subtype integer2 is integer range 100 downto -100 ;
subtype boolean2 is boolean range true downto false ;
subtype st_arr32 is t_arr3 (lowb to highb, false to true ) ;
subtype integer3 is bf_integer integer range -100 to 100 ;
subtype boolean3 is bf_boolean boolean range true downto false;
subtype st_arr33 is bf_arr3 t_arr3 (lowb + 5 to highb + 5,
true downto false ) ;
--
signal s_integer : integer range 0 to 5 := 5 ;
signal s_boolean : boolean := true ;
signal s_st_arr3 : st_arr3 := c_st_arr3_1 ;
end PKG00700 ;
use WORK.STANDARD_TYPES.all ;
use WORK.PKG00700.all ;
entity ENT00700 is
generic (
g_integer : integer2 ;
g_st_arr3 : st_arr32 ;
g_boolean : boolean2
) ;
port (
p_integer : integer2 ;
p_st_arr3 : st_arr32 ;
p_boolean : boolean2
) ;
end ENT00700 ;
--
architecture ARCH00700 of ENT00700 is
procedure p1 (
pc_boolean : boolean3 ;
pc_integer : integer range -100 to 100 ;
pc_st_arr3 : st_arr33 ;
pv_boolean : inout boolean3 ;
pv_integer : inout integer3 ;
pv_st_arr3 : inout st_arr3 ;
signal ps_boolean : boolean3 ;
signal ps_integer : integer2 ;
signal ps_st_arr3 : st_arr32
) is
variable correct : boolean := true ;
begin
correct := correct and pc_integer = -4 ;
correct := correct and not pc_boolean ;
correct := correct and pc_st_arr3 = c_st_arr3_2 ;
correct := correct and pv_integer = 0 ;
correct := correct and pv_boolean ;
correct := correct and pv_st_arr3 = c_st_arr3_1 ;
correct := correct and ps_integer = 5 ;
correct := correct and ps_boolean ;
correct := correct and ps_st_arr3 = c_st_arr3_1 ;
test_report ( "ARCH00700" ,
"Expressions, signal and variable names allowed as"
& " actual designator in association list" ,
correct ) ;
end p1 ;
begin
process
variable v_integer : integer := 0 ;
variable v_boolean : boolean := true ;
variable v_st_arr3 : st_arr3 := c_st_arr3_1 ;
begin
p1 (
pc_integer => g_integer + s_integer ,
pc_boolean =>
boolean'val(boolean'pos(g_boolean and v_boolean) - 3 mod 2) ,
pc_st_arr3 => g_st_arr3 ,
pv_integer => v_integer ,
pv_boolean => v_boolean ,
pv_st_arr3 => v_st_arr3 ,
ps_integer => s_integer ,
ps_boolean => s_boolean ,
ps_st_arr3 => s_st_arr3
) ;
wait ;
end process ;
end ARCH00700 ;
--
use WORK.STANDARD_TYPES.all ;
use WORK.PKG00700.all ;
entity ENT00700_Test_Bench is
end ENT00700_Test_Bench ;
--
architecture ARCH00700_Test_Bench of ENT00700_Test_Bench is
begin
L1:
block
constant c_integer : integer := -6 ;
constant c_boolean : boolean := false ;
constant c_st_arr3 : st_arr3 := c_st_arr3_2 ;
component UUT
generic (
lg_boolean : boolean1 ;
lg_st_arr3 : st_arr31 ;
lg_integer : integer1
) ;
port (
lp_integer : integer1 ;
lp_st_arr3 : st_arr31 ;
lp_boolean : boolean1
) ;
end component ;
for CIS1 : UUT use entity WORK.ENT00700 ( ARCH00700 )
generic map (
g_st_arr3 => lg_st_arr3 ,
g_boolean => lg_boolean ,
g_integer => lg_integer
)
port map (
p_boolean => lp_boolean ,
p_integer => lp_integer ,
p_st_arr3 => lp_st_arr3
) ;
begin
CIS1 : UUT
generic map (
lg_st_arr3 => c_st_arr3 ,
lg_boolean => boolean'succ(c_boolean) ,
lg_integer => c_integer - 3
)
port map (
lp_st_arr3 => s_st_arr3 ,
lp_boolean => s_boolean ,
lp_integer => s_integer
) ;
end block L1 ;
end ARCH00700_Test_Bench ;
--
|
-- -------------------------------------------------------------
--
-- Generated Architecture Declaration for rtl of inst_shadow_3_e
--
-- Generated
-- by: wig
-- on: Mon Jun 26 17:00:36 2006
-- cmd: /cygdrive/h/work/eclipse/MIX/mix_0.pl ../macro.xls
--
-- !!! Do not edit this file! Autogenerated by MIX !!!
-- $Author: wig $
-- $Id: inst_shadow_3_e-rtl-a.vhd,v 1.3 2006/07/04 09:54:10 wig Exp $
-- $Date: 2006/07/04 09:54:10 $
-- $Log: inst_shadow_3_e-rtl-a.vhd,v $
-- Revision 1.3 2006/07/04 09:54:10 wig
-- Update more testcases, add configuration/cfgfile
--
--
-- Based on Mix Architecture Template built into RCSfile: MixWriter.pm,v
-- Id: MixWriter.pm,v 1.90 2006/06/22 07:13:21 wig Exp
--
-- Generator: mix_0.pl Revision: 1.46 , [email protected]
-- (C) 2003,2005 Micronas GmbH
--
-- --------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
-- No project specific VHDL libraries/arch
--
--
-- Start of Generated Architecture rtl of inst_shadow_3_e
--
architecture rtl of inst_shadow_3_e is
--
-- Generated Constant Declarations
--
--
-- Generated Components
--
--
-- Generated Signal List
--
--
-- End of Generated Signal List
--
begin
--
-- Generated Concurrent Statements
--
--
-- Generated Signal Assignments
--
--
-- Generated Instances and Port Mappings
--
end rtl;
--
--!End of Architecture/s
-- --------------------------------------------------------------
|
-------------------------------------------------------------------------------
-- Title : Exercise
-- Project : Counter
-------------------------------------------------------------------------------
-- File : clk_gen_.vhd
-- Author : Martin Angermair
-- Company : Technikum Wien, Embedded Systems
-- Last update: 24.10.2017
-- Platform : ModelSim
-------------------------------------------------------------------------------
-- Description: Generic Clockgenerator
-------------------------------------------------------------------------------
-- Revisions :
-- Date Version Author Description
-- 27.10.2017 0.1 Martin Angermair init
-- 19.11.2017 1.0 Martin Angermair final version
-------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
entity clk_gen is
port(
clk_i : in std_logic;
reset_i : in std_logic;
count_val_i : in integer; -- max value to count
signal_o : out std_logic);
end clk_gen;
|
library ieee;
use ieee.std_logic_1164.all;
use work.koc_signal_pack.all;
entity koc_signal_axi4_read_cntrl is
generic (
axi_address_width : integer := 16; --! Defines the AXI4-Lite Address Width.
axi_data_width : integer := 32;
reg_control_offset : std_logic_vector := X"0000";
reg_control_signal_bit_loc : integer := 0;
reg_control_status_bit_loc : integer := 1
);
port (
aclk : in std_logic;
aresetn : in std_logic;
axi_araddr : in std_logic_vector(axi_address_width-1 downto 0); --! AXI4-Lite Address Read signal.
axi_arprot : in std_logic_vector(2 downto 0); --! AXI4-Lite Address Read signal.
axi_arvalid : in std_logic; --! AXI4-Lite Address Read signal.
axi_arready : out std_logic; --! AXI4-Lite Address Read signal.
axi_rdata : out std_logic_vector(axi_data_width-1 downto 0) := (others=>'0'); --! AXI4-Lite Read Data signal.
axi_rvalid : out std_logic; --! AXI4-Lite Read Data signal.
axi_rready : in std_logic; --! AXI4-Lite Read Data signal.
axi_rresp : out std_logic_vector(1 downto 0); --! AXI4-Lite Read Data signal.
int : in std_logic);
end koc_signal_axi4_read_cntrl;
architecture Behavioral of koc_signal_axi4_read_cntrl is
type state_type is (state_wait,state_read);
signal state : state_type := state_wait;
signal axi_arready_buff : std_logic := '0';
signal axi_rvalid_buff : std_logic := '0';
signal axi_araddr_buff : std_logic_vector(axi_address_width-1 downto 0);
begin
axi_arready <= axi_arready_buff;
axi_rvalid <= axi_rvalid_buff;
axi_rresp <= axi_resp_okay;
process (aclk)
variable reg_control_var : std_logic_vector(axi_data_width-1 downto 0) := (others=>'0');
begin
if rising_edge(aclk) then
if aresetn='0' then
axi_arready_buff <= '0';
axi_rvalid_buff <= '0';
state <= state_wait;
else
case state is
when state_wait=>
if axi_arvalid='1' and axi_arready_buff='1' then
axi_arready_buff <= '0';
axi_rvalid_buff <= '1';
axi_araddr_buff <= axi_araddr;
state <= state_read;
else
axi_arready_buff <= '1';
end if;
when state_read=>
if axi_rvalid_buff='1' and axi_rready='1' then
axi_rvalid_buff <= '0';
state <= state_wait;
else
axi_rvalid_buff <= '1';
if axi_araddr_buff=reg_control_offset then
reg_control_var := (others=>'0');
reg_control_var(reg_control_status_bit_loc) := int;
axi_rdata <= reg_control_var;
else
axi_rdata <= (others=>'0');
end if;
end if;
end case;
end if;
end if;
end process;
end Behavioral;
|
-- megafunction wizard: %LPM_COUNTER%
-- GENERATION: STANDARD
-- VERSION: WM1.0
-- MODULE: lpm_counter
-- ============================================================
-- File Name: BuzzerMi.vhd
-- Megafunction Name(s):
-- lpm_counter
--
-- Simulation Library Files(s):
-- lpm
-- ============================================================
-- ************************************************************
-- THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE!
--
-- 9.1 Build 350 03/24/2010 SP 2 SJ Web Edition
-- ************************************************************
--Copyright (C) 1991-2010 Altera Corporation
--Your use of Altera Corporation's design tools, logic functions
--and other software and tools, and its AMPP partner logic
--functions, and any output files from any of the foregoing
--(including device programming or simulation files), and any
--associated documentation or information are expressly subject
--to the terms and conditions of the Altera Program License
--Subscription Agreement, Altera MegaCore Function License
--Agreement, or other applicable license agreement, including,
--without limitation, that your use is for the sole purpose of
--programming logic devices manufactured by Altera and sold by
--Altera or its authorized distributors. Please refer to the
--applicable agreement for further details.
LIBRARY ieee;
USE ieee.std_logic_1164.all;
LIBRARY lpm;
USE lpm.all;
ENTITY BuzzerMi IS
PORT
(
clock : IN STD_LOGIC ;
cout : OUT STD_LOGIC ;
q : OUT STD_LOGIC_VECTOR (29 DOWNTO 0)
);
END BuzzerMi;
ARCHITECTURE SYN OF buzzermi IS
SIGNAL sub_wire0 : STD_LOGIC ;
SIGNAL sub_wire1 : STD_LOGIC_VECTOR (29 DOWNTO 0);
COMPONENT lpm_counter
GENERIC (
lpm_direction : STRING;
lpm_modulus : NATURAL;
lpm_port_updown : STRING;
lpm_type : STRING;
lpm_width : NATURAL
);
PORT (
clock : IN STD_LOGIC ;
cout : OUT STD_LOGIC ;
q : OUT STD_LOGIC_VECTOR (29 DOWNTO 0)
);
END COMPONENT;
BEGIN
cout <= sub_wire0;
q <= sub_wire1(29 DOWNTO 0);
lpm_counter_component : lpm_counter
GENERIC MAP (
lpm_direction => "UP",
lpm_modulus => 75757,
lpm_port_updown => "PORT_UNUSED",
lpm_type => "LPM_COUNTER",
lpm_width => 30
)
PORT MAP (
clock => clock,
cout => sub_wire0,
q => sub_wire1
);
END SYN;
-- ============================================================
-- CNX file retrieval info
-- ============================================================
-- Retrieval info: PRIVATE: ACLR NUMERIC "0"
-- Retrieval info: PRIVATE: ALOAD NUMERIC "0"
-- Retrieval info: PRIVATE: ASET NUMERIC "0"
-- Retrieval info: PRIVATE: ASET_ALL1 NUMERIC "1"
-- Retrieval info: PRIVATE: CLK_EN NUMERIC "0"
-- Retrieval info: PRIVATE: CNT_EN NUMERIC "0"
-- Retrieval info: PRIVATE: CarryIn NUMERIC "0"
-- Retrieval info: PRIVATE: CarryOut NUMERIC "1"
-- Retrieval info: PRIVATE: Direction NUMERIC "0"
-- Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "Cyclone"
-- Retrieval info: PRIVATE: ModulusCounter NUMERIC "1"
-- Retrieval info: PRIVATE: ModulusValue NUMERIC "75757"
-- Retrieval info: PRIVATE: SCLR NUMERIC "0"
-- Retrieval info: PRIVATE: SLOAD NUMERIC "0"
-- Retrieval info: PRIVATE: SSET NUMERIC "0"
-- Retrieval info: PRIVATE: SSET_ALL1 NUMERIC "1"
-- Retrieval info: PRIVATE: SYNTH_WRAPPER_GEN_POSTFIX STRING "0"
-- Retrieval info: PRIVATE: nBit NUMERIC "30"
-- Retrieval info: CONSTANT: LPM_DIRECTION STRING "UP"
-- Retrieval info: CONSTANT: LPM_MODULUS NUMERIC "75757"
-- Retrieval info: CONSTANT: LPM_PORT_UPDOWN STRING "PORT_UNUSED"
-- Retrieval info: CONSTANT: LPM_TYPE STRING "LPM_COUNTER"
-- Retrieval info: CONSTANT: LPM_WIDTH NUMERIC "30"
-- Retrieval info: USED_PORT: clock 0 0 0 0 INPUT NODEFVAL clock
-- Retrieval info: USED_PORT: cout 0 0 0 0 OUTPUT NODEFVAL cout
-- Retrieval info: USED_PORT: q 0 0 30 0 OUTPUT NODEFVAL q[29..0]
-- Retrieval info: CONNECT: @clock 0 0 0 0 clock 0 0 0 0
-- Retrieval info: CONNECT: q 0 0 30 0 @q 0 0 30 0
-- Retrieval info: CONNECT: cout 0 0 0 0 @cout 0 0 0 0
-- Retrieval info: LIBRARY: lpm lpm.lpm_components.all
-- Retrieval info: GEN_FILE: TYPE_NORMAL BuzzerMi.vhd TRUE
-- Retrieval info: GEN_FILE: TYPE_NORMAL BuzzerMi.inc TRUE
-- Retrieval info: GEN_FILE: TYPE_NORMAL BuzzerMi.cmp TRUE
-- Retrieval info: GEN_FILE: TYPE_NORMAL BuzzerMi.bsf TRUE FALSE
-- Retrieval info: GEN_FILE: TYPE_NORMAL BuzzerMi_inst.vhd TRUE
-- Retrieval info: GEN_FILE: TYPE_NORMAL BuzzerMi_waveforms.html TRUE
-- Retrieval info: GEN_FILE: TYPE_NORMAL BuzzerMi_wave*.jpg FALSE
-- Retrieval info: LIB_FILE: lpm
|
-- Copyright 1986-2017 Xilinx, Inc. All Rights Reserved.
-- --------------------------------------------------------------------------------
-- Tool Version: Vivado v.2017.2 (win64) Build 1909853 Thu Jun 15 18:39:09 MDT 2017
-- Date : Fri Sep 22 22:04:40 2017
-- Host : DarkCube running 64-bit major release (build 9200)
-- Command : write_vhdl -force -mode funcsim -rename_top decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix -prefix
-- decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_ zqynq_lab_1_design_axi_bram_ctrl_0_bram_0_sim_netlist.vhdl
-- Design : zqynq_lab_1_design_axi_bram_ctrl_0_bram_0
-- Purpose : This VHDL netlist is a functional simulation representation of the design and should not be modified or
-- synthesized. This netlist cannot be used for SDF annotated simulation.
-- Device : xc7z020clg484-1
-- --------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_prim_wrapper is
port (
douta : out STD_LOGIC_VECTOR ( 15 downto 0 );
doutb : out STD_LOGIC_VECTOR ( 15 downto 0 );
clka : in STD_LOGIC;
clkb : in STD_LOGIC;
ena : in STD_LOGIC;
enb : in STD_LOGIC;
rsta : in STD_LOGIC;
rstb : in STD_LOGIC;
addra : in STD_LOGIC_VECTOR ( 10 downto 0 );
addrb : in STD_LOGIC_VECTOR ( 10 downto 0 );
dina : in STD_LOGIC_VECTOR ( 15 downto 0 );
dinb : in STD_LOGIC_VECTOR ( 15 downto 0 );
wea : in STD_LOGIC_VECTOR ( 1 downto 0 );
web : in STD_LOGIC_VECTOR ( 1 downto 0 )
);
end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_prim_wrapper;
architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_prim_wrapper is
signal \DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_n_87\ : STD_LOGIC;
signal \DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_n_88\ : STD_LOGIC;
signal \DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_n_91\ : STD_LOGIC;
signal \DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_n_92\ : STD_LOGIC;
signal \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_CASCADEOUTA_UNCONNECTED\ : STD_LOGIC;
signal \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_CASCADEOUTB_UNCONNECTED\ : STD_LOGIC;
signal \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_DBITERR_UNCONNECTED\ : STD_LOGIC;
signal \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_SBITERR_UNCONNECTED\ : STD_LOGIC;
signal \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_DOADO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 16 );
signal \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_DOBDO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 16 );
signal \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_DOPADOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 2 );
signal \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_DOPBDOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 2 );
signal \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_ECCPARITY_UNCONNECTED\ : STD_LOGIC_VECTOR ( 7 downto 0 );
signal \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_RDADDRECC_UNCONNECTED\ : STD_LOGIC_VECTOR ( 8 downto 0 );
attribute bmm_info_memory_device : string;
attribute bmm_info_memory_device of \DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram\ : label is "[15:0][0:2047]";
attribute box_type : string;
attribute box_type of \DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram\ : label is "PRIMITIVE";
begin
\DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram\: unisim.vcomponents.RAMB36E1
generic map(
DOA_REG => 0,
DOB_REG => 0,
EN_ECC_READ => false,
EN_ECC_WRITE => false,
INITP_00 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_01 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_02 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_03 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_04 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_05 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_06 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_07 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_08 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_09 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_0A => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_0B => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_0C => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_0D => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_0E => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_0F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_40 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_41 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_42 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_43 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_44 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_45 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_46 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_47 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_48 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_49 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_4A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_4B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_4C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_4D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_4E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_4F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_50 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_51 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_52 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_53 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_54 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_55 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_56 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_57 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_58 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_59 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_5A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_5B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_5C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_5D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_5E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_5F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_60 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_61 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_62 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_63 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_64 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_65 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_66 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_67 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_68 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_69 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_6A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_6B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_6C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_6D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_6E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_6F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_70 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_71 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_72 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_73 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_74 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_75 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_76 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_77 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_78 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_79 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_7A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_7B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_7C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_7D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_7E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_7F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_A => X"000000000",
INIT_B => X"000000000",
INIT_FILE => "NONE",
IS_CLKARDCLK_INVERTED => '0',
IS_CLKBWRCLK_INVERTED => '0',
IS_ENARDEN_INVERTED => '0',
IS_ENBWREN_INVERTED => '0',
IS_RSTRAMARSTRAM_INVERTED => '0',
IS_RSTRAMB_INVERTED => '0',
IS_RSTREGARSTREG_INVERTED => '0',
IS_RSTREGB_INVERTED => '0',
RAM_EXTENSION_A => "NONE",
RAM_EXTENSION_B => "NONE",
RAM_MODE => "TDP",
RDADDR_COLLISION_HWCONFIG => "DELAYED_WRITE",
READ_WIDTH_A => 18,
READ_WIDTH_B => 18,
RSTREG_PRIORITY_A => "REGCE",
RSTREG_PRIORITY_B => "REGCE",
SIM_COLLISION_CHECK => "ALL",
SIM_DEVICE => "7SERIES",
SRVAL_A => X"000000000",
SRVAL_B => X"000000000",
WRITE_MODE_A => "WRITE_FIRST",
WRITE_MODE_B => "WRITE_FIRST",
WRITE_WIDTH_A => 18,
WRITE_WIDTH_B => 18
)
port map (
ADDRARDADDR(15) => '1',
ADDRARDADDR(14 downto 4) => addra(10 downto 0),
ADDRARDADDR(3 downto 0) => B"1111",
ADDRBWRADDR(15) => '1',
ADDRBWRADDR(14 downto 4) => addrb(10 downto 0),
ADDRBWRADDR(3 downto 0) => B"1111",
CASCADEINA => '0',
CASCADEINB => '0',
CASCADEOUTA => \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_CASCADEOUTA_UNCONNECTED\,
CASCADEOUTB => \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_CASCADEOUTB_UNCONNECTED\,
CLKARDCLK => clka,
CLKBWRCLK => clkb,
DBITERR => \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_DBITERR_UNCONNECTED\,
DIADI(31 downto 16) => B"0000000000000000",
DIADI(15 downto 0) => dina(15 downto 0),
DIBDI(31 downto 16) => B"0000000000000000",
DIBDI(15 downto 0) => dinb(15 downto 0),
DIPADIP(3 downto 0) => B"0000",
DIPBDIP(3 downto 0) => B"0000",
DOADO(31 downto 16) => \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_DOADO_UNCONNECTED\(31 downto 16),
DOADO(15 downto 0) => douta(15 downto 0),
DOBDO(31 downto 16) => \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_DOBDO_UNCONNECTED\(31 downto 16),
DOBDO(15 downto 0) => doutb(15 downto 0),
DOPADOP(3 downto 2) => \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_DOPADOP_UNCONNECTED\(3 downto 2),
DOPADOP(1) => \DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_n_87\,
DOPADOP(0) => \DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_n_88\,
DOPBDOP(3 downto 2) => \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_DOPBDOP_UNCONNECTED\(3 downto 2),
DOPBDOP(1) => \DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_n_91\,
DOPBDOP(0) => \DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_n_92\,
ECCPARITY(7 downto 0) => \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_ECCPARITY_UNCONNECTED\(7 downto 0),
ENARDEN => ena,
ENBWREN => enb,
INJECTDBITERR => '0',
INJECTSBITERR => '0',
RDADDRECC(8 downto 0) => \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_RDADDRECC_UNCONNECTED\(8 downto 0),
REGCEAREGCE => '0',
REGCEB => '0',
RSTRAMARSTRAM => rsta,
RSTRAMB => rstb,
RSTREGARSTREG => '0',
RSTREGB => '0',
SBITERR => \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_SBITERR_UNCONNECTED\,
WEA(3 downto 2) => wea(1 downto 0),
WEA(1 downto 0) => wea(1 downto 0),
WEBWE(7 downto 4) => B"0000",
WEBWE(3 downto 2) => web(1 downto 0),
WEBWE(1 downto 0) => web(1 downto 0)
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_prim_wrapper__parameterized0\ is
port (
douta : out STD_LOGIC_VECTOR ( 15 downto 0 );
doutb : out STD_LOGIC_VECTOR ( 15 downto 0 );
clka : in STD_LOGIC;
clkb : in STD_LOGIC;
ena : in STD_LOGIC;
enb : in STD_LOGIC;
rsta : in STD_LOGIC;
rstb : in STD_LOGIC;
addra : in STD_LOGIC_VECTOR ( 10 downto 0 );
addrb : in STD_LOGIC_VECTOR ( 10 downto 0 );
dina : in STD_LOGIC_VECTOR ( 15 downto 0 );
dinb : in STD_LOGIC_VECTOR ( 15 downto 0 );
wea : in STD_LOGIC_VECTOR ( 1 downto 0 );
web : in STD_LOGIC_VECTOR ( 1 downto 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_prim_wrapper__parameterized0\ : entity is "blk_mem_gen_prim_wrapper";
end \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_prim_wrapper__parameterized0\;
architecture STRUCTURE of \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_prim_wrapper__parameterized0\ is
signal \DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_n_87\ : STD_LOGIC;
signal \DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_n_88\ : STD_LOGIC;
signal \DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_n_91\ : STD_LOGIC;
signal \DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_n_92\ : STD_LOGIC;
signal \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_CASCADEOUTA_UNCONNECTED\ : STD_LOGIC;
signal \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_CASCADEOUTB_UNCONNECTED\ : STD_LOGIC;
signal \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_DBITERR_UNCONNECTED\ : STD_LOGIC;
signal \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_SBITERR_UNCONNECTED\ : STD_LOGIC;
signal \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_DOADO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 16 );
signal \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_DOBDO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 16 );
signal \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_DOPADOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 2 );
signal \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_DOPBDOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 2 );
signal \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_ECCPARITY_UNCONNECTED\ : STD_LOGIC_VECTOR ( 7 downto 0 );
signal \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_RDADDRECC_UNCONNECTED\ : STD_LOGIC_VECTOR ( 8 downto 0 );
attribute bmm_info_memory_device : string;
attribute bmm_info_memory_device of \DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram\ : label is "[31:16][0:2047]";
attribute box_type : string;
attribute box_type of \DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram\ : label is "PRIMITIVE";
begin
\DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram\: unisim.vcomponents.RAMB36E1
generic map(
DOA_REG => 0,
DOB_REG => 0,
EN_ECC_READ => false,
EN_ECC_WRITE => false,
INITP_00 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_01 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_02 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_03 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_04 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_05 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_06 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_07 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_08 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_09 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_0A => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_0B => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_0C => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_0D => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_0E => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_0F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_40 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_41 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_42 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_43 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_44 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_45 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_46 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_47 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_48 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_49 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_4A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_4B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_4C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_4D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_4E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_4F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_50 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_51 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_52 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_53 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_54 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_55 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_56 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_57 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_58 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_59 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_5A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_5B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_5C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_5D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_5E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_5F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_60 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_61 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_62 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_63 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_64 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_65 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_66 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_67 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_68 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_69 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_6A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_6B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_6C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_6D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_6E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_6F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_70 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_71 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_72 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_73 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_74 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_75 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_76 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_77 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_78 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_79 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_7A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_7B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_7C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_7D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_7E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_7F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_A => X"000000000",
INIT_B => X"000000000",
INIT_FILE => "NONE",
IS_CLKARDCLK_INVERTED => '0',
IS_CLKBWRCLK_INVERTED => '0',
IS_ENARDEN_INVERTED => '0',
IS_ENBWREN_INVERTED => '0',
IS_RSTRAMARSTRAM_INVERTED => '0',
IS_RSTRAMB_INVERTED => '0',
IS_RSTREGARSTREG_INVERTED => '0',
IS_RSTREGB_INVERTED => '0',
RAM_EXTENSION_A => "NONE",
RAM_EXTENSION_B => "NONE",
RAM_MODE => "TDP",
RDADDR_COLLISION_HWCONFIG => "DELAYED_WRITE",
READ_WIDTH_A => 18,
READ_WIDTH_B => 18,
RSTREG_PRIORITY_A => "REGCE",
RSTREG_PRIORITY_B => "REGCE",
SIM_COLLISION_CHECK => "ALL",
SIM_DEVICE => "7SERIES",
SRVAL_A => X"000000000",
SRVAL_B => X"000000000",
WRITE_MODE_A => "WRITE_FIRST",
WRITE_MODE_B => "WRITE_FIRST",
WRITE_WIDTH_A => 18,
WRITE_WIDTH_B => 18
)
port map (
ADDRARDADDR(15) => '1',
ADDRARDADDR(14 downto 4) => addra(10 downto 0),
ADDRARDADDR(3 downto 0) => B"1111",
ADDRBWRADDR(15) => '1',
ADDRBWRADDR(14 downto 4) => addrb(10 downto 0),
ADDRBWRADDR(3 downto 0) => B"1111",
CASCADEINA => '0',
CASCADEINB => '0',
CASCADEOUTA => \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_CASCADEOUTA_UNCONNECTED\,
CASCADEOUTB => \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_CASCADEOUTB_UNCONNECTED\,
CLKARDCLK => clka,
CLKBWRCLK => clkb,
DBITERR => \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_DBITERR_UNCONNECTED\,
DIADI(31 downto 16) => B"0000000000000000",
DIADI(15 downto 0) => dina(15 downto 0),
DIBDI(31 downto 16) => B"0000000000000000",
DIBDI(15 downto 0) => dinb(15 downto 0),
DIPADIP(3 downto 0) => B"0000",
DIPBDIP(3 downto 0) => B"0000",
DOADO(31 downto 16) => \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_DOADO_UNCONNECTED\(31 downto 16),
DOADO(15 downto 0) => douta(15 downto 0),
DOBDO(31 downto 16) => \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_DOBDO_UNCONNECTED\(31 downto 16),
DOBDO(15 downto 0) => doutb(15 downto 0),
DOPADOP(3 downto 2) => \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_DOPADOP_UNCONNECTED\(3 downto 2),
DOPADOP(1) => \DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_n_87\,
DOPADOP(0) => \DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_n_88\,
DOPBDOP(3 downto 2) => \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_DOPBDOP_UNCONNECTED\(3 downto 2),
DOPBDOP(1) => \DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_n_91\,
DOPBDOP(0) => \DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_n_92\,
ECCPARITY(7 downto 0) => \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_ECCPARITY_UNCONNECTED\(7 downto 0),
ENARDEN => ena,
ENBWREN => enb,
INJECTDBITERR => '0',
INJECTSBITERR => '0',
RDADDRECC(8 downto 0) => \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_RDADDRECC_UNCONNECTED\(8 downto 0),
REGCEAREGCE => '0',
REGCEB => '0',
RSTRAMARSTRAM => rsta,
RSTRAMB => rstb,
RSTREGARSTREG => '0',
RSTREGB => '0',
SBITERR => \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_SBITERR_UNCONNECTED\,
WEA(3 downto 2) => wea(1 downto 0),
WEA(1 downto 0) => wea(1 downto 0),
WEBWE(7 downto 4) => B"0000",
WEBWE(3 downto 2) => web(1 downto 0),
WEBWE(1 downto 0) => web(1 downto 0)
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_prim_width is
port (
douta : out STD_LOGIC_VECTOR ( 15 downto 0 );
doutb : out STD_LOGIC_VECTOR ( 15 downto 0 );
clka : in STD_LOGIC;
clkb : in STD_LOGIC;
ena : in STD_LOGIC;
enb : in STD_LOGIC;
rsta : in STD_LOGIC;
rstb : in STD_LOGIC;
addra : in STD_LOGIC_VECTOR ( 10 downto 0 );
addrb : in STD_LOGIC_VECTOR ( 10 downto 0 );
dina : in STD_LOGIC_VECTOR ( 15 downto 0 );
dinb : in STD_LOGIC_VECTOR ( 15 downto 0 );
wea : in STD_LOGIC_VECTOR ( 1 downto 0 );
web : in STD_LOGIC_VECTOR ( 1 downto 0 )
);
end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_prim_width;
architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_prim_width is
begin
\prim_noinit.ram\: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_prim_wrapper
port map (
addra(10 downto 0) => addra(10 downto 0),
addrb(10 downto 0) => addrb(10 downto 0),
clka => clka,
clkb => clkb,
dina(15 downto 0) => dina(15 downto 0),
dinb(15 downto 0) => dinb(15 downto 0),
douta(15 downto 0) => douta(15 downto 0),
doutb(15 downto 0) => doutb(15 downto 0),
ena => ena,
enb => enb,
rsta => rsta,
rstb => rstb,
wea(1 downto 0) => wea(1 downto 0),
web(1 downto 0) => web(1 downto 0)
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_prim_width__parameterized0\ is
port (
douta : out STD_LOGIC_VECTOR ( 15 downto 0 );
doutb : out STD_LOGIC_VECTOR ( 15 downto 0 );
clka : in STD_LOGIC;
clkb : in STD_LOGIC;
ena : in STD_LOGIC;
enb : in STD_LOGIC;
rsta : in STD_LOGIC;
rstb : in STD_LOGIC;
addra : in STD_LOGIC_VECTOR ( 10 downto 0 );
addrb : in STD_LOGIC_VECTOR ( 10 downto 0 );
dina : in STD_LOGIC_VECTOR ( 15 downto 0 );
dinb : in STD_LOGIC_VECTOR ( 15 downto 0 );
wea : in STD_LOGIC_VECTOR ( 1 downto 0 );
web : in STD_LOGIC_VECTOR ( 1 downto 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_prim_width__parameterized0\ : entity is "blk_mem_gen_prim_width";
end \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_prim_width__parameterized0\;
architecture STRUCTURE of \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_prim_width__parameterized0\ is
begin
\prim_noinit.ram\: entity work.\decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_prim_wrapper__parameterized0\
port map (
addra(10 downto 0) => addra(10 downto 0),
addrb(10 downto 0) => addrb(10 downto 0),
clka => clka,
clkb => clkb,
dina(15 downto 0) => dina(15 downto 0),
dinb(15 downto 0) => dinb(15 downto 0),
douta(15 downto 0) => douta(15 downto 0),
doutb(15 downto 0) => doutb(15 downto 0),
ena => ena,
enb => enb,
rsta => rsta,
rstb => rstb,
wea(1 downto 0) => wea(1 downto 0),
web(1 downto 0) => web(1 downto 0)
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_generic_cstr is
port (
douta : out STD_LOGIC_VECTOR ( 31 downto 0 );
doutb : out STD_LOGIC_VECTOR ( 31 downto 0 );
clka : in STD_LOGIC;
clkb : in STD_LOGIC;
ena : in STD_LOGIC;
enb : in STD_LOGIC;
rsta : in STD_LOGIC;
rstb : in STD_LOGIC;
addra : in STD_LOGIC_VECTOR ( 10 downto 0 );
addrb : in STD_LOGIC_VECTOR ( 10 downto 0 );
dina : in STD_LOGIC_VECTOR ( 31 downto 0 );
dinb : in STD_LOGIC_VECTOR ( 31 downto 0 );
wea : in STD_LOGIC_VECTOR ( 3 downto 0 );
web : in STD_LOGIC_VECTOR ( 3 downto 0 )
);
end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_generic_cstr;
architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_generic_cstr is
begin
\ramloop[0].ram.r\: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_prim_width
port map (
addra(10 downto 0) => addra(10 downto 0),
addrb(10 downto 0) => addrb(10 downto 0),
clka => clka,
clkb => clkb,
dina(15 downto 0) => dina(15 downto 0),
dinb(15 downto 0) => dinb(15 downto 0),
douta(15 downto 0) => douta(15 downto 0),
doutb(15 downto 0) => doutb(15 downto 0),
ena => ena,
enb => enb,
rsta => rsta,
rstb => rstb,
wea(1 downto 0) => wea(1 downto 0),
web(1 downto 0) => web(1 downto 0)
);
\ramloop[1].ram.r\: entity work.\decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_prim_width__parameterized0\
port map (
addra(10 downto 0) => addra(10 downto 0),
addrb(10 downto 0) => addrb(10 downto 0),
clka => clka,
clkb => clkb,
dina(15 downto 0) => dina(31 downto 16),
dinb(15 downto 0) => dinb(31 downto 16),
douta(15 downto 0) => douta(31 downto 16),
doutb(15 downto 0) => doutb(31 downto 16),
ena => ena,
enb => enb,
rsta => rsta,
rstb => rstb,
wea(1 downto 0) => wea(3 downto 2),
web(1 downto 0) => web(3 downto 2)
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_top is
port (
douta : out STD_LOGIC_VECTOR ( 31 downto 0 );
doutb : out STD_LOGIC_VECTOR ( 31 downto 0 );
clka : in STD_LOGIC;
clkb : in STD_LOGIC;
ena : in STD_LOGIC;
enb : in STD_LOGIC;
rsta : in STD_LOGIC;
rstb : in STD_LOGIC;
addra : in STD_LOGIC_VECTOR ( 10 downto 0 );
addrb : in STD_LOGIC_VECTOR ( 10 downto 0 );
dina : in STD_LOGIC_VECTOR ( 31 downto 0 );
dinb : in STD_LOGIC_VECTOR ( 31 downto 0 );
wea : in STD_LOGIC_VECTOR ( 3 downto 0 );
web : in STD_LOGIC_VECTOR ( 3 downto 0 )
);
end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_top;
architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_top is
begin
\valid.cstr\: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_generic_cstr
port map (
addra(10 downto 0) => addra(10 downto 0),
addrb(10 downto 0) => addrb(10 downto 0),
clka => clka,
clkb => clkb,
dina(31 downto 0) => dina(31 downto 0),
dinb(31 downto 0) => dinb(31 downto 0),
douta(31 downto 0) => douta(31 downto 0),
doutb(31 downto 0) => doutb(31 downto 0),
ena => ena,
enb => enb,
rsta => rsta,
rstb => rstb,
wea(3 downto 0) => wea(3 downto 0),
web(3 downto 0) => web(3 downto 0)
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_3_6_synth is
port (
douta : out STD_LOGIC_VECTOR ( 31 downto 0 );
doutb : out STD_LOGIC_VECTOR ( 31 downto 0 );
clka : in STD_LOGIC;
clkb : in STD_LOGIC;
ena : in STD_LOGIC;
enb : in STD_LOGIC;
rsta : in STD_LOGIC;
rstb : in STD_LOGIC;
addra : in STD_LOGIC_VECTOR ( 10 downto 0 );
addrb : in STD_LOGIC_VECTOR ( 10 downto 0 );
dina : in STD_LOGIC_VECTOR ( 31 downto 0 );
dinb : in STD_LOGIC_VECTOR ( 31 downto 0 );
wea : in STD_LOGIC_VECTOR ( 3 downto 0 );
web : in STD_LOGIC_VECTOR ( 3 downto 0 )
);
end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_3_6_synth;
architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_3_6_synth is
begin
\gnbram.gnative_mem_map_bmg.native_mem_map_blk_mem_gen\: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_top
port map (
addra(10 downto 0) => addra(10 downto 0),
addrb(10 downto 0) => addrb(10 downto 0),
clka => clka,
clkb => clkb,
dina(31 downto 0) => dina(31 downto 0),
dinb(31 downto 0) => dinb(31 downto 0),
douta(31 downto 0) => douta(31 downto 0),
doutb(31 downto 0) => doutb(31 downto 0),
ena => ena,
enb => enb,
rsta => rsta,
rstb => rstb,
wea(3 downto 0) => wea(3 downto 0),
web(3 downto 0) => web(3 downto 0)
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_3_6 is
port (
clka : in STD_LOGIC;
rsta : in STD_LOGIC;
ena : in STD_LOGIC;
regcea : in STD_LOGIC;
wea : in STD_LOGIC_VECTOR ( 3 downto 0 );
addra : in STD_LOGIC_VECTOR ( 31 downto 0 );
dina : in STD_LOGIC_VECTOR ( 31 downto 0 );
douta : out STD_LOGIC_VECTOR ( 31 downto 0 );
clkb : in STD_LOGIC;
rstb : in STD_LOGIC;
enb : in STD_LOGIC;
regceb : in STD_LOGIC;
web : in STD_LOGIC_VECTOR ( 3 downto 0 );
addrb : in STD_LOGIC_VECTOR ( 31 downto 0 );
dinb : in STD_LOGIC_VECTOR ( 31 downto 0 );
doutb : out STD_LOGIC_VECTOR ( 31 downto 0 );
injectsbiterr : in STD_LOGIC;
injectdbiterr : in STD_LOGIC;
eccpipece : in STD_LOGIC;
sbiterr : out STD_LOGIC;
dbiterr : out STD_LOGIC;
rdaddrecc : out STD_LOGIC_VECTOR ( 31 downto 0 );
sleep : in STD_LOGIC;
deepsleep : in STD_LOGIC;
shutdown : in STD_LOGIC;
rsta_busy : out STD_LOGIC;
rstb_busy : out STD_LOGIC;
s_aclk : in STD_LOGIC;
s_aresetn : in STD_LOGIC;
s_axi_awid : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_awaddr : in STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_awlen : in STD_LOGIC_VECTOR ( 7 downto 0 );
s_axi_awsize : in STD_LOGIC_VECTOR ( 2 downto 0 );
s_axi_awburst : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_awvalid : in STD_LOGIC;
s_axi_awready : out STD_LOGIC;
s_axi_wdata : in STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_wstrb : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_wlast : in STD_LOGIC;
s_axi_wvalid : in STD_LOGIC;
s_axi_wready : out STD_LOGIC;
s_axi_bid : out STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_bvalid : out STD_LOGIC;
s_axi_bready : in STD_LOGIC;
s_axi_arid : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_arlen : in STD_LOGIC_VECTOR ( 7 downto 0 );
s_axi_arsize : in STD_LOGIC_VECTOR ( 2 downto 0 );
s_axi_arburst : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_arvalid : in STD_LOGIC;
s_axi_arready : out STD_LOGIC;
s_axi_rid : out STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_rdata : out STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_rlast : out STD_LOGIC;
s_axi_rvalid : out STD_LOGIC;
s_axi_rready : in STD_LOGIC;
s_axi_injectsbiterr : in STD_LOGIC;
s_axi_injectdbiterr : in STD_LOGIC;
s_axi_sbiterr : out STD_LOGIC;
s_axi_dbiterr : out STD_LOGIC;
s_axi_rdaddrecc : out STD_LOGIC_VECTOR ( 31 downto 0 )
);
attribute C_ADDRA_WIDTH : integer;
attribute C_ADDRA_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_3_6 : entity is 32;
attribute C_ADDRB_WIDTH : integer;
attribute C_ADDRB_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_3_6 : entity is 32;
attribute C_ALGORITHM : integer;
attribute C_ALGORITHM of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_3_6 : entity is 1;
attribute C_AXI_ID_WIDTH : integer;
attribute C_AXI_ID_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_3_6 : entity is 4;
attribute C_AXI_SLAVE_TYPE : integer;
attribute C_AXI_SLAVE_TYPE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_3_6 : entity is 0;
attribute C_AXI_TYPE : integer;
attribute C_AXI_TYPE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_3_6 : entity is 1;
attribute C_BYTE_SIZE : integer;
attribute C_BYTE_SIZE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_3_6 : entity is 8;
attribute C_COMMON_CLK : integer;
attribute C_COMMON_CLK of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_3_6 : entity is 0;
attribute C_COUNT_18K_BRAM : string;
attribute C_COUNT_18K_BRAM of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_3_6 : entity is "0";
attribute C_COUNT_36K_BRAM : string;
attribute C_COUNT_36K_BRAM of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_3_6 : entity is "2";
attribute C_CTRL_ECC_ALGO : string;
attribute C_CTRL_ECC_ALGO of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_3_6 : entity is "NONE";
attribute C_DEFAULT_DATA : string;
attribute C_DEFAULT_DATA of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_3_6 : entity is "0";
attribute C_DISABLE_WARN_BHV_COLL : integer;
attribute C_DISABLE_WARN_BHV_COLL of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_3_6 : entity is 0;
attribute C_DISABLE_WARN_BHV_RANGE : integer;
attribute C_DISABLE_WARN_BHV_RANGE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_3_6 : entity is 0;
attribute C_ELABORATION_DIR : string;
attribute C_ELABORATION_DIR of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_3_6 : entity is "./";
attribute C_ENABLE_32BIT_ADDRESS : integer;
attribute C_ENABLE_32BIT_ADDRESS of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_3_6 : entity is 1;
attribute C_EN_DEEPSLEEP_PIN : integer;
attribute C_EN_DEEPSLEEP_PIN of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_3_6 : entity is 0;
attribute C_EN_ECC_PIPE : integer;
attribute C_EN_ECC_PIPE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_3_6 : entity is 0;
attribute C_EN_RDADDRA_CHG : integer;
attribute C_EN_RDADDRA_CHG of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_3_6 : entity is 0;
attribute C_EN_RDADDRB_CHG : integer;
attribute C_EN_RDADDRB_CHG of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_3_6 : entity is 0;
attribute C_EN_SAFETY_CKT : integer;
attribute C_EN_SAFETY_CKT of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_3_6 : entity is 0;
attribute C_EN_SHUTDOWN_PIN : integer;
attribute C_EN_SHUTDOWN_PIN of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_3_6 : entity is 0;
attribute C_EN_SLEEP_PIN : integer;
attribute C_EN_SLEEP_PIN of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_3_6 : entity is 0;
attribute C_EST_POWER_SUMMARY : string;
attribute C_EST_POWER_SUMMARY of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_3_6 : entity is "Estimated Power for IP : 10.7492 mW";
attribute C_FAMILY : string;
attribute C_FAMILY of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_3_6 : entity is "zynq";
attribute C_HAS_AXI_ID : integer;
attribute C_HAS_AXI_ID of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_3_6 : entity is 0;
attribute C_HAS_ENA : integer;
attribute C_HAS_ENA of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_3_6 : entity is 1;
attribute C_HAS_ENB : integer;
attribute C_HAS_ENB of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_3_6 : entity is 1;
attribute C_HAS_INJECTERR : integer;
attribute C_HAS_INJECTERR of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_3_6 : entity is 0;
attribute C_HAS_MEM_OUTPUT_REGS_A : integer;
attribute C_HAS_MEM_OUTPUT_REGS_A of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_3_6 : entity is 0;
attribute C_HAS_MEM_OUTPUT_REGS_B : integer;
attribute C_HAS_MEM_OUTPUT_REGS_B of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_3_6 : entity is 0;
attribute C_HAS_MUX_OUTPUT_REGS_A : integer;
attribute C_HAS_MUX_OUTPUT_REGS_A of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_3_6 : entity is 0;
attribute C_HAS_MUX_OUTPUT_REGS_B : integer;
attribute C_HAS_MUX_OUTPUT_REGS_B of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_3_6 : entity is 0;
attribute C_HAS_REGCEA : integer;
attribute C_HAS_REGCEA of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_3_6 : entity is 0;
attribute C_HAS_REGCEB : integer;
attribute C_HAS_REGCEB of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_3_6 : entity is 0;
attribute C_HAS_RSTA : integer;
attribute C_HAS_RSTA of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_3_6 : entity is 1;
attribute C_HAS_RSTB : integer;
attribute C_HAS_RSTB of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_3_6 : entity is 1;
attribute C_HAS_SOFTECC_INPUT_REGS_A : integer;
attribute C_HAS_SOFTECC_INPUT_REGS_A of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_3_6 : entity is 0;
attribute C_HAS_SOFTECC_OUTPUT_REGS_B : integer;
attribute C_HAS_SOFTECC_OUTPUT_REGS_B of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_3_6 : entity is 0;
attribute C_INITA_VAL : string;
attribute C_INITA_VAL of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_3_6 : entity is "0";
attribute C_INITB_VAL : string;
attribute C_INITB_VAL of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_3_6 : entity is "0";
attribute C_INIT_FILE : string;
attribute C_INIT_FILE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_3_6 : entity is "NONE";
attribute C_INIT_FILE_NAME : string;
attribute C_INIT_FILE_NAME of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_3_6 : entity is "no_coe_file_loaded";
attribute C_INTERFACE_TYPE : integer;
attribute C_INTERFACE_TYPE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_3_6 : entity is 0;
attribute C_LOAD_INIT_FILE : integer;
attribute C_LOAD_INIT_FILE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_3_6 : entity is 0;
attribute C_MEM_TYPE : integer;
attribute C_MEM_TYPE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_3_6 : entity is 2;
attribute C_MUX_PIPELINE_STAGES : integer;
attribute C_MUX_PIPELINE_STAGES of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_3_6 : entity is 0;
attribute C_PRIM_TYPE : integer;
attribute C_PRIM_TYPE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_3_6 : entity is 1;
attribute C_READ_DEPTH_A : integer;
attribute C_READ_DEPTH_A of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_3_6 : entity is 2048;
attribute C_READ_DEPTH_B : integer;
attribute C_READ_DEPTH_B of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_3_6 : entity is 2048;
attribute C_READ_WIDTH_A : integer;
attribute C_READ_WIDTH_A of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_3_6 : entity is 32;
attribute C_READ_WIDTH_B : integer;
attribute C_READ_WIDTH_B of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_3_6 : entity is 32;
attribute C_RSTRAM_A : integer;
attribute C_RSTRAM_A of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_3_6 : entity is 0;
attribute C_RSTRAM_B : integer;
attribute C_RSTRAM_B of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_3_6 : entity is 0;
attribute C_RST_PRIORITY_A : string;
attribute C_RST_PRIORITY_A of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_3_6 : entity is "CE";
attribute C_RST_PRIORITY_B : string;
attribute C_RST_PRIORITY_B of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_3_6 : entity is "CE";
attribute C_SIM_COLLISION_CHECK : string;
attribute C_SIM_COLLISION_CHECK of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_3_6 : entity is "ALL";
attribute C_USE_BRAM_BLOCK : integer;
attribute C_USE_BRAM_BLOCK of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_3_6 : entity is 1;
attribute C_USE_BYTE_WEA : integer;
attribute C_USE_BYTE_WEA of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_3_6 : entity is 1;
attribute C_USE_BYTE_WEB : integer;
attribute C_USE_BYTE_WEB of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_3_6 : entity is 1;
attribute C_USE_DEFAULT_DATA : integer;
attribute C_USE_DEFAULT_DATA of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_3_6 : entity is 0;
attribute C_USE_ECC : integer;
attribute C_USE_ECC of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_3_6 : entity is 0;
attribute C_USE_SOFTECC : integer;
attribute C_USE_SOFTECC of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_3_6 : entity is 0;
attribute C_USE_URAM : integer;
attribute C_USE_URAM of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_3_6 : entity is 0;
attribute C_WEA_WIDTH : integer;
attribute C_WEA_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_3_6 : entity is 4;
attribute C_WEB_WIDTH : integer;
attribute C_WEB_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_3_6 : entity is 4;
attribute C_WRITE_DEPTH_A : integer;
attribute C_WRITE_DEPTH_A of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_3_6 : entity is 2048;
attribute C_WRITE_DEPTH_B : integer;
attribute C_WRITE_DEPTH_B of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_3_6 : entity is 2048;
attribute C_WRITE_MODE_A : string;
attribute C_WRITE_MODE_A of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_3_6 : entity is "WRITE_FIRST";
attribute C_WRITE_MODE_B : string;
attribute C_WRITE_MODE_B of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_3_6 : entity is "WRITE_FIRST";
attribute C_WRITE_WIDTH_A : integer;
attribute C_WRITE_WIDTH_A of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_3_6 : entity is 32;
attribute C_WRITE_WIDTH_B : integer;
attribute C_WRITE_WIDTH_B of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_3_6 : entity is 32;
attribute C_XDEVICEFAMILY : string;
attribute C_XDEVICEFAMILY of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_3_6 : entity is "zynq";
attribute downgradeipidentifiedwarnings : string;
attribute downgradeipidentifiedwarnings of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_3_6 : entity is "yes";
end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_3_6;
architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_3_6 is
signal \<const0>\ : STD_LOGIC;
begin
dbiterr <= \<const0>\;
rdaddrecc(31) <= \<const0>\;
rdaddrecc(30) <= \<const0>\;
rdaddrecc(29) <= \<const0>\;
rdaddrecc(28) <= \<const0>\;
rdaddrecc(27) <= \<const0>\;
rdaddrecc(26) <= \<const0>\;
rdaddrecc(25) <= \<const0>\;
rdaddrecc(24) <= \<const0>\;
rdaddrecc(23) <= \<const0>\;
rdaddrecc(22) <= \<const0>\;
rdaddrecc(21) <= \<const0>\;
rdaddrecc(20) <= \<const0>\;
rdaddrecc(19) <= \<const0>\;
rdaddrecc(18) <= \<const0>\;
rdaddrecc(17) <= \<const0>\;
rdaddrecc(16) <= \<const0>\;
rdaddrecc(15) <= \<const0>\;
rdaddrecc(14) <= \<const0>\;
rdaddrecc(13) <= \<const0>\;
rdaddrecc(12) <= \<const0>\;
rdaddrecc(11) <= \<const0>\;
rdaddrecc(10) <= \<const0>\;
rdaddrecc(9) <= \<const0>\;
rdaddrecc(8) <= \<const0>\;
rdaddrecc(7) <= \<const0>\;
rdaddrecc(6) <= \<const0>\;
rdaddrecc(5) <= \<const0>\;
rdaddrecc(4) <= \<const0>\;
rdaddrecc(3) <= \<const0>\;
rdaddrecc(2) <= \<const0>\;
rdaddrecc(1) <= \<const0>\;
rdaddrecc(0) <= \<const0>\;
rsta_busy <= \<const0>\;
rstb_busy <= \<const0>\;
s_axi_arready <= \<const0>\;
s_axi_awready <= \<const0>\;
s_axi_bid(3) <= \<const0>\;
s_axi_bid(2) <= \<const0>\;
s_axi_bid(1) <= \<const0>\;
s_axi_bid(0) <= \<const0>\;
s_axi_bresp(1) <= \<const0>\;
s_axi_bresp(0) <= \<const0>\;
s_axi_bvalid <= \<const0>\;
s_axi_dbiterr <= \<const0>\;
s_axi_rdaddrecc(31) <= \<const0>\;
s_axi_rdaddrecc(30) <= \<const0>\;
s_axi_rdaddrecc(29) <= \<const0>\;
s_axi_rdaddrecc(28) <= \<const0>\;
s_axi_rdaddrecc(27) <= \<const0>\;
s_axi_rdaddrecc(26) <= \<const0>\;
s_axi_rdaddrecc(25) <= \<const0>\;
s_axi_rdaddrecc(24) <= \<const0>\;
s_axi_rdaddrecc(23) <= \<const0>\;
s_axi_rdaddrecc(22) <= \<const0>\;
s_axi_rdaddrecc(21) <= \<const0>\;
s_axi_rdaddrecc(20) <= \<const0>\;
s_axi_rdaddrecc(19) <= \<const0>\;
s_axi_rdaddrecc(18) <= \<const0>\;
s_axi_rdaddrecc(17) <= \<const0>\;
s_axi_rdaddrecc(16) <= \<const0>\;
s_axi_rdaddrecc(15) <= \<const0>\;
s_axi_rdaddrecc(14) <= \<const0>\;
s_axi_rdaddrecc(13) <= \<const0>\;
s_axi_rdaddrecc(12) <= \<const0>\;
s_axi_rdaddrecc(11) <= \<const0>\;
s_axi_rdaddrecc(10) <= \<const0>\;
s_axi_rdaddrecc(9) <= \<const0>\;
s_axi_rdaddrecc(8) <= \<const0>\;
s_axi_rdaddrecc(7) <= \<const0>\;
s_axi_rdaddrecc(6) <= \<const0>\;
s_axi_rdaddrecc(5) <= \<const0>\;
s_axi_rdaddrecc(4) <= \<const0>\;
s_axi_rdaddrecc(3) <= \<const0>\;
s_axi_rdaddrecc(2) <= \<const0>\;
s_axi_rdaddrecc(1) <= \<const0>\;
s_axi_rdaddrecc(0) <= \<const0>\;
s_axi_rdata(31) <= \<const0>\;
s_axi_rdata(30) <= \<const0>\;
s_axi_rdata(29) <= \<const0>\;
s_axi_rdata(28) <= \<const0>\;
s_axi_rdata(27) <= \<const0>\;
s_axi_rdata(26) <= \<const0>\;
s_axi_rdata(25) <= \<const0>\;
s_axi_rdata(24) <= \<const0>\;
s_axi_rdata(23) <= \<const0>\;
s_axi_rdata(22) <= \<const0>\;
s_axi_rdata(21) <= \<const0>\;
s_axi_rdata(20) <= \<const0>\;
s_axi_rdata(19) <= \<const0>\;
s_axi_rdata(18) <= \<const0>\;
s_axi_rdata(17) <= \<const0>\;
s_axi_rdata(16) <= \<const0>\;
s_axi_rdata(15) <= \<const0>\;
s_axi_rdata(14) <= \<const0>\;
s_axi_rdata(13) <= \<const0>\;
s_axi_rdata(12) <= \<const0>\;
s_axi_rdata(11) <= \<const0>\;
s_axi_rdata(10) <= \<const0>\;
s_axi_rdata(9) <= \<const0>\;
s_axi_rdata(8) <= \<const0>\;
s_axi_rdata(7) <= \<const0>\;
s_axi_rdata(6) <= \<const0>\;
s_axi_rdata(5) <= \<const0>\;
s_axi_rdata(4) <= \<const0>\;
s_axi_rdata(3) <= \<const0>\;
s_axi_rdata(2) <= \<const0>\;
s_axi_rdata(1) <= \<const0>\;
s_axi_rdata(0) <= \<const0>\;
s_axi_rid(3) <= \<const0>\;
s_axi_rid(2) <= \<const0>\;
s_axi_rid(1) <= \<const0>\;
s_axi_rid(0) <= \<const0>\;
s_axi_rlast <= \<const0>\;
s_axi_rresp(1) <= \<const0>\;
s_axi_rresp(0) <= \<const0>\;
s_axi_rvalid <= \<const0>\;
s_axi_sbiterr <= \<const0>\;
s_axi_wready <= \<const0>\;
sbiterr <= \<const0>\;
GND: unisim.vcomponents.GND
port map (
G => \<const0>\
);
inst_blk_mem_gen: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_3_6_synth
port map (
addra(10 downto 0) => addra(12 downto 2),
addrb(10 downto 0) => addrb(12 downto 2),
clka => clka,
clkb => clkb,
dina(31 downto 0) => dina(31 downto 0),
dinb(31 downto 0) => dinb(31 downto 0),
douta(31 downto 0) => douta(31 downto 0),
doutb(31 downto 0) => doutb(31 downto 0),
ena => ena,
enb => enb,
rsta => rsta,
rstb => rstb,
wea(3 downto 0) => wea(3 downto 0),
web(3 downto 0) => web(3 downto 0)
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix is
port (
clka : in STD_LOGIC;
rsta : in STD_LOGIC;
ena : in STD_LOGIC;
wea : in STD_LOGIC_VECTOR ( 3 downto 0 );
addra : in STD_LOGIC_VECTOR ( 31 downto 0 );
dina : in STD_LOGIC_VECTOR ( 31 downto 0 );
douta : out STD_LOGIC_VECTOR ( 31 downto 0 );
clkb : in STD_LOGIC;
rstb : in STD_LOGIC;
enb : in STD_LOGIC;
web : in STD_LOGIC_VECTOR ( 3 downto 0 );
addrb : in STD_LOGIC_VECTOR ( 31 downto 0 );
dinb : in STD_LOGIC_VECTOR ( 31 downto 0 );
doutb : out STD_LOGIC_VECTOR ( 31 downto 0 )
);
attribute NotValidForBitStream : boolean;
attribute NotValidForBitStream of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix : entity is true;
attribute CHECK_LICENSE_TYPE : string;
attribute CHECK_LICENSE_TYPE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix : entity is "zqynq_lab_1_design_axi_bram_ctrl_0_bram_0,blk_mem_gen_v8_3_6,{}";
attribute downgradeipidentifiedwarnings : string;
attribute downgradeipidentifiedwarnings of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix : entity is "yes";
attribute x_core_info : string;
attribute x_core_info of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix : entity is "blk_mem_gen_v8_3_6,Vivado 2017.2.1";
end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix;
architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix is
signal NLW_U0_dbiterr_UNCONNECTED : STD_LOGIC;
signal NLW_U0_rsta_busy_UNCONNECTED : STD_LOGIC;
signal NLW_U0_rstb_busy_UNCONNECTED : STD_LOGIC;
signal NLW_U0_s_axi_arready_UNCONNECTED : STD_LOGIC;
signal NLW_U0_s_axi_awready_UNCONNECTED : STD_LOGIC;
signal NLW_U0_s_axi_bvalid_UNCONNECTED : STD_LOGIC;
signal NLW_U0_s_axi_dbiterr_UNCONNECTED : STD_LOGIC;
signal NLW_U0_s_axi_rlast_UNCONNECTED : STD_LOGIC;
signal NLW_U0_s_axi_rvalid_UNCONNECTED : STD_LOGIC;
signal NLW_U0_s_axi_sbiterr_UNCONNECTED : STD_LOGIC;
signal NLW_U0_s_axi_wready_UNCONNECTED : STD_LOGIC;
signal NLW_U0_sbiterr_UNCONNECTED : STD_LOGIC;
signal NLW_U0_rdaddrecc_UNCONNECTED : STD_LOGIC_VECTOR ( 31 downto 0 );
signal NLW_U0_s_axi_bid_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal NLW_U0_s_axi_bresp_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_U0_s_axi_rdaddrecc_UNCONNECTED : STD_LOGIC_VECTOR ( 31 downto 0 );
signal NLW_U0_s_axi_rdata_UNCONNECTED : STD_LOGIC_VECTOR ( 31 downto 0 );
signal NLW_U0_s_axi_rid_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal NLW_U0_s_axi_rresp_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
attribute C_ADDRA_WIDTH : integer;
attribute C_ADDRA_WIDTH of U0 : label is 32;
attribute C_ADDRB_WIDTH : integer;
attribute C_ADDRB_WIDTH of U0 : label is 32;
attribute C_ALGORITHM : integer;
attribute C_ALGORITHM of U0 : label is 1;
attribute C_AXI_ID_WIDTH : integer;
attribute C_AXI_ID_WIDTH of U0 : label is 4;
attribute C_AXI_SLAVE_TYPE : integer;
attribute C_AXI_SLAVE_TYPE of U0 : label is 0;
attribute C_AXI_TYPE : integer;
attribute C_AXI_TYPE of U0 : label is 1;
attribute C_BYTE_SIZE : integer;
attribute C_BYTE_SIZE of U0 : label is 8;
attribute C_COMMON_CLK : integer;
attribute C_COMMON_CLK of U0 : label is 0;
attribute C_COUNT_18K_BRAM : string;
attribute C_COUNT_18K_BRAM of U0 : label is "0";
attribute C_COUNT_36K_BRAM : string;
attribute C_COUNT_36K_BRAM of U0 : label is "2";
attribute C_CTRL_ECC_ALGO : string;
attribute C_CTRL_ECC_ALGO of U0 : label is "NONE";
attribute C_DEFAULT_DATA : string;
attribute C_DEFAULT_DATA of U0 : label is "0";
attribute C_DISABLE_WARN_BHV_COLL : integer;
attribute C_DISABLE_WARN_BHV_COLL of U0 : label is 0;
attribute C_DISABLE_WARN_BHV_RANGE : integer;
attribute C_DISABLE_WARN_BHV_RANGE of U0 : label is 0;
attribute C_ELABORATION_DIR : string;
attribute C_ELABORATION_DIR of U0 : label is "./";
attribute C_ENABLE_32BIT_ADDRESS : integer;
attribute C_ENABLE_32BIT_ADDRESS of U0 : label is 1;
attribute C_EN_DEEPSLEEP_PIN : integer;
attribute C_EN_DEEPSLEEP_PIN of U0 : label is 0;
attribute C_EN_ECC_PIPE : integer;
attribute C_EN_ECC_PIPE of U0 : label is 0;
attribute C_EN_RDADDRA_CHG : integer;
attribute C_EN_RDADDRA_CHG of U0 : label is 0;
attribute C_EN_RDADDRB_CHG : integer;
attribute C_EN_RDADDRB_CHG of U0 : label is 0;
attribute C_EN_SAFETY_CKT : integer;
attribute C_EN_SAFETY_CKT of U0 : label is 0;
attribute C_EN_SHUTDOWN_PIN : integer;
attribute C_EN_SHUTDOWN_PIN of U0 : label is 0;
attribute C_EN_SLEEP_PIN : integer;
attribute C_EN_SLEEP_PIN of U0 : label is 0;
attribute C_EST_POWER_SUMMARY : string;
attribute C_EST_POWER_SUMMARY of U0 : label is "Estimated Power for IP : 10.7492 mW";
attribute C_FAMILY : string;
attribute C_FAMILY of U0 : label is "zynq";
attribute C_HAS_AXI_ID : integer;
attribute C_HAS_AXI_ID of U0 : label is 0;
attribute C_HAS_ENA : integer;
attribute C_HAS_ENA of U0 : label is 1;
attribute C_HAS_ENB : integer;
attribute C_HAS_ENB of U0 : label is 1;
attribute C_HAS_INJECTERR : integer;
attribute C_HAS_INJECTERR of U0 : label is 0;
attribute C_HAS_MEM_OUTPUT_REGS_A : integer;
attribute C_HAS_MEM_OUTPUT_REGS_A of U0 : label is 0;
attribute C_HAS_MEM_OUTPUT_REGS_B : integer;
attribute C_HAS_MEM_OUTPUT_REGS_B of U0 : label is 0;
attribute C_HAS_MUX_OUTPUT_REGS_A : integer;
attribute C_HAS_MUX_OUTPUT_REGS_A of U0 : label is 0;
attribute C_HAS_MUX_OUTPUT_REGS_B : integer;
attribute C_HAS_MUX_OUTPUT_REGS_B of U0 : label is 0;
attribute C_HAS_REGCEA : integer;
attribute C_HAS_REGCEA of U0 : label is 0;
attribute C_HAS_REGCEB : integer;
attribute C_HAS_REGCEB of U0 : label is 0;
attribute C_HAS_RSTA : integer;
attribute C_HAS_RSTA of U0 : label is 1;
attribute C_HAS_RSTB : integer;
attribute C_HAS_RSTB of U0 : label is 1;
attribute C_HAS_SOFTECC_INPUT_REGS_A : integer;
attribute C_HAS_SOFTECC_INPUT_REGS_A of U0 : label is 0;
attribute C_HAS_SOFTECC_OUTPUT_REGS_B : integer;
attribute C_HAS_SOFTECC_OUTPUT_REGS_B of U0 : label is 0;
attribute C_INITA_VAL : string;
attribute C_INITA_VAL of U0 : label is "0";
attribute C_INITB_VAL : string;
attribute C_INITB_VAL of U0 : label is "0";
attribute C_INIT_FILE : string;
attribute C_INIT_FILE of U0 : label is "NONE";
attribute C_INIT_FILE_NAME : string;
attribute C_INIT_FILE_NAME of U0 : label is "no_coe_file_loaded";
attribute C_INTERFACE_TYPE : integer;
attribute C_INTERFACE_TYPE of U0 : label is 0;
attribute C_LOAD_INIT_FILE : integer;
attribute C_LOAD_INIT_FILE of U0 : label is 0;
attribute C_MEM_TYPE : integer;
attribute C_MEM_TYPE of U0 : label is 2;
attribute C_MUX_PIPELINE_STAGES : integer;
attribute C_MUX_PIPELINE_STAGES of U0 : label is 0;
attribute C_PRIM_TYPE : integer;
attribute C_PRIM_TYPE of U0 : label is 1;
attribute C_READ_DEPTH_A : integer;
attribute C_READ_DEPTH_A of U0 : label is 2048;
attribute C_READ_DEPTH_B : integer;
attribute C_READ_DEPTH_B of U0 : label is 2048;
attribute C_READ_WIDTH_A : integer;
attribute C_READ_WIDTH_A of U0 : label is 32;
attribute C_READ_WIDTH_B : integer;
attribute C_READ_WIDTH_B of U0 : label is 32;
attribute C_RSTRAM_A : integer;
attribute C_RSTRAM_A of U0 : label is 0;
attribute C_RSTRAM_B : integer;
attribute C_RSTRAM_B of U0 : label is 0;
attribute C_RST_PRIORITY_A : string;
attribute C_RST_PRIORITY_A of U0 : label is "CE";
attribute C_RST_PRIORITY_B : string;
attribute C_RST_PRIORITY_B of U0 : label is "CE";
attribute C_SIM_COLLISION_CHECK : string;
attribute C_SIM_COLLISION_CHECK of U0 : label is "ALL";
attribute C_USE_BRAM_BLOCK : integer;
attribute C_USE_BRAM_BLOCK of U0 : label is 1;
attribute C_USE_BYTE_WEA : integer;
attribute C_USE_BYTE_WEA of U0 : label is 1;
attribute C_USE_BYTE_WEB : integer;
attribute C_USE_BYTE_WEB of U0 : label is 1;
attribute C_USE_DEFAULT_DATA : integer;
attribute C_USE_DEFAULT_DATA of U0 : label is 0;
attribute C_USE_ECC : integer;
attribute C_USE_ECC of U0 : label is 0;
attribute C_USE_SOFTECC : integer;
attribute C_USE_SOFTECC of U0 : label is 0;
attribute C_USE_URAM : integer;
attribute C_USE_URAM of U0 : label is 0;
attribute C_WEA_WIDTH : integer;
attribute C_WEA_WIDTH of U0 : label is 4;
attribute C_WEB_WIDTH : integer;
attribute C_WEB_WIDTH of U0 : label is 4;
attribute C_WRITE_DEPTH_A : integer;
attribute C_WRITE_DEPTH_A of U0 : label is 2048;
attribute C_WRITE_DEPTH_B : integer;
attribute C_WRITE_DEPTH_B of U0 : label is 2048;
attribute C_WRITE_MODE_A : string;
attribute C_WRITE_MODE_A of U0 : label is "WRITE_FIRST";
attribute C_WRITE_MODE_B : string;
attribute C_WRITE_MODE_B of U0 : label is "WRITE_FIRST";
attribute C_WRITE_WIDTH_A : integer;
attribute C_WRITE_WIDTH_A of U0 : label is 32;
attribute C_WRITE_WIDTH_B : integer;
attribute C_WRITE_WIDTH_B of U0 : label is 32;
attribute C_XDEVICEFAMILY : string;
attribute C_XDEVICEFAMILY of U0 : label is "zynq";
attribute downgradeipidentifiedwarnings of U0 : label is "yes";
begin
U0: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_3_6
port map (
addra(31 downto 0) => addra(31 downto 0),
addrb(31 downto 0) => addrb(31 downto 0),
clka => clka,
clkb => clkb,
dbiterr => NLW_U0_dbiterr_UNCONNECTED,
deepsleep => '0',
dina(31 downto 0) => dina(31 downto 0),
dinb(31 downto 0) => dinb(31 downto 0),
douta(31 downto 0) => douta(31 downto 0),
doutb(31 downto 0) => doutb(31 downto 0),
eccpipece => '0',
ena => ena,
enb => enb,
injectdbiterr => '0',
injectsbiterr => '0',
rdaddrecc(31 downto 0) => NLW_U0_rdaddrecc_UNCONNECTED(31 downto 0),
regcea => '0',
regceb => '0',
rsta => rsta,
rsta_busy => NLW_U0_rsta_busy_UNCONNECTED,
rstb => rstb,
rstb_busy => NLW_U0_rstb_busy_UNCONNECTED,
s_aclk => '0',
s_aresetn => '0',
s_axi_araddr(31 downto 0) => B"00000000000000000000000000000000",
s_axi_arburst(1 downto 0) => B"00",
s_axi_arid(3 downto 0) => B"0000",
s_axi_arlen(7 downto 0) => B"00000000",
s_axi_arready => NLW_U0_s_axi_arready_UNCONNECTED,
s_axi_arsize(2 downto 0) => B"000",
s_axi_arvalid => '0',
s_axi_awaddr(31 downto 0) => B"00000000000000000000000000000000",
s_axi_awburst(1 downto 0) => B"00",
s_axi_awid(3 downto 0) => B"0000",
s_axi_awlen(7 downto 0) => B"00000000",
s_axi_awready => NLW_U0_s_axi_awready_UNCONNECTED,
s_axi_awsize(2 downto 0) => B"000",
s_axi_awvalid => '0',
s_axi_bid(3 downto 0) => NLW_U0_s_axi_bid_UNCONNECTED(3 downto 0),
s_axi_bready => '0',
s_axi_bresp(1 downto 0) => NLW_U0_s_axi_bresp_UNCONNECTED(1 downto 0),
s_axi_bvalid => NLW_U0_s_axi_bvalid_UNCONNECTED,
s_axi_dbiterr => NLW_U0_s_axi_dbiterr_UNCONNECTED,
s_axi_injectdbiterr => '0',
s_axi_injectsbiterr => '0',
s_axi_rdaddrecc(31 downto 0) => NLW_U0_s_axi_rdaddrecc_UNCONNECTED(31 downto 0),
s_axi_rdata(31 downto 0) => NLW_U0_s_axi_rdata_UNCONNECTED(31 downto 0),
s_axi_rid(3 downto 0) => NLW_U0_s_axi_rid_UNCONNECTED(3 downto 0),
s_axi_rlast => NLW_U0_s_axi_rlast_UNCONNECTED,
s_axi_rready => '0',
s_axi_rresp(1 downto 0) => NLW_U0_s_axi_rresp_UNCONNECTED(1 downto 0),
s_axi_rvalid => NLW_U0_s_axi_rvalid_UNCONNECTED,
s_axi_sbiterr => NLW_U0_s_axi_sbiterr_UNCONNECTED,
s_axi_wdata(31 downto 0) => B"00000000000000000000000000000000",
s_axi_wlast => '0',
s_axi_wready => NLW_U0_s_axi_wready_UNCONNECTED,
s_axi_wstrb(3 downto 0) => B"0000",
s_axi_wvalid => '0',
sbiterr => NLW_U0_sbiterr_UNCONNECTED,
shutdown => '0',
sleep => '0',
wea(3 downto 0) => wea(3 downto 0),
web(3 downto 0) => web(3 downto 0)
);
end STRUCTURE;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc3008.vhd,v 1.2 2001-10-26 16:30:24 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
package c02s06b00x00p07n01i03008pkg is
constant C : integer;
end c02s06b00x00p07n01i03008pkg;
package body c02s06b00x00p07n01i03008pkg is
subtype S1 is Integer;
constant C : S1 := 0; --Failure_here
end c02s06b00x00p07n01i03008pkg;
ENTITY c02s06b00x00p07n01i03008ent IS
END c02s06b00x00p07n01i03008ent;
ARCHITECTURE c02s06b00x00p07n01i03008arch OF c02s06b00x00p07n01i03008ent IS
BEGIN
TESTING: PROCESS
BEGIN
assert FALSE
report "***FAILED TEST: c02s06b00x00p07n01i03008 - The subtype of deferred constant C does not conform to that given in the full declaration."
severity ERROR;
wait;
END PROCESS TESTING;
END c02s06b00x00p07n01i03008arch;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc3008.vhd,v 1.2 2001-10-26 16:30:24 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
package c02s06b00x00p07n01i03008pkg is
constant C : integer;
end c02s06b00x00p07n01i03008pkg;
package body c02s06b00x00p07n01i03008pkg is
subtype S1 is Integer;
constant C : S1 := 0; --Failure_here
end c02s06b00x00p07n01i03008pkg;
ENTITY c02s06b00x00p07n01i03008ent IS
END c02s06b00x00p07n01i03008ent;
ARCHITECTURE c02s06b00x00p07n01i03008arch OF c02s06b00x00p07n01i03008ent IS
BEGIN
TESTING: PROCESS
BEGIN
assert FALSE
report "***FAILED TEST: c02s06b00x00p07n01i03008 - The subtype of deferred constant C does not conform to that given in the full declaration."
severity ERROR;
wait;
END PROCESS TESTING;
END c02s06b00x00p07n01i03008arch;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc3008.vhd,v 1.2 2001-10-26 16:30:24 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
package c02s06b00x00p07n01i03008pkg is
constant C : integer;
end c02s06b00x00p07n01i03008pkg;
package body c02s06b00x00p07n01i03008pkg is
subtype S1 is Integer;
constant C : S1 := 0; --Failure_here
end c02s06b00x00p07n01i03008pkg;
ENTITY c02s06b00x00p07n01i03008ent IS
END c02s06b00x00p07n01i03008ent;
ARCHITECTURE c02s06b00x00p07n01i03008arch OF c02s06b00x00p07n01i03008ent IS
BEGIN
TESTING: PROCESS
BEGIN
assert FALSE
report "***FAILED TEST: c02s06b00x00p07n01i03008 - The subtype of deferred constant C does not conform to that given in the full declaration."
severity ERROR;
wait;
END PROCESS TESTING;
END c02s06b00x00p07n01i03008arch;
|
CONTEXT c1;
CONTEXT c2;
CONTEXT c2;
|
-- NEED RESULT: Assertion statement in architecture body
-- NEED RESULT: ARCH00263: Block statements, process_statements, signal assignment statements, component instantiation statements, concurrent procedure call statements and generate statements in architecture statement part passed
-- NEED RESULT: *** Check simulation log for the following message:
-- NEED RESULT: Assertion statement in architecture body
-------------------------------------------------------------------------------
--
-- Copyright (c) 1989 by Intermetrics, Inc.
-- All rights reserved.
--
-------------------------------------------------------------------------------
--
-- TEST NAME:
--
-- CT00263
--
-- AUTHOR:
--
-- A. Wilmot
--
-- TEST OBJECTIVES:
--
-- 1.2.2 (1)
--
-- DESIGN UNIT ORDERING:
--
-- E00000(ARCH00263)
-- ENT00263_Test_Bench(ARCH00263_Test_Bench)
--
-- REVISION HISTORY:
--
-- 16-JUL-1987 - initial revision
--
-- NOTES:
--
-- partially self checking
--
use WORK.STANDARD_TYPES.all ;
architecture ARCH00263 of E00000 is
signal s1, s2, s3, s4, s5, s6 : integer := 0 ;
constant c1 : boolean := false ;
procedure p1 ( signal s : inout integer ) is
begin
s <= 5 ;
end p1 ;
begin
Bl1 :
block
begin
s1 <= 5 ;
end block Bl1 ;
P01 :
process
begin
s2 <= 5 ;
wait ;
end process P01 ;
s3 <= 5 ;
with c1 select
s4 <= 5 when false,
0 when true ;
G1 :
if not c1 generate
s5 <= 5 ;
end generate G1 ;
p1 ( s6 ) ;
assert false
report "Assertion statement in architecture body"
severity note ;
process ( s1, s2, s3, s4, s5, s6 )
begin
if s1 = 5 and s2 = 5 and s3 = 5 and s4 = 5 and s5 = 5 and s6 = 5 then
test_report ( "ARCH00263" ,
"Block statements, process_statements, signal"
& " assignment statements, component instantiation"
& " statements, concurrent procedure call statements"
& " and generate statements in architecture"
& " statement part" ,
true ) ;
print ( "*** Check simulation log for the following message:" ) ;
print ( "Assertion statement in architecture body" ) ;
end if ;
end process ;
end ARCH00263 ;
entity ENT00263_Test_Bench is
end ENT00263_Test_Bench ;
architecture ARCH00263_Test_Bench of ENT00263_Test_Bench is
component UUT
end component ;
for CIS1 : UUT use entity WORK.E00000 ( ARCH00263 ) ;
begin
CIS1 : UUT ; -- component instantiation in architecture
end ARCH00263_Test_Bench ;
|
----------------------------------------------------------------------------------
-- Engineer: Mike Field <[email protected]>
--
-- Module Name: tx_add_preamble - Behavioral
--
-- Description: Add the required 16 nibbles of preamble to the data packet.
--
------------------------------------------------------------------------------------
-- FPGA_Webserver from https://github.com/hamsternz/FPGA_Webserver
------------------------------------------------------------------------------------
-- The MIT License (MIT)
--
-- Copyright (c) 2015 Michael Alan Field <[email protected]>
--
-- Permission is hereby granted, free of charge, to any person obtaining a copy
-- of this software and associated documentation files (the "Software"), to deal
-- in the Software without restriction, including without limitation the rights
-- to use, copy, modify, merge, publish, distribute, sublicense, and/or sell
-- copies of the Software, and to permit persons to whom the Software is
-- furnished to do so, subject to the following conditions:
--
-- The above copyright notice and this permission notice shall be included in
-- all copies or substantial portions of the Software.
--
-- THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR
-- IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY,
-- FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE
-- AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER
-- LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING FROM,
-- OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN
-- THE SOFTWARE.
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
entity tx_add_preamble is
Port ( clk : in STD_LOGIC;
data_valid_in : in STD_LOGIC;
data_in : in STD_LOGIC_VECTOR (7 downto 0);
data_valid_out : out STD_LOGIC := '0';
data_out : out STD_LOGIC_VECTOR (7 downto 0) := (others => '0'));
end tx_add_preamble;
architecture Behavioral of tx_add_preamble is
signal delay_data : std_logic_vector(8*8-1 downto 0) := (others => '0');
signal delay_data_valid : std_logic_vector(8-1 downto 0) := (others => '0');
begin
process(clk)
begin
if rising_edge(clk) then
if delay_data_valid(delay_data_valid'high)= '1' then
-- Passing through data
data_out <= delay_data(delay_data'high downto delay_data'high-7);
data_valid_out <= '1';
elsif delay_data_valid(delay_data_valid'high-1)= '1' then
-- Start Frame Delimiter
data_out <= "11010101";
data_valid_out <= '1';
elsif data_valid_in = '1' then
-- Preamble nibbles
data_out <= "01010101";
data_valid_out <= '1';
else
-- Link idle
data_out <= "00000000";
data_valid_out <= '0';
end if;
-- Move the data through the delay line
delay_data <= delay_data(delay_data'high-8 downto 0) & data_in;
delay_data_valid <= delay_data_valid(delay_data_valid'high-1 downto 0) & data_valid_in;
end if;
end process;
end Behavioral; |
library IEEE;
use IEEE.std_logic_1164.ALL;
use work.constants.all;
entity right_XLEN_barrel_shifter is
port( i : in std_logic_vector(XLEN -1 downto 0);
s : in std_logic_vector(4 downto 0);
o : out std_logic_vector(XLEN -1 downto 0)
);
end right_XLEN_barrel_shifter;
architecture structural of right_XLEN_barrel_shifter is
component muxXLEN2a1
port( i0, i1 : in std_logic_vector(XLEN -1 downto 0);
s : in std_logic;
o : out std_logic_vector(XLEN -1 downto 0)
);
end component;
signal s1, s2, s3, s4 : std_logic_vector(XLEN -1 downto 0);
signal aux0, aux1, aux2, aux3, aux4 : std_logic_vector(XLEN -1 downto 0);
begin
aux0 <= '0' & i(31 downto 1);
ins0: muxXLEN2a1 port map(i , aux0, s(0), s1);
aux1 <= "00" & s1(31 downto 2);
ins1: muxXLEN2a1 port map(s1, aux1, s(1), s2);
aux2 <= "0000" & s2(31 downto 4);
ins2: muxXLEN2a1 port map(s2, aux2, s(2), s3);
aux3 <= "00000000" & s3(31 downto 8);
ins3: muxXLEN2a1 port map(s3, aux3, s(3), s4);
aux4 <= "0000000000000000" & s4(31 downto 16);
ins4: muxXLEN2a1 port map(s4, aux4, s(4), o );
end structural;
|
-- -------------------------------------------------------------
--
-- Generated Configuration for inst_A_e
--
-- Generated
-- by: wig
-- on: Mon Mar 5 07:51:26 2007
-- cmd: /cygdrive/c/Documents and Settings/wig/My Documents/work/MIX/mix_0.pl -nodelta ../../case.xls
--
-- !!! Do not edit this file! Autogenerated by MIX !!!
-- $Author: wig $
-- $Id: inst_a_e-rtl-conf-c.vhd,v 1.1 2007/03/05 08:59:00 wig Exp $
-- $Date: 2007/03/05 08:59:00 $
-- $Log: inst_a_e-rtl-conf-c.vhd,v $
-- Revision 1.1 2007/03/05 08:59:00 wig
-- Upgraded testcases
-- case/force still not fully operational (internal names keep case).
--
--
-- Based on Mix Entity Template built into RCSfile: MixWriter.pm,v
-- Id: MixWriter.pm,v 1.104 2007/03/03 17:24:06 wig Exp
--
-- Generator: mix_0.pl Version: Revision: 1.47 , [email protected]
-- (C) 2003,2005 Micronas GmbH
--
-- --------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
-- No project specific VHDL libraries/conf
--
-- Start of Generated Configuration inst_A_e_rtl_conf / inst_A_e
--
configuration inst_A_e_rtl_conf of inst_A_e is
for rtl
-- Generated Configuration
for inst_aa : inst_aa_e
use configuration work.inst_aa_e_rtl_conf;
end for;
for inst_ac : inst_ac_e
use configuration work.inst_ac_e_rtl_conf;
end for;
end for;
end inst_A_e_rtl_conf;
--
-- End of Generated Configuration inst_A_e_rtl_conf
--
--
--!End of Configuration/ies
-- --------------------------------------------------------------
|
-- -------------------------------------------------------------------------
-- High Level Design Compiler for Intel(R) FPGAs Version 17.0 (Release Build #595)
-- Quartus Prime development tool and MATLAB/Simulink Interface
--
-- Legal Notice: Copyright 2017 Intel Corporation. All rights reserved.
-- Your use of Intel Corporation's design tools, logic functions and other
-- software and tools, and its AMPP partner logic functions, and any output
-- files any of the foregoing (including device programming or simulation
-- files), and any associated documentation or information are expressly
-- subject to the terms and conditions of the Intel FPGA Software License
-- Agreement, Intel MegaCore Function License Agreement, or other applicable
-- license agreement, including, without limitation, that your use is for
-- the sole purpose of programming logic devices manufactured by Intel
-- and sold by Intel or its authorized distributors. Please refer to the
-- applicable agreement for further details.
-- ---------------------------------------------------------------------------
-- VHDL created from fp_cmp_lt_0002
-- VHDL created on Thu Feb 15 17:05:08 2018
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.NUMERIC_STD.all;
use IEEE.MATH_REAL.all;
use std.TextIO.all;
use work.dspba_library_package.all;
LIBRARY altera_mf;
USE altera_mf.altera_mf_components.all;
LIBRARY lpm;
USE lpm.lpm_components.all;
entity fp_cmp_lt_0002 is
port (
a : in std_logic_vector(31 downto 0); -- float32_m23
b : in std_logic_vector(31 downto 0); -- float32_m23
q : out std_logic_vector(0 downto 0); -- ufix1
clk : in std_logic;
areset : in std_logic
);
end fp_cmp_lt_0002;
architecture normal of fp_cmp_lt_0002 is
attribute altera_attribute : string;
attribute altera_attribute of normal : architecture is "-name AUTO_SHIFT_REGISTER_RECOGNITION OFF; -name PHYSICAL_SYNTHESIS_REGISTER_DUPLICATION ON; -name MESSAGE_DISABLE 10036; -name MESSAGE_DISABLE 10037; -name MESSAGE_DISABLE 14130; -name MESSAGE_DISABLE 14320; -name MESSAGE_DISABLE 15400; -name MESSAGE_DISABLE 14130; -name MESSAGE_DISABLE 10036; -name MESSAGE_DISABLE 12020; -name MESSAGE_DISABLE 12030; -name MESSAGE_DISABLE 12010; -name MESSAGE_DISABLE 12110; -name MESSAGE_DISABLE 14320; -name MESSAGE_DISABLE 13410; -name MESSAGE_DISABLE 113007";
signal GND_q : STD_LOGIC_VECTOR (0 downto 0);
signal VCC_q : STD_LOGIC_VECTOR (0 downto 0);
signal cstAllOWE_uid6_fpCompareTest_q : STD_LOGIC_VECTOR (7 downto 0);
signal cstZeroWF_uid7_fpCompareTest_q : STD_LOGIC_VECTOR (22 downto 0);
signal cstAllZWE_uid8_fpCompareTest_q : STD_LOGIC_VECTOR (7 downto 0);
signal exp_x_uid9_fpCompareTest_b : STD_LOGIC_VECTOR (7 downto 0);
signal frac_x_uid10_fpCompareTest_b : STD_LOGIC_VECTOR (22 downto 0);
signal excZ_x_uid11_fpCompareTest_q : STD_LOGIC_VECTOR (0 downto 0);
signal expXIsMax_uid12_fpCompareTest_q : STD_LOGIC_VECTOR (0 downto 0);
signal fracXIsZero_uid13_fpCompareTest_q : STD_LOGIC_VECTOR (0 downto 0);
signal fracXIsNotZero_uid14_fpCompareTest_q : STD_LOGIC_VECTOR (0 downto 0);
signal excN_x_uid16_fpCompareTest_q : STD_LOGIC_VECTOR (0 downto 0);
signal exp_y_uid23_fpCompareTest_b : STD_LOGIC_VECTOR (7 downto 0);
signal frac_y_uid24_fpCompareTest_b : STD_LOGIC_VECTOR (22 downto 0);
signal excZ_y_uid25_fpCompareTest_q : STD_LOGIC_VECTOR (0 downto 0);
signal expXIsMax_uid26_fpCompareTest_q : STD_LOGIC_VECTOR (0 downto 0);
signal fracXIsZero_uid27_fpCompareTest_q : STD_LOGIC_VECTOR (0 downto 0);
signal fracXIsNotZero_uid28_fpCompareTest_q : STD_LOGIC_VECTOR (0 downto 0);
signal excN_y_uid30_fpCompareTest_q : STD_LOGIC_VECTOR (0 downto 0);
signal oneIsNaN_uid34_fpCompareTest_q : STD_LOGIC_VECTOR (0 downto 0);
signal xNotZero_uid39_fpCompareTest_q : STD_LOGIC_VECTOR (0 downto 0);
signal yNotZero_uid40_fpCompareTest_q : STD_LOGIC_VECTOR (0 downto 0);
signal fracXPS_uid41_fpCompareTest_b : STD_LOGIC_VECTOR (22 downto 0);
signal fracXPS_uid41_fpCompareTest_q : STD_LOGIC_VECTOR (22 downto 0);
signal fracYPS_uid42_fpCompareTest_b : STD_LOGIC_VECTOR (22 downto 0);
signal fracYPS_uid42_fpCompareTest_q : STD_LOGIC_VECTOR (22 downto 0);
signal expFracX_uid43_fpCompareTest_q : STD_LOGIC_VECTOR (30 downto 0);
signal expFracY_uid45_fpCompareTest_q : STD_LOGIC_VECTOR (30 downto 0);
signal efxGTefy_uid47_fpCompareTest_a : STD_LOGIC_VECTOR (32 downto 0);
signal efxGTefy_uid47_fpCompareTest_b : STD_LOGIC_VECTOR (32 downto 0);
signal efxGTefy_uid47_fpCompareTest_o : STD_LOGIC_VECTOR (32 downto 0);
signal efxGTefy_uid47_fpCompareTest_c : STD_LOGIC_VECTOR (0 downto 0);
signal efxLTefy_uid48_fpCompareTest_a : STD_LOGIC_VECTOR (32 downto 0);
signal efxLTefy_uid48_fpCompareTest_b : STD_LOGIC_VECTOR (32 downto 0);
signal efxLTefy_uid48_fpCompareTest_o : STD_LOGIC_VECTOR (32 downto 0);
signal efxLTefy_uid48_fpCompareTest_c : STD_LOGIC_VECTOR (0 downto 0);
signal signX_uid52_fpCompareTest_b : STD_LOGIC_VECTOR (0 downto 0);
signal signY_uid53_fpCompareTest_b : STD_LOGIC_VECTOR (0 downto 0);
signal two_uid54_fpCompareTest_q : STD_LOGIC_VECTOR (1 downto 0);
signal concSXSY_uid55_fpCompareTest_q : STD_LOGIC_VECTOR (1 downto 0);
signal sxLTsy_uid56_fpCompareTest_q : STD_LOGIC_VECTOR (0 downto 0);
signal xorSigns_uid57_fpCompareTest_q : STD_LOGIC_VECTOR (0 downto 0);
signal sxEQsy_uid58_fpCompareTest_q : STD_LOGIC_VECTOR (0 downto 0);
signal expFracCompMux_uid59_fpCompareTest_s : STD_LOGIC_VECTOR (0 downto 0);
signal expFracCompMux_uid59_fpCompareTest_q : STD_LOGIC_VECTOR (0 downto 0);
signal oneNonZero_uid62_fpCompareTest_q : STD_LOGIC_VECTOR (0 downto 0);
signal rc2_uid63_fpCompareTest_q : STD_LOGIC_VECTOR (0 downto 0);
signal sxEQsyExpFracCompMux_uid64_fpCompareTest_q : STD_LOGIC_VECTOR (0 downto 0);
signal r_uid65_fpCompareTest_q : STD_LOGIC_VECTOR (0 downto 0);
signal rPostExc_uid66_fpCompareTest_s : STD_LOGIC_VECTOR (0 downto 0);
signal rPostExc_uid66_fpCompareTest_q : STD_LOGIC_VECTOR (0 downto 0);
begin
-- GND(CONSTANT,0)
GND_q <= "0";
-- cstAllZWE_uid8_fpCompareTest(CONSTANT,7)
cstAllZWE_uid8_fpCompareTest_q <= "00000000";
-- exp_y_uid23_fpCompareTest(BITSELECT,22)@0
exp_y_uid23_fpCompareTest_b <= b(30 downto 23);
-- excZ_y_uid25_fpCompareTest(LOGICAL,24)@0
excZ_y_uid25_fpCompareTest_q <= "1" WHEN exp_y_uid23_fpCompareTest_b = cstAllZWE_uid8_fpCompareTest_q ELSE "0";
-- yNotZero_uid40_fpCompareTest(LOGICAL,39)@0
yNotZero_uid40_fpCompareTest_q <= not (excZ_y_uid25_fpCompareTest_q);
-- exp_x_uid9_fpCompareTest(BITSELECT,8)@0
exp_x_uid9_fpCompareTest_b <= a(30 downto 23);
-- excZ_x_uid11_fpCompareTest(LOGICAL,10)@0
excZ_x_uid11_fpCompareTest_q <= "1" WHEN exp_x_uid9_fpCompareTest_b = cstAllZWE_uid8_fpCompareTest_q ELSE "0";
-- xNotZero_uid39_fpCompareTest(LOGICAL,38)@0
xNotZero_uid39_fpCompareTest_q <= not (excZ_x_uid11_fpCompareTest_q);
-- oneNonZero_uid62_fpCompareTest(LOGICAL,61)@0
oneNonZero_uid62_fpCompareTest_q <= xNotZero_uid39_fpCompareTest_q or yNotZero_uid40_fpCompareTest_q;
-- two_uid54_fpCompareTest(CONSTANT,53)
two_uid54_fpCompareTest_q <= "10";
-- signX_uid52_fpCompareTest(BITSELECT,51)@0
signX_uid52_fpCompareTest_b <= STD_LOGIC_VECTOR(a(31 downto 31));
-- signY_uid53_fpCompareTest(BITSELECT,52)@0
signY_uid53_fpCompareTest_b <= STD_LOGIC_VECTOR(b(31 downto 31));
-- concSXSY_uid55_fpCompareTest(BITJOIN,54)@0
concSXSY_uid55_fpCompareTest_q <= signX_uid52_fpCompareTest_b & signY_uid53_fpCompareTest_b;
-- sxLTsy_uid56_fpCompareTest(LOGICAL,55)@0
sxLTsy_uid56_fpCompareTest_q <= "1" WHEN concSXSY_uid55_fpCompareTest_q = two_uid54_fpCompareTest_q ELSE "0";
-- rc2_uid63_fpCompareTest(LOGICAL,62)@0
rc2_uid63_fpCompareTest_q <= sxLTsy_uid56_fpCompareTest_q and oneNonZero_uid62_fpCompareTest_q;
-- frac_x_uid10_fpCompareTest(BITSELECT,9)@0
frac_x_uid10_fpCompareTest_b <= a(22 downto 0);
-- fracXPS_uid41_fpCompareTest(LOGICAL,40)@0
fracXPS_uid41_fpCompareTest_b <= STD_LOGIC_VECTOR(STD_LOGIC_VECTOR((22 downto 1 => xNotZero_uid39_fpCompareTest_q(0)) & xNotZero_uid39_fpCompareTest_q));
fracXPS_uid41_fpCompareTest_q <= frac_x_uid10_fpCompareTest_b and fracXPS_uid41_fpCompareTest_b;
-- expFracX_uid43_fpCompareTest(BITJOIN,42)@0
expFracX_uid43_fpCompareTest_q <= exp_x_uid9_fpCompareTest_b & fracXPS_uid41_fpCompareTest_q;
-- frac_y_uid24_fpCompareTest(BITSELECT,23)@0
frac_y_uid24_fpCompareTest_b <= b(22 downto 0);
-- fracYPS_uid42_fpCompareTest(LOGICAL,41)@0
fracYPS_uid42_fpCompareTest_b <= STD_LOGIC_VECTOR(STD_LOGIC_VECTOR((22 downto 1 => yNotZero_uid40_fpCompareTest_q(0)) & yNotZero_uid40_fpCompareTest_q));
fracYPS_uid42_fpCompareTest_q <= frac_y_uid24_fpCompareTest_b and fracYPS_uid42_fpCompareTest_b;
-- expFracY_uid45_fpCompareTest(BITJOIN,44)@0
expFracY_uid45_fpCompareTest_q <= exp_y_uid23_fpCompareTest_b & fracYPS_uid42_fpCompareTest_q;
-- efxGTefy_uid47_fpCompareTest(COMPARE,46)@0
efxGTefy_uid47_fpCompareTest_a <= STD_LOGIC_VECTOR("00" & expFracY_uid45_fpCompareTest_q);
efxGTefy_uid47_fpCompareTest_b <= STD_LOGIC_VECTOR("00" & expFracX_uid43_fpCompareTest_q);
efxGTefy_uid47_fpCompareTest_o <= STD_LOGIC_VECTOR(UNSIGNED(efxGTefy_uid47_fpCompareTest_a) - UNSIGNED(efxGTefy_uid47_fpCompareTest_b));
efxGTefy_uid47_fpCompareTest_c(0) <= efxGTefy_uid47_fpCompareTest_o(32);
-- efxLTefy_uid48_fpCompareTest(COMPARE,47)@0
efxLTefy_uid48_fpCompareTest_a <= STD_LOGIC_VECTOR("00" & expFracX_uid43_fpCompareTest_q);
efxLTefy_uid48_fpCompareTest_b <= STD_LOGIC_VECTOR("00" & expFracY_uid45_fpCompareTest_q);
efxLTefy_uid48_fpCompareTest_o <= STD_LOGIC_VECTOR(UNSIGNED(efxLTefy_uid48_fpCompareTest_a) - UNSIGNED(efxLTefy_uid48_fpCompareTest_b));
efxLTefy_uid48_fpCompareTest_c(0) <= efxLTefy_uid48_fpCompareTest_o(32);
-- expFracCompMux_uid59_fpCompareTest(MUX,58)@0
expFracCompMux_uid59_fpCompareTest_s <= signX_uid52_fpCompareTest_b;
expFracCompMux_uid59_fpCompareTest_combproc: PROCESS (expFracCompMux_uid59_fpCompareTest_s, efxLTefy_uid48_fpCompareTest_c, efxGTefy_uid47_fpCompareTest_c)
BEGIN
CASE (expFracCompMux_uid59_fpCompareTest_s) IS
WHEN "0" => expFracCompMux_uid59_fpCompareTest_q <= efxLTefy_uid48_fpCompareTest_c;
WHEN "1" => expFracCompMux_uid59_fpCompareTest_q <= efxGTefy_uid47_fpCompareTest_c;
WHEN OTHERS => expFracCompMux_uid59_fpCompareTest_q <= (others => '0');
END CASE;
END PROCESS;
-- xorSigns_uid57_fpCompareTest(LOGICAL,56)@0
xorSigns_uid57_fpCompareTest_q <= signX_uid52_fpCompareTest_b xor signY_uid53_fpCompareTest_b;
-- sxEQsy_uid58_fpCompareTest(LOGICAL,57)@0
sxEQsy_uid58_fpCompareTest_q <= not (xorSigns_uid57_fpCompareTest_q);
-- sxEQsyExpFracCompMux_uid64_fpCompareTest(LOGICAL,63)@0
sxEQsyExpFracCompMux_uid64_fpCompareTest_q <= sxEQsy_uid58_fpCompareTest_q and expFracCompMux_uid59_fpCompareTest_q;
-- r_uid65_fpCompareTest(LOGICAL,64)@0
r_uid65_fpCompareTest_q <= sxEQsyExpFracCompMux_uid64_fpCompareTest_q or rc2_uid63_fpCompareTest_q;
-- cstZeroWF_uid7_fpCompareTest(CONSTANT,6)
cstZeroWF_uid7_fpCompareTest_q <= "00000000000000000000000";
-- fracXIsZero_uid27_fpCompareTest(LOGICAL,26)@0
fracXIsZero_uid27_fpCompareTest_q <= "1" WHEN cstZeroWF_uid7_fpCompareTest_q = frac_y_uid24_fpCompareTest_b ELSE "0";
-- fracXIsNotZero_uid28_fpCompareTest(LOGICAL,27)@0
fracXIsNotZero_uid28_fpCompareTest_q <= not (fracXIsZero_uid27_fpCompareTest_q);
-- cstAllOWE_uid6_fpCompareTest(CONSTANT,5)
cstAllOWE_uid6_fpCompareTest_q <= "11111111";
-- expXIsMax_uid26_fpCompareTest(LOGICAL,25)@0
expXIsMax_uid26_fpCompareTest_q <= "1" WHEN exp_y_uid23_fpCompareTest_b = cstAllOWE_uid6_fpCompareTest_q ELSE "0";
-- excN_y_uid30_fpCompareTest(LOGICAL,29)@0
excN_y_uid30_fpCompareTest_q <= expXIsMax_uid26_fpCompareTest_q and fracXIsNotZero_uid28_fpCompareTest_q;
-- fracXIsZero_uid13_fpCompareTest(LOGICAL,12)@0
fracXIsZero_uid13_fpCompareTest_q <= "1" WHEN cstZeroWF_uid7_fpCompareTest_q = frac_x_uid10_fpCompareTest_b ELSE "0";
-- fracXIsNotZero_uid14_fpCompareTest(LOGICAL,13)@0
fracXIsNotZero_uid14_fpCompareTest_q <= not (fracXIsZero_uid13_fpCompareTest_q);
-- expXIsMax_uid12_fpCompareTest(LOGICAL,11)@0
expXIsMax_uid12_fpCompareTest_q <= "1" WHEN exp_x_uid9_fpCompareTest_b = cstAllOWE_uid6_fpCompareTest_q ELSE "0";
-- excN_x_uid16_fpCompareTest(LOGICAL,15)@0
excN_x_uid16_fpCompareTest_q <= expXIsMax_uid12_fpCompareTest_q and fracXIsNotZero_uid14_fpCompareTest_q;
-- oneIsNaN_uid34_fpCompareTest(LOGICAL,33)@0
oneIsNaN_uid34_fpCompareTest_q <= excN_x_uid16_fpCompareTest_q or excN_y_uid30_fpCompareTest_q;
-- VCC(CONSTANT,1)
VCC_q <= "1";
-- rPostExc_uid66_fpCompareTest(MUX,65)@0
rPostExc_uid66_fpCompareTest_s <= oneIsNaN_uid34_fpCompareTest_q;
rPostExc_uid66_fpCompareTest_combproc: PROCESS (rPostExc_uid66_fpCompareTest_s, r_uid65_fpCompareTest_q, GND_q)
BEGIN
CASE (rPostExc_uid66_fpCompareTest_s) IS
WHEN "0" => rPostExc_uid66_fpCompareTest_q <= r_uid65_fpCompareTest_q;
WHEN "1" => rPostExc_uid66_fpCompareTest_q <= GND_q;
WHEN OTHERS => rPostExc_uid66_fpCompareTest_q <= (others => '0');
END CASE;
END PROCESS;
-- xOut(GPOUT,4)@0
q <= rPostExc_uid66_fpCompareTest_q;
END normal;
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
eY0MISMZBHdNP+fOARYt7xkv170JhWItziSMQ0UNDmTZJEJQXWlo11HDpP11Ea6g3n2HMPayDmsc
BaKOO4qOHA==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
HUaSsvDJp5AA6ftUpg8DO/7VD5eOSRPWs7dh22ehIgbUoY4B0v7Ne30BFzFGeasm5mwz8T3V/LsU
XvVJu0zf5xbzX07F6m7dETBnpsof7+CFySJr9/IKCUXwVuvbeMfHIFjdK6Xq/SM+u+52EROQnWPG
FVzsFe71CwuP5ZZ4dUY=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
jlKIt3ZSok35xBS836A5XSZuX6kamFrDKAbEgYUjia2DruAZXKbctHty8dzTwwOKM3vfps/9OsZn
lh+wj78z8FimfB2rld5QxdDyVkt7uIuH37dKVpkSNgJeAFqPwgBf/Gcvh9Gg0G9FuzhcyJ6Jbayl
9Bc22AbYHWlXyO5Xyss5+86Ex4RD9pGZcPTz7dkEF/86N00d7ypaIVEfTB7uG5iwfCGkiVexBZ7u
LnGbZ4XYwvvFeguWHLdoxW9dvIu7AH0YZVHrwrtLZkl+6A0fqoI7UJHVhPQ6VXZg8NAcjgWBjH6K
iw2J3/EeL9+YqWqCIADcAWtxn/f+cEW5sWIS+w==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
Zzx6Nu25vh95RS2U+TV8CcT1OIKIWpGqygm77z7uCbYPOp42m+TB8EIHAGoksdk/MnBhanbQ2yKP
y6uloC/QdGz5qemy7FgENKPwzPtJ6/fkgUcbRdKuq6WpQ7rjZP/ZZ8kVxaqrPL1lEeEaZO/7vecC
Caonb2U0I4AyU7caL9M=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
iz9fegKXGt2UJGVIZWg2TtqmTU1gXza/R/DCFfnB7sGS7EY7JtRoGtQuMlIbZeFH2U11RonnNk90
Y4aTMqLmoL+WU42wBwKjs6o6jKFKp6iNyeML70UEoetmAXO6EuKUhlIG40+aeQuQH/UtZhTyL8gI
S+QymPkMUfmg4YQTMZVzQPQdaK1rfQqg51p/WvlJXE3uAC48Q0JphsNZbbo1SKz7r0T4tl7Tjrjl
hLj3uBg+dqsvTB2tLsO3Sk4cNeCMVDvtKGm8fAjp5WwyCTEbPOH0gDTeBWLleaf+NOZ7J2xyOPdD
iSjXMpYFYVk790vIk/ILeExMCXND3tcuW0KNQg==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 96496)
`protect data_block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==
`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
eY0MISMZBHdNP+fOARYt7xkv170JhWItziSMQ0UNDmTZJEJQXWlo11HDpP11Ea6g3n2HMPayDmsc
BaKOO4qOHA==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
HUaSsvDJp5AA6ftUpg8DO/7VD5eOSRPWs7dh22ehIgbUoY4B0v7Ne30BFzFGeasm5mwz8T3V/LsU
XvVJu0zf5xbzX07F6m7dETBnpsof7+CFySJr9/IKCUXwVuvbeMfHIFjdK6Xq/SM+u+52EROQnWPG
FVzsFe71CwuP5ZZ4dUY=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
jlKIt3ZSok35xBS836A5XSZuX6kamFrDKAbEgYUjia2DruAZXKbctHty8dzTwwOKM3vfps/9OsZn
lh+wj78z8FimfB2rld5QxdDyVkt7uIuH37dKVpkSNgJeAFqPwgBf/Gcvh9Gg0G9FuzhcyJ6Jbayl
9Bc22AbYHWlXyO5Xyss5+86Ex4RD9pGZcPTz7dkEF/86N00d7ypaIVEfTB7uG5iwfCGkiVexBZ7u
LnGbZ4XYwvvFeguWHLdoxW9dvIu7AH0YZVHrwrtLZkl+6A0fqoI7UJHVhPQ6VXZg8NAcjgWBjH6K
iw2J3/EeL9+YqWqCIADcAWtxn/f+cEW5sWIS+w==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
Zzx6Nu25vh95RS2U+TV8CcT1OIKIWpGqygm77z7uCbYPOp42m+TB8EIHAGoksdk/MnBhanbQ2yKP
y6uloC/QdGz5qemy7FgENKPwzPtJ6/fkgUcbRdKuq6WpQ7rjZP/ZZ8kVxaqrPL1lEeEaZO/7vecC
Caonb2U0I4AyU7caL9M=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
iz9fegKXGt2UJGVIZWg2TtqmTU1gXza/R/DCFfnB7sGS7EY7JtRoGtQuMlIbZeFH2U11RonnNk90
Y4aTMqLmoL+WU42wBwKjs6o6jKFKp6iNyeML70UEoetmAXO6EuKUhlIG40+aeQuQH/UtZhTyL8gI
S+QymPkMUfmg4YQTMZVzQPQdaK1rfQqg51p/WvlJXE3uAC48Q0JphsNZbbo1SKz7r0T4tl7Tjrjl
hLj3uBg+dqsvTB2tLsO3Sk4cNeCMVDvtKGm8fAjp5WwyCTEbPOH0gDTeBWLleaf+NOZ7J2xyOPdD
iSjXMpYFYVk790vIk/ILeExMCXND3tcuW0KNQg==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 96496)
`protect data_block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==
`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
eY0MISMZBHdNP+fOARYt7xkv170JhWItziSMQ0UNDmTZJEJQXWlo11HDpP11Ea6g3n2HMPayDmsc
BaKOO4qOHA==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
HUaSsvDJp5AA6ftUpg8DO/7VD5eOSRPWs7dh22ehIgbUoY4B0v7Ne30BFzFGeasm5mwz8T3V/LsU
XvVJu0zf5xbzX07F6m7dETBnpsof7+CFySJr9/IKCUXwVuvbeMfHIFjdK6Xq/SM+u+52EROQnWPG
FVzsFe71CwuP5ZZ4dUY=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
jlKIt3ZSok35xBS836A5XSZuX6kamFrDKAbEgYUjia2DruAZXKbctHty8dzTwwOKM3vfps/9OsZn
lh+wj78z8FimfB2rld5QxdDyVkt7uIuH37dKVpkSNgJeAFqPwgBf/Gcvh9Gg0G9FuzhcyJ6Jbayl
9Bc22AbYHWlXyO5Xyss5+86Ex4RD9pGZcPTz7dkEF/86N00d7ypaIVEfTB7uG5iwfCGkiVexBZ7u
LnGbZ4XYwvvFeguWHLdoxW9dvIu7AH0YZVHrwrtLZkl+6A0fqoI7UJHVhPQ6VXZg8NAcjgWBjH6K
iw2J3/EeL9+YqWqCIADcAWtxn/f+cEW5sWIS+w==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
Zzx6Nu25vh95RS2U+TV8CcT1OIKIWpGqygm77z7uCbYPOp42m+TB8EIHAGoksdk/MnBhanbQ2yKP
y6uloC/QdGz5qemy7FgENKPwzPtJ6/fkgUcbRdKuq6WpQ7rjZP/ZZ8kVxaqrPL1lEeEaZO/7vecC
Caonb2U0I4AyU7caL9M=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
iz9fegKXGt2UJGVIZWg2TtqmTU1gXza/R/DCFfnB7sGS7EY7JtRoGtQuMlIbZeFH2U11RonnNk90
Y4aTMqLmoL+WU42wBwKjs6o6jKFKp6iNyeML70UEoetmAXO6EuKUhlIG40+aeQuQH/UtZhTyL8gI
S+QymPkMUfmg4YQTMZVzQPQdaK1rfQqg51p/WvlJXE3uAC48Q0JphsNZbbo1SKz7r0T4tl7Tjrjl
hLj3uBg+dqsvTB2tLsO3Sk4cNeCMVDvtKGm8fAjp5WwyCTEbPOH0gDTeBWLleaf+NOZ7J2xyOPdD
iSjXMpYFYVk790vIk/ILeExMCXND3tcuW0KNQg==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 96496)
`protect data_block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==
`protect end_protected
|
entity FIFO is
generic (
G_WIDTH : integer := 256;
G_DEPTH : integer := 32
);
port (
I_PORT1 : in std_logic;
I_PORT2 : out std_logic
);
end entity FIFO;
-- Violation below
entity FIFO is
generic(g_size : integer := 10;
g_width : integer := 256;
g_depth : integer := 32
);
port (
i_port1 : in std_logic := '0';
i_port2 : out std_logic :='1'
);
end entity FIFO;
entity FIFO is
generic(g_size : integer := 10;
g_width : integer := 256;
g_depth : integer := 32
);
port (
i_port1 : in std_logic := '0';
i_port2 : out std_logic :='1'
);
end entity FIFO;
entity FIFO is
generic(g_size : integer := 10;
g_width : integer := 256;
g_depth : integer := 32);
port (
i_port1 : in std_logic := '0';
i_port2 : out std_logic :='1');
end entity FIFO;
|
--!
--! Copyright 2018 Sergey Khabarov, [email protected]
--!
--! Licensed under the Apache License, Version 2.0 (the "License");
--! you may not use this file except in compliance with the License.
--! You may obtain a copy of the License at
--!
--! http://www.apache.org/licenses/LICENSE-2.0
--!
--! Unless required by applicable law or agreed to in writing, software
--! distributed under the License is distributed on an "AS IS" BASIS,
--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
--! See the License for the specific language governing permissions and
--! limitations under the License.
--!
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library techmap;
use techmap.gencomp.all;
package config_target is
-- Technology and synthesis options
constant CFG_FABTECH : integer := virtex6;
constant CFG_MEMTECH : integer := virtex6;
constant CFG_PADTECH : integer := virtex6;
constant CFG_JTAGTECH : integer := virtex6;
constant CFG_ASYNC_RESET : boolean := false;
constant CFG_TOPDIR : string := "../../../";
--! @brief Number of processors in a system
--! @details This value may be in a range 1 to CFG_TOTAL_CPU_MAX-1
constant CFG_CPU_NUM : integer := 1;
--! @brief HEX-image for the initialization of the Boot ROM.
--! @details This file is used by \e inferred ROM implementation.
constant CFG_SIM_BOOTROM_HEX : string :=
CFG_TOPDIR & "examples/boot/linuxbuild/bin/bootimage.hex";
-- CFG_TOPDIR & "examples/bootrom_tests/linuxbuild/bin/bootrom_tests.hex";
--! @brief HEX-image for the initialization of the FwImage ROM.
--! @details This file is used by \e inferred ROM implementation.
constant CFG_SIM_FWIMAGE_HEX : string :=
-- CFG_TOPDIR & "examples/zephyr/gcc711/zephyr.hex";
CFG_TOPDIR & "examples/gnss_fw/makefiles/bin/gnssfw.hex";
--! @brief Hardware SoC Identificator.
--!
--! @details Read Only unique platform identificator that could be
--! read by firmware from the Plug'n'Play support module.
constant CFG_HW_ID : std_logic_vector(31 downto 0) := X"20191125";
--! @brief Enabling Ethernet MAC interface.
--! @details By default MAC module enables support of the debug feature EDCL.
constant CFG_ETHERNET_ENABLE : boolean := true;
--! @brief Enable/Disable Debug Unit
constant CFG_DSU_ENABLE : boolean := true;
--! External Flash IC connected via SPI
constant CFG_EXT_FLASH_ENA : boolean := false;
--! GNSS sub-system
constant CFG_GNSS_SS_ENA : boolean := true;
--! OTP 8 KB memory bank
constant CFG_OTP8KB_ENA : boolean := false;
--! Coherent bridge with L2-cache
constant CFG_L2CACHE_ENA : boolean := false;
end;
|
--Copyright 1986-2016 Xilinx, Inc. All Rights Reserved.
----------------------------------------------------------------------------------
--Tool Version: Vivado v.2016.4 (win64) Build 1733598 Wed Dec 14 22:35:39 MST 2016
--Date : Tue Jun 06 02:30:20 2017
--Host : GILAMONSTER running 64-bit major release (build 9200)
--Command : generate_target system_wrapper.bd
--Design : system_wrapper
--Purpose : IP block netlist
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity system_wrapper is
port (
apply : in STD_LOGIC;
clk_100 : in STD_LOGIC;
data : in STD_LOGIC_VECTOR ( 7 downto 0 );
hdmi_clk : out STD_LOGIC;
hdmi_d : out STD_LOGIC_VECTOR ( 15 downto 0 );
hdmi_de : out STD_LOGIC;
hdmi_hsync : out STD_LOGIC;
hdmi_scl : out STD_LOGIC;
hdmi_sda : inout STD_LOGIC;
hdmi_vsync : out STD_LOGIC;
hsync : in STD_LOGIC;
pclk : in STD_LOGIC;
ready : out STD_LOGIC;
reset : in STD_LOGIC;
sioc : out STD_LOGIC;
siod : inout STD_LOGIC;
state : out STD_LOGIC_VECTOR ( 1 downto 0 );
transform : in STD_LOGIC;
transform_led : out STD_LOGIC;
trigger : in STD_LOGIC;
vsync : in STD_LOGIC;
xclk : out STD_LOGIC
);
end system_wrapper;
architecture STRUCTURE of system_wrapper is
component system is
port (
trigger : in STD_LOGIC;
apply : in STD_LOGIC;
hdmi_clk : out STD_LOGIC;
hdmi_hsync : out STD_LOGIC;
hdmi_vsync : out STD_LOGIC;
hdmi_d : out STD_LOGIC_VECTOR ( 15 downto 0 );
hdmi_de : out STD_LOGIC;
hdmi_scl : out STD_LOGIC;
hdmi_sda : inout STD_LOGIC;
clk_100 : in STD_LOGIC;
ready : out STD_LOGIC;
sioc : out STD_LOGIC;
siod : inout STD_LOGIC;
data : in STD_LOGIC_VECTOR ( 7 downto 0 );
hsync : in STD_LOGIC;
vsync : in STD_LOGIC;
xclk : out STD_LOGIC;
reset : in STD_LOGIC;
pclk : in STD_LOGIC;
transform : in STD_LOGIC;
transform_led : out STD_LOGIC;
state : out STD_LOGIC_VECTOR ( 1 downto 0 )
);
end component system;
begin
system_i: component system
port map (
apply => apply,
clk_100 => clk_100,
data(7 downto 0) => data(7 downto 0),
hdmi_clk => hdmi_clk,
hdmi_d(15 downto 0) => hdmi_d(15 downto 0),
hdmi_de => hdmi_de,
hdmi_hsync => hdmi_hsync,
hdmi_scl => hdmi_scl,
hdmi_sda => hdmi_sda,
hdmi_vsync => hdmi_vsync,
hsync => hsync,
pclk => pclk,
ready => ready,
reset => reset,
sioc => sioc,
siod => siod,
state(1 downto 0) => state(1 downto 0),
transform => transform,
transform_led => transform_led,
trigger => trigger,
vsync => vsync,
xclk => xclk
);
end STRUCTURE;
|
-------------------------------------------------------------------------------
-- Title : Modulator
-------------------------------------------------------------------------------
-- Standard : VHDL'87
-------------------------------------------------------------------------------
-- Description:
-------------------------------------------------------------------------------
-- Copyright (c) 2013 strongly-typed
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
-------------------------------------------------------------------------------
entity serialiser is
generic (
BITPATTERN_WIDTH : positive := 32
);
port (
pattern_in_p : in std_logic_vector(BITPATTERN_WIDTH - 1 downto 0);
bitstream_out_p : out std_logic;
clk_bit : in std_logic;
clk : in std_logic
);
end serialiser;
-------------------------------------------------------------------------------
architecture behavioural of serialiser is
type serialiser_type is record
counter : integer range 0 to BITPATTERN_WIDTH;
end record;
-----------------------------------------------------------------------------
-- Internal signal declarations
-----------------------------------------------------------------------------
signal r, rin : serialiser_type := (counter => 0);
-----------------------------------------------------------------------------
-- Component declarations
-----------------------------------------------------------------------------
-- None here. If any: in package
begin -- architecture behavourial
----------------------------------------------------------------------------
-- Connections between ports and signals
----------------------------------------------------------------------------
bitstream_out_p <= pattern_in_p(r.counter);
----------------------------------------------------------------------------
-- Sequential part of finite state machine (FSM)
----------------------------------------------------------------------------
seq_proc : process(clk)
begin
if rising_edge(clk) then
r <= rin;
end if;
end process seq_proc;
----------------------------------------------------------------------------
-- Combinatorial part of FSM
----------------------------------------------------------------------------
comb_proc : process(clk_bit, r)
variable v : serialiser_type;
begin
v := r;
if clk_bit = '1' then
v.counter := v.counter + 1;
if v.counter = BITPATTERN_WIDTH then
v.counter := 0;
end if;
end if;
rin <= v;
end process comb_proc;
-----------------------------------------------------------------------------
-- Component instantiations
-----------------------------------------------------------------------------
-- None.
end behavioural;
|
-------------------------------------------------------------------------------
-- Title : Modulator
-------------------------------------------------------------------------------
-- Standard : VHDL'87
-------------------------------------------------------------------------------
-- Description:
-------------------------------------------------------------------------------
-- Copyright (c) 2013 strongly-typed
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
-------------------------------------------------------------------------------
entity serialiser is
generic (
BITPATTERN_WIDTH : positive := 32
);
port (
pattern_in_p : in std_logic_vector(BITPATTERN_WIDTH - 1 downto 0);
bitstream_out_p : out std_logic;
clk_bit : in std_logic;
clk : in std_logic
);
end serialiser;
-------------------------------------------------------------------------------
architecture behavioural of serialiser is
type serialiser_type is record
counter : integer range 0 to BITPATTERN_WIDTH;
end record;
-----------------------------------------------------------------------------
-- Internal signal declarations
-----------------------------------------------------------------------------
signal r, rin : serialiser_type := (counter => 0);
-----------------------------------------------------------------------------
-- Component declarations
-----------------------------------------------------------------------------
-- None here. If any: in package
begin -- architecture behavourial
----------------------------------------------------------------------------
-- Connections between ports and signals
----------------------------------------------------------------------------
bitstream_out_p <= pattern_in_p(r.counter);
----------------------------------------------------------------------------
-- Sequential part of finite state machine (FSM)
----------------------------------------------------------------------------
seq_proc : process(clk)
begin
if rising_edge(clk) then
r <= rin;
end if;
end process seq_proc;
----------------------------------------------------------------------------
-- Combinatorial part of FSM
----------------------------------------------------------------------------
comb_proc : process(clk_bit, r)
variable v : serialiser_type;
begin
v := r;
if clk_bit = '1' then
v.counter := v.counter + 1;
if v.counter = BITPATTERN_WIDTH then
v.counter := 0;
end if;
end if;
rin <= v;
end process comb_proc;
-----------------------------------------------------------------------------
-- Component instantiations
-----------------------------------------------------------------------------
-- None.
end behavioural;
|
library verilog;
use verilog.vl_types.all;
entity drive_analog_io is
port(
parallel_in : in vl_logic_vector(63 downto 0);
serial_out : out vl_logic
);
end drive_analog_io;
|
library verilog;
use verilog.vl_types.all;
entity drive_analog_io is
port(
parallel_in : in vl_logic_vector(63 downto 0);
serial_out : out vl_logic
);
end drive_analog_io;
|
library verilog;
use verilog.vl_types.all;
entity drive_analog_io is
port(
parallel_in : in vl_logic_vector(63 downto 0);
serial_out : out vl_logic
);
end drive_analog_io;
|
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use ieee.numeric_std.all;
entity FloatAdder is
port (
fltIn1 : in std_logic_vector(31 downto 0);
fltIn2 : in std_logic_vector(31 downto 0);
fltOut : out std_logic_vector(31 downto 0));
end FloatAdder;
architecture FAddImp of FloatAdder is
component FractionLeftPadding
port (
frcIn : in std_logic_vector(23 downto 0);
nlz : out std_logic_vector( 4 downto 0);
frcOut : out std_logic_vector(23 downto 0));
end component;
component FractionRightShifter is
port (
frcIn : in std_logic_vector(23 downto 0);
len : in std_logic_vector( 4 downto 0);
frcOut : out std_logic_vector(23 downto 0);
fstOverOut : out std_logic;
sndOverOut : out std_logic;
tailAnyOut : out std_logic);
end component;
signal sgn1, sgn2 : std_logic;
signal exp1, exp2 : std_logic_vector( 7 downto 0);
signal frc1, frc2 : std_logic_vector(23 downto 0);
signal isAdd : boolean;
signal lenRawSft : std_logic_vector(8 downto 0);
signal lenSft : std_logic_vector(4 downto 0);
signal validPosSft, validNegSft : boolean;
signal zeroSft, posSft : boolean;
signal sgnSup, sgnInf : std_logic;
signal expUnif : std_logic_vector(7 downto 0);
signal frcInf : std_logic_vector(23 downto 0);
signal frcUnifSup, frcUnifInf : std_logic_vector(23 downto 0);
signal fstOver, sndOver, tailAny : std_logic;
signal roundFurther, roundFurtherDbl, roundFurtherHlf : std_logic;
signal frcOutAdder1, frcOutAdder2 : std_logic_vector(24 downto 0);
signal noFlow, noDown : boolean;
signal frcIreg : std_logic_vector(23 downto 0);
signal nlz : std_logic_vector(4 downto 0);
signal downFrc : std_logic;
signal expOutSubRaw : std_logic_vector(8 downto 0);
signal expOutAdd, expOutSub : std_logic_vector( 7 downto 0);
signal frcOutAdd, frcOutSub : std_logic_vector(23 downto 0);
signal sgnOutSub: std_logic;
begin
sgn1 <= fltIn1(31);
exp1 <= fltIn1(30 downto 23);
frc1 <= '1' & fltIn1(22 downto 0);
sgn2 <= fltIn2(31);
exp2 <= fltIn2(30 downto 23);
frc2 <= '1' & fltIn2(22 downto 0);
lenRawSft <= ('0' & exp1) - ('0' & exp2);
validPosSft <= lenRawSft(8 downto 5) = "0000";
zeroSft <= validPosSft and lenRawSft(4 downto 0) = "00000";
validNegSft <= lenRawSft(8 downto 5) = "1111" and lenRawSft(4 downto 0) /= "00000";
posSft <= lenRawSft(8) = '0';
lenSft <= lenRawSft(4 downto 0) when validPosSft else
"00000"-(lenRawSft(4 downto 0)) when validNegSft else
"11111";
sgnSup <= sgn1 when posSft else sgn2;
sgnInf <= sgn2 when posSft else sgn1;
expUnif <= exp1 when posSft else exp2;
frcUnifSup <= frc1 when posSft else frc2;
frcInf <= frc2 when posSft else frc1;
sftUnifFrc: FractionRightShifter port map (
frcIn => frcInf,
len => lenSft,
frcOut => frcUnifInf,
fstOverOut => fstOver,
sndOverOut => sndOver,
tailAnyOut => tailAny);
roundFurtherHlf <= sndOver and (tailAny or fstOver);
roundFurther <= fstOver and ((sndOver or tailAny) or (frcUnifSup(0) xor frcUnifInf(0)));
roundFurtherDbl <= (frcUnifSup(0) and frcUnifInf(0)) or
( (frcUnifSup(0) or frcUnifInf(0)) and
(((fstOver or sndOver) or tailAny) or (frcUnifSup(1) xor frcUnifInf(1))));
isAdd <= sgn1 = sgn2;
frcOutAdder1 <= ('0' & frcUnifSup) + ('0' & frcUnifInf) + roundFurther when isAdd else
('0' & frcUnifSup) - ('0' & frcUnifInf) - roundFurther;
frcOutAdder2 <= ("00" & (frcUnifSup(23 downto 1))) + ("00" & (frcUnifInf(23 downto 1))) + roundFurtherDbl when isAdd else
('0' & frcUnifInf) - ('0' & frcUnifSup) - roundFurther when zeroSft else
('0' & (frcUnifSup(22 downto 0)) & '0') - ('0' & (frcUnifInf(22 downto 0) & fstOver)) - roundFurtherHlf;
noFlow <= frcOutAdder1(24) = '0';
noDown <= frcOutAdder1(23) = '1';
expOutAdd <= expUnif when noFlow else expUnif+1;
frcOutAdd <= (others => '0') when expOutAdd = "11111111" else
frcOutAdder1(23 downto 0) when noFlow else
frcOutAdder2(23 downto 0);
sgnOutSub <= sgnSup when not zeroSft or noFlow else sgnInf;
frcIreg <= frcOutAdder1(23 downto 0) when zeroSft and noFlow else
frcOutAdder2(23 downto 0) when zeroSft and not noFlow else
frcOutAdder1(23 downto 0) when noDown else
frcOutAdder2(23 downto 0);
padFrcIreg: FractionLeftPadding port map (
frcIn => frcIreg,
nlz => nlz,
frcOut => frcOutSub);
downFrc <= '0' when zeroSft or noDown else '1';
expOutSubRaw <= ('0' & expUnif) - ("0000" & nlz) - downFrc;
expOutSub <= expOutSubRaw(7 downto 0) when expOutSubRaw(8) = '0' else "00000000";
fltOut <= sgnSup & expOutAdd & frcOutAdd(22 downto 0) when isAdd else
sgnOutSub & expOutSub & frcOutSub(22 downto 0);
end FAddImp;
|
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use work.common.all;
package mem_pkg is
type mem_in is record
alu_out : word;
rf_we : std_logic;
insn_type : insn_type_t;
rd_addr : std_logic_vector(4 downto 0);
end record mem_in;
type mem_out is record
data_addr : word;
we : std_logic;
re : std_logic;
data_out : word;
end record mem_out;
end package mem_pkg;
|
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use work.common.all;
package mem_pkg is
type mem_in is record
alu_out : word;
rf_we : std_logic;
insn_type : insn_type_t;
rd_addr : std_logic_vector(4 downto 0);
end record mem_in;
type mem_out is record
data_addr : word;
we : std_logic;
re : std_logic;
data_out : word;
end record mem_out;
end package mem_pkg;
|
-- VHDL netlist generated by SCUBA Diamond (64-bit) 3.10.0.111.2
-- Module Version: 5.7
--/usr/local/diamond/3.10_x64/ispfpga/bin/lin64/scuba -w -n pll_16 -lang vhdl -synth synplify -arch xo3c00f -type pll -fin 12.288 -fclkop 196.608 -fclkop_tol 0.0 -trimp 0 -phasep 0 -trimp_r -phase_cntl STATIC -rst -fb_mode 1
-- Sat Jun 2 11:04:49 2018
library IEEE;
use IEEE.std_logic_1164.all;
-- synopsys translate_off
library MACHXO3L;
use MACHXO3L.components.all;
-- synopsys translate_on
entity pll_16 is
port (
CLKI: in std_logic;
RST: in std_logic;
CLKOP: out std_logic);
end pll_16;
architecture Structure of pll_16 is
-- internal signal declarations
signal LOCK: std_logic;
signal CLKOP_t: std_logic;
signal scuba_vlo: std_logic;
-- local component declarations
component VLO
port (Z: out std_logic);
end component;
component EHXPLLJ
generic (INTFB_WAKE : in String; DDRST_ENA : in String;
DCRST_ENA : in String; MRST_ENA : in String;
PLLRST_ENA : in String; DPHASE_SOURCE : in String;
STDBY_ENABLE : in String; OUTDIVIDER_MUXD2 : in String;
OUTDIVIDER_MUXC2 : in String;
OUTDIVIDER_MUXB2 : in String;
OUTDIVIDER_MUXA2 : in String;
PREDIVIDER_MUXD1 : in Integer;
PREDIVIDER_MUXC1 : in Integer;
PREDIVIDER_MUXB1 : in Integer;
PREDIVIDER_MUXA1 : in Integer; PLL_USE_WB : in String;
PLL_LOCK_MODE : in Integer;
CLKOS_TRIM_DELAY : in Integer;
CLKOS_TRIM_POL : in String;
CLKOP_TRIM_DELAY : in Integer;
CLKOP_TRIM_POL : in String; FRACN_DIV : in Integer;
FRACN_ENABLE : in String; FEEDBK_PATH : in String;
CLKOS3_FPHASE : in Integer; CLKOS2_FPHASE : in Integer;
CLKOS_FPHASE : in Integer; CLKOP_FPHASE : in Integer;
CLKOS3_CPHASE : in Integer; CLKOS2_CPHASE : in Integer;
CLKOS_CPHASE : in Integer; CLKOP_CPHASE : in Integer;
VCO_BYPASS_D0 : in String; VCO_BYPASS_C0 : in String;
VCO_BYPASS_B0 : in String; VCO_BYPASS_A0 : in String;
CLKOS3_ENABLE : in String; CLKOS2_ENABLE : in String;
CLKOS_ENABLE : in String; CLKOP_ENABLE : in String;
CLKOS3_DIV : in Integer; CLKOS2_DIV : in Integer;
CLKOS_DIV : in Integer; CLKOP_DIV : in Integer;
CLKFB_DIV : in Integer; CLKI_DIV : in Integer);
port (CLKI: in std_logic; CLKFB: in std_logic;
PHASESEL1: in std_logic; PHASESEL0: in std_logic;
PHASEDIR: in std_logic; PHASESTEP: in std_logic;
LOADREG: in std_logic; STDBY: in std_logic;
PLLWAKESYNC: in std_logic; RST: in std_logic;
RESETM: in std_logic; RESETC: in std_logic;
RESETD: in std_logic; ENCLKOP: in std_logic;
ENCLKOS: in std_logic; ENCLKOS2: in std_logic;
ENCLKOS3: in std_logic; PLLCLK: in std_logic;
PLLRST: in std_logic; PLLSTB: in std_logic;
PLLWE: in std_logic; PLLADDR4: in std_logic;
PLLADDR3: in std_logic; PLLADDR2: in std_logic;
PLLADDR1: in std_logic; PLLADDR0: in std_logic;
PLLDATI7: in std_logic; PLLDATI6: in std_logic;
PLLDATI5: in std_logic; PLLDATI4: in std_logic;
PLLDATI3: in std_logic; PLLDATI2: in std_logic;
PLLDATI1: in std_logic; PLLDATI0: in std_logic;
CLKOP: out std_logic; CLKOS: out std_logic;
CLKOS2: out std_logic; CLKOS3: out std_logic;
LOCK: out std_logic; INTLOCK: out std_logic;
REFCLK: out std_logic; CLKINTFB: out std_logic;
DPHSRC: out std_logic; PLLACK: out std_logic;
PLLDATO7: out std_logic; PLLDATO6: out std_logic;
PLLDATO5: out std_logic; PLLDATO4: out std_logic;
PLLDATO3: out std_logic; PLLDATO2: out std_logic;
PLLDATO1: out std_logic; PLLDATO0: out std_logic);
end component;
attribute FREQUENCY_PIN_CLKOP : string;
attribute FREQUENCY_PIN_CLKI : string;
attribute ICP_CURRENT : string;
attribute LPF_RESISTOR : string;
attribute FREQUENCY_PIN_CLKOP of PLLInst_0 : label is "196.608000";
attribute FREQUENCY_PIN_CLKI of PLLInst_0 : label is "12.288000";
attribute ICP_CURRENT of PLLInst_0 : label is "9";
attribute LPF_RESISTOR of PLLInst_0 : label is "8";
attribute syn_keep : boolean;
attribute NGD_DRC_MASK : integer;
attribute NGD_DRC_MASK of Structure : architecture is 1;
begin
-- component instantiation statements
scuba_vlo_inst: VLO
port map (Z=>scuba_vlo);
PLLInst_0: EHXPLLJ
generic map (DDRST_ENA=> "DISABLED", DCRST_ENA=> "DISABLED",
MRST_ENA=> "DISABLED", PLLRST_ENA=> "ENABLED", INTFB_WAKE=> "DISABLED",
STDBY_ENABLE=> "DISABLED", DPHASE_SOURCE=> "DISABLED",
PLL_USE_WB=> "DISABLED", CLKOS3_FPHASE=> 0, CLKOS3_CPHASE=> 0,
CLKOS2_FPHASE=> 0, CLKOS2_CPHASE=> 0, CLKOS_FPHASE=> 0,
CLKOS_CPHASE=> 0, CLKOP_FPHASE=> 0, CLKOP_CPHASE=> 2,
PLL_LOCK_MODE=> 0, CLKOS_TRIM_DELAY=> 0, CLKOS_TRIM_POL=> "FALLING",
CLKOP_TRIM_DELAY=> 0, CLKOP_TRIM_POL=> "RISING", FRACN_DIV=> 0,
FRACN_ENABLE=> "DISABLED", OUTDIVIDER_MUXD2=> "DIVD",
PREDIVIDER_MUXD1=> 0, VCO_BYPASS_D0=> "DISABLED", CLKOS3_ENABLE=> "DISABLED",
OUTDIVIDER_MUXC2=> "DIVC", PREDIVIDER_MUXC1=> 0, VCO_BYPASS_C0=> "DISABLED",
CLKOS2_ENABLE=> "DISABLED", OUTDIVIDER_MUXB2=> "DIVB",
PREDIVIDER_MUXB1=> 0, VCO_BYPASS_B0=> "DISABLED", CLKOS_ENABLE=> "DISABLED",
OUTDIVIDER_MUXA2=> "DIVA", PREDIVIDER_MUXA1=> 0, VCO_BYPASS_A0=> "DISABLED",
CLKOP_ENABLE=> "ENABLED", CLKOS3_DIV=> 1, CLKOS2_DIV=> 1,
CLKOS_DIV=> 1, CLKOP_DIV=> 3, CLKFB_DIV=> 16, CLKI_DIV=> 1,
FEEDBK_PATH=> "CLKOP")
port map (CLKI=>CLKI, CLKFB=>CLKOP_t, PHASESEL1=>scuba_vlo,
PHASESEL0=>scuba_vlo, PHASEDIR=>scuba_vlo,
PHASESTEP=>scuba_vlo, LOADREG=>scuba_vlo, STDBY=>scuba_vlo,
PLLWAKESYNC=>scuba_vlo, RST=>RST, RESETM=>scuba_vlo,
RESETC=>scuba_vlo, RESETD=>scuba_vlo, ENCLKOP=>scuba_vlo,
ENCLKOS=>scuba_vlo, ENCLKOS2=>scuba_vlo, ENCLKOS3=>scuba_vlo,
PLLCLK=>scuba_vlo, PLLRST=>scuba_vlo, PLLSTB=>scuba_vlo,
PLLWE=>scuba_vlo, PLLADDR4=>scuba_vlo, PLLADDR3=>scuba_vlo,
PLLADDR2=>scuba_vlo, PLLADDR1=>scuba_vlo,
PLLADDR0=>scuba_vlo, PLLDATI7=>scuba_vlo,
PLLDATI6=>scuba_vlo, PLLDATI5=>scuba_vlo,
PLLDATI4=>scuba_vlo, PLLDATI3=>scuba_vlo,
PLLDATI2=>scuba_vlo, PLLDATI1=>scuba_vlo,
PLLDATI0=>scuba_vlo, CLKOP=>CLKOP_t, CLKOS=>open,
CLKOS2=>open, CLKOS3=>open, LOCK=>LOCK, INTLOCK=>open,
REFCLK=>open, CLKINTFB=>open, DPHSRC=>open, PLLACK=>open,
PLLDATO7=>open, PLLDATO6=>open, PLLDATO5=>open,
PLLDATO4=>open, PLLDATO3=>open, PLLDATO2=>open,
PLLDATO1=>open, PLLDATO0=>open);
CLKOP <= CLKOP_t;
end Structure;
-- synopsys translate_off
library MACHXO3L;
configuration Structure_CON of pll_16 is
for Structure
for all:VLO use entity MACHXO3L.VLO(V); end for;
for all:EHXPLLJ use entity MACHXO3L.EHXPLLJ(V); end for;
end for;
end Structure_CON;
-- synopsys translate_on
|
-- megafunction wizard: %ROM: 1-PORT%
-- GENERATION: STANDARD
-- VERSION: WM1.0
-- MODULE: altsyncram
-- ============================================================
-- File Name: KeyToFreqROM.vhd
-- Megafunction Name(s):
-- altsyncram
--
-- Simulation Library Files(s):
-- altera_mf
-- ============================================================
-- ************************************************************
-- THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE!
--
-- 16.0.0 Build 211 04/27/2016 SJ Lite Edition
-- ************************************************************
--Copyright (C) 1991-2016 Altera Corporation. All rights reserved.
--Your use of Altera Corporation's design tools, logic functions
--and other software and tools, and its AMPP partner logic
--functions, and any output files from any of the foregoing
--(including device programming or simulation files), and any
--associated documentation or information are expressly subject
--to the terms and conditions of the Altera Program License
--Subscription Agreement, the Altera Quartus Prime License Agreement,
--the Altera MegaCore Function License Agreement, or other
--applicable license agreement, including, without limitation,
--that your use is for the sole purpose of programming logic
--devices manufactured by Altera and sold by Altera or its
--authorized distributors. Please refer to the applicable
--agreement for further details.
LIBRARY ieee;
USE ieee.std_logic_1164.all;
LIBRARY altera_mf;
USE altera_mf.altera_mf_components.all;
ENTITY KeyToFreqROM IS
PORT
(
address : IN STD_LOGIC_VECTOR (6 DOWNTO 0);
clock : IN STD_LOGIC := '1';
q : OUT STD_LOGIC_VECTOR (28 DOWNTO 0)
);
END KeyToFreqROM;
ARCHITECTURE SYN OF keytofreqrom IS
SIGNAL sub_wire0 : STD_LOGIC_VECTOR (28 DOWNTO 0);
BEGIN
q <= sub_wire0(28 DOWNTO 0);
altsyncram_component : altsyncram
GENERIC MAP (
address_aclr_a => "NONE",
clock_enable_input_a => "BYPASS",
clock_enable_output_a => "BYPASS",
init_file => "../../GITROOT/MusicBoxNano/matlab/KeyToFreqMidi.mif",
intended_device_family => "Cyclone IV E",
lpm_hint => "ENABLE_RUNTIME_MOD=NO",
lpm_type => "altsyncram",
numwords_a => 128,
operation_mode => "ROM",
outdata_aclr_a => "NONE",
outdata_reg_a => "CLOCK0",
widthad_a => 7,
width_a => 29,
width_byteena_a => 1
)
PORT MAP (
address_a => address,
clock0 => clock,
q_a => sub_wire0
);
END SYN;
-- ============================================================
-- CNX file retrieval info
-- ============================================================
-- Retrieval info: PRIVATE: ADDRESSSTALL_A NUMERIC "0"
-- Retrieval info: PRIVATE: AclrAddr NUMERIC "0"
-- Retrieval info: PRIVATE: AclrByte NUMERIC "0"
-- Retrieval info: PRIVATE: AclrOutput NUMERIC "0"
-- Retrieval info: PRIVATE: BYTE_ENABLE NUMERIC "0"
-- Retrieval info: PRIVATE: BYTE_SIZE NUMERIC "8"
-- Retrieval info: PRIVATE: BlankMemory NUMERIC "0"
-- Retrieval info: PRIVATE: CLOCK_ENABLE_INPUT_A NUMERIC "0"
-- Retrieval info: PRIVATE: CLOCK_ENABLE_OUTPUT_A NUMERIC "0"
-- Retrieval info: PRIVATE: Clken NUMERIC "0"
-- Retrieval info: PRIVATE: IMPLEMENT_IN_LES NUMERIC "0"
-- Retrieval info: PRIVATE: INIT_FILE_LAYOUT STRING "PORT_A"
-- Retrieval info: PRIVATE: INIT_TO_SIM_X NUMERIC "0"
-- Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "Cyclone IV E"
-- Retrieval info: PRIVATE: JTAG_ENABLED NUMERIC "0"
-- Retrieval info: PRIVATE: JTAG_ID STRING "NONE"
-- Retrieval info: PRIVATE: MAXIMUM_DEPTH NUMERIC "0"
-- Retrieval info: PRIVATE: MIFfilename STRING "../../GITROOT/MusicBoxNano/matlab/KeyToFreqMidi.mif"
-- Retrieval info: PRIVATE: NUMWORDS_A NUMERIC "128"
-- Retrieval info: PRIVATE: RAM_BLOCK_TYPE NUMERIC "0"
-- Retrieval info: PRIVATE: RegAddr NUMERIC "1"
-- Retrieval info: PRIVATE: RegOutput NUMERIC "1"
-- Retrieval info: PRIVATE: SYNTH_WRAPPER_GEN_POSTFIX STRING "0"
-- Retrieval info: PRIVATE: SingleClock NUMERIC "1"
-- Retrieval info: PRIVATE: UseDQRAM NUMERIC "0"
-- Retrieval info: PRIVATE: WidthAddr NUMERIC "7"
-- Retrieval info: PRIVATE: WidthData NUMERIC "29"
-- Retrieval info: PRIVATE: rden NUMERIC "0"
-- Retrieval info: LIBRARY: altera_mf altera_mf.altera_mf_components.all
-- Retrieval info: CONSTANT: ADDRESS_ACLR_A STRING "NONE"
-- Retrieval info: CONSTANT: CLOCK_ENABLE_INPUT_A STRING "BYPASS"
-- Retrieval info: CONSTANT: CLOCK_ENABLE_OUTPUT_A STRING "BYPASS"
-- Retrieval info: CONSTANT: INIT_FILE STRING "../../GITROOT/MusicBoxNano/matlab/KeyToFreqMidi.mif"
-- Retrieval info: CONSTANT: INTENDED_DEVICE_FAMILY STRING "Cyclone IV E"
-- Retrieval info: CONSTANT: LPM_HINT STRING "ENABLE_RUNTIME_MOD=NO"
-- Retrieval info: CONSTANT: LPM_TYPE STRING "altsyncram"
-- Retrieval info: CONSTANT: NUMWORDS_A NUMERIC "128"
-- Retrieval info: CONSTANT: OPERATION_MODE STRING "ROM"
-- Retrieval info: CONSTANT: OUTDATA_ACLR_A STRING "NONE"
-- Retrieval info: CONSTANT: OUTDATA_REG_A STRING "CLOCK0"
-- Retrieval info: CONSTANT: WIDTHAD_A NUMERIC "7"
-- Retrieval info: CONSTANT: WIDTH_A NUMERIC "29"
-- Retrieval info: CONSTANT: WIDTH_BYTEENA_A NUMERIC "1"
-- Retrieval info: USED_PORT: address 0 0 7 0 INPUT NODEFVAL "address[6..0]"
-- Retrieval info: USED_PORT: clock 0 0 0 0 INPUT VCC "clock"
-- Retrieval info: USED_PORT: q 0 0 29 0 OUTPUT NODEFVAL "q[28..0]"
-- Retrieval info: CONNECT: @address_a 0 0 7 0 address 0 0 7 0
-- Retrieval info: CONNECT: @clock0 0 0 0 0 clock 0 0 0 0
-- Retrieval info: CONNECT: q 0 0 29 0 @q_a 0 0 29 0
-- Retrieval info: GEN_FILE: TYPE_NORMAL KeyToFreqROM.vhd TRUE
-- Retrieval info: GEN_FILE: TYPE_NORMAL KeyToFreqROM.inc FALSE
-- Retrieval info: GEN_FILE: TYPE_NORMAL KeyToFreqROM.cmp FALSE
-- Retrieval info: GEN_FILE: TYPE_NORMAL KeyToFreqROM.bsf FALSE
-- Retrieval info: GEN_FILE: TYPE_NORMAL KeyToFreqROM_inst.vhd FALSE
-- Retrieval info: LIB_FILE: altera_mf
|
----------------------------------------------------------------------------------
--
-- Generate a single SID voice
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.ALL;
use IEEE.NUMERIC_STD.ALL;
entity sid_voice is port (
clk1M : in std_logic;
freq : in unsigned (15 downto 0);
pw : in unsigned (11 downto 0);
wvfm : in std_logic_vector (3 downto 0);
test : in std_logic;
rmod : in std_logic;
sync : in std_logic;
gate : in std_logic;
enva : in unsigned (3 downto 0);
envd : in unsigned (3 downto 0);
envs : in unsigned (3 downto 0);
envr : in unsigned (3 downto 0);
envo : out unsigned (7 downto 0);
osco : out unsigned (7 downto 0);
uout : out unsigned (7 downto 0)
);
end sid_voice;
architecture sid_voice_impl of sid_voice is
subtype u8 is unsigned (7 downto 0);
subtype byte is std_logic_vector (7 downto 0);
subtype u9 is unsigned (8 downto 0);
subtype u12 is unsigned (11 downto 0);
subtype word is std_logic_vector (15 downto 0);
subtype u17 is unsigned (16 downto 0);
subtype u23 is unsigned (22 downto 0);
subtype u24 is unsigned (23 downto 0);
subtype word24 is std_logic_vector (23 downto 0);
subtype dword is std_logic_vector (31 downto 0);
signal count24 : u24 := x"000000";
signal lfsr : word := x"1337";
signal chg_ph : std_logic;
signal taps : std_logic;
signal wv_tri : u8;
signal wv_saw : u8;
signal wv_pul : u8;
signal wv_wht : u8;
signal wv_raw : u8;
signal env : u9;
signal wv_mul : u17;
-- pipelining
signal wv_raw_reg : u8;
signal env_reg : u9;
begin
NCO: process(clk1M, freq, test, count24) is
variable cur : u24;
begin
if (test='1') then
count24 <= x"000000";
--count24 <= "000" & x"00000";
chg_ph <= '0';
else
if (rising_edge(clk1M)) then
cur := count24;
cur := cur + freq;
chg_ph <= cur(23) xor count24(23);
--chg_ph <= cur(22) xor count24(22);
count24 <= cur;
end if;
end if;
end process NCO;
taps <= ((lfsr(0) xor lfsr(2)) xor lfsr(3)) xor lfsr(5);
noise: process(clk1M,test,chg_ph,taps,lfsr(15 downto 0)) is
begin
if (test='1') then
lfsr <= x"1337";
else
if (rising_edge(clk1M) and chg_ph='1') then
lfsr <= taps & lfsr(15 downto 1);
end if;
end if;
end process noise;
gen_tri: process(count24) is
variable calc : byte;
begin
for i in 0 to 6 loop
calc(i+1) := count24(16+i) xor count24(23);
--calc(i+1) := count24(15+i) xor count24(22);
end loop;
calc(0) := count24(23);
--calc(0) := count24(22);
wv_tri <= u8(calc);
end process gen_tri;
gen_saw: process(count24(22 downto 15)) is
begin
wv_saw <= count24(23 downto 16);
--wv_saw <= count24(22 downto 15);
end process gen_saw;
gen_pul: process(count24(22 downto 11),pw) is
variable sample : u12;
begin
sample := count24(23 downto 12);
--sample := count24(22 downto 11);
if ((pw = x"fff") or (sample < pw)) then
wv_pul <= x"ff";
else
wv_pul <= x"00";
end if;
end process gen_pul;
gen_wht: process(lfsr) is
begin
wv_wht <= lfsr(15) & lfsr(14) & lfsr(10) & lfsr( 9) &
lfsr( 5) & lfsr( 4) & lfsr( 3) & lfsr( 0);
end process gen_wht;
-- Handling of waveform selection signals
--
-- The ANDing of multiple waveforms when
-- multiple signals are on is supported
-- (excluding noise).
--
-- We are not replicating the noise-lock bug
-- when noise is combined with other waveforms
-- and c64 programs don't combine noise due to
-- this bug so it's not worthwhile to do so.
with wvfm select wv_raw <=
x"80" when "0000",
wv_tri when "0001",
wv_saw when "0010",
wv_tri and wv_saw when "0011",
wv_pul when "0100",
wv_pul and wv_tri when "0101",
wv_pul and wv_saw when "0110",
wv_pul and wv_tri and wv_saw when "0111",
wv_wht when others;
hold_wvraw: process(clk1M,wv_raw) is
begin
if (rising_edge(clk1M)) then
wv_raw_reg <= wv_raw;
end if;
end process hold_wvraw;
-- TODO: envelope generation
env <= '0' & x"ff";
hold_env: process(clk1M,env) is
begin
if (rising_edge(clk1M)) then
env_reg <= env+1;
end if;
end process hold_env;
hold_vmult: process(clk1M, env_reg, wv_raw_reg) is
begin
if (rising_edge(clk1M)) then
wv_mul <= env_reg * wv_raw_reg;
end if;
end process hold_vmult;
uout <= wv_mul(15 downto 8);
envo <= env_reg(7 downto 0);
osco <= wv_raw_reg;
end sid_voice_impl;
|
----------------------------------------------------------------------------------
-- Company: CPE 233
-- Engineer:
-- -------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
use IEEE.NUMERIC_STD.ALL;
-- Uncomment the following library declaration if instantiating
-- any Xilinx primitives in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
entity ControlUnit is
Port (
CLK : in STD_LOGIC;
C : in STD_LOGIC;
Z : in STD_LOGIC;
INT : in STD_LOGIC;
RST : in STD_LOGIC;
OPCODE_HI_5 : in STD_LOGIC_VECTOR (4 downto 0);
OPCODE_LO_2 : in STD_LOGIC_VECTOR (1 downto 0);
PC_LD : out STD_LOGIC;
PC_INC : out STD_LOGIC;
PC_RESET : out STD_LOGIC;
PC_OE : out STD_LOGIC;
PC_MUX_SEL : out STD_LOGIC_VECTOR (1 downto 0);
SP_LD : out STD_LOGIC;
SP_MUX_SEL : out STD_LOGIC_VECTOR (1 downto 0);
SP_RESET : out STD_LOGIC;
RF_WR : out STD_LOGIC;
RF_WR_SEL : out STD_LOGIC_VECTOR (1 downto 0);
RF_OE : out STD_LOGIC;
REG_IMMED_SEL : out STD_LOGIC;
ALU_SEL : out STD_LOGIC_VECTOR (3 downto 0);
ALU_OPY_SEL : out STD_LOGIC; -- DOESN'T DO ANYTHING RIGHT NOW!! CHECK ME!!
SCR_WR : out STD_LOGIC;
SCR_OE : out STD_LOGIC;
SCR_ADDR_SEL : out STD_LOGIC_VECTOR (1 downto 0);
C_FLAG_SEL : out STD_LOGIC;
C_FLAG_LD : out STD_LOGIC;
C_FLAG_SET : out STD_LOGIC;
C_FLAG_CLR : out STD_LOGIC;
SHAD_C_LD : out STD_LOGIC;
Z_FLAG_SEL : out STD_LOGIC;
Z_FLAG_LD : out STD_LOGIC;
Z_FLAG_SET : out STD_LOGIC;
Z_FLAG_CLR : out STD_LOGIC;
SHAD_Z_LD : out STD_LOGIC;
I_FLAG_SET : out STD_LOGIC;
I_FLAG_CLR : out STD_LOGIC;
IO_OE : out STD_LOGIC);
end ControlUnit;
architecture Behavioral of ControlUnit is
-- State machine signals
type state_type is (ST_init, ST_fet, ST_exec, ST_int);
signal PS,NS : state_type;
-- Opcode
signal sig_OPCODE_7: std_logic_vector (6 downto 0);
begin
-- Assign next state
sync_p: process (CLK, NS, RST)
begin
if (RST = '1') then
PS <= ST_init;
elsif (rising_edge(CLK)) then
PS <= NS;
end if;
end process sync_p;
-- Translate instruction to signals
comb_p: process (OPCODE_HI_5, OPCODE_LO_2, sig_OPCODE_7, C, Z, PS, NS, INT) begin
sig_OPCODE_7 <= OPCODE_HI_5 & OPCODE_LO_2;
case PS is
-- STATE: the init cycle ------------------------------------
when ST_init =>
NS <= ST_fet;
-- Initialize all control outputs to non-active states and reset the PC and SP to all zeros.
PC_LD <= '0'; PC_MUX_SEL <= "00"; PC_RESET <= '1'; PC_OE <= '0'; PC_INC <= '0';
SP_LD <= '0'; SP_MUX_SEL <= "00"; SP_RESET <= '1';
RF_WR <= '0'; RF_WR_SEL <= "00"; RF_OE <= '0';
REG_IMMED_SEL <= '0'; ALU_SEL <= "0000";
SCR_WR <= '0'; SCR_OE <= '0'; SCR_ADDR_SEL <= "00";
C_FLAG_SEL <= '0'; C_FLAG_LD <= '0'; C_FLAG_SET <= '0'; C_FLAG_CLR <= '0'; SHAD_C_LD <= '0';
Z_FLAG_SEL <= '0'; Z_FLAG_LD <= '0'; Z_FLAG_SET <= '0'; Z_FLAG_CLR <= '0'; SHAD_Z_LD <= '0';
I_FLAG_SET <= '0'; I_FLAG_CLR <= '0'; IO_OE <= '0';
--WRITE_STROBE <= '0'; READ_STROBE <= '0';
-- STATE: the fetch cycle -----------------------------------
when ST_fet =>
NS <= ST_exec;
PC_LD <= '0'; PC_MUX_SEL <= "00"; PC_RESET <= '0'; PC_OE <= '0'; PC_INC <= '1';
SP_LD <= '0'; SP_MUX_SEL <= "00"; SP_RESET <= '0';
RF_WR <= '0'; RF_WR_SEL <= "00"; RF_OE <= '0';
REG_IMMED_SEL <= '0'; ALU_SEL <= "0000";
SCR_WR <= '0'; SCR_OE <= '0'; SCR_ADDR_SEL <= "00";
C_FLAG_SEL <= '0'; C_FLAG_LD <= '0'; C_FLAG_SET <= '0'; C_FLAG_CLR <= '0'; SHAD_C_LD <= '0';
Z_FLAG_SEL <= '0'; Z_FLAG_LD <= '0'; Z_FLAG_SET <= '0'; Z_FLAG_CLR <= '0'; SHAD_Z_LD <= '0';
I_FLAG_SET <= '0'; I_FLAG_CLR <= '0'; IO_OE <= '0';
--WRITE_STROBE <= '0'; READ_STROBE <= '0';
-- STATE: the execute cycle ---------------------------------
when ST_exec =>
if (INT = '1') then
NS <= ST_int;
else
NS <= ST_fet;
end if;
-- Repeat the default block for all variables here, noting that any output values desired to be different
-- from init values shown below will be assigned in the following case statements for each opcode.
PC_LD <= '0'; PC_MUX_SEL <= "00"; PC_RESET <= '0'; PC_OE <= '0'; PC_INC <= '0';
SP_LD <= '0'; SP_MUX_SEL <= "00"; SP_RESET <= '0';
RF_WR <= '0'; RF_WR_SEL <= "00"; RF_OE <= '0';
REG_IMMED_SEL <= '0'; ALU_SEL <= "0000";
SCR_WR <= '0'; SCR_OE <= '0'; SCR_ADDR_SEL <= "00";
C_FLAG_SEL <= '0'; C_FLAG_LD <= '0'; C_FLAG_SET <= '0'; C_FLAG_CLR <= '0'; SHAD_C_LD <= '0';
Z_FLAG_SEL <= '0'; Z_FLAG_LD <= '0'; Z_FLAG_SET <= '0'; Z_FLAG_CLR <= '0'; SHAD_Z_LD <= '0';
I_FLAG_SET <= '0'; I_FLAG_CLR <= '0'; IO_OE <= '0';
--WRITE_STROBE <= '0'; READ_STROBE <= '0';
if (sig_OPCODE_7 = "0000100") then -- ADD reg-reg
RF_WR <= '1';
RF_OE <= '1';
ALU_SEL <= "0000";
C_FLAG_LD <= '1';
Z_FLAG_LD <= '1';
elsif (OPCODE_HI_5 = "10100" ) then -- ADD reg-immed
REG_IMMED_SEL <= '1';
RF_WR <= '1';
RF_OE <= '1';
ALU_SEL <= "0000";
C_FLAG_LD <= '1';
Z_FLAG_LD <= '1';
elsif (sig_OPCODE_7 = "0000101") then -- ADDC reg-reg
RF_WR <= '1';
RF_OE <= '1';
ALU_SEL <= "0001";
C_FLAG_LD <= '1';
Z_FLAG_LD <= '1';
elsif (OPCODE_HI_5 = "10101" ) then -- ADDC reg-immed
REG_IMMED_SEL <= '1';
RF_WR <= '1';
RF_OE <= '1';
ALU_SEL <= "0001";
C_FLAG_LD <= '1';
Z_FLAG_LD <= '1';
elsif (sig_OPCODE_7 = "0000000") then -- AND reg-reg
RF_WR <= '1';
RF_OE <= '1';
ALU_SEL <= "0101";
Z_FLAG_LD <= '1';
elsif (OPCODE_HI_5 = "10000" ) then -- AND reg-immed
REG_IMMED_SEL <= '1';
RF_WR <= '1';
RF_OE <= '1';
ALU_SEL <= "0101";
Z_FLAG_LD <= '1';
elsif (sig_OPCODE_7 = "0100100") then -- ASR reg-reg
RF_WR <= '1';
RF_OE <= '1';
ALU_SEL <= "1101";
Z_FLAG_LD <= '1';
C_FLAG_LD <= '1';
elsif (sig_OPCODE_7 = "0010101") then -- BRCC
if (c = '0') then
PC_LD <= '1';
end if;
elsif (sig_OPCODE_7 = "0010100") then -- BRCS
if (c = '1') then
PC_LD <= '1';
end if;
elsif (sig_OPCODE_7 = "0010010") then -- BREQ
if (Z = '1') then
PC_LD <= '1';
end if;
elsif (sig_OPCODE_7 = "0010000") then -- BRN
PC_LD <= '1';
elsif (sig_OPCODE_7 = "0010011") then -- BRNE
if (Z = '0') then
PC_LD <= '1';
end if;
elsif (sig_OPCODE_7 = "0010001") then -- CALL
PC_LD <= '1';
PC_OE <= '1';
SP_LD <= '1';
SP_MUX_SEL <= "10";
SCR_ADDR_SEL <= "11";
SCR_WR <= '1';
elsif (sig_OPCODE_7 = "0110000") then -- CLC
C_FLAG_CLR <= '1';
elsif (sig_OPCODE_7 = "0110101") then -- CLI (INT)
I_FLAG_CLR <= '1';
elsif (sig_OPCODE_7 = "0001000") then -- CMP reg-reg
RF_OE <= '1';
ALU_SEL <= "0100";
C_FLAG_LD <= '1';
Z_FLAG_LD <= '1';
elsif (OPCODE_HI_5 = "11000" ) then -- CMP reg-immed
RF_OE <= '1';
ALU_SEL <= "0100";
REG_IMMED_SEL <= '1';
C_FLAG_LD <= '1';
Z_FLAG_LD <= '1';
elsif (sig_OPCODE_7 = "0000010") then -- EXOR reg-reg
RF_WR <= '1';
RF_OE <= '1';
ALU_SEL <= "0111";
C_FLAG_LD <= '1';
Z_FLAG_LD <= '1';
elsif (OPCODE_HI_5 = "10010" ) then -- EXOR reg-immed
RF_WR <= '1';
RF_OE <= '1';
ALU_SEL <= "0111";
REG_IMMED_SEL <= '1';
C_FLAG_LD <= '1';
Z_FLAG_LD <= '1';
elsif (OPCODE_HI_5 = "11001" ) then -- IN
RF_WR <= '1';
RF_WR_SEL <= "11";
elsif (sig_OPCODE_7 = "0001010") then -- LD reg-reg
SCR_OE <= '1';
SCR_ADDR_SEL <= "00";
RF_WR <= '1';
RF_WR_SEL <= "01";
elsif (OPCODE_HI_5 = "11100" ) then -- LD reg-immed
SCR_OE <= '1';
SCR_ADDR_SEL <= "01";
RF_WR <= '1';
RF_WR_SEL <= "01";
elsif (sig_OPCODE_7 = "0100000") then -- LSL
RF_WR <= '1';
RF_OE <= '1';
ALU_SEL <= "1001";
C_FLAG_LD <= '1';
Z_FLAG_LD <= '1';
elsif (sig_OPCODE_7 = "0100001") then -- LSR
RF_WR <= '1';
RF_OE <= '1';
ALU_SEL <= "1010";
C_FLAG_LD <= '1';
Z_FLAG_LD <= '1';
elsif (sig_OPCODE_7 = "0001001") then -- MOV reg-reg
RF_WR <= '1';
RF_OE <= '0';
ALU_SEL <= "1110";
elsif (OPCODE_HI_5 = "11011" ) then -- MOV reg-immed
RF_WR <= '1';
ALU_SEL <= "1110";
REG_IMMED_SEL <= '1';
elsif (sig_OPCODE_7 = "0000001") then -- OR reg-reg
RF_WR <= '1';
RF_OE <= '1';
ALU_SEL <= "0110";
Z_FLAG_LD <= '1';
elsif (OPCODE_HI_5 = "10001" ) then -- OR reg-immed
RF_WR <= '1';
RF_OE <= '1';
ALU_SEL <= "0110";
REG_IMMED_SEL <= '1';
Z_FLAG_LD <= '1';
elsif (OPCODE_HI_5 = "11010" ) then -- OUT
RF_OE <= '1';
IO_OE <= '1';
elsif (sig_OPCODE_7 = "0100110") then -- POP
SCR_ADDR_SEL <= "10";
SCR_OE <= '1';
RF_WR <= '1';
RF_WR_SEL <= "01";
SP_MUX_SEL <= "11";
SP_LD <= '1';
elsif (sig_OPCODE_7 = "0100101") then -- PUSH
RF_OE <= '1';
SCR_WR <= '1';
SCR_ADDR_SEL <= "11";
SP_MUX_SEL <= "10";
SP_LD <= '1';
elsif (sig_OPCODE_7 = "0110010") then -- RET
SCR_ADDR_SEL <= "10";
SCR_OE <= '1';
PC_MUX_SEL <= "01";
PC_LD <= '1';
SP_MUX_SEL <= "11";
SP_LD <= '1';
elsif (sig_OPCODE_7 = "0110110") then -- RETID (INT)
SCR_ADDR_SEL <= "10";
SCR_OE <= '1';
PC_MUX_SEL <= "01";
PC_LD <= '1';
SP_MUX_SEL <= "11";
SP_LD <= '1';
I_FLAG_CLR <= '1';
elsif (sig_OPCODE_7 = "0110111") then -- RETIE (INT)
SCR_ADDR_SEL <= "10";
SCR_OE <= '1';
PC_MUX_SEL <= "01";
PC_LD <= '1';
SP_MUX_SEL <= "11";
SP_LD <= '1';
I_FLAG_SET <= '1';
elsif (sig_OPCODE_7 = "0100010") then -- ROL
RF_WR <= '1';
RF_OE <= '1';
ALU_SEL <= "1011";
Z_FLAG_LD <= '1';
C_FLAG_LD <= '1';
elsif (sig_OPCODE_7 = "0100011") then -- ROR
RF_WR <= '1';
RF_OE <= '1';
ALU_SEL <= "1100";
Z_FLAG_LD <= '1';
C_FLAG_LD <= '1';
elsif (sig_OPCODE_7 = "0110001") then -- SEC
C_FLAG_SET <= '1';
elsif (sig_OPCODE_7 = "0110100") then -- SEI (INT)
I_FLAG_SET <= '1';
elsif (sig_OPCODE_7 = "0001011") then -- ST reg-reg
RF_OE <= '1';
SCR_WR <= '1';
elsif (OPCODE_HI_5 = "11101" ) then -- ST reg-immed
RF_OE <= '1';
SCR_WR <= '1';
SCR_ADDR_SEL <= "01";
elsif (sig_OPCODE_7 = "0000110") then -- SUB reg-reg
RF_WR <= '1';
RF_OE <= '1';
ALU_SEL <= "0010";
C_FLAG_LD <= '1';
Z_FLAG_LD <= '1';
elsif (OPCODE_HI_5 = "10110" ) then -- SUB reg-immed
RF_WR <= '1';
RF_OE <= '1';
ALU_SEL <= "0010";
REG_IMMED_SEL <= '1';
C_FLAG_LD <= '1';
Z_FLAG_LD <= '1';
elsif (sig_OPCODE_7 = "0000111") then -- SUBC reg-reg
RF_WR <= '1';
RF_OE <= '1';
ALU_SEL <= "0011";
C_FLAG_LD <= '1';
Z_FLAG_LD <= '1';
elsif (OPCODE_HI_5 = "10111" ) then -- SUBC reg-immed
REG_IMMED_SEL <= '1';
RF_WR <= '1';
RF_OE <= '1';
ALU_SEL <= "0011";
C_FLAG_LD <= '1';
Z_FLAG_LD <= '1';
elsif (sig_OPCODE_7 = "0000011") then -- TEST reg-reg
RF_OE <= '1';
ALU_SEL <= "1000";
Z_FLAG_LD <= '1';
elsif (OPCODE_HI_5 = "10011" ) then -- TEST reg-immed
REG_IMMED_SEL <= '1';
RF_OE <= '1';
ALU_SEL <= "1000";
Z_FLAG_LD <= '1';
elsif (sig_OPCODE_7 = "0101000") then -- WSP
RF_OE <= '1';
SP_MUX_SEL <= "00";
SP_LD <= '1';
else
-- repeat the default block here to avoid incompletely specified outputs and hence avoid
-- the problem of inadvertently created latches within the synthesized system.
PC_LD <= '0'; PC_MUX_SEL <= "00"; PC_RESET <= '0'; PC_OE <= '0'; PC_INC <= '0';
SP_LD <= '0'; SP_MUX_SEL <= "00"; SP_RESET <= '0';
RF_WR <= '0'; RF_WR_SEL <= "00"; RF_OE <= '0';
REG_IMMED_SEL <= '0'; ALU_SEL <= "0000";
SCR_WR <= '0'; SCR_OE <= '0'; SCR_ADDR_SEL <= "00";
C_FLAG_SEL <= '0'; C_FLAG_LD <= '0'; C_FLAG_SET <= '0'; C_FLAG_CLR <= '0'; SHAD_C_LD <= '0';
Z_FLAG_SEL <= '0'; Z_FLAG_LD <= '0'; Z_FLAG_SET <= '0'; Z_FLAG_CLR <= '0'; SHAD_Z_LD <= '0';
I_FLAG_SET <= '0'; I_FLAG_CLR <= '0'; IO_OE <= '0';
--WRITE_STROBE <= '0'; READ_STROBE <= '0';
end if;
when ST_int =>
NS <= ST_fet;
-- Repeat the default block for all variables here, noting that any output values desired to be different
-- from init values shown below will be assigned in the following case statements for each opcode.
PC_LD <= '1'; PC_MUX_SEL <= "10"; PC_RESET <= '0'; PC_OE <= '1'; PC_INC <= '0';
SP_LD <= '1'; SP_MUX_SEL <= "10"; SP_RESET <= '0';
RF_WR <= '0'; RF_WR_SEL <= "00"; RF_OE <= '0';
REG_IMMED_SEL <= '0'; ALU_SEL <= "0000";
SCR_WR <= '1'; SCR_OE <= '0'; SCR_ADDR_SEL <= "11";
C_FLAG_SEL <= '0'; C_FLAG_LD <= '0'; C_FLAG_SET <= '0'; C_FLAG_CLR <= '0'; SHAD_C_LD <= '0';
Z_FLAG_SEL <= '0'; Z_FLAG_LD <= '0'; Z_FLAG_SET <= '0'; Z_FLAG_CLR <= '0'; SHAD_Z_LD <= '0';
I_FLAG_SET <= '0'; I_FLAG_CLR <= '1'; IO_OE <= '0';
when others =>
NS <= ST_fet;
-- repeat the default block here to avoid incompletely specified outputs and hence avoid
-- the problem of inadvertently created latches within the synthesized system.
PC_LD <= '0'; PC_MUX_SEL <= "00"; PC_RESET <= '0'; PC_OE <= '0'; PC_INC <= '0';
SP_LD <= '0'; SP_MUX_SEL <= "00"; SP_RESET <= '0';
RF_WR <= '0'; RF_WR_SEL <= "00"; RF_OE <= '0';
REG_IMMED_SEL <= '0'; ALU_SEL <= "0000";
SCR_WR <= '0'; SCR_OE <= '0'; SCR_ADDR_SEL <= "00";
C_FLAG_SEL <= '0'; C_FLAG_LD <= '0'; C_FLAG_SET <= '0'; C_FLAG_CLR <= '0'; SHAD_C_LD <= '0';
Z_FLAG_SEL <= '0'; Z_FLAG_LD <= '0'; Z_FLAG_SET <= '0'; Z_FLAG_CLR <= '0'; SHAD_Z_LD <= '0';
I_FLAG_SET <= '0'; I_FLAG_CLR <= '0'; IO_OE <= '0';
--WRITE_STROBE <= '0'; READ_STROBE <= '0';
end case;
end process comb_p;
end Behavioral; |
-- Copyright (C) 2002 Morgan Kaufmann Publishers, Inc
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
library ieee; use ieee.math_real.all;
library ieee_proposed; use ieee_proposed.electrical_systems.all;
entity opamp_2pole is
port ( terminal in_pos, in_neg, output : electrical );
end entity opamp_2pole;
----------------------------------------------------------------
architecture dot of opamp_2pole is
constant A : real := 1.0e6; -- open loop gain
constant fp1 : real := 5.0; -- first pole
constant fp2 : real := 9.0e5; -- second pole
constant tp1 : real := 1.0 / (fp1 * math_2_pi); -- first time constant
constant tp2 : real := 1.0 / (fp2 * math_2_pi); -- second time constant
quantity v_in across in_pos to in_neg;
quantity v_out across i_out through output;
begin
v_in == (tp1 * tp2) * v_out'dot'dot / A
+ (tp1 + tp2) * v_out'dot / A + v_out / A;
end architecture dot;
----------------------------------------------------------------
architecture ltf of opamp_2pole is
constant A : real := 1.0e6; -- open loop gain
constant fp1 : real := 5.0; -- first pole (Hz)
constant fp2 : real := 9.0e5; -- second pole (Hz)
constant wp1 : real := fp1 * math_2_pi; -- first pole (rad/s)
constant wp2 : real := fp2 * math_2_pi; -- second pole (rad/s)
constant num : real_vector := (0 => wp1 * wp2 * A);
constant den : real_vector := (wp1 * wp2, wp1 + wp2, 1.0);
quantity v_in across in_pos to in_neg;
quantity v_out across i_out through output;
begin
v_out == v_in'ltf(num, den);
end architecture ltf;
|
-- Copyright (C) 2002 Morgan Kaufmann Publishers, Inc
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
library ieee; use ieee.math_real.all;
library ieee_proposed; use ieee_proposed.electrical_systems.all;
entity opamp_2pole is
port ( terminal in_pos, in_neg, output : electrical );
end entity opamp_2pole;
----------------------------------------------------------------
architecture dot of opamp_2pole is
constant A : real := 1.0e6; -- open loop gain
constant fp1 : real := 5.0; -- first pole
constant fp2 : real := 9.0e5; -- second pole
constant tp1 : real := 1.0 / (fp1 * math_2_pi); -- first time constant
constant tp2 : real := 1.0 / (fp2 * math_2_pi); -- second time constant
quantity v_in across in_pos to in_neg;
quantity v_out across i_out through output;
begin
v_in == (tp1 * tp2) * v_out'dot'dot / A
+ (tp1 + tp2) * v_out'dot / A + v_out / A;
end architecture dot;
----------------------------------------------------------------
architecture ltf of opamp_2pole is
constant A : real := 1.0e6; -- open loop gain
constant fp1 : real := 5.0; -- first pole (Hz)
constant fp2 : real := 9.0e5; -- second pole (Hz)
constant wp1 : real := fp1 * math_2_pi; -- first pole (rad/s)
constant wp2 : real := fp2 * math_2_pi; -- second pole (rad/s)
constant num : real_vector := (0 => wp1 * wp2 * A);
constant den : real_vector := (wp1 * wp2, wp1 + wp2, 1.0);
quantity v_in across in_pos to in_neg;
quantity v_out across i_out through output;
begin
v_out == v_in'ltf(num, den);
end architecture ltf;
|
-- Copyright (C) 2002 Morgan Kaufmann Publishers, Inc
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
library ieee; use ieee.math_real.all;
library ieee_proposed; use ieee_proposed.electrical_systems.all;
entity opamp_2pole is
port ( terminal in_pos, in_neg, output : electrical );
end entity opamp_2pole;
----------------------------------------------------------------
architecture dot of opamp_2pole is
constant A : real := 1.0e6; -- open loop gain
constant fp1 : real := 5.0; -- first pole
constant fp2 : real := 9.0e5; -- second pole
constant tp1 : real := 1.0 / (fp1 * math_2_pi); -- first time constant
constant tp2 : real := 1.0 / (fp2 * math_2_pi); -- second time constant
quantity v_in across in_pos to in_neg;
quantity v_out across i_out through output;
begin
v_in == (tp1 * tp2) * v_out'dot'dot / A
+ (tp1 + tp2) * v_out'dot / A + v_out / A;
end architecture dot;
----------------------------------------------------------------
architecture ltf of opamp_2pole is
constant A : real := 1.0e6; -- open loop gain
constant fp1 : real := 5.0; -- first pole (Hz)
constant fp2 : real := 9.0e5; -- second pole (Hz)
constant wp1 : real := fp1 * math_2_pi; -- first pole (rad/s)
constant wp2 : real := fp2 * math_2_pi; -- second pole (rad/s)
constant num : real_vector := (0 => wp1 * wp2 * A);
constant den : real_vector := (wp1 * wp2, wp1 + wp2, 1.0);
quantity v_in across in_pos to in_neg;
quantity v_out across i_out through output;
begin
v_out == v_in'ltf(num, den);
end architecture ltf;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc3024.vhd,v 1.2 2001-10-26 16:29:50 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
package c11s03b00x00p02n01i03024pkg is
type T is (one,two);
end c11s03b00x00p02n01i03024pkg;
ENTITY c11s03b00x00p02n01i03024ent IS
END c11s03b00x00p02n01i03024ent;
ARCHITECTURE c11s03b00x00p02n01i03024arch OF c11s03b00x00p02n01i03024ent IS
signal S : boolean;
BEGIN
TESTING: PROCESS
BEGIN
S <= TRUE;
wait for 3 ns;
assert NOT( S = TRUE )
report "***PASSED TEST: c11s03b00x00p02n01i03024"
severity NOTE;
assert ( S = TRUE )
report "***FAILED TEST: c11s03b00x00p02n01i03024 - A context clause can contain zero context item."
severity ERROR;
wait;
END PROCESS TESTING;
END c11s03b00x00p02n01i03024arch;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc3024.vhd,v 1.2 2001-10-26 16:29:50 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
package c11s03b00x00p02n01i03024pkg is
type T is (one,two);
end c11s03b00x00p02n01i03024pkg;
ENTITY c11s03b00x00p02n01i03024ent IS
END c11s03b00x00p02n01i03024ent;
ARCHITECTURE c11s03b00x00p02n01i03024arch OF c11s03b00x00p02n01i03024ent IS
signal S : boolean;
BEGIN
TESTING: PROCESS
BEGIN
S <= TRUE;
wait for 3 ns;
assert NOT( S = TRUE )
report "***PASSED TEST: c11s03b00x00p02n01i03024"
severity NOTE;
assert ( S = TRUE )
report "***FAILED TEST: c11s03b00x00p02n01i03024 - A context clause can contain zero context item."
severity ERROR;
wait;
END PROCESS TESTING;
END c11s03b00x00p02n01i03024arch;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc3024.vhd,v 1.2 2001-10-26 16:29:50 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
package c11s03b00x00p02n01i03024pkg is
type T is (one,two);
end c11s03b00x00p02n01i03024pkg;
ENTITY c11s03b00x00p02n01i03024ent IS
END c11s03b00x00p02n01i03024ent;
ARCHITECTURE c11s03b00x00p02n01i03024arch OF c11s03b00x00p02n01i03024ent IS
signal S : boolean;
BEGIN
TESTING: PROCESS
BEGIN
S <= TRUE;
wait for 3 ns;
assert NOT( S = TRUE )
report "***PASSED TEST: c11s03b00x00p02n01i03024"
severity NOTE;
assert ( S = TRUE )
report "***FAILED TEST: c11s03b00x00p02n01i03024 - A context clause can contain zero context item."
severity ERROR;
wait;
END PROCESS TESTING;
END c11s03b00x00p02n01i03024arch;
|
-----------------------------------------------------------------------------
-- Definition of a single port ROM for RATASM defined by prog_rom.psm
--
-- Generated by RATASM Assembler
--
-- Standard IEEE libraries
--
-----------------------------------------------------------------------------
-----------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
library unisim;
use unisim.vcomponents.all;
-----------------------------------------------------------------------------
entity prog_rom is
port ( ADDRESS : in std_logic_vector(9 downto 0);
INSTRUCTION : out std_logic_vector(17 downto 0);
CLK : in std_logic);
end prog_rom;
architecture low_level_definition of prog_rom is
-----------------------------------------------------------------------------
-- Attributes to define ROM contents during implementation synthesis.
-- The information is repeated in the generic map for functional simulation.
-----------------------------------------------------------------------------
attribute INIT_00 : string;
attribute INIT_01 : string;
attribute INIT_02 : string;
attribute INIT_03 : string;
attribute INIT_04 : string;
attribute INIT_05 : string;
attribute INIT_06 : string;
attribute INIT_07 : string;
attribute INIT_08 : string;
attribute INIT_09 : string;
attribute INIT_0A : string;
attribute INIT_0B : string;
attribute INIT_0C : string;
attribute INIT_0D : string;
attribute INIT_0E : string;
attribute INIT_0F : string;
attribute INIT_10 : string;
attribute INIT_11 : string;
attribute INIT_12 : string;
attribute INIT_13 : string;
attribute INIT_14 : string;
attribute INIT_15 : string;
attribute INIT_16 : string;
attribute INIT_17 : string;
attribute INIT_18 : string;
attribute INIT_19 : string;
attribute INIT_1A : string;
attribute INIT_1B : string;
attribute INIT_1C : string;
attribute INIT_1D : string;
attribute INIT_1E : string;
attribute INIT_1F : string;
attribute INIT_20 : string;
attribute INIT_21 : string;
attribute INIT_22 : string;
attribute INIT_23 : string;
attribute INIT_24 : string;
attribute INIT_25 : string;
attribute INIT_26 : string;
attribute INIT_27 : string;
attribute INIT_28 : string;
attribute INIT_29 : string;
attribute INIT_2A : string;
attribute INIT_2B : string;
attribute INIT_2C : string;
attribute INIT_2D : string;
attribute INIT_2E : string;
attribute INIT_2F : string;
attribute INIT_30 : string;
attribute INIT_31 : string;
attribute INIT_32 : string;
attribute INIT_33 : string;
attribute INIT_34 : string;
attribute INIT_35 : string;
attribute INIT_36 : string;
attribute INIT_37 : string;
attribute INIT_38 : string;
attribute INIT_39 : string;
attribute INIT_3A : string;
attribute INIT_3B : string;
attribute INIT_3C : string;
attribute INIT_3D : string;
attribute INIT_3E : string;
attribute INIT_3F : string;
attribute INITP_00 : string;
attribute INITP_01 : string;
attribute INITP_02 : string;
attribute INITP_03 : string;
attribute INITP_04 : string;
attribute INITP_05 : string;
attribute INITP_06 : string;
attribute INITP_07 : string;
----------------------------------------------------------------------
-- Attributes to define ROM contents during implementation synthesis.
----------------------------------------------------------------------
attribute INIT_00 of ram_1024_x_18 : label is "A007601FA0066041A0056049A0046099A003600DA0026025A001609FA0006003";
attribute INIT_01 of ram_1024_x_18 : label is "000000000000000000000000000000000000000000008100A0096009A0086001";
attribute INIT_02 of ram_1024_x_18 : label is "4B834E824E12818A020050407001A0006F006E006D006B0B6A07630062006100";
attribute INIT_03 of ram_1024_x_18 : label is "810181F0A00343698D0A81B88201A1D8CD0A4D09620081584A834E824E1A8229";
attribute INIT_04 of ram_1024_x_18 : label is "82333D0082433C008253DB017B0FDC017C0FDD017DFF81A86100822301324140";
attribute INIT_05 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000008002";
attribute INIT_06 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_07 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_08 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_09 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_0A of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_0B of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_0C of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_0D of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_0E of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_0F of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_10 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_11 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_12 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_13 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_14 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_15 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_16 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_17 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_18 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_19 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_1A of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_1B of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_1C of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_1D of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_1E of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_1F of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_20 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_21 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_22 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_23 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_24 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_25 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_26 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_27 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_28 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_29 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_2A of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_2B of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_2C of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_2D of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_2E of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_2F of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_30 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_31 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_32 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_33 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_34 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_35 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_36 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_37 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_38 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_39 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_3A of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_3B of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_3C of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_3D of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_3E of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_3F of ram_1024_x_18 : label is "81F8000000000000000000000000000000000000000000000000000000000000";
attribute INITP_00 of ram_1024_x_18 : label is "000000000000000000000001222EECCF84888CF0F0FDFFFF000000FFFFFFFFFF";
attribute INITP_01 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
attribute INITP_02 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
attribute INITP_03 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
attribute INITP_04 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
attribute INITP_05 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
attribute INITP_06 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
attribute INITP_07 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
begin
----------------------------------------------------------------------
--Instantiate the Xilinx primitive for a block RAM
--INIT values repeated to define contents for functional simulation
----------------------------------------------------------------------
ram_1024_x_18: RAMB16_S18
--synthesitranslate_off
--INIT values repeated to define contents for functional simulation
generic map (
INIT_00 => X"A007601FA0066041A0056049A0046099A003600DA0026025A001609FA0006003",
INIT_01 => X"000000000000000000000000000000000000000000008100A0096009A0086001",
INIT_02 => X"4B834E824E12818A020050407001A0006F006E006D006B0B6A07630062006100",
INIT_03 => X"810181F0A00343698D0A81B88201A1D8CD0A4D09620081584A834E824E1A8229",
INIT_04 => X"82333D0082433C008253DB017B0FDC017C0FDD017DFF81A86100822301324140",
INIT_05 => X"0000000000000000000000000000000000000000000000000000000000008002",
INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3F => X"81F8000000000000000000000000000000000000000000000000000000000000",
INITP_00 => X"000000000000000000000001222EECCF84888CF0F0FDFFFF000000FFFFFFFFFF",
INITP_01 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_02 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_03 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_04 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_05 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_06 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_07 => X"0000000000000000000000000000000000000000000000000000000000000000")
--synthesis translate_on
port map( DI => "0000000000000000",
DIP => "00",
EN => '1',
WE => '0',
SSR => '0',
CLK => clk,
ADDR => address,
DO => INSTRUCTION(15 downto 0),
DOP => INSTRUCTION(17 downto 16));
--
end low_level_definition;
--
----------------------------------------------------------------------
-- END OF FILE prog_rom.vhd
----------------------------------------------------------------------
|
-- -------------------------------------------------------------
--
-- Generated Architecture Declaration for rtl of inst_eb_e
--
-- Generated
-- by: wig
-- on: Mon Apr 10 13:27:22 2006
-- cmd: /cygdrive/h/work/eclipse/MIX/mix_0.pl -nodelta ../../bitsplice.xls
--
-- !!! Do not edit this file! Autogenerated by MIX !!!
-- $Author: wig $
-- $Id: inst_eb_e-rtl-a.vhd,v 1.1 2006/04/10 15:42:04 wig Exp $
-- $Date: 2006/04/10 15:42:04 $
-- $Log: inst_eb_e-rtl-a.vhd,v $
-- Revision 1.1 2006/04/10 15:42:04 wig
-- Updated testcase (__TOP__)
--
--
-- Based on Mix Architecture Template built into RCSfile: MixWriter.pm,v
-- Id: MixWriter.pm,v 1.79 2006/03/17 09:18:31 wig Exp
--
-- Generator: mix_0.pl Revision: 1.44 , [email protected]
-- (C) 2003,2005 Micronas GmbH
--
-- --------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
-- No project specific VHDL libraries/arch
--
--
-- Start of Generated Architecture rtl of inst_eb_e
--
architecture rtl of inst_eb_e is
-- Generated Constant Declarations
--
-- Components
--
-- Generated Components
component inst_eba_e
-- No Generated Generics
-- No Generated Port
end component;
-- ---------
component inst_ebb_e
-- No Generated Generics
-- No Generated Port
end component;
-- ---------
component inst_ebc_e
-- No Generated Generics
-- Generated Generics for Entity inst_ebc_e
-- End of Generated Generics for Entity inst_ebc_e
-- No Generated Port
end component;
-- ---------
--
-- Nets
--
--
-- Generated Signal List
--
--
-- End of Generated Signal List
--
begin
--
-- Generated Concurrent Statements
--
-- Generated Signal Assignments
--
-- Generated Instances
--
-- Generated Instances and Port Mappings
-- Generated Instance Port Map for inst_eba
inst_eba: inst_eba_e
;
-- End of Generated Instance Port Map for inst_eba
-- Generated Instance Port Map for inst_ebb
inst_ebb: inst_ebb_e
;
-- End of Generated Instance Port Map for inst_ebb
-- Generated Instance Port Map for inst_ebc
inst_ebc: inst_ebc_e
;
-- End of Generated Instance Port Map for inst_ebc
end rtl;
--
--!End of Architecture/s
-- --------------------------------------------------------------
|
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.NUMERIC_STD.ALL;
-- Uncomment the following library declaration if instantiating
-- any Xilinx primitives in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
entity TxSample is
Port ( Txck : in STD_LOGIC;
Txen : out STD_LOGIC;
Tx0 : out STD_LOGIC;
Tx1 : out STD_LOGIC;
Tx2 : out STD_LOGIC;
Tx3 : out STD_LOGIC;
Rx0 : in STD_LOGIC;
Rx1 : in STD_LOGIC;
Rx2 : in STD_LOGIC;
Rx3 : in STD_LOGIC;
Rxdv : in STD_LOGIC;
Rxer: in STD_LOGIC;
Phynrst : out STD_LOGIC;
Mainck: in STD_LOGIC;
Button: in STD_LOGIC;
Main_rst: in STD_LOGIC;
Led0: out STD_LOGIC;
Led1: out STD_LOGIC);
end TxSample;
architecture Behavioral of TxSample is
signal phy_ok: std_logic;
signal txrq: std_logic;
signal s_txrq: std_logic;
signal s1_txrq: std_logic;
signal tx_run: std_logic;
signal s1_tx_run: std_logic;
signal s_tx_run: std_logic;
signal s1_button: std_logic;
signal s_button: std_logic;
signal reset_counter: std_logic_vector (24 downto 0);
signal state: std_logic_vector (7 downto 0);
signal debounced_button: std_logic;
signal prev_button: std_logic;
signal stable_counter: std_logic_vector (24 downto 0);
signal prev_debounced_button: std_logic;
signal Main_nrst: std_logic;
begin
Main_nrst <= not Main_rst;
Led1 <= Main_nrst;
resetwait: process(Mainck, Main_nrst)
begin
if (Main_nrst = '0') then
reset_counter <= (others => '0');
elsif (Mainck'event AND Mainck = '1') then
reset_counter <= std_logic_vector(unsigned(reset_counter) + 1);
end if;
end process;
resetphy: process(Mainck, Main_nrst)
begin
if (Main_nrst = '0') then
Phynrst <= '0';
phy_ok <= '0';
elsif (Mainck'event AND Mainck = '1') then
if (unsigned(reset_counter) = 100000) then
Phynrst <= '1';
end if;
if (unsigned(reset_counter) = 110000) then
phy_ok <= '1';
end if;
end if;
end process;
debouncer: process(Mainck, Main_nrst)
begin
if (Main_nrst = '0') then
debounced_button <= '0';
stable_counter <= (others => '0');
prev_button <= '0';
elsif (Mainck'event AND Mainck = '1') then
if (s_button = prev_button) then
-- stable for 80mS
if (unsigned(stable_counter) = x"3fffff") then
stable_counter <= (others => '0');
debounced_button <= s_button;
else
stable_counter <= std_logic_vector(unsigned(stable_counter) + 1);
end if;
else
stable_counter <= (others => '0');
end if;
prev_button <= s_button;
end if;
end process;
trigger: process(Mainck, Main_nrst)
begin
if (Main_nrst = '0') then
txrq <= '0';
prev_debounced_button <= '0';
elsif (Mainck'event and Mainck = '1') then
if (phy_ok = '1' and debounced_button = '1' and prev_debounced_button = '0' and s_tx_run = '0') then
txrq <= '1';
elsif (s_tx_run = '1') then
txrq <= '0';
end if;
prev_debounced_button <= debounced_button;
end if;
end process;
sync_Mainck: process(Mainck, Main_nrst)
begin
if (Main_nrst = '0') then
s_button <= '0';
s_tx_run <= '0';
s1_button <= '0';
s1_tx_run <= '0';
elsif (Mainck'event AND Mainck = '1') then
-- s1_txrq <= txrq;
-- s_txrq <= s1_txrq;
s1_button <= Button;
s_button <= s1_button;
s1_tx_run <= tx_run;
s_tx_run <= s1_tx_run;
end if;
end process;
sync_Txck: process(Txck, Main_nrst)
begin
if (Main_nrst = '0') then
s_txrq <= '0';
s1_txrq <= '0';
elsif (Txck'event and Txck = '1') then
s1_txrq <= txrq;
s_txrq <= s1_txrq;
end if;
end process;
ethtransmitter: process(Txck, Main_nrst)
begin
if (Main_nrst = '0') then
state <= (others => '0');
tx_run <= '0' ;
Led0 <= '0';
Txen <= '0';
Tx0 <= '0';
Tx1 <= '0';
Tx2 <= '0';
Tx3 <= '0';
elsif(Txck'event AND Txck = '0') then
if(s_txrq = '1' and tx_run = '0') then
tx_run <= '1';
state <= (others => '0');
Led0 <= '1';
end if;
if(tx_run = '1') then
if(unsigned(state) < 15) then --15
Txen <= '1';
Tx0 <= '1';
Tx1 <= '0';
Tx2 <= '1';
Tx3 <= '0';
elsif (unsigned(state) = 15 ) then --16
Tx0 <= '1';
Tx1 <= '0';
Tx2 <= '1';
Tx3 <= '1';
elsif (unsigned(state) > 15 AND unsigned(state) < (15+13)) then --12
Tx0 <= '1';
Tx1 <= '1';
Tx2 <= '1';
Tx3 <= '1';
elsif (unsigned(state) = (15+13)) then --7
Tx0 <= '1';
Tx1 <= '0';
Tx2 <= '0';
Tx3 <= '0'; --12+129 orig --12+4 -- 5 is discarded by atl1e
elsif (unsigned(state) > (15+12) AND unsigned(state) < (15 + 13 + 10)) then
Tx0 <= '0';
Tx1 <= '0';
Tx2 <= '0';
Tx3 <= '0';
elsif (unsigned(state) < (15 + 13 + 10 + 10)) then -- IFS
Txen <= '0';
else
Led0 <= '0';
tx_run <= '0';
end if;
state <= std_logic_vector(unsigned(state) + 1);
end if; -- tx_run
end if; -- ck
end process;
end Behavioral;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc2911.vhd,v 1.2 2001-10-26 16:30:23 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c02s01b01x02p03n01i02911ent IS
END c02s01b01x02p03n01i02911ent;
ARCHITECTURE c02s01b01x02p03n01i02911arch OF c02s01b01x02p03n01i02911ent IS
procedure proc1 (signal S1: inout bit) is
variable V1 : bit;
begin
-- Failure_here : attribute DELAYED may not be read within a procedure
V1 := S1'DELAYED;
end proc1;
BEGIN
TESTING: PROCESS
BEGIN
assert FALSE
report "***FAILED TEST: c02s01b01x02p03n01i02911 - The attribute DELAYED of formal signal parameters can not be read."
severity ERROR;
wait;
END PROCESS TESTING;
END c02s01b01x02p03n01i02911arch;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc2911.vhd,v 1.2 2001-10-26 16:30:23 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c02s01b01x02p03n01i02911ent IS
END c02s01b01x02p03n01i02911ent;
ARCHITECTURE c02s01b01x02p03n01i02911arch OF c02s01b01x02p03n01i02911ent IS
procedure proc1 (signal S1: inout bit) is
variable V1 : bit;
begin
-- Failure_here : attribute DELAYED may not be read within a procedure
V1 := S1'DELAYED;
end proc1;
BEGIN
TESTING: PROCESS
BEGIN
assert FALSE
report "***FAILED TEST: c02s01b01x02p03n01i02911 - The attribute DELAYED of formal signal parameters can not be read."
severity ERROR;
wait;
END PROCESS TESTING;
END c02s01b01x02p03n01i02911arch;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc2911.vhd,v 1.2 2001-10-26 16:30:23 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c02s01b01x02p03n01i02911ent IS
END c02s01b01x02p03n01i02911ent;
ARCHITECTURE c02s01b01x02p03n01i02911arch OF c02s01b01x02p03n01i02911ent IS
procedure proc1 (signal S1: inout bit) is
variable V1 : bit;
begin
-- Failure_here : attribute DELAYED may not be read within a procedure
V1 := S1'DELAYED;
end proc1;
BEGIN
TESTING: PROCESS
BEGIN
assert FALSE
report "***FAILED TEST: c02s01b01x02p03n01i02911 - The attribute DELAYED of formal signal parameters can not be read."
severity ERROR;
wait;
END PROCESS TESTING;
END c02s01b01x02p03n01i02911arch;
|
use work.testtest_pkg.all; -- Missing
package test2_pkg is
type t_test is protected
procedure test_proc;
end protected;
end package;
package body test2_pkg is
type t_test is protected body
procedure test_proc is
alias a_test_val is test_val.rec_val;
begin
a_test_val := 1;
end procedure;
end protected body;
end package body;
|
component logo is
port (
a,b,c : in bit_vector(1 downto 0);
x,y : out bit_vector(1 downto 0)
);
end component;
|
component logo is
port (
a,b,c : in bit_vector(1 downto 0);
x,y : out bit_vector(1 downto 0)
);
end component;
|
-------------------------------------------------------------------------------------------------
-- Company : CNES
-- Author : Mickael Carl (CNES)
-- Copyright : Copyright (c) CNES.
-- Licensing : GNU GPLv3
-------------------------------------------------------------------------------------------------
-- Version : V1
-- Version history :
-- V1 : 2015-04-20 : Mickael Carl (CNES): Creation
-------------------------------------------------------------------------------------------------
-- File name : CNE_04800_good.vhd
-- File Creation date : 2015-04-20
-- Project name : VHDL Handbook CNES Edition
-------------------------------------------------------------------------------------------------
-- Softwares : Microsoft Windows (Windows 7) - Editor (Eclipse + VEditor)
-------------------------------------------------------------------------------------------------
-- Description : Handbook example: Finite State Machine two processes based: good example
--
-- Limitations : This file is an example of the VHDL handbook made by CNES. It is a stub aimed at
-- demonstrating good practices in VHDL and as such, its design is minimalistic.
-- It is provided as is, without any warranty.
-- This example is compliant with the Handbook version 1.
--
-------------------------------------------------------------------------------------------------
-- Naming conventions:
--
-- i_Port: Input entity port
-- o_Port: Output entity port
-- b_Port: Bidirectional entity port
-- g_My_Generic: Generic entity port
--
-- c_My_Constant: Constant definition
-- t_My_Type: Custom type definition
--
-- My_Signal_n: Active low signal
-- v_My_Variable: Variable
-- sm_My_Signal: FSM signal
-- pkg_Param: Element Param coming from a package
--
-- My_Signal_re: Rising edge detection of My_Signal
-- My_Signal_fe: Falling edge detection of My_Signal
-- My_Signal_rX: X times registered My_Signal signal
--
-- P_Process_Name: Process
--
-------------------------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
entity CNE_04800_good is
port (
i_Clock : in std_logic; -- Clock input
i_Reset_n : in std_logic; -- Reset input
i_Start : in std_logic; -- Start counter signal
i_Stop : in std_logic -- Stop counter signal
);
end CNE_04800_good;
--CODE
architecture Behavioral of CNE_04800_good is
constant c_Length : std_logic_vector(3 downto 0) := (others => '1'); -- How long we should count
type t_state is (init, loading, enabled, finished); -- Enumerated type for state encoding
signal sm_State : t_state; -- State signal
signal sm_Next_State : t_state; -- Next state
signal Raz : std_logic; -- Load the length value and initialize the counter
signal Enable : std_logic; -- Counter enable signal
signal Length : std_logic_vector(3 downto 0); -- Counter length for counting
signal End_Count : std_logic; -- End signal of counter
begin
Counter:pkg_Counter
port map (
i_Clock => i_Clock,
i_Reset_n => i_Reset_n,
i_Raz => Raz,
i_Enable => Enable,
i_Length => Length,
o_Done => End_Count
);
-- FSM process controlling the counter. Start or stop it in function of the input (i_Start & i_Stop),
-- load the length value, and wait for it to finish
-- Process registration
P_FSM_State_Reg:process(i_Reset_n, i_Clock)
begin
if (i_Reset_n='0') then
sm_State <= init;
elsif (rising_edge(i_Clock)) then
sm_State <= sm_Next_State;
end if;
end process;
-- Outputs assertion
P_FSM_Output:process(sm_State, i_Start, i_Stop, End_Count)
begin
Raz <= '0';
Enable <= '0';
Length <= c_Length; -- Set the length value
case sm_State is
when init =>
sm_Next_State <= loading;
when loading =>
-- Load the counter and initialize it
Raz <= '1';
sm_Next_State <= enabled;
when enabled =>
-- Start or stop counting depending on inputs until it finishes
if (End_Count='0') then
Enable <= i_Start xor not i_Stop;
sm_Next_State <= enabled;
else
sm_Next_State <= finished;
end if;
when others =>
sm_Next_State <= init;
end case;
end process;
end Behavioral;
--CODE |
-- pwm_decoder.vhd
-- Author: Fred
-- Status: Tested and passed
-- Works with 490Hz Arduino PWM
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
entity pwm_decoder is
port (
clock : in std_logic := '0'; -- clock
pwm_in : in std_logic := '0';
duty_count_out : out std_logic_vector(31 downto 0) := "00000000000000000000000000000000";
reset : in std_logic := '0' -- reset
);
end entity pwm_decoder;
architecture decode of pwm_decoder is
begin
inc: process(clock, reset)
variable period : std_logic_vector(31 downto 0) := "00000000000000011000111010011000";
variable duty_count : std_logic_vector(31 downto 0) := "00000000000000000000000000000000";
variable count : std_logic_vector(31 downto 0) := "00000000000000000000000000000000";
begin
if(reset = '1') then
duty_count := "00000000000000000000000000000000";
count := "00000000000000000000000000000000";
elsif(rising_edge(clock)) then
if(unsigned(count) = unsigned(period) - 1) then
duty_count_out <= duty_count;
count := "00000000000000000000000000000000";
duty_count := "00000000000000000000000000000000";
else
if(pwm_in = '1') then
duty_count := std_logic_vector(unsigned(duty_count) + 1);
end if;
count := std_logic_vector(unsigned(count) + 1);
end if;
end if;
end process;
end architecture decode; -- of pwm_decoder
|
-- pwm_decoder.vhd
-- Author: Fred
-- Status: Tested and passed
-- Works with 490Hz Arduino PWM
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
entity pwm_decoder is
port (
clock : in std_logic := '0'; -- clock
pwm_in : in std_logic := '0';
duty_count_out : out std_logic_vector(31 downto 0) := "00000000000000000000000000000000";
reset : in std_logic := '0' -- reset
);
end entity pwm_decoder;
architecture decode of pwm_decoder is
begin
inc: process(clock, reset)
variable period : std_logic_vector(31 downto 0) := "00000000000000011000111010011000";
variable duty_count : std_logic_vector(31 downto 0) := "00000000000000000000000000000000";
variable count : std_logic_vector(31 downto 0) := "00000000000000000000000000000000";
begin
if(reset = '1') then
duty_count := "00000000000000000000000000000000";
count := "00000000000000000000000000000000";
elsif(rising_edge(clock)) then
if(unsigned(count) = unsigned(period) - 1) then
duty_count_out <= duty_count;
count := "00000000000000000000000000000000";
duty_count := "00000000000000000000000000000000";
else
if(pwm_in = '1') then
duty_count := std_logic_vector(unsigned(duty_count) + 1);
end if;
count := std_logic_vector(unsigned(count) + 1);
end if;
end if;
end process;
end architecture decode; -- of pwm_decoder
|
-- pwm_decoder.vhd
-- Author: Fred
-- Status: Tested and passed
-- Works with 490Hz Arduino PWM
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
entity pwm_decoder is
port (
clock : in std_logic := '0'; -- clock
pwm_in : in std_logic := '0';
duty_count_out : out std_logic_vector(31 downto 0) := "00000000000000000000000000000000";
reset : in std_logic := '0' -- reset
);
end entity pwm_decoder;
architecture decode of pwm_decoder is
begin
inc: process(clock, reset)
variable period : std_logic_vector(31 downto 0) := "00000000000000011000111010011000";
variable duty_count : std_logic_vector(31 downto 0) := "00000000000000000000000000000000";
variable count : std_logic_vector(31 downto 0) := "00000000000000000000000000000000";
begin
if(reset = '1') then
duty_count := "00000000000000000000000000000000";
count := "00000000000000000000000000000000";
elsif(rising_edge(clock)) then
if(unsigned(count) = unsigned(period) - 1) then
duty_count_out <= duty_count;
count := "00000000000000000000000000000000";
duty_count := "00000000000000000000000000000000";
else
if(pwm_in = '1') then
duty_count := std_logic_vector(unsigned(duty_count) + 1);
end if;
count := std_logic_vector(unsigned(count) + 1);
end if;
end if;
end process;
end architecture decode; -- of pwm_decoder
|
-- Copyright 1986-2016 Xilinx, Inc. All Rights Reserved.
-- --------------------------------------------------------------------------------
-- Tool Version: Vivado v.2016.4 (win64) Build 1733598 Wed Dec 14 22:35:39 MST 2016
-- Date : Mon Feb 27 15:47:55 2017
-- Host : GILAMONSTER running 64-bit major release (build 9200)
-- Command : write_vhdl -force -mode synth_stub
-- c:/ZyboIP/examples/ov7670_passthrough/ov7670_passthrough.srcs/sources_1/bd/system/ip/system_ov7670_controller_0_0/system_ov7670_controller_0_0_stub.vhdl
-- Design : system_ov7670_controller_0_0
-- Purpose : Stub declaration of top-level module interface
-- Device : xc7z010clg400-1
-- --------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
entity system_ov7670_controller_0_0 is
Port (
clk : in STD_LOGIC;
resend : in STD_LOGIC;
config_finished : out STD_LOGIC;
sioc : out STD_LOGIC;
siod : inout STD_LOGIC;
reset : out STD_LOGIC;
pwdn : out STD_LOGIC;
xclk : out STD_LOGIC
);
end system_ov7670_controller_0_0;
architecture stub of system_ov7670_controller_0_0 is
attribute syn_black_box : boolean;
attribute black_box_pad_pin : string;
attribute syn_black_box of stub : architecture is true;
attribute black_box_pad_pin of stub : architecture is "clk,resend,config_finished,sioc,siod,reset,pwdn,xclk";
attribute x_core_info : string;
attribute x_core_info of stub : architecture is "ov7670_controller,Vivado 2016.4";
begin
end;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc2464.vhd,v 1.2 2001-10-26 16:29:48 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c07s03b02x02p03n02i02464ent IS
END c07s03b02x02p03n02i02464ent;
ARCHITECTURE c07s03b02x02p03n02i02464arch OF c07s03b02x02p03n02i02464ent IS
subtype BV1 is BIT_VECTOR (2 downto 1);
constant c : BV1 := (1 => '0', others => '1');
BEGIN
TESTING: PROCESS
BEGIN
assert NOT( c="10" )
report "***PASSED TEST: c07s03b02x02p03n02i02464"
severity NOTE;
assert ( c="10" )
report "***FAILED TEST: c07s03b02x02p03n02i02464 - An aggregate with an others choice can appear as an expression defining the initial value of a constant."
severity ERROR;
wait;
END PROCESS TESTING;
END c07s03b02x02p03n02i02464arch;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc2464.vhd,v 1.2 2001-10-26 16:29:48 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c07s03b02x02p03n02i02464ent IS
END c07s03b02x02p03n02i02464ent;
ARCHITECTURE c07s03b02x02p03n02i02464arch OF c07s03b02x02p03n02i02464ent IS
subtype BV1 is BIT_VECTOR (2 downto 1);
constant c : BV1 := (1 => '0', others => '1');
BEGIN
TESTING: PROCESS
BEGIN
assert NOT( c="10" )
report "***PASSED TEST: c07s03b02x02p03n02i02464"
severity NOTE;
assert ( c="10" )
report "***FAILED TEST: c07s03b02x02p03n02i02464 - An aggregate with an others choice can appear as an expression defining the initial value of a constant."
severity ERROR;
wait;
END PROCESS TESTING;
END c07s03b02x02p03n02i02464arch;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc2464.vhd,v 1.2 2001-10-26 16:29:48 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c07s03b02x02p03n02i02464ent IS
END c07s03b02x02p03n02i02464ent;
ARCHITECTURE c07s03b02x02p03n02i02464arch OF c07s03b02x02p03n02i02464ent IS
subtype BV1 is BIT_VECTOR (2 downto 1);
constant c : BV1 := (1 => '0', others => '1');
BEGIN
TESTING: PROCESS
BEGIN
assert NOT( c="10" )
report "***PASSED TEST: c07s03b02x02p03n02i02464"
severity NOTE;
assert ( c="10" )
report "***FAILED TEST: c07s03b02x02p03n02i02464 - An aggregate with an others choice can appear as an expression defining the initial value of a constant."
severity ERROR;
wait;
END PROCESS TESTING;
END c07s03b02x02p03n02i02464arch;
|
library ieee;
use ieee.std_logic_1164.all;
entity hello is
generic (constant l : natural := 8);
port (a : in std_logic_vector (l - 1 downto 0));
end hello;
architecture behav of hello is
signal clk : std_logic;
signal q : std_logic_vector (l - 1 downto 0);
begin
process
begin
clk <= '0';
wait for 1 ns;
clk <= '1';
wait for 1 ns;
end process;
process (clk)
begin
if rising_edge(clk) then
q <= a;
end if;
end process;
assert false report "Hello world" severity note;
end behav;% |
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc2224.vhd,v 1.2 2001-10-26 16:30:16 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c07s02b06x00p01n01i02224ent IS
END c07s02b06x00p01n01i02224ent;
ARCHITECTURE c07s02b06x00p01n01i02224arch OF c07s02b06x00p01n01i02224ent IS
BEGIN
TESTING: PROCESS
variable BOOLV : BOOLEAN := FALSE;
variable k : integer;
BEGIN
k := BOOLV mod TRUE;
assert FALSE
report "***FAILED TEST: c07s02b06x00p01n01i02224 - Operators mod and rem are predefined for any integer type only."
severity ERROR;
wait;
END PROCESS TESTING;
END c07s02b06x00p01n01i02224arch;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc2224.vhd,v 1.2 2001-10-26 16:30:16 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c07s02b06x00p01n01i02224ent IS
END c07s02b06x00p01n01i02224ent;
ARCHITECTURE c07s02b06x00p01n01i02224arch OF c07s02b06x00p01n01i02224ent IS
BEGIN
TESTING: PROCESS
variable BOOLV : BOOLEAN := FALSE;
variable k : integer;
BEGIN
k := BOOLV mod TRUE;
assert FALSE
report "***FAILED TEST: c07s02b06x00p01n01i02224 - Operators mod and rem are predefined for any integer type only."
severity ERROR;
wait;
END PROCESS TESTING;
END c07s02b06x00p01n01i02224arch;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc2224.vhd,v 1.2 2001-10-26 16:30:16 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c07s02b06x00p01n01i02224ent IS
END c07s02b06x00p01n01i02224ent;
ARCHITECTURE c07s02b06x00p01n01i02224arch OF c07s02b06x00p01n01i02224ent IS
BEGIN
TESTING: PROCESS
variable BOOLV : BOOLEAN := FALSE;
variable k : integer;
BEGIN
k := BOOLV mod TRUE;
assert FALSE
report "***FAILED TEST: c07s02b06x00p01n01i02224 - Operators mod and rem are predefined for any integer type only."
severity ERROR;
wait;
END PROCESS TESTING;
END c07s02b06x00p01n01i02224arch;
|
-- Copyright 1986-2016 Xilinx, Inc. All Rights Reserved.
-- --------------------------------------------------------------------------------
-- Tool Version: Vivado v.2016.4 (win64) Build 1733598 Wed Dec 14 22:35:39 MST 2016
-- Date : Fri Jan 13 17:33:49 2017
-- Host : KLight-PC running 64-bit major release (build 9200)
-- Command : write_vhdl -force -mode synth_stub D:/Document/Verilog/VGA/VGA.srcs/sources_1/ip/select1/select1_stub.vhdl
-- Design : select1
-- Purpose : Stub declaration of top-level module interface
-- Device : xc7a35tcpg236-1
-- --------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
entity select1 is
Port (
clka : in STD_LOGIC;
wea : in STD_LOGIC_VECTOR ( 0 to 0 );
addra : in STD_LOGIC_VECTOR ( 11 downto 0 );
dina : in STD_LOGIC_VECTOR ( 11 downto 0 );
douta : out STD_LOGIC_VECTOR ( 11 downto 0 )
);
end select1;
architecture stub of select1 is
attribute syn_black_box : boolean;
attribute black_box_pad_pin : string;
attribute syn_black_box of stub : architecture is true;
attribute black_box_pad_pin of stub : architecture is "clka,wea[0:0],addra[11:0],dina[11:0],douta[11:0]";
attribute x_core_info : string;
attribute x_core_info of stub : architecture is "blk_mem_gen_v8_3_5,Vivado 2016.4";
begin
end;
|
-- Copyright 1986-2016 Xilinx, Inc. All Rights Reserved.
-- --------------------------------------------------------------------------------
-- Tool Version: Vivado v.2016.4 (win64) Build 1733598 Wed Dec 14 22:35:39 MST 2016
-- Date : Fri Jan 13 17:33:49 2017
-- Host : KLight-PC running 64-bit major release (build 9200)
-- Command : write_vhdl -force -mode synth_stub D:/Document/Verilog/VGA/VGA.srcs/sources_1/ip/select1/select1_stub.vhdl
-- Design : select1
-- Purpose : Stub declaration of top-level module interface
-- Device : xc7a35tcpg236-1
-- --------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
entity select1 is
Port (
clka : in STD_LOGIC;
wea : in STD_LOGIC_VECTOR ( 0 to 0 );
addra : in STD_LOGIC_VECTOR ( 11 downto 0 );
dina : in STD_LOGIC_VECTOR ( 11 downto 0 );
douta : out STD_LOGIC_VECTOR ( 11 downto 0 )
);
end select1;
architecture stub of select1 is
attribute syn_black_box : boolean;
attribute black_box_pad_pin : string;
attribute syn_black_box of stub : architecture is true;
attribute black_box_pad_pin of stub : architecture is "clka,wea[0:0],addra[11:0],dina[11:0],douta[11:0]";
attribute x_core_info : string;
attribute x_core_info of stub : architecture is "blk_mem_gen_v8_3_5,Vivado 2016.4";
begin
end;
|
-- Copyright (C) 2002 Morgan Kaufmann Publishers, Inc
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
entity inline_16 is
end entity inline_16;
----------------------------------------------------------------
architecture test of inline_16 is
constant Tpd_01 : time := 800 ps;
constant Tpd_10 : time := 500 ps;
signal a, z : bit;
begin
-- code from book:
asym_delay : z <= transport a after Tpd_01 when a = '1' else
a after Tpd_10;
-- end of code from book
----------------
stimulus : process is
begin
a <= '1' after 2000 ps,
'0' after 4000 ps,
'1' after 6000 ps,
'0' after 6200 ps;
wait;
end process stimulus;
end architecture test;
|
-- Copyright (C) 2002 Morgan Kaufmann Publishers, Inc
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
entity inline_16 is
end entity inline_16;
----------------------------------------------------------------
architecture test of inline_16 is
constant Tpd_01 : time := 800 ps;
constant Tpd_10 : time := 500 ps;
signal a, z : bit;
begin
-- code from book:
asym_delay : z <= transport a after Tpd_01 when a = '1' else
a after Tpd_10;
-- end of code from book
----------------
stimulus : process is
begin
a <= '1' after 2000 ps,
'0' after 4000 ps,
'1' after 6000 ps,
'0' after 6200 ps;
wait;
end process stimulus;
end architecture test;
|
-- Copyright (C) 2002 Morgan Kaufmann Publishers, Inc
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
entity inline_16 is
end entity inline_16;
----------------------------------------------------------------
architecture test of inline_16 is
constant Tpd_01 : time := 800 ps;
constant Tpd_10 : time := 500 ps;
signal a, z : bit;
begin
-- code from book:
asym_delay : z <= transport a after Tpd_01 when a = '1' else
a after Tpd_10;
-- end of code from book
----------------
stimulus : process is
begin
a <= '1' after 2000 ps,
'0' after 4000 ps,
'1' after 6000 ps,
'0' after 6200 ps;
wait;
end process stimulus;
end architecture test;
|
--
-- Copyright (C) 2013 Chris McClelland
--
-- This program is free software: you can redistribute it and/or modify
-- it under the terms of the GNU Lesser General Public License as published by
-- the Free Software Foundation, either version 3 of the License, or
-- (at your option) any later version.
--
-- This program is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU Lesser General Public License for more details.
--
-- You should have received a copy of the GNU Lesser General Public License
-- along with this program. If not, see <http://www.gnu.org/licenses/>.
--
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity sync_send is
port(
clk_in : in std_logic;
-- Serial I/O
serClkRE_in : in std_logic;
serData_out : out std_logic;
-- Parallel in
sendData_in : in std_logic_vector(7 downto 0);
sendValid_in : in std_logic;
sendReady_out : out std_logic
);
end entity;
architecture rtl of sync_send is
type StateType is (
S_IDLE,
S_WAIT,
S_SEND_BITS
);
signal state : StateType := S_IDLE;
signal state_next : StateType;
signal sendCount : unsigned(3 downto 0) := (others => '0');
signal sendCount_next : unsigned(3 downto 0);
signal sendData : std_logic_vector(8 downto 0) := (others => '0');
signal sendData_next : std_logic_vector(8 downto 0);
begin
-- Infer registers
process(clk_in)
begin
if ( rising_edge(clk_in) ) then
state <= state_next;
sendCount <= sendCount_next;
sendData <= sendData_next;
end if;
end process;
-- Next state logic
process(
state, serClkRE_in, sendData_in, sendValid_in, sendCount, sendData)
begin
state_next <= state;
sendCount_next <= sendCount;
sendData_next <= sendData;
sendReady_out <= '0';
serData_out <= '1';
case state is
-- Sending bits
when S_SEND_BITS =>
serData_out <= sendData(0);
if ( serClkRE_in = '1' ) then
sendData_next <= "1" & sendData(8 downto 1);
sendCount_next <= sendCount - 1;
if ( sendCount = 1 ) then
state_next <= S_IDLE;
end if;
end if;
-- Got a byte to send, waiting for rising_edge(serClk)
when S_WAIT =>
if ( serClkRE_in = '1' ) then
state_next <= S_SEND_BITS;
end if;
-- S_IDLE and others
when others =>
sendReady_out <= '1';
if ( sendValid_in = '1' ) then
-- There's a byte ready to be sent
sendCount_next <= x"9";
sendData_next <= sendData_in & "0";
if ( serClkRE_in = '1' ) then
state_next <= S_SEND_BITS;
else
state_next <= S_WAIT;
end if;
end if;
end case;
end process;
end architecture;
|
------------------------------------------------------------------------------
------------------------------------------------------------------------------
-- --
-- Copyright (c) 2009-2011 Tobias Gubener --
-- Subdesign fAMpIGA by TobiFlex --
-- --
-- This source file is free software: you can redistribute it and/or modify --
-- it under the terms of the GNU General Public License as published --
-- by the Free Software Foundation, either version 3 of the License, or --
-- (at your option) any later version. --
-- --
-- This source file is distributed in the hope that it will be useful, --
-- but WITHOUT ANY WARRANTY; without even the implied warranty of --
-- MERCHANTABILITY or FITNESS for A PARTICULAR PURPOSE. See the --
-- GNU General Public License for more details. --
-- --
-- You should have received a copy of the GNU General Public License --
-- along with this program. If not, see <http://www.gnu.org/licenses/>. --
-- --
------------------------------------------------------------------------------
------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.ALL;
use ieee.std_logic_unsigned.ALL;
use IEEE.numeric_std.ALL;
use work.TG68K_Pack.ALL;
entity TG68K_ALU is
generic (
MUL_Mode : integer := 0; --0=>16Bit, 1=>32Bit, 2=>switchable with CPU(1), 3=>no MUL,
DIV_Mode : integer := 0 --0=>16Bit, 1=>32Bit, 2=>switchable with CPU(1), 3=>no DIV,
);
port (
clk : in std_logic;
Reset : in std_logic;
clkena_lw : in std_logic := '1';
execOPC : in bit;
exe_condition : in std_logic;
exec_tas : in std_logic;
long_start : in bit;
non_aligned : in std_logic;
movem_presub : in bit;
set_stop : in bit;
Z_error : in bit;
rot_bits : in std_logic_vector(1 downto 0);
exec : in bit_vector(lastOpcBit downto 0);
OP1out : in std_logic_vector(31 downto 0);
OP2out : in std_logic_vector(31 downto 0);
reg_QA : in std_logic_vector(31 downto 0);
reg_QB : in std_logic_vector(31 downto 0);
opcode : in std_logic_vector(15 downto 0);
datatype : in std_logic_vector(1 downto 0);
exe_opcode : in std_logic_vector(15 downto 0);
exe_datatype : in std_logic_vector(1 downto 0);
sndOPC : in std_logic_vector(15 downto 0);
last_data_read : in std_logic_vector(15 downto 0);
data_read : in std_logic_vector(15 downto 0);
FlagsSR : in std_logic_vector(7 downto 0);
micro_state : in micro_states;
bf_ext_in : in std_logic_vector(7 downto 0);
bf_ext_out : out std_logic_vector(7 downto 0);
bf_width : in std_logic_vector(4 downto 0);
bf_loffset : in std_logic_vector(4 downto 0);
bf_offset : in std_logic_vector(31 downto 0);
set_V_Flag_out : out bit;
Flags_out : out std_logic_vector(7 downto 0);
c_out_out : out std_logic_vector(2 downto 0);
addsub_q_out : out std_logic_vector(31 downto 0);
ALUout : out std_logic_vector(31 downto 0)
);
end TG68K_ALU;
architecture logic of TG68K_ALU IS
-----------------------------------------------------------------------------
-----------------------------------------------------------------------------
-- ALU and more
-----------------------------------------------------------------------------
-----------------------------------------------------------------------------
signal OP1in : std_logic_vector(31 downto 0);
signal addsub_a : std_logic_vector(31 downto 0);
signal addsub_b : std_logic_vector(31 downto 0);
signal notaddsub_b : std_logic_vector(33 downto 0);
signal add_result : std_logic_vector(33 downto 0);
signal addsub_ofl : std_logic_vector(2 downto 0);
signal opaddsub : BIT;
signal c_in : std_logic_vector(3 downto 0);
signal flag_z : std_logic_vector(2 downto 0);
signal set_Flags : std_logic_vector(3 downto 0); --NZVC
signal CCRin : std_logic_vector(7 downto 0);
signal niba_l : std_logic_vector(5 downto 0);
signal niba_h : std_logic_vector(5 downto 0);
signal niba_lc : std_logic;
signal niba_hc : std_logic;
signal bcda_lc : std_logic;
signal bcda_hc : std_logic;
signal nibs_l : std_logic_vector(5 downto 0);
signal nibs_h : std_logic_vector(5 downto 0);
signal nibs_lc : std_logic;
signal nibs_hc : std_logic;
signal bcd_a : std_logic_vector(8 downto 0);
signal bcd_s : std_logic_vector(8 downto 0);
signal pack_out : std_logic_vector(15 downto 0);
signal pack_a : std_logic_vector(15 downto 0);
signal result_mulu : std_logic_vector(63 downto 0);
signal result_div : std_logic_vector(63 downto 0);
signal set_mV_Flag : std_logic;
signal V_Flag : BIT;
signal rot_rot : std_logic;
signal rot_lsb : std_logic;
signal rot_msb : std_logic;
signal rot_X : std_logic;
signal rot_C : std_logic;
signal rot_out : std_logic_vector(31 downto 0);
signal asl_VFlag : std_logic;
signal bit_bits : std_logic_vector(1 downto 0);
signal bit_number : std_logic_vector(4 downto 0);
signal bits_out : std_logic_vector(31 downto 0);
signal one_bit_in : std_logic;
signal bchg : std_logic;
signal bset : std_logic;
signal mulu_sign : std_logic;
signal mulu_signext : std_logic_vector(16 downto 0);
signal muls_msb : std_logic;
signal mulu_reg : std_logic_vector(63 downto 0);
signal FAsign : std_logic;
signal faktorA : std_logic_vector(31 downto 0);
signal faktorB : std_logic_vector(31 downto 0);
signal div_reg : std_logic_vector(63 downto 0);
signal div_quot : std_logic_vector(63 downto 0);
signal div_ovl : std_logic;
signal div_neg : std_logic;
signal div_bit : std_logic;
signal div_sub : std_logic_vector(32 downto 0);
signal div_over : std_logic_vector(32 downto 0);
signal nozero : std_logic;
signal div_qsign : std_logic;
signal divisor : std_logic_vector(63 downto 0);
signal divs : std_logic;
signal signedOP : std_logic;
signal OP1_sign : std_logic;
signal OP2_sign : std_logic;
signal OP2outext : std_logic_vector(15 downto 0);
signal in_offset : std_logic_vector(5 downto 0);
signal datareg : std_logic_vector(31 downto 0);
signal insert : std_logic_vector(31 downto 0);
signal bf_datareg : std_logic_vector(31 downto 0);
signal result : std_logic_vector(39 downto 0);
signal result_tmp : std_logic_vector(39 downto 0);
signal sign : std_logic_vector(31 downto 0);
signal bf_loff_dir : std_logic_vector(4 downto 0);
signal bf_set2 : std_logic_vector(39 downto 0);
signal copy : std_logic_vector(39 downto 0);
signal bf_firstbit : std_logic_vector(5 downto 0);
signal bf_bset : std_logic;
signal bf_NFlag : std_logic;
signal bf_bchg : std_logic;
signal bf_ins : std_logic;
signal bf_exts : std_logic;
signal bf_extu : std_logic;
signal bf_fffo : std_logic;
signal bf_d32 : std_logic;
signal index : std_logic_vector(4 downto 0);
signal bf_flag_z : std_logic;
signal bf_flag_n : std_logic;
signal set_V_Flag : BIT;
signal Flags : std_logic_vector(7 downto 0);
signal c_out : std_logic_vector(2 downto 0);
signal addsub_q : std_logic_vector(31 downto 0);
begin
-----------------------------------------------------------------------------
-- set OP1in
-----------------------------------------------------------------------------
process (OP2out, reg_QB, opcode, OP1out, OP1in, exe_datatype, addsub_q, execOPC, exec,
pack_out, bcd_a, bcd_s, result_mulu, result_div, exe_condition, bf_offset, bf_width,
Flags, FlagsSR, bits_out, exec_tas, rot_out, exe_opcode, result, bf_fffo, bf_firstbit, bf_datareg)
begin
ALUout <= OP1in;
ALUout(7) <= OP1in(7) OR exec_tas;
if exec(opcBFwb) = '1' then
ALUout <= result(31 downto 0);
if bf_fffo = '1' then
ALUout <= bf_offset + bf_width + 1 - bf_firstbit;
end if;
end if;
OP1in <= addsub_q;
if exec(opcABCD) = '1' then
OP1in(7 downto 0) <= bcd_a(7 downto 0);
elsif exec(opcSBCD) = '1' then
OP1in(7 downto 0) <= bcd_s(7 downto 0);
elsif exec(opcMULU) = '1' and MUL_Mode /= 3 then
if exec(write_lowlong) = '1' and (MUL_Mode = 1 OR MUL_Mode = 2) then
OP1in <= result_mulu(31 downto 0);
else
OP1in <= result_mulu(63 downto 32);
end if;
elsif exec(opcDIVU) = '1' and DIV_Mode /= 3 then
if exe_opcode(15) = '1' OR DIV_Mode = 0 then
-- if exe_opcode(15)='1' then
OP1in <= result_div(47 downto 32) & result_div(15 downto 0);
else --64bit
if exec(write_reminder) = '1' then
OP1in <= result_div(63 downto 32);
else
OP1in <= result_div(31 downto 0);
end if;
end if;
elsif exec(opcOR) = '1' then
OP1in <= OP2out OR OP1out;
elsif exec(opcand) = '1' then
OP1in <= OP2out and OP1out;
elsif exec(opcScc) = '1' then
OP1in(7 downto 0) <= (others => exe_condition);
elsif exec(opcEOR) = '1' then
OP1in <= OP2out xor OP1out;
elsif exec(opcMOVE) = '1' OR exec(exg) = '1' then
-- OP1in <= OP2out(31 downto 8)&(OP2out(7)OR exec_tas)&OP2out(6 downto 0);
OP1in <= OP2out;
elsif exec(opcROT) = '1' then
OP1in <= rot_out;
elsif exec(opcSWAP) = '1' then
OP1in <= OP1out(15 downto 0) & OP1out(31 downto 16);
elsif exec(opcBITS) = '1' then
OP1in <= bits_out;
elsif exec(opcBF) = '1' then
OP1in <= bf_datareg;
elsif exec(opcMOVECCR) = '1' then
OP1in(15 downto 8) <= "00000000";
OP1in( 7 downto 0) <= Flags;
elsif exec(opcMOVESR) = '1' then
OP1in(15 downto 8) <= FlagsSR;
OP1in( 7 downto 0) <= Flags;
elsif exec(opcPACK) = '1' then
OP1in(15 downto 0) <= pack_out;
end if;
end process;
-----------------------------------------------------------------------------
-- addsub
-----------------------------------------------------------------------------
process (OP1out, OP2out, execOPC, datatype, Flags, long_start, non_aligned, movem_presub, exe_datatype, exec, addsub_a, addsub_b, opaddsub,
notaddsub_b, add_result, c_in, sndOPC)
begin
addsub_a <= OP1out;
if exec(get_bfoffset) = '1' then
if sndOPC(11) = '1' then
addsub_a <= OP1out(31) & OP1out(31) & OP1out(31) & OP1out(31 downto 3);
else
addsub_a <= "000000000000000000000000000000" & sndOPC(10 downto 9);
end if;
end if;
if exec(subidx) = '1' then
opaddsub <= '1';
else
opaddsub <= '0';
end if;
c_in(0) <= '0';
addsub_b <= OP2out;
if execOPC = '0' and exec(OP2out_one) = '0' and exec(get_bfoffset) = '0' then
if long_start = '0' and datatype = "00" and exec(use_SP) = '0' then
addsub_b <= "00000000000000000000000000000001";
elsif long_start = '0' and exe_datatype = "10" and (exec(presub) OR exec(postadd) OR movem_presub) = '1' then
if exec(movem_action) = '1' then -- used for initial offset / aligned case
addsub_b <= "00000000000000000000000000000110";
else
addsub_b <= "00000000000000000000000000000100";
end if;
else
addsub_b <= "00000000000000000000000000000010";
end if;
else
if (exec(use_XZFlag) = '1' and Flags(4) = '1') OR exec(opcCHK) = '1' then
c_in(0) <= '1';
end if;
opaddsub <= exec(addsub);
end if;
-- patch for un-aligned movem
if (exec(movem_action) = '1') then
if (movem_presub = '0') then -- up
if (non_aligned = '1') and (long_start = '0') then -- hold
addsub_b <= (others => '0');
end if;
else
if (non_aligned = '1') and (long_start = '0') then
if (exe_datatype = "10") then
addsub_b <= "00000000000000000000000000001000";
else
addsub_b <= "00000000000000000000000000000100";
end if;
end if;
end if;
end if;
if opaddsub = '0' OR long_start = '1' then --ADD
notaddsub_b <= '0' & addsub_b & c_in(0);
else --SUB
notaddsub_b <= not ('0' & addsub_b & c_in(0));
end if;
add_result <= (('0' & addsub_a & notaddsub_b(0)) + notaddsub_b);
c_in(1) <= add_result(9) xor addsub_a(8) xor addsub_b(8);
c_in(2) <= add_result(17) xor addsub_a(16) xor addsub_b(16);
c_in(3) <= add_result(33);
addsub_q <= add_result(32 downto 1);
addsub_ofl(0) <= (c_in(1) xor add_result(8) xor addsub_a(7) xor addsub_b(7)); --V Byte
addsub_ofl(1) <= (c_in(2) xor add_result(16) xor addsub_a(15) xor addsub_b(15)); --V Word
addsub_ofl(2) <= (c_in(3) xor add_result(32) xor addsub_a(31) xor addsub_b(31)); --V Long
c_out <= c_in(3 downto 1);
end process;
------------------------------------------------------------------------------
--ALU
------------------------------------------------------------------------------
process (OP1out, OP2out, pack_a, niba_hc, niba_h, niba_l, niba_lc, nibs_hc, nibs_h, nibs_l, nibs_lc, Flags)
begin
if exe_opcode(7 downto 6) = "01" then
-- PACK
pack_a <= std_logic_vector(unsigned(OP1out(15 downto 0)) + unsigned(OP2out(15 downto 0)));
pack_out <= "00000000" & pack_a(11 downto 8) & pack_a(3 downto 0);
else
-- UNPK
pack_a <= "0000" & OP2out(7 downto 4) & "0000" & OP2out(3 downto 0);
pack_out <= std_logic_vector(unsigned(OP1out(15 downto 0)) + unsigned(pack_a));
end if;
--BCD_ARITH-------------------------------------------------------------------
--ADC
bcd_a <= niba_hc & (niba_h(4 downto 1) + ('0', niba_hc, niba_hc, '0')) & (niba_l(4 downto 1) + ('0', niba_lc, niba_lc, '0'));
niba_l <= ('0' & OP1out(3 downto 0) & '1') + ('0' & OP2out(3 downto 0) & Flags(4));
niba_lc <= niba_l(5) OR (niba_l(4) and niba_l(3)) OR (niba_l(4) and niba_l(2));
niba_h <= ('0' & OP1out(7 downto 4) & '1') + ('0' & OP2out(7 downto 4) & niba_lc);
niba_hc <= niba_h(5) OR (niba_h(4) and niba_h(3)) OR (niba_h(4) and niba_h(2));
--SBC
bcd_s <= nibs_hc & (nibs_h(4 downto 1) - ('0', nibs_hc, nibs_hc, '0')) & (nibs_l(4 downto 1) - ('0', nibs_lc, nibs_lc, '0'));
nibs_l <= ('0' & OP1out(3 downto 0) & '0') - ('0' & OP2out(3 downto 0) & Flags(4));
nibs_lc <= nibs_l(5);
nibs_h <= ('0' & OP1out(7 downto 4) & '0') - ('0' & OP2out(7 downto 4) & nibs_lc);
nibs_hc <= nibs_h(5);
end process;
-----------------------------------------------------------------------------
-- Bits
-----------------------------------------------------------------------------
process (clk, exe_opcode, OP1out, OP2out, one_bit_in, bchg, bset, bit_Number, sndOPC, reg_QB)
begin
if rising_edge(clk) then
if clkena_lw = '1' then
bchg <= '0';
bset <= '0';
case opcode(7 downto 6) IS
when "01" => --bchg
bchg <= '1';
when "11" => --bset
bset <= '1';
when others => NULL;
end case;
end if;
end if;
if exe_opcode(8) = '0' then
if exe_opcode(5 downto 4) = "00" then
bit_number <= sndOPC(4 downto 0);
else
bit_number <= "00" & sndOPC(2 downto 0);
end if;
else
if exe_opcode(5 downto 4) = "00" then
bit_number <= reg_QB(4 downto 0);
else
bit_number <= "00" & reg_QB(2 downto 0);
end if;
end if;
one_bit_in <= OP1out(to_integer(unsigned(bit_Number)));
bits_out <= OP1out;
bits_out(to_integer(unsigned(bit_Number))) <= (bchg and not one_bit_in) OR bset;
end process;
-----------------------------------------------------------------------------
-- Bit Field
-----------------------------------------------------------------------------
-- Bitfields can have up to four (register) operands, e.g. bfins d0,d1{d2,d3}
-- the width an offset operands are evaluated while the second opcode word is
-- evaluated. These values are latched, so the two other registers can be read
-- in the next cycle while the ALU is working since the tg68k can only read
-- from two registers at once.
--
-- All bitfield operations can operate on registers or memory. There are
-- two fundamental differences which make the shifters quite complex:
-- 1. Memory content is delivered byte aligned to the ALU. Thus all shifting
-- is 7 bits far at most. Registers are 32 bit in size and may require
-- shifting of up to 31 bit positions
-- 2. Memory operations can affect 5 bytes. Thus all shifting is 40 bit in that
-- case. Registers are 32 bit in size and bitfield operations wrap. Shifts
-- are actually rotations for that reason
--
-- The destination operand is transfered via op1out and bf_ext into the ALU.
--
-- bftst, bfset, bfclr and bfchg
--------------------------------
-- bftst, bfset, bfclr and bfchg work very similar. A "sign" vector is generated
-- having "width" right aligned 0-bits and the rest ones.
-- A "copy" vector is generated from this by shifting through copymux so
-- this contains a 1 for all bits in bf_ext_in & op1out that will not be
-- affected by the operation.
-- The result vector is either all 1's (bfset), all 0's(bfclr) or the inverse
-- of bf_ext_in & op1out. Those bits in result that have a 1 in the copy
-- vector are overwritten with the original value from bf_ext_in & op1out
-- The result is returned through bf_ext_out and ALUout
--
-- These instructions only calculate the Z and N flags. Both are derived
-- directly from bf_ext_in & op1out with the help of the copy vector and
-- the offset/width fields. Thus Z and N are set from the previous contents
-- of the bitfield.
--
-- bfins
--------
-- bfins reuses most of the functionality of bfset, bfclr and bfchg. But it
-- has another 32 bit parameter that's being used for the source. This is passed
-- to the ALU via op2out. This is moved to the shift register and shifted
-- bf_shift bits to the right.
-- The input valus is also store in datareg and the lowest "width" bits
-- are masked. This is then forwarded to op1in which in turn uses the normal
-- mechanisms to generate the flags. A special bf_NFlag is also generated
-- from this. Z and N are set from these and not from the previous bitfield
-- contents as with bfset, bfclr or bfchg
--
-- bfextu/bfexts
----------------
-- bfexts and bfextu use the same shifter that is used by bfins to shift the
-- data to be inserted. It's using that same shifter to shift data in the
-- opposite direction. Flags are set from the extraced data
--
-- bfffo
--------
-- bfffo uses the same data path as bfext. But instead of directly returning
-- the extracted data it determines the highest bit setin the result
process (clk, bf_ins, bf_bchg, bf_bset, bf_exts, bf_extu, bf_set2, OP1out, OP2out, result_tmp, bf_ext_in,
datareg, bf_NFlag, result, reg_QB, sign, bf_d32, copy, bf_loffset, bf_width)
begin
if rising_edge(clk) then
if clkena_lw = '1' then
bf_bset <= '0';
bf_bchg <= '0';
bf_ins <= '0';
bf_exts <= '0';
bf_extu <= '0';
bf_fffo <= '0';
bf_d32 <= '0';
case opcode(10 downto 8) IS
when "010" => bf_bchg <= '1'; --BFCHG
when "011" => bf_exts <= '1'; --BFEXTS
when "001" => bf_extu <= '1'; --BFEXTU
-- when "100" => insert <= (others =>'0'); --BFCLR
when "101" => bf_fffo <= '1'; --BFFFO
when "110" => bf_bset <= '1'; --BFSET
when "111" => bf_ins <= '1'; --BFinS
when others => NULL;
end case;
-- ea is a register
if opcode(4 downto 3) = "00" then
bf_d32 <= '1';
end if;
bf_ext_out <= result(39 downto 32);
end if;
end if;
------------- BF_SET2 --------------
if bf_ins = '1' then
bf_loff_dir <= 32 - bf_loffset;
else
bf_loff_dir <= bf_loffset;
end if;
if bf_d32 = '1' then
-- 32bit: rotate 0..31 bits left or right, don't care for upper 8 bits
bf_set2 <= "--------" & std_logic_vector(unsigned(OP2out) ror to_integer(unsigned(bf_loff_dir)));
else
if bf_ins = '1' then
-- 40 bit: shift 0..7 bits left
bf_set2 <= std_logic_vector(unsigned(bf_ext_in & OP2out) sll to_integer(unsigned(bf_loffset(2 downto 0))));
else
-- 40 bit: shift 0..7 bits right
bf_set2 <= std_logic_vector(unsigned(bf_ext_in & OP2out) srl to_integer(unsigned(bf_loffset(2 downto 0))));
end if;
end if;
------------- COPY --------------
if bf_d32 = '1' then
-- 32bit: rotate 32 bits 0..31 bits left, don't care for upper 8 bits
copy <= "--------" & std_logic_vector(unsigned(sign) rol to_integer(unsigned(bf_loffset)));
else
-- 40 bit: shift 40 bits 0..7 bits left, fill with '1's (hence the two not's)
copy <= not std_logic_vector(unsigned(x"00" & (not sign)) sll to_integer(unsigned(bf_loffset(2 downto 0))));
end if;
if bf_ins = '1' then
datareg <= reg_QB;
else
datareg <= bf_set2(31 downto 0);
end if;
-- do the bitfield operation itself
if bf_ins = '1' then
result <= bf_set2;
elsif bf_bchg = '1' then
result <= not (bf_ext_in & OP1out);
elsif bf_bset = '1' then
result <= (others => '1');
else
result <= (others => '0');
end if;
sign <= (others => '0');
bf_NFlag <= datareg(to_integer(unsigned(bf_width)));
for i in 0 TO 31 loop
if i > bf_width then
datareg(i) <= '0';
sign(i) <= '1';
end if;
end loop;
-- Set bits 32..39 to 0 if operating on register to make sure
-- zero flag calculation over all 40 bits works correctly
result_tmp(31 downto 0) <= OP1out;
if bf_d32 = '1' then
result_tmp(39 downto 32) <= "00000000";
else
result_tmp(39 downto 32) <= bf_ext_in;
end if;
bf_flag_z <= '1';
if bf_d32 = '0' then
-- The test for this overflow shouldn't be needed. But GHDL complains
-- otherwise.
if(to_integer(unsigned('0' & bf_loffset)+unsigned(bf_width)) > 39) then
bf_flag_n <= result_tmp(39);
else
bf_flag_n <= result_tmp(to_integer(unsigned('0' & bf_loffset)+unsigned(bf_width)));
end if;
else
--TH: TODO: check if this really does what it's supposed to
bf_flag_n <= result_tmp(to_integer(unsigned(bf_loffset)+unsigned(bf_width)));
end if;
for i in 0 TO 39 loop
if copy(i) = '1' then
result(i) <= result_tmp(i);
elsif result_tmp(i) = '1' then
bf_flag_z <= '0';
end if;
end loop;
if bf_exts = '1' and bf_NFlag = '1' then
bf_datareg <= datareg OR sign;
else
bf_datareg <= datareg;
end if;
--BFFFO
if datareg(31) = '1' then bf_firstbit <= "100000";
elsif datareg(30) = '1' then bf_firstbit <= "011111";
elsif datareg(29) = '1' then bf_firstbit <= "011110";
elsif datareg(28) = '1' then bf_firstbit <= "011101";
elsif datareg(27) = '1' then bf_firstbit <= "011100";
elsif datareg(26) = '1' then bf_firstbit <= "011011";
elsif datareg(25) = '1' then bf_firstbit <= "011010";
elsif datareg(24) = '1' then bf_firstbit <= "011001";
elsif datareg(23) = '1' then bf_firstbit <= "011000";
elsif datareg(22) = '1' then bf_firstbit <= "010111";
elsif datareg(21) = '1' then bf_firstbit <= "010110";
elsif datareg(20) = '1' then bf_firstbit <= "010101";
elsif datareg(19) = '1' then bf_firstbit <= "010100";
elsif datareg(18) = '1' then bf_firstbit <= "010011";
elsif datareg(17) = '1' then bf_firstbit <= "010010";
elsif datareg(16) = '1' then bf_firstbit <= "010001";
elsif datareg(15) = '1' then bf_firstbit <= "010000";
elsif datareg(14) = '1' then bf_firstbit <= "001111";
elsif datareg(13) = '1' then bf_firstbit <= "001110";
elsif datareg(12) = '1' then bf_firstbit <= "001101";
elsif datareg(11) = '1' then bf_firstbit <= "001100";
elsif datareg(10) = '1' then bf_firstbit <= "001011";
elsif datareg(9) = '1' then bf_firstbit <= "001010";
elsif datareg(8) = '1' then bf_firstbit <= "001001";
elsif datareg(7) = '1' then bf_firstbit <= "001000";
elsif datareg(6) = '1' then bf_firstbit <= "000111";
elsif datareg(5) = '1' then bf_firstbit <= "000110";
elsif datareg(4) = '1' then bf_firstbit <= "000101";
elsif datareg(3) = '1' then bf_firstbit <= "000100";
elsif datareg(2) = '1' then bf_firstbit <= "000011";
elsif datareg(1) = '1' then bf_firstbit <= "000010";
elsif datareg(0) = '1' then bf_firstbit <= "000001";
else bf_firstbit <= "000000";
end if;
end process;
-----------------------------------------------------------------------------
-- Rotation
-----------------------------------------------------------------------------
process (exe_opcode, OP1out, Flags, rot_bits, rot_msb, rot_lsb, rot_rot, exec)
begin
case exe_opcode(7 downto 6) IS
when "00" => --Byte
rot_rot <= OP1out(7);
when "01" | "11" => --Word
rot_rot <= OP1out(15);
when "10" => --Long
rot_rot <= OP1out(31);
when others => NULL;
end case;
case rot_bits IS
when "00" => --ASL, ASR
rot_lsb <= '0';
rot_msb <= rot_rot;
when "01" => --LSL, LSR
rot_lsb <= '0';
rot_msb <= '0';
when "10" => --ROXL, ROXR
rot_lsb <= Flags(4);
rot_msb <= Flags(4);
when "11" => --ROL, ROR
rot_lsb <= rot_rot;
rot_msb <= OP1out(0);
when others => NULL;
end case;
if exec(rot_nop) = '1' then
rot_out <= OP1out;
rot_X <= Flags(4);
if rot_bits = "10" then --ROXL, ROXR
rot_C <= Flags(4);
else
rot_C <= '0';
end if;
else
if exe_opcode(8) = '1' then --left
rot_out <= OP1out(30 downto 0) & rot_lsb;
rot_X <= rot_rot;
rot_C <= rot_rot;
else --right
rot_X <= OP1out(0);
rot_C <= OP1out(0);
rot_out <= rot_msb & OP1out(31 downto 1);
case exe_opcode(7 downto 6) IS
when "00" => --Byte
rot_out(7) <= rot_msb;
when "01" | "11" => --Word
rot_out(15) <= rot_msb;
when others => NULL;
end case;
end if;
end if;
end process;
------------------------------------------------------------------------------
--CCR op
------------------------------------------------------------------------------
process (clk, Reset, exe_opcode, exe_datatype, Flags, last_data_read, OP2out, flag_z, OP1in, c_out, addsub_ofl,
bcd_s, bcd_a, exec)
begin
if exec(andiSR) = '1' then
CCRin <= Flags and last_data_read(7 downto 0);
elsif exec(eoriSR) = '1' then
CCRin <= Flags xor last_data_read(7 downto 0);
elsif exec(oriSR) = '1' then
CCRin <= Flags OR last_data_read(7 downto 0);
else
CCRin <= OP2out(7 downto 0);
end if;
------------------------------------------------------------------------------
--Flags
------------------------------------------------------------------------------
flag_z <= "000";
if exec(use_XZFlag) = '1' and flags(2) = '0' then
flag_z <= "000";
elsif OP1in(7 downto 0) = "00000000" then
flag_z(0) <= '1';
if OP1in(15 downto 8) = "00000000" then
flag_z(1) <= '1';
if OP1in(31 downto 16) = "0000000000000000" then
flag_z(2) <= '1';
end if;
end if;
end if;
-- --Flags NZVC
if exe_datatype = "00" then --Byte
set_flags <= OP1in(7) & flag_z(0) & addsub_ofl(0) & c_out(0);
if exec(opcABCD) = '1' then
set_flags(0) <= bcd_a(8);
elsif exec(opcSBCD) = '1' then
set_flags(0) <= bcd_s(8);
end if;
elsif exe_datatype = "10" OR exec(opcCPMAW) = '1' then --Long
set_flags <= OP1in(31) & flag_z(2) & addsub_ofl(2) & c_out(2);
else --Word
set_flags <= OP1in(15) & flag_z(1) & addsub_ofl(1) & c_out(1);
end if;
if rising_edge(clk) then
if clkena_lw = '1' then
if exec(directSR) = '1' OR set_stop = '1' then
Flags(7 downto 0) <= data_read(7 downto 0);
end if;
if exec(directCCR) = '1' then
Flags(7 downto 0) <= data_read(7 downto 0);
end if;
if exec(opcROT) = '1' then
asl_VFlag <= ((set_flags(3) xor rot_rot) OR asl_VFlag);
else
asl_VFlag <= '0';
end if;
if exec(to_CCR) = '1' then
Flags(7 downto 0) <= CCRin(7 downto 0); --CCR
elsif Z_error = '1' then
if exe_opcode(8) = '0' then
Flags(3 downto 0) <= reg_QA(31) & "000";
else
Flags(3 downto 0) <= "0100";
end if;
elsif exec(no_Flags) = '0' then
if exec(opcADD) = '1' then
Flags(4) <= set_flags(0);
elsif exec(opcROT) = '1' and rot_bits /= "11" and exec(rot_nop) = '0' then
Flags(4) <= rot_X;
end if;
if (exec(opcADD) OR exec(opcCMP)) = '1' then
Flags(3 downto 0) <= set_flags;
elsif exec(opcDIVU) = '1' and DIV_Mode /= 3 then
if V_Flag = '1' then
Flags(3 downto 0) <= "1010";
else
Flags(3 downto 0) <= OP1in(15) & flag_z(1) & "00";
end if;
elsif exec(write_reminder) = '1' and MUL_Mode /= 3 then -- z-flag MULU.l
Flags(3) <= set_flags(3);
Flags(2) <= set_flags(2) and Flags(2);
Flags(1) <= '0';
Flags(0) <= '0';
elsif exec(write_lowlong) = '1' and (MUL_Mode = 1 OR MUL_Mode = 2) then -- flag MULU.l
Flags(3) <= set_flags(3);
Flags(2) <= set_flags(2);
Flags(1) <= set_mV_Flag; --V
Flags(0) <= '0';
elsif exec(opcOR) = '1' OR exec(opcand) = '1' OR exec(opcEOR) = '1' OR exec(opcMOVE) = '1' OR exec(opcMOVEQ) = '1' OR exec(opcSWAP) = '1' OR exec(opcBF) = '1' OR (exec(opcMULU) = '1' and MUL_Mode /= 3) then
Flags(1 downto 0) <= "00";
Flags(3 downto 2) <= set_flags(3 downto 2);
if exec(opcBF) = '1' then
-- flags(2) has correctly been set from set_flags
Flags(3) <= bf_NFlag;
--TH TODO: check flag handling of fffo
-- "normal" flags are taken from op2in
if bf_fffo = '0' and bf_extu='0' and bf_exts='0' and bf_ins='0' then
Flags(2) <= bf_flag_z;
Flags(3) <= bf_flag_n;
end if;
end if;
elsif exec(opcROT) = '1' then
Flags(3 downto 2) <= set_flags(3 downto 2);
Flags(0) <= rot_C;
if rot_bits = "00" and ((set_flags(3) xor rot_rot) OR asl_VFlag) = '1' then --ASL/ASR
Flags(1) <= '1';
else
Flags(1) <= '0';
end if;
elsif exec(opcBITS) = '1' then
Flags(2) <= not one_bit_in;
elsif exec(opcCHK) = '1' then
if exe_datatype = "01" then --Word
Flags(3) <= OP1out(15);
else
Flags(3) <= OP1out(31);
end if;
if OP1out(15 downto 0) = X"0000" and (exe_datatype = "01" OR OP1out(31 downto 16) = X"0000") then
Flags(2) <= '1';
else
Flags(2) <= '0';
end if;
Flags(1 downto 0) <= "00";
end if;
end if;
end if;
Flags(7 downto 5) <= "000";
end if;
end process;
-------------------------------------------------------------------------------
---- MULU/MULS
-------------------------------------------------------------------------------
process (exe_opcode, OP2out, muls_msb, mulu_reg, FAsign, mulu_sign, reg_QA, faktorB, result_mulu, signedOP)
begin
if (signedOP = '1' and faktorB(31) = '1') OR FAsign = '1' then
muls_msb <= mulu_reg(63);
else
muls_msb <= '0';
end if;
if signedOP = '1' and faktorB(31) = '1' then
mulu_sign <= '1';
else
mulu_sign <= '0';
end if;
if MUL_Mode = 0 then -- 16 Bit
result_mulu(63 downto 32) <= muls_msb & mulu_reg(63 downto 33);
result_mulu(15 downto 0) <= 'X' & mulu_reg(15 downto 1);
if mulu_reg(0) = '1' then
if FAsign = '1' then
result_mulu(63 downto 47) <= (muls_msb & mulu_reg(63 downto 48) - (mulu_sign & faktorB(31 downto 16)));
else
result_mulu(63 downto 47) <= (muls_msb & mulu_reg(63 downto 48) + (mulu_sign & faktorB(31 downto 16)));
end if;
end if;
else -- 32 Bit
result_mulu <= muls_msb & mulu_reg(63 downto 1);
if mulu_reg(0) = '1' then
if FAsign = '1' then
result_mulu(63 downto 31) <= (muls_msb & mulu_reg(63 downto 32) - (mulu_sign & faktorB));
else
result_mulu(63 downto 31) <= (muls_msb & mulu_reg(63 downto 32) + (mulu_sign & faktorB));
end if;
end if;
end if;
if exe_opcode(15) = '1' OR MUL_Mode = 0 then
faktorB(31 downto 16) <= OP2out(15 downto 0);
faktorB(15 downto 0) <= (others => '0');
else
faktorB <= OP2out;
end if;
if (result_mulu(63 downto 32) = X"00000000" and (signedOP = '0' OR result_mulu(31) = '0')) OR
(result_mulu(63 downto 32) = X"FFFFFFFF" and signedOP = '1' and result_mulu(31) = '1') then
set_mV_Flag <= '0';
else
set_mV_Flag <= '1';
end if;
end process;
process (clk)
begin
if rising_edge(clk) then
if clkena_lw = '1' then
if micro_state = mul1 then
mulu_reg(63 downto 32) <= (others => '0');
if divs = '1' and ((exe_opcode(15) = '1' and reg_QA(15) = '1') OR (exe_opcode(15) = '0' and reg_QA(31) = '1')) then --MULS Neg faktor
FAsign <= '1';
mulu_reg(31 downto 0) <= 0 - reg_QA;
else
FAsign <= '0';
mulu_reg(31 downto 0) <= reg_QA;
end if;
elsif exec(opcMULU) = '0' then
mulu_reg <= result_mulu;
end if;
end if;
end if;
end process;
-------------------------------------------------------------------------------
---- DIVU/DIVS
-------------------------------------------------------------------------------
process (execOPC, OP1out, OP2out, div_reg, div_neg, div_bit, div_sub, div_quot, OP1_sign, div_over, result_div, reg_QA, opcode, sndOPC, divs, exe_opcode, reg_QB,
signedOP, nozero, div_qsign, OP2outext)
begin
divs <= (opcode(15) and opcode(8)) OR (not opcode(15) and sndOPC(11));
divisor(15 downto 0) <= (others => '0');
divisor(63 downto 32) <= (others => divs and reg_QA(31));
if exe_opcode(15) = '1' OR DIV_Mode = 0 then
divisor(47 downto 16) <= reg_QA;
else
divisor(31 downto 0) <= reg_QA;
if exe_opcode(14) = '1' and sndOPC(10) = '1' then
divisor(63 downto 32) <= reg_QB;
end if;
end if;
if signedOP = '1' OR opcode(15) = '0' then
OP2outext <= OP2out(31 downto 16);
else
OP2outext <= (others => '0');
end if;
if signedOP = '1' and OP2out(31) = '1' then
div_sub <= (div_reg(63 downto 31)) + ('1' & OP2out(31 downto 0));
else
div_sub <= (div_reg(63 downto 31)) - ('0' & OP2outext(15 downto 0) & OP2out(15 downto 0));
end if;
if DIV_Mode = 0 then
div_bit <= div_sub(16);
else
div_bit <= div_sub(32);
end if;
if div_bit = '1' then
div_quot(63 downto 32) <= div_reg(62 downto 31);
else
div_quot(63 downto 32) <= div_sub(31 downto 0);
end if;
div_quot(31 downto 0) <= div_reg(30 downto 0) & not div_bit;
if ((nozero = '1' and signedOP = '1' and (OP2out(31) xor OP1_sign xor div_neg xor div_qsign) = '1' ) --Overflow DIVS
OR (signedOP = '0' and div_over(32) = '0')) and DIV_Mode /= 3 then --Overflow DIVU
set_V_Flag <= '1';
else
set_V_Flag <= '0';
end if;
end process;
process (clk)
begin
if rising_edge(clk) then
if clkena_lw = '1' then
V_Flag <= set_V_Flag;
signedOP <= divs;
if micro_state = div1 then
nozero <= '0';
if divs = '1' and divisor(63) = '1' then -- Neg divisor
OP1_sign <= '1';
div_reg <= 0 - divisor;
else
OP1_sign <= '0';
div_reg <= divisor;
end if;
else
div_reg <= div_quot;
nozero <= not div_bit OR nozero;
end if;
if micro_state = div2 then
div_qsign <= not div_bit;
div_neg <= signedOP and (OP2out(31) xor OP1_sign);
if DIV_Mode = 0 then
div_over(32 downto 16) <= ('0' & div_reg(47 downto 32)) - ('0' & OP2out(15 downto 0));
else
div_over <= ('0' & div_reg(63 downto 32)) - ('0' & OP2out);
end if;
end if;
if exec(write_reminder) = '0' then
-- if exec_DIVU='0' then
if div_neg = '1' then
result_div(31 downto 0) <= 0 - div_quot(31 downto 0);
else
result_div(31 downto 0) <= div_quot(31 downto 0);
end if;
if OP1_sign = '1' then
result_div(63 downto 32) <= 0 - div_quot(63 downto 32);
else
result_div(63 downto 32) <= div_quot(63 downto 32);
end if;
end if;
end if;
end if;
end process;
set_V_Flag_out <= set_V_Flag;
Flags_out <= Flags;
c_out_out <= c_out;
addsub_q_out <= addsub_q;
end;
|
----------------------------------------------------------------------------------
-- Company: ITESM CQ
-- Engineer: Miguel Gonzalez A01203712
--
-- Create Date: 11:50:14 11/30/2015
-- Design Name:
-- Module Name: Ultrasonic - Behavioral
-- Project Name:
-- Target Devices:
-- Tool versions:
-- Description: Top of ultrasonic
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library work;
use work.PKG_ROBOT_SUMO.all;
entity Ultrasonic is
Port ( Clk : in STD_LOGIC;
Rst : in STD_LOGIC;
Rx : in STD_LOGIC;
Tx : out STD_LOGIC;
out_ultrasonic : out STD_LOGIC);
end Ultrasonic;
architecture Behavioral of Ultrasonic is
-- State definition
type state_values is (StartPulse,WaitForResponse,UltrasonicResponse,WaitForNewStart);
signal pres_state, next_state : state_values;
-- Define the State duration times for the variable time duration FSM
-- All times are expressed in micro-seconds
constant tStartPulse : integer := 5;
constant tWaitForNewStart : integer := 1_000_000;
-- Define signals used by frequency divider
constant Fosc : integer := 100_000_000; --Frecuencia del oscilador de Nexys3
constant Fdiv : integer := 1_000_000; --Frecuencia deseada del divisor
constant CtaMax : integer := Fosc / Fdiv; --Cuenta maxima a la que hay que llegar
signal Cont : integer range 0 to CtaMax;
signal TimeBase : STD_LOGIC;
-- Define a second counter, used to determine how much
-- time has been spent in a State
signal SecondCount : integer range 0 to tWaitForNewStart;
-- Define a signal that gives the amount of time
-- to be spent in a State
signal StateDuration : integer range 0 to tWaitForNewStart;
-- signals to obtain distance, duration response of the ultrasonic sensor and then convert into distance
signal tIN : integer range 0 to 20_000; -- should be 18500 but we are givin some room
signal Measurement: integer range 0 to 300; -- centimeters
begin
--Regla calcular distancia
-- x = tin * 300 / 18500
-- Generate a TimeBase of one second
freqdiv: process (Rst, Clk)
begin
if Rst = '1' then
Cont <= 0;
elsif (rising_edge(Clk)) then
if Cont = CtaMax then
Cont <= 0;
TimeBase <= '1';
else
Cont <= Cont + 1;
TimeBase <= '0';
end if;
end if;
end process freqdiv;
-- measurement equations
Measurement <= (tIN * 300) / 18500;
--process that count to obtain T_in
tIn_Counter: process(Clk, TimeBase, tIN, Rst)
begin
if(rising_edge(Clk) and TimeBase = '1') then
if(pres_state = WaitForResponse) then
tIN <= 0;
elsif (pres_state = UltrasonicResponse) then
tIN <= tIN + 1;
elsif (pres_state = WaitForNewStart) then
end if;
end if;
end process tIn_Counter;
statereg : process(Clk,TimeBase,Rst)
begin
if Rst = '1' then
pres_state <= StartPulse;
SecondCount <= 0;
elsif (rising_edge(Clk) and TimeBase = '1') then
if SecondCount = StateDuration-1 then
pres_state <= next_state;
SecondCount <= 0;
else
SecondCount <= SecondCount + 1;
end if;
end if;
end process statereg;
fsm : process (pres_state, Rx)
begin
case (pres_state) is
-- ST0
when StartPulse =>
next_state <= WaitForResponse;
StateDuration <= tStartPulse;
-- ST1
when WaitForResponse =>
if Rx = '1' then
next_state <= UltraSonicResponse;
else
next_state <= WaitForResponse;
end if;
StateDuration <= 1;
-- ST2
when UltraSonicResponse =>
if Rx = '0' then
next_state <= WaitForNewStart;
else
next_state <= UltraSonicResponse;
end if;
StateDuration <= 1;
-- ST3
when WaitForNewStart =>
next_state <= StartPulse;
StateDuration <= tWaitForNewStart;
when others =>
next_state <= WaitForResponse;
StateDuration <= tStartPulse;
end case;
end process fsm;
output : process (pres_state,Measurement)
begin
case (pres_state) is
when StartPulse => Tx <= '1';
when WaitForResponse => Tx <= '0';
when UltraSonicResponse => Tx <= '0';
when WaitForNewStart => Tx <= '0';
when others => Tx <= '1';
end case;
if(Measurement < 30) then
out_ultrasonic <= '1'; -- enemy
else
out_ultrasonic <= '0'; -- no detect
end if;
end process output;
end Behavioral;
|
library ieee;
use ieee.std_logic_1164.all;
-- IPN - ESCOM
-- Arquitectura de Computadoras
-- ww ww ww - 3CM9
-- ww.com/arquitectura
package pack_sum_medio is
component eAnd
port(
entrada1_and: in std_logic;
entrada2_and: in std_logic;
salida_and: out std_logic);
end component;
component eXor
port(
entrada1_xor: in std_logic;
entrada2_xor: in std_logic;
salida_xor: out std_logic);
end component;
end pack_sum_medio;
|
library ieee;
use ieee.std_logic_1164.all;
-- IPN - ESCOM
-- Arquitectura de Computadoras
-- ww ww ww - 3CM9
-- ww.com/arquitectura
package pack_sum_medio is
component eAnd
port(
entrada1_and: in std_logic;
entrada2_and: in std_logic;
salida_and: out std_logic);
end component;
component eXor
port(
entrada1_xor: in std_logic;
entrada2_xor: in std_logic;
salida_xor: out std_logic);
end component;
end pack_sum_medio;
|
library verilog;
use verilog.vl_types.all;
entity F2DSS_ACE_MISC_RDET12 is
port(
PCLK : in vl_logic;
PRESETN : in vl_logic;
D : in vl_logic_vector(11 downto 0);
RISE : out vl_logic_vector(11 downto 0)
);
end F2DSS_ACE_MISC_RDET12;
|
library verilog;
use verilog.vl_types.all;
entity F2DSS_ACE_MISC_RDET12 is
port(
PCLK : in vl_logic;
PRESETN : in vl_logic;
D : in vl_logic_vector(11 downto 0);
RISE : out vl_logic_vector(11 downto 0)
);
end F2DSS_ACE_MISC_RDET12;
|
library verilog;
use verilog.vl_types.all;
entity F2DSS_ACE_MISC_RDET12 is
port(
PCLK : in vl_logic;
PRESETN : in vl_logic;
D : in vl_logic_vector(11 downto 0);
RISE : out vl_logic_vector(11 downto 0)
);
end F2DSS_ACE_MISC_RDET12;
|
package pkg is
procedure say_hello;
end pkg;
package body pkg is
procedure say_hello is
begin
report "Hello";
end say_hello;
end pkg;
|
--
-- Copyright (C) 2013 Chris McClelland
--
-- This program is free software: you can redistribute it and/or modify
-- it under the terms of the GNU Lesser General Public License as published by
-- the Free Software Foundation, either version 3 of the License, or
-- (at your option) any later version.
--
-- This program is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU Lesser General Public License for more details.
--
-- You should have received a copy of the GNU Lesser General Public License
-- along with this program. If not, see <http://www.gnu.org/licenses/>.
--
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity sync_recv is
port(
clk_in : in std_logic;
-- Serial in
serClkFE_in : in std_logic; -- serClk falling edge
serData_in : in std_logic;
-- Parallel out
recvData_out : out std_logic_vector(7 downto 0);
recvValid_out : out std_logic
);
end entity;
architecture rtl of sync_recv is
type StateType is (
S_IDLE,
S_RECV_BITS
);
signal state : StateType := S_IDLE;
signal state_next : StateType;
signal recvCount : unsigned(2 downto 0) := (others => '0');
signal recvCount_next : unsigned(2 downto 0);
signal recvData : std_logic_vector(6 downto 0) := (others => '0');
signal recvData_next : std_logic_vector(6 downto 0);
begin
-- Infer registers
process(clk_in)
begin
if ( rising_edge(clk_in) ) then
state <= state_next;
recvCount <= recvCount_next;
recvData <= recvData_next;
end if;
end process;
-- Next state logic
process(
state, serClkFE_in, serData_in, recvCount, recvData)
begin
state_next <= state;
recvCount_next <= recvCount;
recvData_next <= recvData;
recvData_out <= (others => 'X');
recvValid_out <= '0';
case state is
-- Receiving bits
when S_RECV_BITS =>
if ( serClkFE_in = '1' ) then
recvData_next <= serData_in & recvData(6 downto 1);
recvCount_next <= recvCount - 1;
if ( recvCount = 0 ) then
recvData_out <= serData_in & recvData;
recvValid_out <= '1';
state_next <= S_IDLE;
end if;
end if;
-- S_IDLE and others
when others =>
if ( serClkFE_in = '1' ) then
if ( serData_in = '0' ) then
-- This is a start bit; next bit will be data bit zero
recvCount_next <= "111";
state_next <= S_RECV_BITS;
end if;
end if;
end case;
end process;
end architecture;
|
------------------------------------------------------------------------------
-- This file is a part of the GRLIB VHDL IP LIBRARY
-- Copyright (C) 2003 - 2008, Gaisler Research
-- Copyright (C) 2008 - 2013, Aeroflex Gaisler
--
-- This program is free software; you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation; either version 2 of the License, or
-- (at your option) any later version.
--
-- This program is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with this program; if not, write to the Free Software
-- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-----------------------------------------------------------------------------
-- Entity: devices
-- File: devices.vhd
-- Author: Jiri Gaisler, Aeroflex Gaisler
-- Description: Vendor and devices id's for amba plug&play
------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
library grlib;
use grlib.amba.all;
-- pragma translate_off
use std.textio.all;
-- pragma translate_on
package devices is
-- Vendor codes
constant VENDOR_RESERVED : amba_vendor_type := 16#00#; -- Do not use!
constant VENDOR_GAISLER : amba_vendor_type := 16#01#;
constant VENDOR_PENDER : amba_vendor_type := 16#02#;
constant VENDOR_ESA : amba_vendor_type := 16#04#;
constant VENDOR_ASTRIUM : amba_vendor_type := 16#06#;
constant VENDOR_OPENCHIP : amba_vendor_type := 16#07#;
constant VENDOR_OPENCORES : amba_vendor_type := 16#08#;
constant VENDOR_CONTRIB : amba_vendor_type := 16#09#;
constant VENDOR_DLR : amba_vendor_type := 16#0A#;
constant VENDOR_EONIC : amba_vendor_type := 16#0B#;
constant VENDOR_TELECOMPT : amba_vendor_type := 16#0C#;
constant VENDOR_RADIONOR : amba_vendor_type := 16#0F#;
constant VENDOR_GLEICHMANN : amba_vendor_type := 16#10#;
constant VENDOR_MENTA : amba_vendor_type := 16#11#;
constant VENDOR_SUN : amba_vendor_type := 16#13#;
constant VENDOR_MOVIDIA : amba_vendor_type := 16#14#;
constant VENDOR_ORBITA : amba_vendor_type := 16#17#;
constant VENDOR_SYNOPSYS : amba_vendor_type := 16#21#;
constant VENDOR_NASA : amba_vendor_type := 16#22#;
constant VENDOR_S3 : amba_vendor_type := 16#31#;
constant VENDOR_ACTEL : amba_vendor_type := 16#AC#;
constant VENDOR_APPLECORE : amba_vendor_type := 16#AE#;
constant VENDOR_CAL : amba_vendor_type := 16#CA#;
constant VENDOR_CETON : amba_vendor_type := 16#CB#;
constant VENDOR_EMBEDDIT : amba_vendor_type := 16#EA#;
-- Aeroflex Gaisler device id's
constant GAISLER_LEON2DSU : amba_device_type := 16#002#;
constant GAISLER_LEON3 : amba_device_type := 16#003#;
constant GAISLER_LEON3DSU : amba_device_type := 16#004#;
constant GAISLER_ETHAHB : amba_device_type := 16#005#;
constant GAISLER_APBMST : amba_device_type := 16#006#;
constant GAISLER_AHBUART : amba_device_type := 16#007#;
constant GAISLER_SRCTRL : amba_device_type := 16#008#;
constant GAISLER_SDCTRL : amba_device_type := 16#009#;
constant GAISLER_SSRCTRL : amba_device_type := 16#00A#;
constant GAISLER_I2C2AHB : amba_device_type := 16#00B#;
constant GAISLER_APBUART : amba_device_type := 16#00C#;
constant GAISLER_IRQMP : amba_device_type := 16#00D#;
constant GAISLER_AHBRAM : amba_device_type := 16#00E#;
constant GAISLER_AHBDPRAM : amba_device_type := 16#00F#;
constant GAISLER_GRIOMMU2 : amba_device_type := 16#010#;
constant GAISLER_GPTIMER : amba_device_type := 16#011#;
constant GAISLER_PCITRG : amba_device_type := 16#012#;
constant GAISLER_PCISBRG : amba_device_type := 16#013#;
constant GAISLER_PCIFBRG : amba_device_type := 16#014#;
constant GAISLER_PCITRACE : amba_device_type := 16#015#;
constant GAISLER_DMACTRL : amba_device_type := 16#016#;
constant GAISLER_AHBTRACE : amba_device_type := 16#017#;
constant GAISLER_DSUCTRL : amba_device_type := 16#018#;
constant GAISLER_CANAHB : amba_device_type := 16#019#;
constant GAISLER_GPIO : amba_device_type := 16#01A#;
constant GAISLER_AHBROM : amba_device_type := 16#01B#;
constant GAISLER_AHBJTAG : amba_device_type := 16#01C#;
constant GAISLER_ETHMAC : amba_device_type := 16#01D#;
constant GAISLER_SWNODE : amba_device_type := 16#01E#;
constant GAISLER_SPW : amba_device_type := 16#01F#;
constant GAISLER_AHB2AHB : amba_device_type := 16#020#;
constant GAISLER_USBDC : amba_device_type := 16#021#;
constant GAISLER_USB_DCL : amba_device_type := 16#022#;
constant GAISLER_DDRMP : amba_device_type := 16#023#;
constant GAISLER_ATACTRL : amba_device_type := 16#024#;
constant GAISLER_DDRSP : amba_device_type := 16#025#;
constant GAISLER_EHCI : amba_device_type := 16#026#;
constant GAISLER_UHCI : amba_device_type := 16#027#;
constant GAISLER_I2CMST : amba_device_type := 16#028#;
constant GAISLER_SPW2 : amba_device_type := 16#029#;
constant GAISLER_AHBDMA : amba_device_type := 16#02A#;
constant GAISLER_NUHOSP3 : amba_device_type := 16#02B#;
constant GAISLER_CLKGATE : amba_device_type := 16#02C#;
constant GAISLER_SPICTRL : amba_device_type := 16#02D#;
constant GAISLER_DDR2SP : amba_device_type := 16#02E#;
constant GAISLER_SLINK : amba_device_type := 16#02F#;
constant GAISLER_GRTM : amba_device_type := 16#030#;
constant GAISLER_GRTC : amba_device_type := 16#031#;
constant GAISLER_GRPW : amba_device_type := 16#032#;
constant GAISLER_GRCTM : amba_device_type := 16#033#;
constant GAISLER_GRHCAN : amba_device_type := 16#034#;
constant GAISLER_GRFIFO : amba_device_type := 16#035#;
constant GAISLER_GRADCDAC : amba_device_type := 16#036#;
constant GAISLER_GRPULSE : amba_device_type := 16#037#;
constant GAISLER_GRTIMER : amba_device_type := 16#038#;
constant GAISLER_AHB2PP : amba_device_type := 16#039#;
constant GAISLER_GRVERSION : amba_device_type := 16#03A#;
constant GAISLER_APB2PW : amba_device_type := 16#03B#;
constant GAISLER_PW2APB : amba_device_type := 16#03C#;
constant GAISLER_GRCAN : amba_device_type := 16#03D#;
constant GAISLER_I2CSLV : amba_device_type := 16#03E#;
constant GAISLER_U16550 : amba_device_type := 16#03F#;
constant GAISLER_AHBMST_EM : amba_device_type := 16#040#;
constant GAISLER_AHBSLV_EM : amba_device_type := 16#041#;
constant GAISLER_GRTESTMOD : amba_device_type := 16#042#;
constant GAISLER_ASCS : amba_device_type := 16#043#;
constant GAISLER_IPMVBCTRL : amba_device_type := 16#044#;
constant GAISLER_SPIMCTRL : amba_device_type := 16#045#;
constant GAISLER_L4STAT : amba_device_type := 16#047#;
constant GAISLER_LEON4 : amba_device_type := 16#048#;
constant GAISLER_LEON4DSU : amba_device_type := 16#049#;
constant GAISLER_PWM : amba_device_type := 16#04A#;
constant GAISLER_L2CACHE : amba_device_type := 16#04B#;
constant GAISLER_SDCTRL64 : amba_device_type := 16#04C#;
constant GAISLER_GR1553B : amba_device_type := 16#04D#;
constant GAISLER_1553TST : amba_device_type := 16#04E#;
constant GAISLER_GRIOMMU : amba_device_type := 16#04F#;
constant GAISLER_FTAHBRAM : amba_device_type := 16#050#;
constant GAISLER_FTSRCTRL : amba_device_type := 16#051#;
constant GAISLER_AHBSTAT : amba_device_type := 16#052#;
constant GAISLER_LEON3FT : amba_device_type := 16#053#;
constant GAISLER_FTMCTRL : amba_device_type := 16#054#;
constant GAISLER_FTSDCTRL : amba_device_type := 16#055#;
constant GAISLER_FTSRCTRL8 : amba_device_type := 16#056#;
constant GAISLER_MEMSCRUB : amba_device_type := 16#057#;
constant GAISLER_FTSDCTRL64: amba_device_type := 16#058#;
constant GAISLER_NANDFCTRL : amba_device_type := 16#059#;
constant GAISLER_N2DLLCTRL : amba_device_type := 16#05A#;
constant GAISLER_N2PLLCTRL : amba_device_type := 16#05B#;
constant GAISLER_SPI2AHB : amba_device_type := 16#05C#;
constant GAISLER_DDRSDMUX : amba_device_type := 16#05D#;
constant GAISLER_AHBFROM : amba_device_type := 16#05E#;
constant GAISLER_PCIEXP : amba_device_type := 16#05F#;
constant GAISLER_APBPS2 : amba_device_type := 16#060#;
constant GAISLER_VGACTRL : amba_device_type := 16#061#;
constant GAISLER_LOGAN : amba_device_type := 16#062#;
constant GAISLER_SVGACTRL : amba_device_type := 16#063#;
constant GAISLER_T1AHB : amba_device_type := 16#064#;
constant GAISLER_MP7WRAP : amba_device_type := 16#065#;
constant GAISLER_GRSYSMON : amba_device_type := 16#066#;
constant GAISLER_GRACECTRL : amba_device_type := 16#067#;
constant GAISLER_ATAHBSLV : amba_device_type := 16#068#;
constant GAISLER_ATAHBMST : amba_device_type := 16#069#;
constant GAISLER_ATAPBSLV : amba_device_type := 16#06A#;
constant GAISLER_MIGDDR2 : amba_device_type := 16#06B#;
constant GAISLER_LCDCTRL : amba_device_type := 16#06C#;
constant GAISLER_SWITCHOVER: amba_device_type := 16#06D#;
constant GAISLER_FIFOUART : amba_device_type := 16#06E#;
constant GAISLER_MUXCTRL : amba_device_type := 16#06F#;
constant GAISLER_B1553BC : amba_device_type := 16#070#;
constant GAISLER_B1553RT : amba_device_type := 16#071#;
constant GAISLER_B1553BRM : amba_device_type := 16#072#;
constant GAISLER_AES : amba_device_type := 16#073#;
constant GAISLER_ECC : amba_device_type := 16#074#;
constant GAISLER_PCIF : amba_device_type := 16#075#;
constant GAISLER_CLKMOD : amba_device_type := 16#076#;
constant GAISLER_HAPSTRAK : amba_device_type := 16#077#;
constant GAISLER_TEST_1X2 : amba_device_type := 16#078#;
constant GAISLER_WILD2AHB : amba_device_type := 16#079#;
constant GAISLER_BIO1 : amba_device_type := 16#07A#;
constant GAISLER_AESDMA : amba_device_type := 16#07B#;
constant GAISLER_GRPCI2 : amba_device_type := 16#07C#;
constant GAISLER_GRPCI2_DMA: amba_device_type := 16#07D#;
constant GAISLER_GRPCI2_TB : amba_device_type := 16#07E#;
constant GAISLER_MMA : amba_device_type := 16#07F#;
constant GAISLER_SATCAN : amba_device_type := 16#080#;
constant GAISLER_CANMUX : amba_device_type := 16#081#;
constant GAISLER_GRTMRX : amba_device_type := 16#082#;
constant GAISLER_GRTCTX : amba_device_type := 16#083#;
constant GAISLER_GRTMDESC : amba_device_type := 16#084#;
constant GAISLER_GRTMVC : amba_device_type := 16#085#;
constant GAISLER_GEFFE : amba_device_type := 16#086#;
constant GAISLER_GPREG : amba_device_type := 16#087#;
constant GAISLER_GRTMPAHB : amba_device_type := 16#088#;
constant GAISLER_SPWCUC : amba_device_type := 16#089#;
constant GAISLER_SPW2_DMA : amba_device_type := 16#08A#;
constant GAISLER_SPWROUTER : amba_device_type := 16#08B#;
constant GAISLER_EDCLMST : amba_device_type := 16#08C#;
constant GAISLER_GRPWTX : amba_device_type := 16#08D#;
constant GAISLER_GRPWRX : amba_device_type := 16#08E#;
constant GAISLER_GPREGBANK : amba_device_type := 16#08F#;
constant GAISLER_MIG_SERIES7 : amba_device_type := 16#090#;
constant GAISLER_SPWBIST : amba_device_type := 16#091#;
constant GAISLER_SGMII : amba_device_type := 16#092#;
constant GAISLER_RGMII : amba_device_type := 16#093#;
constant GAISLER_IRQGEN : amba_device_type := 16#094#;
constant GAISLER_GRDMAC : amba_device_type := 16#095#;
constant GAISLER_AHB2AVLA : amba_device_type := 16#096#;
constant GAISLER_SPWTDP : amba_device_type := 16#097#;
-- Sun Microsystems
constant SUN_T1 : amba_device_type := 16#001#;
constant SUN_S1 : amba_device_type := 16#011#;
-- Caltech
constant CAL_DDRCTRL : amba_device_type := 16#188#;
-- European Space Agency device id's
constant ESA_LEON2 : amba_device_type := 16#002#;
constant ESA_LEON2APB : amba_device_type := 16#003#;
constant ESA_IRQ : amba_device_type := 16#005#;
constant ESA_TIMER : amba_device_type := 16#006#;
constant ESA_UART : amba_device_type := 16#007#;
constant ESA_CFG : amba_device_type := 16#008#;
constant ESA_IO : amba_device_type := 16#009#;
constant ESA_MCTRL : amba_device_type := 16#00F#;
constant ESA_PCIARB : amba_device_type := 16#010#;
constant ESA_HURRICANE : amba_device_type := 16#011#;
constant ESA_SPW_RMAP : amba_device_type := 16#012#;
constant ESA_AHBUART : amba_device_type := 16#013#;
constant ESA_SPWA : amba_device_type := 16#014#;
constant ESA_BOSCHCAN : amba_device_type := 16#015#;
constant ESA_IRQ2 : amba_device_type := 16#016#;
constant ESA_AHBSTAT : amba_device_type := 16#017#;
constant ESA_WPROT : amba_device_type := 16#018#;
constant ESA_WPROT2 : amba_device_type := 16#019#;
constant ESA_PDEC3AMBA : amba_device_type := 16#020#;
constant ESA_PTME3AMBA : amba_device_type := 16#021#;
-- OpenChip ID's
constant OPENCHIP_APBGPIO : amba_device_type := 16#001#;
constant OPENCHIP_APBI2C : amba_device_type := 16#002#;
constant OPENCHIP_APBSPI : amba_device_type := 16#003#;
constant OPENCHIP_APBCHARLCD : amba_device_type := 16#004#;
constant OPENCHIP_APBPWM : amba_device_type := 16#005#;
constant OPENCHIP_APBPS2 : amba_device_type := 16#006#;
constant OPENCHIP_APBMMCSD : amba_device_type := 16#007#;
constant OPENCHIP_APBNAND : amba_device_type := 16#008#;
constant OPENCHIP_APBLPC : amba_device_type := 16#009#;
constant OPENCHIP_APBCF : amba_device_type := 16#00A#;
constant OPENCHIP_APBSYSACE : amba_device_type := 16#00B#;
constant OPENCHIP_APB1WIRE : amba_device_type := 16#00C#;
constant OPENCHIP_APBJTAG : amba_device_type := 16#00D#;
constant OPENCHIP_APBSUI : amba_device_type := 16#00E#;
-- Gleichmann's device id's
constant GLEICHMANN_CUSTOM : amba_device_type := 16#001#;
constant GLEICHMANN_GEOLCD01 : amba_device_type := 16#002#;
constant GLEICHMANN_DAC : amba_device_type := 16#003#;
constant GLEICHMANN_HPI : amba_device_type := 16#004#;
constant GLEICHMANN_SPI : amba_device_type := 16#005#;
constant GLEICHMANN_HIFC : amba_device_type := 16#006#;
constant GLEICHMANN_ADCDAC : amba_device_type := 16#007#;
constant GLEICHMANN_SPIOC : amba_device_type := 16#008#;
constant GLEICHMANN_AC97 : amba_device_type := 16#009#;
-- Orbita device id's
constant ORBITA_1553B : amba_device_type := 16#001#;
constant ORBITA_429 : amba_device_type := 16#002#;
constant ORBITA_SPI : amba_device_type := 16#003#;
constant ORBITA_I2C : amba_device_type := 16#004#;
constant ORBITA_SMARTCARD : amba_device_type := 16#064#;
constant ORBITA_SDCARD : amba_device_type := 16#065#;
constant ORBITA_UART16550 : amba_device_type := 16#066#;
constant ORBITA_CRYPTO : amba_device_type := 16#067#;
constant ORBITA_SYSIF : amba_device_type := 16#068#;
constant ORBITA_PIO : amba_device_type := 16#069#;
constant ORBITA_RTC : amba_device_type := 16#0C8#;
constant ORBITA_COLORLCD : amba_device_type := 16#12C#;
constant ORBITA_PCI : amba_device_type := 16#190#;
constant ORBITA_DSP : amba_device_type := 16#1F4#;
constant ORBITA_USBHOST : amba_device_type := 16#258#;
constant ORBITA_USBDEV : amba_device_type := 16#2BC#;
-- Actel device ids
constant ACTEL_COREMP7 : amba_device_type := 16#001#;
-- NASA device ids
constant NASA_EP32 : amba_device_type := 16#001#;
-- AppleCore device ids
constant APPLECORE_UTLEON3 : amba_device_type := 16#001#;
constant APPLECORE_UTLEON3DSU : amba_device_type := 16#002#;
constant APPLECORE_APBPERFCNT : amba_device_type := 16#003#;
-- Contribution library ID's
constant CONTRIB_CORE1 : amba_device_type := 16#001#;
constant CONTRIB_CORE2 : amba_device_type := 16#002#;
-- grlib system device id's
subtype system_device_type is integer range 0 to 16#ffff#;
constant LEON3_NEXTREME1 : system_device_type := 16#0101#;
constant LEON4_NEXTREME1 : system_device_type := 16#0102#;
constant LEON3_ACT_FUSION : system_device_type := 16#0105#;
constant LEON3_RTAX_CID6RSNETH: system_device_type := 16#0196#;
constant LEON3_RTAX_KARI : system_device_type := 16#0197#;
constant LEON3_RTAX_IAA : system_device_type := 16#0198#;
constant LEON3_RTAX_TECNOBIT : system_device_type := 16#0199#;
constant LEON3_RTAX_TDP8 : system_device_type := 16#0200#;
constant LEON3_RTAX_CID1 : system_device_type := 16#0201#;
constant LEON3_RTAX_CID2 : system_device_type := 16#0202#;
constant LEON3_RTAX_CID3 : system_device_type := 16#0203#;
constant LEON3_RTAX_CID4 : system_device_type := 16#0204#;
constant LEON3_RTAX_CID5 : system_device_type := 16#0205#;
constant LEON3_RTAX_CID6 : system_device_type := 16#0206#;
constant LEON3_RTAX_CID7 : system_device_type := 16#0207#;
constant LEON3_RTAX_CID8 : system_device_type := 16#0208#;
constant LEON3_IHP25RH1 : system_device_type := 16#0251#;
constant NGMP_PROTOTYPE : system_device_type := 16#0281#;
constant NGMP_PROTOTYPE2 : system_device_type := 16#0282#;
constant ALTERA_DE2 : system_device_type := 16#0302#;
constant XILINX_ML401 : system_device_type := 16#0401#;
constant LEON3FT_GRXC4V : system_device_type := 16#0453#;
constant XILINX_ML501 : system_device_type := 16#0501#;
constant XILINX_ML505 : system_device_type := 16#0505#;
constant XILINX_ML506 : system_device_type := 16#0506#;
constant XILINX_ML507 : system_device_type := 16#0507#;
constant XILINX_ML509 : system_device_type := 16#0509#;
constant XILINX_ML510 : system_device_type := 16#0510#;
constant XILINX_SP601 : system_device_type := 16#0601#;
constant XILINX_ML605 : system_device_type := 16#0605#;
constant ORBITA_1 : system_device_type := 16#0631#;
constant ORBITA_OBTMP : system_device_type := 16#0632#;
constant AEROFLEX_UT699 : system_device_type := 16#0699#;
constant AEROFLEX_UT700 : system_device_type := 16#0700#;
constant GAISLER_GR701 : system_device_type := 16#0701#;
constant GAISLER_GR702 : system_device_type := 16#0702#;
constant GAISLER_GR703 : system_device_type := 16#0703#;
constant GAISLER_DARE1 : system_device_type := 16#0704#;
constant GAISLER_GR712RC : system_device_type := 16#0712#;
constant GAISLER_SPWRTRASIC : system_device_type := 16#0718#;
constant AEROFLEX_UT840 : system_device_type := 16#0840#;
-- pragma translate_off
constant GAISLER_DESC : vendor_description := "Aeroflex Gaisler ";
constant gaisler_device_table : device_table_type := (
GAISLER_LEON2DSU => "LEON2 Debug Support Unit ",
GAISLER_LEON3 => "LEON3 SPARC V8 Processor ",
GAISLER_LEON3DSU => "LEON3 Debug Support Unit ",
GAISLER_ETHAHB => "OC ethernet AHB interface ",
GAISLER_AHBRAM => "Single-port AHB SRAM module ",
GAISLER_AHBDPRAM => "Dual-port AHB SRAM module ",
GAISLER_APBMST => "AHB/APB Bridge ",
GAISLER_AHBUART => "AHB Debug UART ",
GAISLER_SRCTRL => "Simple SRAM Controller ",
GAISLER_SDCTRL => "PC133 SDRAM Controller ",
GAISLER_SSRCTRL => "Synchronous SRAM Controller ",
GAISLER_APBUART => "Generic UART ",
GAISLER_IRQMP => "Multi-processor Interrupt Ctrl.",
GAISLER_GPTIMER => "Modular Timer Unit ",
GAISLER_PCITRG => "Simple 32-bit PCI Target ",
GAISLER_PCISBRG => "Simple 32-bit PCI Bridge ",
GAISLER_PCIFBRG => "Fast 32-bit PCI Bridge ",
GAISLER_PCITRACE => "32-bit PCI Trace Buffer ",
GAISLER_DMACTRL => "PCI/AHB DMA controller ",
GAISLER_AHBTRACE => "AMBA Trace Buffer ",
GAISLER_DSUCTRL => "DSU/ETH controller ",
GAISLER_GRTM => "CCSDS Telemetry Encoder ",
GAISLER_GRTC => "CCSDS Telecommand Decoder ",
GAISLER_GRPW => "PacketWire to AMBA AHB I/F ",
GAISLER_GRCTM => "CCSDS Time Manager ",
GAISLER_GRHCAN => "ESA HurriCANe CAN with DMA ",
GAISLER_GRFIFO => "FIFO Controller ",
GAISLER_GRADCDAC => "ADC / DAC Interface ",
GAISLER_GRPULSE => "General Purpose I/O with Pulses",
GAISLER_GRTIMER => "Timer Unit with Latches ",
GAISLER_AHB2PP => "AMBA AHB to Packet Parallel I/F",
GAISLER_GRVERSION => "Version and Revision Register ",
GAISLER_APB2PW => "PacketWire Transmit Interface ",
GAISLER_PW2APB => "PacketWire Receive Interface ",
GAISLER_GRCAN => "CAN Controller with DMA ",
GAISLER_AHBMST_EM => "AMBA Master Emulator ",
GAISLER_AHBSLV_EM => "AMBA Slave Emulator ",
GAISLER_CANAHB => "OC CAN AHB interface ",
GAISLER_GPIO => "General Purpose I/O port ",
GAISLER_AHBROM => "Generic AHB ROM ",
GAISLER_AHB2AHB => "AHB-to-AHB Bridge ",
GAISLER_AHBDMA => "Simple AHB DMA controller ",
GAISLER_NUHOSP3 => "Nuhorizons Spartan3 IO I/F ",
GAISLER_CLKGATE => "Clock gating unit ",
GAISLER_FTAHBRAM => "Generic FT AHB SRAM module ",
GAISLER_FTSRCTRL => "Simple FT SRAM Controller ",
GAISLER_LEON3FT => "LEON3-FT SPARC V8 Processor ",
GAISLER_FTMCTRL => "Memory controller with EDAC ",
GAISLER_FTSDCTRL => "FT PC133 SDRAM Controller ",
GAISLER_FTSRCTRL8 => "FT 8-bit SRAM/16-bit IO Ctrl ",
GAISLER_FTSDCTRL64=> "64-bit FT SDRAM Controller ",
GAISLER_AHBSTAT => "AHB Status Register ",
GAISLER_AHBJTAG => "JTAG Debug Link ",
GAISLER_ETHMAC => "GR Ethernet MAC ",
GAISLER_SWNODE => "SpaceWire Node Interface ",
GAISLER_SPW => "SpaceWire Serial Link ",
GAISLER_VGACTRL => "VGA controller ",
GAISLER_APBPS2 => "PS2 interface ",
GAISLER_LOGAN => "On chip Logic Analyzer ",
GAISLER_SVGACTRL => "SVGA frame buffer ",
GAISLER_T1AHB => "Niagara T1 PCX/AHB bridge ",
GAISLER_B1553BC => "AMBA Wrapper for Core1553BBC ",
GAISLER_B1553RT => "AMBA Wrapper for Core1553BRT ",
GAISLER_B1553BRM => "AMBA Wrapper for Core1553BRM ",
GAISLER_SATCAN => "SatCAN controller ",
GAISLER_CANMUX => "CAN Bus multiplexer ",
GAISLER_GRTMRX => "CCSDS Telemetry Receiver ",
GAISLER_GRTCTX => "CCSDS Telecommand Transmitter ",
GAISLER_GRTMDESC => "CCSDS Telemetry Descriptor ",
GAISLER_GRTMVC => "CCSDS Telemetry VC Generator ",
GAISLER_GRTMPAHB => "CCSDS Telemetry VC AHB Input ",
GAISLER_GEFFE => "Geffe Generator ",
GAISLER_SPWCUC => "CCSDS CUC / SpaceWire I/F ",
GAISLER_GPREG => "General Purpose Register ",
GAISLER_AES => "Advanced Encryption Standard ",
GAISLER_AESDMA => "AES 256 DMA ",
GAISLER_GRPCI2 => "GRPCI2 PCI/AHB bridge ",
GAISLER_GRPCI2_DMA=> "GRPCI2 DMA interface ",
GAISLER_GRPCI2_TB => "GRPCI2 Trace buffer ",
GAISLER_MMA => "Memory Mapped AMBA ",
GAISLER_ECC => "Elliptic Curve Cryptography ",
GAISLER_PCIF => "AMBA Wrapper for CorePCIF ",
GAISLER_USBDC => "GR USB 2.0 Device Controller ",
GAISLER_USB_DCL => "USB Debug Communication Link ",
GAISLER_DDRMP => "Multi-port DDR controller ",
GAISLER_ATACTRL => "ATA controller ",
GAISLER_DDRSP => "Single-port DDR266 controller ",
GAISLER_EHCI => "USB Enhanced Host Controller ",
GAISLER_UHCI => "USB Universal Host Controller ",
GAISLER_I2CMST => "AMBA Wrapper for OC I2C-master ",
GAISLER_I2CSLV => "I2C Slave ",
GAISLER_U16550 => "Simple 16550 UART ",
GAISLER_SPICTRL => "SPI Controller ",
GAISLER_DDR2SP => "Single-port DDR2 controller ",
GAISLER_GRTESTMOD => "Test report module ",
GAISLER_CLKMOD => "CPU Clock Switching Ctrl module",
GAISLER_SLINK => "SLINK Master ",
GAISLER_HAPSTRAK => "HAPS HapsTrak I/O Port ",
GAISLER_TEST_1X2 => "HAPS TEST_1x2 interface ",
GAISLER_WILD2AHB => "WildCard CardBus interface ",
GAISLER_BIO1 => "Basic I/O board BIO1 ",
GAISLER_ASCS => "ASCS Master ",
GAISLER_SPW2 => "GRSPW2 SpaceWire Serial Link ",
GAISLER_IPMVBCTRL => "IPM-bus/MVBC memory controller ",
GAISLER_SPIMCTRL => "SPI Memory Controller ",
GAISLER_L4STAT => "LEON4 Statistics Unit ",
GAISLER_LEON4 => "LEON4 SPARC V8 Processor ",
GAISLER_LEON4DSU => "LEON4 Debug Support Unit ",
GAISLER_PWM => "PWM generator ",
GAISLER_L2CACHE => "L2-Cache Controller ",
GAISLER_SDCTRL64 => "64-bit PC133 SDRAM Controller ",
GAISLER_MP7WRAP => "CoreMP7 wrapper ",
GAISLER_GRSYSMON => "AMBA wrapper for System Monitor",
GAISLER_GRACECTRL => "System ACE I/F Controller ",
GAISLER_ATAHBSLV => "AMBA Test Framework AHB Slave ",
GAISLER_ATAHBMST => "AMBA Test Framework AHB Master ",
GAISLER_ATAPBSLV => "AMBA Test Framework APB Slave ",
GAISLER_MIGDDR2 => "Xilinx MIG DDR2 Controller ",
GAISLER_LCDCTRL => "LCD Controller ",
GAISLER_SWITCHOVER=> "Switchover Logic ",
GAISLER_FIFOUART => "UART with large FIFO ",
GAISLER_MUXCTRL => "Analogue multiplexer control ",
GAISLER_GR1553B => "MIL-STD-1553B Interface ",
GAISLER_1553TST => "MIL-STD-1553B Test Device ",
GAISLER_MEMSCRUB => "AHB Memory Scrubber ",
GAISLER_GRIOMMU => "IO Memory Management Unit ",
GAISLER_SPW2_DMA => "GRSPW Router DMA interface ",
GAISLER_SPWROUTER => "GRSPW Router ",
GAISLER_EDCLMST => "EDCL master interface ",
GAISLER_GRPWTX => "PacketWire Transmitter with DMA",
GAISLER_GRPWRX => "PacketWire Receiver with DMA ",
GAISLER_GRIOMMU2 => "IOMMU secondary master i/f ",
GAISLER_I2C2AHB => "I2C to AHB Bridge ",
GAISLER_NANDFCTRL => "NAND Flash Controller ",
GAISLER_N2PLLCTRL => "N2X PLL Dynamic Config. i/f ",
GAISLER_N2DLLCTRL => "N2X DLL Dynamic Config. i/f ",
GAISLER_GPREGBANK => "General Purpose Register Bank ",
GAISLER_SPI2AHB => "SPI to AHB Bridge ",
GAISLER_DDRSDMUX => "Muxed FT DDR/SDRAM controller ",
GAISLER_AHBFROM => "Flash ROM Memory ",
GAISLER_PCIEXP => "Xilinx PCI EXPRESS Wrapper ",
GAISLER_MIG_SERIES7 => "Xilinx MIG DDR3 Controller ",
GAISLER_SPWBIST => "GRSPW Router BIST ",
GAISLER_SGMII => "XILINX SGMII Interface ",
GAISLER_RGMII => "Gaisler RGMII Interface ",
GAISLER_IRQGEN => "Interrupt generator ",
GAISLER_GRDMAC => "DMA Controller with APB bridge ",
GAISLER_AHB2AVLA => "Avalon-MM memory controller ",
GAISLER_SPWTDP => "CCSDS TDP / SpaceWire I/F ",
others => "Unknown Device ");
constant gaisler_lib : vendor_library_type := (
vendorid => VENDOR_GAISLER,
vendordesc => GAISLER_DESC,
device_table => gaisler_device_table
);
constant ESA_DESC : vendor_description := "European Space Agency ";
constant esa_device_table : device_table_type := (
ESA_LEON2 => "LEON2 SPARC V8 Processor ",
ESA_LEON2APB => "LEON2 Peripheral Bus ",
ESA_IRQ => "LEON2 Interrupt Controller ",
ESA_TIMER => "LEON2 Timer ",
ESA_UART => "LEON2 UART ",
ESA_CFG => "LEON2 Configuration Register ",
ESA_IO => "LEON2 Input/Output ",
ESA_MCTRL => "LEON2 Memory Controller ",
ESA_PCIARB => "PCI Arbiter ",
ESA_HURRICANE => "HurriCANe/HurryAMBA CAN Ctrl ",
ESA_SPW_RMAP => "UoD/Saab SpaceWire/RMAP link ",
ESA_AHBUART => "LEON2 AHB Debug UART ",
ESA_SPWA => "ESA/ASTRIUM SpaceWire link ",
ESA_BOSCHCAN => "SSC/BOSCH CAN Ctrl ",
ESA_IRQ2 => "LEON2 Secondary Irq Controller ",
ESA_AHBSTAT => "LEON2 AHB Status Register ",
ESA_WPROT => "LEON2 Write Protection ",
ESA_WPROT2 => "LEON2 Extended Write Protection",
ESA_PDEC3AMBA => "ESA CCSDS PDEC3AMBA TC Decoder ",
ESA_PTME3AMBA => "ESA CCSDS PTME3AMBA TM Encoder ",
others => "Unknown Device ");
constant esa_lib : vendor_library_type := (
vendorid => VENDOR_ESA,
vendordesc => ESA_DESC,
device_table => esa_device_table
);
constant OPENCHIP_DESC : vendor_description := "OpenChip ";
constant openchip_device_table : device_table_type := (
OPENCHIP_APBGPIO => "APB General Purpose IO ",
OPENCHIP_APBI2C => "APB I2C Interface ",
OPENCHIP_APBSPI => "APB SPI Interface ",
OPENCHIP_APBCHARLCD => "APB Character LCD ",
OPENCHIP_APBPWM => "APB PWM ",
OPENCHIP_APBPS2 => "APB PS/2 Interface ",
OPENCHIP_APBMMCSD => "APB MMC/SD Card Interface ",
OPENCHIP_APBNAND => "APB NAND(SmartMedia) Interface ",
OPENCHIP_APBLPC => "APB LPC Interface ",
OPENCHIP_APBCF => "APB CompactFlash (IDE) ",
OPENCHIP_APBSYSACE => "APB SystemACE Interface ",
OPENCHIP_APB1WIRE => "APB 1-Wire Interface ",
OPENCHIP_APBJTAG => "APB JTAG TAP Master ",
OPENCHIP_APBSUI => "APB Simple User Interface ",
others => "Unknown Device ");
constant openchip_lib : vendor_library_type := (
vendorid => VENDOR_OPENCHIP,
vendordesc => OPENCHIP_DESC,
device_table => openchip_device_table
);
constant GLEICHMANN_DESC : vendor_description := "Gleichmann Electronics ";
constant gleichmann_device_table : device_table_type := (
GLEICHMANN_CUSTOM => "Custom device ",
GLEICHMANN_GEOLCD01 => "GEOLCD01 graphics system ",
GLEICHMANN_DAC => "Sigma delta DAC ",
GLEICHMANN_HPI => "AHB-to-HPI bridge ",
GLEICHMANN_SPI => "SPI master ",
GLEICHMANN_HIFC => "Human interface controller ",
GLEICHMANN_ADCDAC => "Sigma delta ADC/DAC ",
GLEICHMANN_SPIOC => "SPI master for SDCard IF ",
GLEICHMANN_AC97 => "AC97 Controller ",
others => "Unknown Device ");
constant gleichmann_lib : vendor_library_type := (
vendorid => VENDOR_GLEICHMANN,
vendordesc => GLEICHMANN_DESC,
device_table => gleichmann_device_table
);
constant CONTRIB_DESC : vendor_description := "Various contributions ";
constant contrib_device_table : device_table_type := (
CONTRIB_CORE1 => "Contributed core 1 ",
CONTRIB_CORE2 => "Contributed core 2 ",
others => "Unknown Device ");
constant contrib_lib : vendor_library_type := (
vendorid => VENDOR_CONTRIB,
vendordesc => CONTRIB_DESC,
device_table => contrib_device_table
);
constant MENTA_DESC : vendor_description := "Menta ";
constant menta_device_table : device_table_type := (
others => "Unknown Device ");
constant menta_lib : vendor_library_type := (
vendorid => VENDOR_MENTA,
vendordesc => MENTA_DESC,
device_table => menta_device_table
);
constant SUN_DESC : vendor_description := "Sun Microsystems ";
constant sun_device_table : device_table_type := (
SUN_T1 => "Niagara T1 SPARC V9 Processor ",
SUN_S1 => "Niagara S1 SPARC V9 Processor ",
others => "Unknown Device ");
constant sun_lib : vendor_library_type := (
vendorid => VENDOR_SUN,
vendordesc => SUN_DESC,
device_table => sun_device_table
);
constant OPENCORES_DESC : vendor_description := "OpenCores ";
constant opencores_device_table : device_table_type := (
others => "Unknown Device ");
constant opencores_lib : vendor_library_type := (
vendorid => VENDOR_OPENCORES,
vendordesc => OPENCORES_DESC,
device_table => opencores_device_table
);
constant CETON_DESC : vendor_description := "Ceton Corporation ";
constant ceton_device_table : device_table_type := (
others => "Unknown Device ");
constant ceton_lib : vendor_library_type := (
vendorid => VENDOR_CETON,
vendordesc => CETON_DESC,
device_table => ceton_device_table
);
constant SYNOPSYS_DESC : vendor_description := "Synopsys Inc. ";
constant synopsys_device_table : device_table_type := (
others => "Unknown Device ");
constant synopsys_lib : vendor_library_type := (
vendorid => VENDOR_SYNOPSYS,
vendordesc => SYNOPSYS_DESC,
device_table => synopsys_device_table
);
constant EMBEDDIT_DESC : vendor_description := "Embedd.it ";
constant embeddit_device_table : device_table_type := (
others => "Unknown Device ");
constant embeddit_lib : vendor_library_type := (
vendorid => VENDOR_EMBEDDIT,
vendordesc => EMBEDDIT_DESC,
device_table => embeddit_device_table
);
constant dlr_device_table : device_table_type := (
others => "Unknown Device ");
constant DLR_DESC : vendor_description := "German Aerospace Center ";
constant dlr_lib : vendor_library_type := (
vendorid => VENDOR_DLR,
vendordesc => DLR_DESC,
device_table => dlr_device_table
);
constant eonic_device_table : device_table_type := (
others => "Unknown Device ");
constant EONIC_DESC : vendor_description := "Eonic BV ";
constant eonic_lib : vendor_library_type := (
vendorid => VENDOR_EONIC,
vendordesc => EONIC_DESC,
device_table => eonic_device_table
);
constant telecompt_device_table : device_table_type := (
others => "Unknown Device ");
constant TELECOMPT_DESC : vendor_description := "Telecom ParisTech ";
constant telecompt_lib : vendor_library_type := (
vendorid => VENDOR_TELECOMPT,
vendordesc => TELECOMPT_DESC,
device_table => telecompt_device_table
);
constant radionor_device_table : device_table_type := (
others => "Unknown Device ");
constant RADIONOR_DESC : vendor_description := "Radionor Communications ";
constant radionor_lib : vendor_library_type := (
vendorid => VENDOR_RADIONOR,
vendordesc => RADIONOR_DESC,
device_table => radionor_device_table
);
constant orbita_device_table : device_table_type := (
ORBITA_1553B => "MIL-STD-1553B Controller ",
ORBITA_429 => "429 Interface ",
ORBITA_SPI => "SPI Interface ",
ORBITA_I2C => "I2C Interface ",
ORBITA_SMARTCARD => "Smart Card Reader ",
ORBITA_SDCARD => "SD Card Reader ",
ORBITA_UART16550 => "16550 UART ",
ORBITA_CRYPTO => "Crypto Engine ",
ORBITA_SYSIF => "System Interface ",
ORBITA_PIO => "Programmable IO module ",
ORBITA_RTC => "Real-Time Clock ",
ORBITA_COLORLCD => "Color LCD Controller ",
ORBITA_PCI => "PCI Module ",
ORBITA_DSP => "DPS Co-Processor ",
ORBITA_USBHOST => "USB Host ",
ORBITA_USBDEV => "USB Device ",
others => "Unknown Device ");
constant ORBITA_DESC : vendor_description := "Orbita ";
constant orbita_lib : vendor_library_type := (
vendorid => VENDOR_ORBITA,
vendordesc => ORBITA_DESC,
device_table => orbita_device_table
);
constant ACTEL_DESC : vendor_description := "Actel Corporation ";
constant actel_device_table : device_table_type := (
ACTEL_COREMP7 => "CoreMP7 Processor ",
others => "Unknown Device ");
constant actel_lib : vendor_library_type := (
vendorid => VENDOR_ACTEL,
vendordesc => ACTEL_DESC,
device_table => actel_device_table
);
constant NASA_DESC : vendor_description := "NASA ";
constant nasa_device_table : device_table_type := (
NASA_EP32 => "EP32 Forth processor ",
others => "Unknown Device ");
constant nasa_lib : vendor_library_type := (
vendorid => VENDOR_NASA,
vendordesc => NASA_DESC,
device_table => nasa_device_table
);
constant S3_DESC : vendor_description := "S3 Group ";
constant s3_device_table : device_table_type := (
others => "Unknown Device ");
constant s3_lib : vendor_library_type := (
vendorid => VENDOR_S3,
vendordesc => S3_DESC,
device_table => s3_device_table
);
constant APPLECORE_DESC : vendor_description := "AppleCore ";
constant applecore_device_table : device_table_type := (
APPLECORE_UTLEON3 => "AppleCore uT-LEON3 Processor ",
APPLECORE_UTLEON3DSU => "AppleCore uT-LEON3 DSU ",
others => "Unknown Device ");
constant applecore_lib : vendor_library_type := (
vendorid => VENDOR_APPLECORE,
vendordesc => APPLECORE_DESC,
device_table => applecore_device_table
);
constant UNKNOWN_DESC : vendor_description := "Unknown vendor ";
constant unknown_device_table : device_table_type := (
others => "Unknown Device ");
constant unknown_lib : vendor_library_type := (
vendorid => 0,
vendordesc => UNKNOWN_DESC,
device_table => unknown_device_table
);
constant iptable : device_array := (
VENDOR_GAISLER => gaisler_lib,
VENDOR_ESA => esa_lib,
VENDOR_OPENCHIP => openchip_lib,
VENDOR_OPENCORES => opencores_lib,
VENDOR_CONTRIB => contrib_lib,
VENDOR_DLR => dlr_lib,
VENDOR_EONIC => eonic_lib,
VENDOR_TELECOMPT => telecompt_lib,
VENDOR_GLEICHMANN => gleichmann_lib,
VENDOR_MENTA => menta_lib,
VENDOR_EMBEDDIT => embeddit_lib,
VENDOR_SUN => sun_lib,
VENDOR_RADIONOR => radionor_lib,
VENDOR_ORBITA => orbita_lib,
VENDOR_SYNOPSYS => synopsys_lib,
VENDOR_CETON => ceton_lib,
VENDOR_ACTEL => actel_lib,
VENDOR_NASA => nasa_lib,
VENDOR_S3 => s3_lib,
others => unknown_lib);
type system_table_type is array (0 to 4095) of device_description;
constant system_table : system_table_type := (
LEON3_NEXTREME1 => "LEON3 eASIC Nextreme controller",
LEON4_NEXTREME1 => "LEON4 eASIC Nextreme SoC ",
LEON3_ACT_FUSION => "LEON3 Actel Fusion Dev. board ",
LEON3_RTAX_CID2 => "LEON3FT RTAX Configuration 2 ",
LEON3_RTAX_CID5 => "LEON3FT RTAX Configuration 5 ",
LEON3_RTAX_CID6 => "LEON3FT RTAX Configuration 6 ",
LEON3_RTAX_CID7 => "LEON3FT RTAX Configuration 7 ",
LEON3_RTAX_CID8 => "LEON3FT RTAX Configuration 8 ",
ALTERA_DE2 => "Altera DE2 Development board ",
XILINX_ML401 => "Xilinx ML401 Development board ",
XILINX_ML501 => "Xilinx ML501 Development board ",
XILINX_ML505 => "Xilinx ML505 Development board ",
XILINX_ML506 => "Xilinx ML506 Development board ",
XILINX_ML507 => "Xilinx ML507 Development board ",
XILINX_ML509 => "Xilinx ML509 Development board ",
XILINX_ML510 => "Xilinx ML510 Development board ",
XILINX_SP601 => "Xilinx SP601 Development board ",
XILINX_ML605 => "Xilinx ML605 Development board ",
AEROFLEX_UT699 => "Aeroflex UT699 Rad-Hard CPU ",
AEROFLEX_UT700 => "Aeroflex UT700 Rad-Hard CPU ",
GAISLER_DARE1 => "Gaisler DARE1 Rad-Hard CPU ",
GAISLER_GR712RC => "Gaisler GR712RC Rad-Hard CPU ",
NGMP_PROTOTYPE => "NGMP Prototype System-on-Chip ",
NGMP_PROTOTYPE2 => "NGMP Prototype System-on-Chip ",
ORBITA_OBTMP => "Orbita LEON4 prototype system ",
GAISLER_SPWRTRASIC => "Gaisler SpaceWire Router ASIC ",
others => "Unknown system ");
-- pragma translate_on
end;
|
Subsets and Splits
No community queries yet
The top public SQL queries from the community will appear here once available.