content
stringlengths
1
1.04M
-------------------------------------------------------------------------------- --This file is part of fpga_gpib_controller. -- -- Fpga_gpib_controller is free software: you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation, either version 3 of the License, or -- (at your option) any later version. -- -- Fpga_gpib_controller is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- You should have received a copy of the GNU General Public License -- along with Fpga_gpib_controller. If not, see <http://www.gnu.org/licenses/>. ---------------------------------------------------------------------------------- -- Author: Andrzej Paluch -- -- Create Date: 01:04:57 10/01/2011 -- Design Name: -- Module Name: if_func_AH - Behavioral -- Project Name: -- Target Devices: -- Tool versions: -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use work.utilPkg.all; entity if_func_AH is port( -- device inputs clk : in std_logic; -- clock pon : in std_logic; -- power on rdy : in std_logic; -- ready for next message tcs : in std_logic; -- take control synchronously -- state inputs LACS : in std_logic; -- listener active state LADS : in std_logic; -- listener addressed state -- interface inputs ATN : in std_logic; -- attention DAV : in std_logic; -- data accepted -- interface outputs RFD : out std_logic; -- ready for data DAC : out std_logic; -- data accepted -- reported state ANRS : out std_logic; -- acceptor not ready state ACDS : out std_logic -- accept data state ); end if_func_AH; architecture Behavioral of if_func_AH is -- states type AH_STATE is ( -- acceptor idle state ST_AIDS, -- acceptor not ready state ST_ANRS, -- acceptor ready state ST_ACRS, -- acceptor wait for new cycle state ST_AWNS, -- accept data state ST_ACDS ); -- current state signal current_state : AH_STATE; -- events signal event1, event2, event3, event4, event5, event6, event7 : boolean; -- timers constant TIMER_T3_MAX : integer := 3; constant TIMER_T3_TIMEOUT : integer := 2; signal timerT3 : integer range 0 to TIMER_T3_MAX; signal timerT3Expired : boolean; begin -- state machine process process(pon, clk) begin if pon = '1' then current_state <= ST_AIDS; elsif rising_edge(clk) then case current_state is ------------------ when ST_AIDS => if event2 then -- no state change elsif event1 then current_state <= ST_ANRS; end if; ------------------ when ST_ANRS => if event2 then current_state <= ST_AIDS; elsif event4 then current_state <= ST_ACRS; end if; ------------------ when ST_ACRS => if event2 then current_state <= ST_AIDS; elsif event5 then current_state <= ST_ANRS; elsif event6 then timerT3 <= 0; current_state <= ST_ACDS; end if; ------------------ when ST_ACDS => if event2 then current_state <= ST_AIDS; elsif event3 then current_state <= ST_AWNS; end if; if timerT3 < TIMER_T3_MAX then timerT3 <= timerT3 + 1; end if; ------------------ when ST_AWNS => if event2 then current_state <= ST_AIDS; elsif event7 then current_state <= ST_ANRS; end if; ------------------ when others => current_state <= ST_AIDS; end case; end if; end process; -- events event1 <= ATN='1' or LACS='1' or LADS='1'; event2 <= not(ATN='1' or LACS='1' or LADS='1'); event3 <= (rdy='0' and ATN='0') or (timerT3Expired and ATN='1'); event4 <= (ATN='1' or rdy='1') and tcs='0'; event5 <= not (ATN='1' or rdy='1'); event6 <= DAV = '1'; event7 <= DAV = '0'; -- timers timerT3Expired <= timerT3 >= TIMER_T3_TIMEOUT; RFD <= to_stdl( current_state = ST_AIDS or current_state = ST_ACRS ); DAC <= to_stdl( current_state = ST_AIDS or current_state = ST_AWNS ); ACDS <= to_stdl(current_state = ST_ACDS); ANRS <= to_stdl(current_state = ST_ANRS); end Behavioral;
library ieee; use ieee.std_logic_1164.all; use IEEE.std_logic_unsigned.all; entity somasub is port ( sub : in std_logic; A : in std_logic_vector(7 downto 0); B : in std_logic_vector(7 downto 0); R : out std_logic_vector(7 downto 0) ); end entity; architecture rtl of somasub is begin R <= (A - B) when (sub = '1') else (A + B); end rtl;
---------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 02:04:07 12/09/2018 -- Design Name: -- Module Name: top - structural -- Project Name: -- Target Devices: -- Tool versions: -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use ieee.math_real.all; use ieee.std_logic_unsigned.all; use work.fir_filter_shared_package.all; use work.ssg_display_shared_package.all; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --use IEEE.NUMERIC_STD.ALL; -- Uncomment the following library declaration if instantiating -- any Xilinx primitives in this code. --library UNISIM; --use UNISIM.VComponents.all; entity top is port (clk : in std_logic; rst : in std_logic; out_seg_p : out SEG_T; out_dp_p : out std_logic; out_digits_en_p : out DIGITS_EN_T ); end top; architecture structural of top is -- ------------------------------------------------------------------------------------------- -- Components ------------------------------------------------------------------------------------------- -- component fir_generic_transposed_filter is port ( -- Clock and asynchronous reset clk : in std_logic; rst : in std_logic; -- Handshaking interface as sink valid_x_in : in std_logic; -- Valid input sample when acting as sink ready_x_out : out std_logic; -- Ready for input samples when acting as sink valid_h_in : in std_logic; -- Valid coefficient input when acting as sink ready_h_out : out std_logic; -- Ready for coefficients when acting as sink -- Handshaking interface as source valid_y_out : out std_logic; -- Valid output when acting as source ready_y_in : in std_logic; -- Ready input when acting as source -- Input samples & coefficients and Output samples x_data_in : in signed(X_BIT_SIZE-1 downto 0); -- Input samples h_data_in : in signed(H_BIT_SIZE-1 downto 0); -- Coefficients of filter y_data_out : out signed(Y_BIT_SIZE-1 downto 0) -- Output samples ); end component fir_generic_transposed_filter; -- -- Seven Segment Display Component -- component ssg_display is port (clk : in std_logic; rst : in std_logic; in_bcds_p : in std_logic_vector(15 downto 0);-- Four BCD numbers that can be displayed by the four 7-segment digits in_dps_p : in DP_T; -- enable/disable input signals for the decimal point of a digit out_seg_p : out SEG_T; -- enable output signals for seven led segments(cathode) of currently refreshed digit out_dp_p : out std_logic; -- enable ouput signal for the decimal point of currently refreshed digit out_digits_en_p : out DIGITS_EN_T -- enable signals for K=4 digits. only one digit out of K=4 digits is enabled for refresh duration ); end component ssg_display; -- -- Declaration of the KCPSM6 component including default values for generics. -- component kcpsm6 generic( hwbuild : std_logic_vector(7 downto 0) := X"00"; interrupt_vector : std_logic_vector(11 downto 0) := X"3FF"; scratch_pad_memory_size : integer := 64); port ( address : out std_logic_vector(11 downto 0); instruction : in std_logic_vector(17 downto 0); bram_enable : out std_logic; in_port : in std_logic_vector(7 downto 0); out_port : out std_logic_vector(7 downto 0); port_id : out std_logic_vector(7 downto 0); write_strobe : out std_logic; k_write_strobe : out std_logic; read_strobe : out std_logic; interrupt : in std_logic; interrupt_ack : out std_logic; sleep : in std_logic; reset : in std_logic; clk : in std_logic); end component kcpsm6; -- -- Program ROM -- component fir_filter_picoblaze_program is generic( C_FAMILY : string := "S6"; C_RAM_SIZE_KWORDS : integer := 1; C_JTAG_LOADER_ENABLE : integer := 0); Port ( address : in std_logic_vector(11 downto 0); instruction : out std_logic_vector(17 downto 0); enable : in std_logic; rdl : out std_logic; clk : in std_logic); end component fir_filter_picoblaze_program; -- -- Signals for connecting FIR filter and KCPSM6 -- -- signals when pico(source) and fpga(sink) signal en_h_valid : std_logic := '0'; -- in port 00 signal en_h_data : std_logic := '0'; -- in port 01 signal en_x_valid : std_logic := '0'; -- in port 02 signal en_x_data : std_logic := '0'; -- in port 03 signal en_y_ready : std_logic := '0'; -- in port 04 signal h_data_reg : signed(H_BIT_SIZE-1 downto 0) := (others => '0'); signal x_data_reg : signed(X_BIT_SIZE-1 downto 0) := (others => '0'); signal valid_h_reg : std_logic := '0'; signal valid_x_reg : std_logic := '0'; signal ready_y_reg : std_logic := '0'; -- signals when pico(sink) and fpga(source) signal h_ready : std_logic := '0'; signal x_ready : std_logic := '0'; signal y_valid : std_logic := '0'; signal y_data : signed(Y_BIT_SIZE-1 downto 0) := (others => '0'); signal hybrid_write_strobe: std_logic := '0'; -- write strobe for hybrid ports -- -- Signals for connection of ssg_display and KCPSM6. -- signal bcds_sig : std_logic_vector(15 downto 0);-- Four BCD numbers that can be displayed by the four 7-segment digits signal segs_sig : SEG_T; -- enable output signals for seven led segments(cathode) of currently refreshed digit signal dp_sig : std_logic; -- enable ouput signal for the decimal point of currently refreshed digit signal digits_en_sig : DIGITS_EN_T; -- enable signals for K=4 digits. only one digit out of K=4 digits is enabled for refresh duration signal dps_sig : DP_T; -- -- Signals for connection of KCPSM6 and Program Memory. -- signal address : std_logic_vector(11 downto 0); signal instruction : std_logic_vector(17 downto 0); signal bram_enable : std_logic; signal in_port : std_logic_vector(7 downto 0); signal out_port : std_logic_vector(7 downto 0); signal port_id : std_logic_vector(7 downto 0); signal write_strobe : std_logic; signal k_write_strobe : std_logic; signal read_strobe : std_logic; signal interrupt : std_logic; signal interrupt_ack : std_logic; signal kcpsm6_sleep : std_logic; signal kcpsm6_reset : std_logic; -- -- Some additional signals are required if your system also needs to reset KCPSM6. -- signal rdl : std_logic; -- -- When interrupt is to be used then the recommended circuit included below requires -- the following signal to represent the request made from your system. -- signal int_request : std_logic; begin -- -- Components Instances -- fir: fir_generic_transposed_filter port map( clk => clk, rst => rst, ready_x_out => x_ready, valid_h_in => valid_h_reg, valid_x_in => valid_x_reg, ready_h_out => h_ready, valid_y_out => y_valid, ready_y_in => ready_y_reg, x_data_in => x_data_reg, h_data_in => h_data_reg, y_data_out => y_data ); processor: kcpsm6 generic map ( hwbuild => X"00", interrupt_vector => X"3FF", scratch_pad_memory_size => 64) port map( address => address, instruction => instruction, bram_enable => bram_enable, port_id => port_id, write_strobe => write_strobe, k_write_strobe => k_write_strobe, out_port => out_port, read_strobe => read_strobe, in_port => in_port, interrupt => interrupt, interrupt_ack => interrupt_ack, sleep => kcpsm6_sleep, reset => kcpsm6_reset, clk => clk); program_rom: fir_filter_picoblaze_program --Name to match your PSM file generic map( C_FAMILY => "S6", --Family 'S6', 'V6' or '7S' C_RAM_SIZE_KWORDS => 1, --Program size '1', '2' or '4' C_JTAG_LOADER_ENABLE => 1) --Include JTAG Loader when set to '1' port map( address => address, instruction => instruction, enable => bram_enable, rdl => rdl, clk => clk); dps_sig <= std_logic_vector(y_data(Y_BIT_SIZE-1 downto 16)); ssd: ssg_display port map ( clk => clk, rst => rst, in_bcds_p => bcds_sig, in_dps_p => dps_sig, out_seg_p => segs_sig, out_dp_p => dp_sig, out_digits_en_p => digits_en_sig ); -- -- In many designs (especially your first) interrupt and sleep are not used. -- Tie these inputs Low until you need them. Tying 'interrupt' to 'interrupt_ack' -- preserves both signals for future use and avoids a warning message. -- kcpsm6_sleep <= '0'; interrupt <= interrupt_ack; kcpsm6_reset <= rst or rdl; -- enable signals for hybrid output ports hybrid_write_strobe <= write_strobe or k_write_strobe; en_h_valid <= port_id(0) and hybrid_write_strobe; en_x_valid <= port_id(2) and hybrid_write_strobe; en_y_ready <= port_id(4) and hybrid_write_strobe; -- enable signals for normal output ports en_x_data <= port_id(3) and write_strobe; en_h_data <= port_id(1) and write_strobe; mux_in_ports: process( clk ) begin if( clk'event and clk='1') then if( rst = '1' ) then in_port(3 downto 0) <= (others => '0'); else case port_id(2 downto 0) is when "000" => in_port(0) <= h_ready; in_port(7 downto 1) <= (others => '0'); when "001" => in_port(0) <= x_ready; in_port(7 downto 1) <= (others => '0'); when "010" => in_port(1) <= y_valid; in_port(7 downto 2) <= (others => '0'); in_port(0) <= '0'; -- Port 05 IN_Y_PORT_0 when "011" => in_port <= std_logic_vector(y_data(7 downto 0)); -- Port 04 IN_Y_PORT_1 when "100" => in_port <= std_logic_vector(y_data(15 downto 8)); -- Port 05 IN_Y_PORT_2 when "101" => in_port(3 downto 0) <= std_logic_vector(y_data(Y_BIT_SIZE-1 downto 16)); in_port(7 downto 4) <= (others => '0'); when others => in_port <= (others => '-'); end case; end if; end if; end process mux_in_ports; decode_out_ports: process(clk) begin if( clk'event and clk='1') then if( rst = '1') then h_data_reg <= (others => '0'); valid_h_reg <= '0'; valid_x_reg <= '0'; ready_y_reg <= '0'; x_data_reg <= (others => '0'); else case port_id(2 downto 0) is when "000" => if( en_h_valid ='1' ) then valid_h_reg <= out_port(1); end if; when "001" => if( en_h_data = '1' ) then h_data_reg <= signed(out_port); end if; when "010" => if( en_x_valid = '1' ) then valid_x_reg <= out_port(1); end if; when "011" => if( en_x_data = '1' ) then x_data_reg <= signed(out_port); end if; when "100" => if( en_y_ready = '1' ) then ready_y_reg <= out_port(0); end if; when others => valid_h_reg <= valid_h_reg; h_data_reg <= h_data_reg; valid_x_reg <= valid_x_reg; x_data_reg <= x_data_reg; ready_y_reg <= ready_y_reg; end case; end if; end if; end process decode_out_ports; bcds_sig <= std_logic_vector(y_data(15 downto 0)); out_seg_p <= segs_sig; out_dp_p <= dp_sig; out_digits_en_p <= digits_en_sig; end structural;
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block MN2uqUmnHXUDHLertqmMPOjkGlDvqUASmmEgxuQ8cLHKzs9qAw0EyOqiKbnwu3rdwgdRGJpp6oxm lp+sdkX6vQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block hEipokjvwpTyKuLsxj+ZKZLwe55i073IUSgqQ9UjbMnDgje6WTSo/hjrhHyO3N3ew+gMTz8R4nJV p4um8Y52vV5/QgiK5jljm8g4ed0PEiL6MMhik1ph2MQ6BZKCAZtVUR9EzNakY8IpAxweonY1KER6 ytkApMYAhOgyFzrZ9EQ= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block h1fiyG/862FesT4EkFTgL+wi+uBQz1AMn2zQslbNAP1JW/zqdxl7xxRsnQPjMMI68qYQtUxneWyX BfJDdH1ndplaL2ztPYvsY0eN0fUM75YMNkD5sLt5axZlcW77rdj7h29OASeTmLQGv7g/vm6LQwI1 D7ND3vJ5e0WFwN/FBiC9dlcX41/LH07f2epLLg5ik1v5I57lW7NYPK1zPWxaZ99zWtYxuSi4XZ0w /CYcARrNDpYfwy+ZLCsLAXgHRWtqqHAMvHsszxmcOucpWerOv2bxxy1griF3aH9HCIX874xnCgAT vQNeWsWECZ7XeFTl/KitADd3Pfl6rX4CD0ZaoA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block qbTM7TK+LMFa1gEwaGlogmmomsK/pTqvqSdOkmoMs+VXgDye7OFqBZtqjQh1uwJ07h5qTVI/LIHA 1Vc3SJ90ZeltqfTUnP9Y4tLPYJMwBz3OkvpN7LPlCk4H6sFCBlXj7eYQezeusVjUgOLHFDOlnXLT r2LSf/GuXUKzNPEMnCA= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block hOcniRm/QVswOJAGB7do+R+MlnXW1VFWolHiA2v85Da3kBfdtWEBX9LlNgODUBzlb5NNBZBj6mIO itjQCjOJWnyX+O6WPA2NR95QcMk/4VYX4u3jgdKGAfrtMBfDukzeMu539ORt8+t6xFlRkWNDzWZ7 KhezsRmG0OJLgsd7GukIpjmGdahEoC0qYOCfSo6zturZNQnoV1LWT9QFgfITWq198cqcjcP+mbqm yBbogacIjp1eSuBpyho+5DEmk8fwVDdtuqxvHg/cAdXVPiPgvXtydNMsOi4cqpvCgmGjkB4P9t20 7ScNkEHd5H38ccGMhijXP0uQOuKg8vnik5bSdA== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 20880) `protect data_block JIgHH2nbYmzQ/s02HdBECfxZ7srbyI6UrVnNHCmiSR5w/rXnEU1/KLJWNvcH8sRHyedCqLv06IHO yvW3LIFb6qbxEefd7eVGDqfT+O5VeHQmaArb36K4KWwCYl6Tp8yf9Rrqt+/vX2fIojOcPkpZ2ro6 UcEz6QvGOtomx7yaNO02Z7T5UtE5EsrjhVIzPTD50R3X0UQDLzUbCt/6dYk7XR3taYrv9eeNHSCt 1NsqyZ0tqAwHt5EpjEF1u9keJzdZyePH4odja06XkcTb6DQP49ZTAETwqRppUfkHMe8GFfBDsgls pWmXAdbv1dpdIvsR6GKAA6CrBW8e3Y31UJaJvVBrShyDZepqfAe8cS/Cvd33RaxoSST31kRo/nAX tpTrl8wNczELRsyXEWG7ojxLg0xnbyY9MrNTi/0gjWAXZuwLkWD5Hf5Qa2TpCNYmUChqU0VIjG/+ PDm1bNlGpk2nFkZwj16Y0ekJ14uLVASF3qK0RTpZsNgo9NaizhRpd94c3a5HY7pmViGDcXuFgfys TgSebH6MVZ9e474REy2sYd8jzUO2isRg3HECPYnlUk1OimtN7VeO3EbO+0JW2rdTTeulg8uDQ7q+ dNTTCO5U4Gnv6g1+RwEh7zdmDIetI3MG0FWezGa3ZFgONIyllNWczYpOyUY3Yn+VMAzKokcCPF+A 5JATRYYXfHq38DuxcgX5Sn5CFCSUYdfzh7SvoYQJwmBQPXHcv8A3ljRx8GsHGwgp87wS1lnDscum QLIWxZfMRhYGJdKwc3OlJd1LZzhx/pO9DJMWgn3WduDEI8E06zi+QmckCL+4u1xQ49TyvOchVHip G1xY2X6HkFcpTt0EUOOIZZhpShRTVVFx6lO/t2lrwoJlCeyTXmTnl5HTmbyAVDxGVD29QpaNgKQj 8XwkugyLCsbbCkf88HUzHf2JD6hKZ3zc11wgCnlmJO3KKYhrAYlaFChvjZ3rCi+LFPhI6q9fW7LS fJpVaRN2MVV6eHqqnTh+qMikTRIeg3ZObRV1yXjTPGTHyHFA9WBDA6mLju65h9Rxo7O6skBBjGcX GUlj0MIAlcs+uPKjYEX8GE7mDj7/m0G2n+abol3c6IUDz/vlndVne+iVYh9pa/O1Bsd+6ZEnp2rJ sbfBULqd5TKfKXoTVnk/dKJWNnC0pof1O1H+I+slZIRLBsRNUGq+vFr9zzWoyEhBSYwZnTLYxw+U QFpYJFCeQw7IImkmhRae8CSJOmUg2dEGoO6L2YLuuVLp9OvC8HydABdR6YGd2BvUP63YoLichGNS 61bRz/5t/CnxRn6e9dBXU+epy9Rllqs4bQbaO/M7hU1scfZ0MOqanyJhiHrlxXxPy0sBl0D7c9gd k1+qews+pMJ76bQUy6QCsbe2xggALVTJlaQSSEu616F/MK9Q59yKJp/Gl+uThgKwscW/aYcV2qW+ xMdU7I9rYg1DY4AxoNaUaCon1zLS/e861VtlJWGP/qc9IOSN1y/Evudej8oi+zJbZVUwpVXp9T4b f8IF2mARcdX27u803De56fEOe4k9WVosrf3c+nIIl/u9gIATBAxYdA28wWqueDVMBsaS4qYeiGCT bSJ1FgtsbSmBRIUJWnBJhEybqutYjRopEingImEMzbcCUADtg6VPxPOMH4U/cFuhL1VQODzD2Bq1 QJ+mt3VcvC3Mwsj/7i4Y+wqZhJeqD904Lm2nOaBMcwrdWbP7I8vW1CoCSmnxZ6f+rbkULb2gt0U0 b89hpskOXIMFzimy00kGfBpgI7pJw3q6c8peB9Z4PRb1YDs7O/NAo9gzmnsJKR+GC2l5VJSZaV1Q 0m0bZH5U7sSf5VyeeX3VE444Bg8Rugk35KKoaUpPuY/tYE8jU07GFrwnE2Sx9YLx8jnf9V+fZWnB lMm6k3Y1hZuu/PSD884z5wiL05uh+CvMIBnw16XOnuh6aDZQCXoObbbHPOZjDZQfnUEvzyxjO39d Tifbu1sHLrD5mk7wKAqFp9E5m1PCCk5N/I6/U2aWj0slMfmfNMCrCREjBM8KbdpfgR5nc27NOpss m9thSfKo7CPaCdQXCsc3CnWFzJyf8ftsu/TSil0A2qBNpqmB5kZ7BpMurNTKvlayd1I6zqiYmZYL zOXELvSguanOSwPA/jfWgrRPQGwIdCE1IKM7vEui4j9tHAEN9NdPL75+Nz5EGyd9BtnB5He6OTnA 9X/M+C3AblU+xqqTusJWx4GYLwteNTaUoBRBWGRIKMl00I/5dzBdEgm5am4QHBx3r0jHqKJ47ZJ8 W89t9HP2ncbD4dGwLIH/qdXT8cXQbg4w6tzzLNeqNU4qJVfZsekKmFQO7fS/koF7zH6TLeHN7fIs Q7inFhfsar4CRw6edEVPeVia0lXDXAL2AkW9uhoeibU/PEA3qdPpCmP2cyOoHYUkrgVxQMSzc2in NLhhDCSE8GpsActeW+x09xwxZkhtrhIjYBiPvfM6kTyLp8fd/XUqX3I7/MrAs6pfpqneCn7Ru4sO +q2l7vo2Z2XIE+Z67EOw8FEv4iI3TZFFKZrVomZD70uwMV9SirBmBOJpeMZIapQbxZW5Dx4KsW2/ QplGvws0r9D43/4dcWXgnacMxSBqeAlkKGNJYKp83hFHcnzUs5KAjxRpJb6EkBuOkWgJmlPbXqOs gZsMNRuLGMcjmUc9iZfiS2hZlE8QX/97ewonoqucbMf8S8aUpG6oLxkCGy2TRGHUb08hQMkTrxDz dA3REFWIHJDpXHp9hbhdVhbavftvnC6EJgu1JBMOQNJjQWTHygJb5bqZygWfgi7dma6IezUr8lQy 7HdxqlHw4shsQAV+WUlhbD9ogoJDiSqTKAY/RlUk8p3R0ACxLg9FsEjAlZkeu/85CbRFlJbq54gh eQ11tIScjmAhW6u+UF2U2urkNgLGEEc/MtcR1u3iLnruVTP88yLguCfDDpb1zNHs7jwb4DuF9qK6 RLWXdumJqPFFeF+5ZTeAdelL+tMuU4t8vcmDr3AGJgFIGh4hENgk1ArwReQllkfoz2whuVupATUM 7i/4AnCfhr9mAX07SuWsdh2lFH3jb3HErHWdNkq2q9jlkmas62UggL3I2Nk5EadFmI14zB8/RZpQ wIgCeZfr23wacnaAZLYywJWf9ITK6VgVImPmK2PBV0VPZJr/+CBA+PSk9x2doFOTnK2tzIeYG/jL xMGbkWp/DTeLWsICYe/Ed5GN7miKT/BlhOc5JMmxX8W8TNesXaOTcZXkU/77Q+Pr3HUGYMsXujxo iKttmXIJFFuWhiPxdx7Mo9UGrVBdDJydckNKvIRuLr5LJlLWbrrP2s+qwoq2yQe1qAxR917J/ujl LytyX7iIM1jW+M3xGUpl/gvtYUOiewBFUf/1uDZqu8DpbBdT/VRPWVm0Vl53E6OkwDiX9WkOJLSE 3mtRXj+gFs9oyzG2gcovQiulMuIkeHJJT1223nVqJyEtuwv4npxgEoOdPZvGjWLWnr7SZflsbYsN dwbiXf4NMrCfixlCHS90MsixJ83obXj1Vm39Qc6EkVXK05/R39L5Ytw2vtutYiOhhS/+q7GWsRya buyg0B3lF5yHtfTJT773zOPoX22exnZ8QLp5qJeXkeY/Lntuo2izseen9fNJw/Ur5YjFoWTV3NoT /egpqBKqRsGZk3Z+aKatjw6I9FD89gPDEr603/ijflru5Pw1O1T/u+dacjLxgv9bKV2GaJPH4qMA tcjKBfr84pinmaiJNNscbDw4G9f3sfGt4wSoArYy7YeeX/tqibr3bGTKOqhfvpNyjdUpTvuDJnRD Xnsnh8/8T7N86euKmK9SENNci99Njw6/mTf20K4fA8n1VFoUJ+Mzd8KtpEpG47eO5ct9TLUw7hOE 33QQIuoGhYWf743tcLiE0hlRrf9J66jargtIVpoJBbpROjoUsVXcPyR9X5mnLPFDS/4sPPS9WYAs 6tZ8f7k4ZVRBzlJeAQG+6Vjn7ia/TskZnSLv97HLZR1DiWUsxmPSIvzY+NSd/tEChzlgXlz3gDqh whoHxNMY1Ch1PJxxAwFPPGjYzZPESH7DTvegb+LZp1phEnwVcGYqAmEkaP83Vz+0rgZu6P6m96uP QUXCgZEtAPbpbpZo78uaeukuoupIygk2BmPAQ2fjIXvRWG3z+DVe8Ki6YUJvbX4Wg/g0GE0TQorg 7ROyMc7Znsq0PeDjMv1+2RuXNyuelGMBk3pMZDpb25TBltQ3aMP2D8Ua4ebnLNQjs47fg0ldQUZe 9YuH9gEEO4mGN3CnBAAqdtMqsyf5fwLKb3MR3dB60Tj6pDNpSJKUhFhUOQDcDjyYgfQgdQNlh1QD bj3AE4xNgEdJTa9h5dmtBI86Mrs5137SEfjTD4MGMXUfFQSbRz0xTLKa1Qe5IOElVFHb+QIotDVH b4IUoXPs1Z5vcVkRzOSwgpa59I8y7tYNXb5+eFjJXEu/mgeTzuLhiJMFCRL2x3hgXPINOc2PLQ5/ 8QLa86qnEhldzNmrtlgwDu6WFmuSMLeSjtP5tLTO5O0iMRo0T9ikwKsidR2N7oB6cQ5Pj53azTRT 5MlyCrc/9wk2dazHNU5GGLEr8mqOI8s5YezMDcvynoJXTNFZwul+TzqozNjr6FE7Y8nEipoeYnhc RCne7l8h9euDqh0YNswkueDRspAONTKGz9DQkdSX3DKgtQ5l9P4x6VCMselsm9FCvoXVaaPpLLjw FAMzh8By+uHaPLx5KjbOPniSn6cWulCe43v8ftOlhm5YjG8lg5O+4k6HNeQK3y+1mAFs9aQZZtoD L0YvPV9oetDqyzAQYv0MXu5LfxYRSetPmTEvxGrJ0ipkgKavsFCoMPTOLBSCyrTRDFPqCVBvDA2E Wwsp9/Vn2u6QHKCrka0l+RS5GLNpkdSsxwK3SJBdCtaHaef5SP0swe1UDPRNDgNCqXEL7Xwtq0/i AylT+47uQv8JpXZS/IK6BEHb57uEYnSddrDAKBPNOx3kl4qHKWYyi/H08VRFY+a6as652JXTGEja 4FUxXsjt8nMQB0OjN0t5K7U4eaVMRpt3qq4LxTwydETY+gsYCKltRE2kRGWPnGu+V5FCYv14hNS5 3VaZON1TDvEZL/KW4KeRlvgysPBIVJSb0Hu6oWIOJ6JJfncenpJqllFPz+20NTcIgF4JEjoZt+Gw rhZAvDBThLzdUcS+5gt8Gvqj+RPoFUQZkTU3UTRLbpkaTJ/d31nBbgUmnOVqQbf1SSmTWCZ9LGQr veDANtIjlfkk9v7IyVprAQXCh0xeoRXgu+3R+2F4yiDE6IFWfhw0rRPPuNnwb22fP32IQukpRawJ yIRI+eWaHcksrNB5U2Y9CjISnBZATCB+J4Iv2ZVIyNZtu15VB3ErZggrXmWiBjw5/UH7gmQuAP6o HoqD3/Uc21LubSreWa1XUtmYH9O9C3CoMagwRCK/jo2f7ypHzVwr1gHIrin+r5ALDrrq5Vft8KkB Fzi9J/HZVv9pD9PHSpJaAxRgOuIwXNOiy4wfrAZwbpr3sdVX1w0wvqwd6sHcOn7BYjrlfXOtF4Vv UCn/R+YiumzknMwK4xtcXJnd1Se3piDw2Ja//1gLfTkOdLBfRz5BeEOwFpz8SIYPAKW/lGQDeXWY 0Lczl65zUQcwSdh8g6TKrh2eP16mo/1/32rL7h5Y2LGn/CFcjlJMB+V1LDvgBE7EUe6ETlbcJNqa upVXEPh9nvz+m15UxZeK0V829jZQCS0A7SObisXCLxpfyfrzguTqTn0cuN+qPykSr0cF6WAcmyCG WvR/HOkEpnCsWAekn1zZAy0yWdbsMRHRJ5kE5VOu5LxFnQNQKtizWKXaepUY8r7iHw4z/iDWTanX X4ZAoeNSVpoas9AT+YdQJqUhaF3MZlJiVJk8jPDpUXcuiL1HHe+jziVG0DOUtSKAk09K8pizyTMW PxCDtnG/GKRMY6WWOzNJhbQFRJY+K7SGSecdTPomKjnubcj9zcnN0EVEYM4LtqhVDXz+XKOIEVIl zHKKMY3sJE/TbGgJd8HSLZF9g5mb5WVhPyJs6KwVe35yWKJ6MGWPu2gQC7R6BhFuI97hdmo7WCd7 4Vz1fBfFxxqBylXiduKKJxm29qSVPhKQsFrHydlTLDZ6KoizHSZI9H+YmalJSGTPMSRMHol9wc21 /EEUyY+HiHnNwyPBp8znFXgFNKjiV8h1F0ozZ9sO6ItvLRyQD3Lcj12vMCW2V6GZN/1ENwhCS7Xg r/VUhBRU1rY0VG3LyoztU/UF9EAjhnK02SkrsC4Rtb/45UYhSuqK3vozOsnBcPT9D/VJ84dK1N2p aww40tcCp/r7HEuy3tfT8Ri7BXb98sPkNwM7FS7z6sGmevJVWUhKlhvOS4cWuJvtNakmLWrFRGx9 ct32CJ1zp/uxKEfjN4ntuHU3ZerzW0su4bMb1ZMtOC4Ou5mrLlv7oQAkEjoBJeISxEFgfL2H6ELq 6JpRXkVbp8PdehHGe8ePkeSa5olXvP22DqfiaEs3hmrEFS3YNvVV1hi+sLM7gjtPLAXicE+hjL7b spQuL/aI9LIXPcszOJxWyih9LitryxbqzRXBwnoyvbmD7tHE6tqCjBct4Mvvg8A4II8R5EFANtBp IK3ul8VFKBxSh2i1hCC9OS46wuw87qT7HXbi0WyOHWMYMjLW9gB+EwthZ0uHDaoxXiKcR+lbJamt Ygnj5f1MqCjynZd3ij/kfIiVVyPKb3KlBy6iye/K/3wmR6gz6QQGabdm3LMcqLeHO7BgQj+GaDXM d0X2f6WvYmPUCnzNRaR5sGS3STtYdpZSCHhewn3+3KWSaiH9hxvQAUJDSEXn2VLcTIUfoeoBTa/J AoVdPi27jjOlzmsO5e6FMTsvMGSickUZvtCX/POVo40iNUZ1VragXL0N2XRPO6yqWdoRVXB5Zt11 G/C08qrWCBYd0T2aFnTvOjLhA5rON9AZJIPYGi5dERmrirZwT9TTMw2wVO+OUSi3PfDbzzl2Ejqv y5hxky32usaTw+W2s8Q9NiqKCicXrmSCV4dJsnmX7GobrUtcy0CIOKmuJDmPNWVSxz9Zngvarcfo P/33VYn4wz8OATdHRxvvxFA2Etnnlz2golZc7QTl9JKUoHSVdfFtXM6yyt+Rv0GJxkLB+Vz73T++ qMVrGhBjzglPLLAfY4v2rEG1Y7cns0C93qybIF2EtVYJTjzzFyB2V3P1RpkpFW4eDAQQBDw3HS/T KlksVyZDnuEAdLPCZ0CXIM3WGmYZAu6mwnZeSSxn9BOv/Ny/bROlKUBUYzVji1NYEwknZx2Jk+Cp K9ZoJFtDcQpWNOEMA1sx0CToPKlaEa4B1/iuF7K7JzEm08DbQWZ7vmxXvumV/RrAjFXiXAMH+aYD fkaReXoJiJx0RUd4JOvILLSnEJ0zikX4NipJtB2C1yqPIEgOsQ69fY/7fuFlP9z17e4y0UAEzv4L EXLF2L83MG3hvOXGGszdPVCZIyaryq7ilOFZrcHeJmo5l6IH+EczmxMqZFM5rYrMh7Q/Ylm5hnTQ 6mReclFtNMWSqmYZEJoSmxPiBJ8ko0B1hiuOD5DqGQdNwdKmg0UVhpZyDR68AHBpSyzc4zghseUf E1p+dA7zoIzymHm6/q6WgmRznSvSu//L/sK15wDTNvtBPe+Yp/uRXb0U7JVB+lvidggCcbk8HYXb Ztw4fG01ZyhCgrxsSiYsaqbawoB3iAHydeM3iXEki92UOXZUZhUW+VjDzveL9RUDUUIdFyeZpFfT iFjvPQvJ8iZIlNHqKO7rf38BMT+TlRZqc7eXZCIzHn6FFpJzdUW9T0co2rms1ruVNdzOLC2QKMXX X356u3NG63nDWbHHwfm9/QMuMSBO7NyTbbDTn2CEUG+Ey8gnT0XwA5mKytdNWoKDDZMi1oKEWiPC yBEZW/H5tmU9ii268jo9cc3mgD3DXV7Z6JltqpqvDwykEAbDrgvw5rn935YIz0L/ilfhywAzvted 3j0r+qW0+Az8SpCVMGzhB1aCzeLRf1rqjW4l7VNJ11Tjvd3n6TaAp6Op5OZ/N6K4jmPf3+VhVdp+ p3rqxWSP9w5pky2n9pnVmmajmBafjdxRqIRQzW/tsdGkJ5nzfdWv4QjGufkggdcNTdqFrhda73M/ UA+p4FgpHO+M1HEO1Y9Z+JaMPcQb+/PNGRItWLoPGlTTCrGlp+a0D2Cuh8We23cNbsMIFVd9yTW+ d/zsxzR0B+M8yjEbcfiubRRKsfmSYn4EgmycZgaBGgWNil1il3bM+q5pCe2EhEqcLkdHvwsyTDVX zNV4YjmTIFjXLA3in51VA0fMhHsZ2fBJpJExKYq7xlQiaTV0yhkZuU+E0JaMzXiCj5w1oUpKsfpC vjaNPUJeJifkunZGIX7R6aL7BhnO+KjOXvxJOM4UfI3nOfiSWhXMq5U55N4Yfs+YvmnaRvO2aZ5V /mCBvm1OpR7J7aXU8mUfvvaODcIfiKtAIH20Kt8/B0+JIO1Hdskvbj8L5eh5sD1ILERE1DrAZCum rrkcqnoqtvLVRi4+WAX5I6D9Y6WBvV0wuYwoYHlgqR2aS+3f7rExNB6uLhfbvx0C9BfFBe1SDNdj 9kjSg/aI+TtXXTDfxT2L0LirGAFynutj81qp5/KFXLVxoaoy2q8ZFMMRjmi4xMQMutjNH2osjEfE R5myr9Qm35U8v0TtgQiCFW/IsJMbX4Lhh66XB2/L9mQZaRgkUmkswAN7R4bE6tQ6aDXi9z+nuJrF lPvI9DNuZgkbLnRZo0tWNangT/ENzHKhTe+EAsPo1qZixzioSya5QgqHQ6kYmZwJE5w/qG0RnCbi hupM1UsGEO0AUsFV77uZfozZOta0iXLBtOwpXfK04mIJQdH0aA+fpPgE23KJzDJPogslnyH1S7kt CAtGDvogZdyLtXYmjQj8Fg/m1yjNJdwpZgM70hI/lPqz0vgHCwGg7S3/4iOC3UH5P21XcPhetLF7 ef2nzi3ZCfJE9eQ3y0iuWVE9fM82wp5f2KPJtCT1XQWCN6s5rp1/mfGtCUKbXV4Bqj0cj+5ycaaU HhqqGn+jkJ1izL8J3Vl6Og/hccPLMxZENVP5izzubfmyj6Pcs29xQ+V1OrGePX/7hJQTTvvvky3H 8ZT1cV9s5YNr57RfvJnb3wuEP84s+nc7r7Kzifq5DrhDHvhE9wldPPGfrqHxYBKr3aWLwDnO8JL/ 8tQk/fVYu27+Fqgad/ap7U6FXs2EpyTNLpgpXn3QjUBfIhC2IxbscSJ4xEoVcSIBDVTkrcGA6ui9 FSr90hjkSH8/WBIG2i7VPEb9hjVhGpaouPqLL3cMJv8EudBQ/DrRxmN10PBh7TPKgb58l86PHRva qhfnEx3bbMwgBBDsecI8WxaMmwC6pxugZClfIzl5f4NHfFxFLWqjQVtiSUwe4TfqcELDfmAihHOH nhXClXNIbhnSAMg2Cys1yj++4sfBxdIliR3XyfovWp26/oAEestOdD8UTSufs8ZTifZXo+1RLdQF cYGG4FN6D69leqZob2LVFQWtgVe0DsCTLNSeQ9svkMXaUfeEHHfCKZMF18B2tUluLd1l3529NpXm qdsPluwoJ+9LOY572YwZjLVdomrlQW2GApL3TuPeGRVwd9LPz0NMtPd8arcXINaVlDbW/aifkj0C nnH/aWFkMt57n1qP+2gNbSl8ayoi7gefOnK6ziKciwQ9Q9K05qdacEHkYKfmP6li8cF7qCr+w103 D6T0gKRw+Yz9lja84uUYlJtYSnvwof5tvFx6xvnpHf+hmJIByUefqPc5vIj+uiqXCzAePVB0AfHl SlqoIqFLX4tYzKWf75GZaw6y3YjLNEDdKahmQysV6A2Zx4JPkigClSVLNjR6+GSsSNg7fuZVIhXq CgqMyUYJJE1Pfo1K0P1j4zPT0rM5F1pSPPq9UiEzmO6Y3Jfmf7VqdldZ8jKBm26aNHJw2mGjkQaZ KacPHXIOhxpGn4SDOgZmowJak47L+X0InvWHDcOB9J0/DdX80jSE9ft0ci924ceP+Ad0Jw61St+8 PJC2uJ4fc/J3xTO8gO/I9bHHZP15VO67z0tMVK1SmBKwT7xbguQyGygI/2H79M7541iHEs5OjbdS Mop0bYI2REIHLVk4EkHFrOUlt2XVBjPf/nTPYm8R6350RWlm8Hr3js3c6TfgzCuRCfWEP1HTx+XR 7F5vyudm2D6aktrKIzEQkrVnCrQQ9t/yYhBciA3ai/sQlrTm0b0FjZ7Qlf9XtVyurlB1rWrGknMB Ix490SqWt9VPw9+gQwtGnMD2qY/v+bQtBUtpI5qWZIewYACPCftGy8uVtpLbme0nSCmDrOK7lfrN LSNUnNRXZjSOdAUaEliBIZw+3MPcp6tRRgcBFdAHFubgS6IgkZtO43yZbD79JB34E89ajaJDWVa2 YhxrDVF21FCwe0v4PJtZkA319CmHrxXj0c1CDfM/MYY6TjggsOI5Rfp8pfJtQprPpXSR3TkCvqFB nOk7cTBaC+Hhyhtpq5g6vnl8TosBInvKfXJruEpkDhL4vyQr87T4OHzoK+dIyl4on/Y9rkclKMQ5 kYGRNyUcxYTtdXz+Qtpq8/mllTMsvZMwHNjwRjqw2lZp74gqW5JjdbrsDdjYyhRI6wlPXbGMXWPJ LYf/Imz5gFzQm90Sk+rODlXfA9M2nt3XzkMGLV/JWkv3VMbehaxS6sufCkRRkAlFv71RG/afOY1B cOFwMfwrkG0YqF+bIQSEYUKEY15gLfNwbNod+0yPrGySzKE7Uj+1790NzXQAH6E5rc3nV2xRxfpZ /TGV9AD2vMXhsLch67B7wQH85wC0px/iefCXv/mvbHYRDLvngbyYg0w9DUBEJ+KQYU43+rW5Ge4U zoQR2W9xrlxYeSaaX5SZtJ6MkrcUVS0IywlLSnf6CDjCg2g2VolWqiV+W8frSd31+ZwxZsRBdX5x pw/bz5x0ssyqPQWOFZNZMRYJbikGoVAj2xlX+fXsY3PboVxrb8PPzzpMFNSNgpYHpkdaW4XKCs8i k9Y8XCSGgLqMRTeOfOObyo04IfgxlaCPMZxL3xmkS5aUGHx6KsZrZn2vTpyG40wfj3z41cFgVkb0 G4oDHpFfjqQQNbx4XHo0mhyRxzZkRGlYeXxX6m9b/S0obHKq8zyk3BtgXicQ55DwBkIubpL6FYF5 NtQsMCR/2DeolAKg2tff1+IuHPniRB3LW0bvOpKedy+9El70PyFdFi8T/MNKTe62KnNSDZ58N2tf 86nvhOv9pa492ZecPlWwJT/Ek5IvxDhu+Tu07sSx2bXJwmPtegQpPI90GxGIHYIVaBqUntCLANQI o9kGcvDRvE7+2Fq/sQIC3HnmVVYeaZpT61yku3YV/SUl6p2dPav2OSeILM4djOpEb2J1GGQV3ooD YIc9mjCsyXmbgb/TymWrbP4uzaXmYHrSaqG05o8xOROUT0JU2PGLUWMPHsTmQH08y1Ej45NDrAs/ 0muWgZ2vYTO2dahOhO2Q/2PcXjhojSy6ewmQigKF1JTfyP8XLJkfl/OYe3/skJG7vgwcxYnfejx3 LlJh71LRfNfDSEtTi2qh5VWRoUmeuCWva2WWLLywlKqNKMOSl5CFlkBlJwnDbUPexmbueIaelJYi +VXeDZl8yhSpr7ssMY4mrzaGxf2xyLEOpt1KhSZJV2RfT2Degm6ycN5rL8ClXwNMOyf4pFUUBnyI j9XXwsvuN4LI6F2dW4rDq5j6JfPX7D+x1MCKoh65pEIysmXdeZAlJPH2jmq+CcbmIrQ5uDJ2sm/I 1OE0yDMvtBFn/+p1f5TWMdDPpU64ld/BdkWItQLG2fzsRLQNqBs2viVOjntUcJ5zBrRtubi6/3cl q0GbWkkm9BnnbeVA1nAcfwy0XkU4t5oRsxHDW2PD2LNv60LxvBXqjWCC+lVaBW9lb7F8CktqboVL tXCL8U0R+JGXVfOuZdP60a0sSRjvuOBNqexCxmpK+CAFokZp64HpL8rxF5PR3Tm5pXvK3XnJC970 M2aYEugBjpd4exlgXXVD0Zq0RR/WNLoFR5WSuaEv0uY3K07T7cHL5wT/RtZB0//bf3FcKribJupL Gx0cBS8mlofNremixzsVxEeud9cSZGCJFFCn3rv9AxDkdyinat02B6HswPtbK5n2xt4xzNQ9cucF 3HRulXjO09A68n8XkwpRS4i/qN6GX3y8khIccus/R9mFiD50QBag+Um1pgjcSKNLzL6h/uJBrS71 EF98H4yEHrgiPY0Zy9pXN06EWPKA9ChJaUnhuIMw9i6yzUR5DRy3TJtW6MuagLMJhIe83ArMuoPX hodrQVORSYN8k2bqunX02H+M0ZD1MACse++zLs9XiT1diGDSqqfAv6xlXu6KokHGxb2X1BItVSbk oqcyvdxrYiiA+dsfd8ZIg3MRLYEJZYxyBwAf2hkwhjeSxgahO+G1YooHrqtBggCfke2tdE4yNbaj /CJ4A/5lRW/coecPmUa6nOatGcL942nA1KNDhi5mswVdmWM60x1HEJ9q2lyE+uqd3GRn4IXmZ+1R zLJZpBDebyYV51lHwyrg2ReyAPRvfK8CVZYuzgaHwzHDU1mqoyAy8HkKi70cYHKxv2+nOPAzuec4 703K8BNacc+8l6d139oCH59wrOKbtn+d3GWPHXnqfGZp9cJzggmAQ24q+pkWcduq8FdhVMiMzq/U yJXypcYDv50rBjwjW+ev9sBmCU5GxMrR6Lr1qa4/KeG/cKOp2RUeK3sOwtMRm1wqbud3mLhy1l1M Bfr4wjTmS+8jb1q2kMF1FZVf+eeUlTm25Kpcx/mfxJm2Sj08K/XMYz2s4bkR0SezFBMAYYKp2PHO ZA9JISFHmiW7hoYNMKY7zpAG+3DLQO1QUg0AlQJftTMLx5+Ym75ZNZ4eHQPMWWKWjxiEitbmam1i dCH7Ah6mup0z1ETFqgKs95CeBJ2hQPQEvSiThkF6mv+0KGkgLkitYi2ljoIiyGL77R8jWu6AYlYC m9K+ed8yVK6A6sp8T/1lost+/80T9ScKHARDFpZYzFb420yyic9heP29IqkQIypmw2O9CNmHDOjK uAT8BgEq6Msa17K3WWcPA+w/Sa36UqQhw6Ij2bpNm8eNCBH62Uz0C0ryAlllq5YH7m8c1W9XcX7w ZKZRdOjcPjM874anjjEeHMGwlyRpm+o6jH2idV8FZ2z6jd8jOjSZQG4miPQ0EY7BYGmircTU3LNo zPnSkH8v1af1sI6OgXy4+SZ10pQn913Itvjq8IeKXvErKP4cxJvXmpXgup1TiL5XVvKkfbDoIuLK iGK3qlX/K/52PPr8OSvKqRKsgoj9P92rvZ8LlsCd+KVcUt5pVM8S8gRnlSzBGDwfTfxuvlo3I5wY Kdmx0UPFc3iER0X/LpOjYGyg9E4RjC/iTFOua1MOtAwWYa4T2khU4MNd0UWs6h28EXKEoxq30ze4 vSdCxtAhwWmNUzfOKGIJBpriu0bXZjZ0QPE9Qc276LDK3fm2ILicYqYx7/yMy5aGRlOmPplHtOwD QTY6Snm8aGtBTUSn4mYOJ9hytmWVUogFfsX7aWUCb2JkLIDhdI7eB3WBsMjn3yziCkh13e6ZZzzY w/OXOXNTegB4VqdyMiPqnEhkLa9rEt3B5VliirpmZY2Kfz/xdShBEyi4COx1wR0aZFPlvVghFarn L+5Ux3GKF1RzjCL2fXfEGt1QKUkvQOxHrmWWpd1P5GUUPJvaukiLz0Utfm5txygKyYcq7XPamndy Ve99hPxNV67iY5sv1+6Op/5QS1AaoH+0p9NSsJ8JelDGWWY+vQhsOEKhq9HFtJsZw3SpjJBnx2pp sBKmmrPSF7dFpisUharPoE8XueKmK9OAZSPQo+SHWkNTOJR21w9SxX0jp090n0jQqRnaGS4JX4cf U1Q4SfanJboRvN0tazmfbXUZKkOXlYJ5FD8tRYnZTW6JMkr5PxydweCoV/ZMfWuW19xwfJJYocO7 36IB/PpISoTj3Ko4DPnymGg7QwIy0IoSIq/VcC3Y3AAyWt6KfgB7l4m5nmHwHK+B4qPLG6lBF1n5 zZS0e7xaqhU1JBlzPodWw3SYAA5B6lejG2d7s+lxujiTKGb4O/zCGBX9uPZnMGrE2lg9GWuDcSAD 0UjhJcgqDdJmlsiOIREB5dSrCs3dCzOMFcn848DG3cVVrP7ndmWhnCvIUlYGXB5tBVFQ4hfSwimt yyEltxUe2K3GCSxYIH8ddrH3YSleXNRRswXAOF1NaaY3rYiUJkpn2Oi8wK5RergYvPUj7Fe0sMyT 0trU4wHSU6vpi7610Qb0SfAXDUskedv/UTevVFHrWX6frrwoa1P5BeqKS1X3BWnYIz0KPSlA3/QQ Z7vjFBoh0bDkjRhyqvSG3/hOgGcXxfSRtm6Kx8YtirmmXQ7RVBvNzApCqxG21u0GuwWvvx/jPuL0 kA1fF4p1NAhaW0FrSFEsd9hqGfoDZ1vMh4xmIsxnV7wC32HdsbL0RP/xpo67JpXfs2+WiKkk+pUA VR3jP6GaEbfCb4kLw37qIBtMedcqU0SqoFB3E/Ez1Xhkx6Bo+agSXiM2UGaAs1VLXRiKIuKGOLto 7YtxE2NiFeN3hFXuErA4dhsbHLgWNl5KKi+j2L+f7StT2bzEthCRPluQ/2m99U0UcswFc4KXxB+Y 5mPXGREUHNgW+MgvDsCZDSrtBhOzvp9l/3bf0kdXqjZ+tJXtxBwz/QKoj+/AUy+EkX9sYqoLfc7y q2brQwPnWR6NuxpxD7sL7iCo7r+hJZR0s+zXkwiSDUsDO+5kvwI65PJfKtnbGSA7nJspTKMVJEfQ Gwtzs7YkviEJwzosBygtBRTjxi72PZFwm6jh21ieQUu6F/R7exFf6zUcSKZ9Y9ORi9y0EGVtMCHr CmRN/6gp0PPopuPMd7aeMKOB50oUPwr6SpKrmFViTUAqLDIn66hoUanvY6Wztcm106wsVtk10vku KmlTphss+gl+t0FEiiDvPfdM99Dbbi3MWOPFccawxzEGrW/EfyGq50io/MfdUih6prM2I6Zvb+WS 6pD6i8mx/rVcl6qcsOXpfv+2MGVfQ5PeM+numawF7roZJd80VH3m2nN3ocJFdnmA7sudq23qJ0ZM V6qPap5ZSdXehOS0PxqhHNHp0RaFneG1Zsbor4uPgIJaV5CsXdxbiM4FtYsSYZnfTFroOiQzeT2Y RUtIR5Kwn0WrzF7UcDojWkwqsGu6DWVNR06AijPrr0DJGHEMNtfCk1Oo5Uek1QRbB0pQCy3udpwX 4Vf1JJvfosSXGIJwl+9vIApXWug1IAWvbvzEVzEOqpg+vLcH/LIcgZ8VUVx8vOC4CUuveB2CJMQH w03uHMauyC0JBPBJ0G44krppqoCIxn9bMTC+F4jPFlGmF5GE8PJQaRZJ/BYbakfnYys0oYiqtpNd jEfmauZlbkWZJRJYdYyxxFOVtvLf8oJwJ0uRbcoxxgiD6sDJdX09NipS8WEBf/Ro+5TBRMr0Cso1 LuLqOnN5WxUC1gKAT6ID+HgnxBeU7Oo+9VQQj0EeN+ZqBx55G6tvG51FHfvPsIziZ31FyIkc+7PC LkbQs1tuELdoEgK2f+fkLp19kTNpSd+lAh859YfT+tO13ynES35230RIoXujZg5UoGfn5UZAMEQG oPRJEjXpznzrVVf3JJbzsJ5cfqbwqKFIuLrn/YcFxud4ZMzXR0bPUdJOL+czuYLfTdMYKu0//RKj cobC7EgNFwGK82Wy3ZIfnCcBWlo0sv+xjnR5H/IgjC3SNtzvJBLL97EXAwpQIPJK8bXGvttiyv35 /D2pljJu23cvGSR9eL9KPfKaCjAAcSYrZ6Su9lptJ0NVUPgoQ6I6DmM75W02H005eCbT2Ujdwq7a udX3dQv6E6yWv8yhMcQBj3rmiu8OEkiPsIcHkiwxfj6xRXcL5lfa98jCzCrnB+tAwKiVMW5Tlvpg EEs2HKdi6EbDWbSEDYgTkTgx2dlwDKIGsOp1SYPSFPOSCVl3gN/vQYHQl67Cy/l5qJ1KfE9MOzwk ldH1Fgrn7sLwMLIdES5m1RBPXUN+pc1OfSd3En4dzawsA/OyYd2CEaDiaKrAuFGVVMMCG6pKyAxd +yekOfR7JO0NxlsSS3ijY8YCTh8fWVO35H+QtAN2amr1TIllVtsrfi3HhakJql/weGIDfUBQadHe WxMfmB+RiaC40uBu079IUT/qgmBEBisWhV35phVmcjwSjkAE8Sxy+zI7kzv8sYw68C9qqM/TYONn d3Y4IsWwt0DionapDtEmno4FjRfLrit2x4SW83UXu7GPhz0vt7vlk13inK3g+HmNPpP5OFHQhjuk EylkxHHfFdCjX2F3di+ZTHNfVVaWUo1zlu4oAu3xUMnVXSb4IonvJg3BnVJ+r0vLWZD5soJwPDrk u2ZpfscY6gb64dBpedJJTlE4eF58kOG2+c368mqMEDb6b+EoFtkFeCRIxX6JPtDIPKr/q6wkb3hP C4ZxnSSEfPy1ZgE7ewCKAuHy88Yde5b4vDKEmEsPEG5MuqYuNt4euX+RN9AKt4edVqA2H0xKUWck I9N4Qza7PJjR5NupnAjjtntVUGxkrLSSFhaPEOGp4ejl6d29p4C00K8Rvw8yK39sn/fGte4X7Buj 43rmO8feIss4HEaj1Ix9/Oy58Yzo8jD4ExS7c60p+OgKwEAN1mk/6Zj7t5CJ5LOHYS3t1JwloYCA Gefr0sA8e7X+s8giM8mjGtR88q1vXJs+e2TfK46vIdnSF4vR/xi2bWDmjrgSnvcFdFO4wbvEW0pE o0ANCw6xpxIAyVGTGt12jT55LCfC0cZ1sTJRTaLnpDePqL/fkmT7AOgQbskA51t3azZESUOcmzuo NP27TIbkJNWqd6Nqsjn44GgiNoji/U2oLavI6oLg1Bkn6iiXx5HcxhsozIGI02eOuphugk0y7s/d E5F/LSJUkFuPMkGgUJfESBYdmBUl/iHvGuvTPeqwd4c1CSBolvbDmrUzL4jmvQRrwS+7gpVWyGIq gEJPAXyBuZEkAlcADIAUDwz/NJ17CgHuBHW6Q74KgCO2RTISSfEUM97iiWGq0b6s8X5aIMkoRk+c XVDNjAaYRW4x1elw6gtqKgqAFG6i+hWRGJVJMDQjF7rfGg64efjYUR3aTnlvHb1Znpp/86BMAH/N ZpPIZFYnlxv/+szB7TQGQlJr1zgY6PPCC1vVVUZiFrdpVBKfHlQtXA0udLMCMLHFTfsphtrZK020 VXMO/7eQ0MUPLUOeeNF/KksNsMpJ4MgphIsNULMw6yChLI5NQaqMa1wHoX+polbviVUYQNWJ6G42 6LXwSl4bwqa4cFYS/DWNEJ6a7gGddXlxdgP97jotSofdxtMnZCualsnHCnwKFGtJuEiGgrLj3bWv VQsl07PV3oa6RhHIhusDK1KhdPb7Wz2Ry/omBgT4QhlRoi0ydzlVQ/fJqTImYDNGyFapBziJpX7y IvoN+OzDDh1Al9QeD9cQNI+uQtlTco3H7e7wM3ZtWX5WrZ60ao5RPQOS8bqJxfcTj7TJwyd3cPz3 wmknJiR2DCBbBxgUU7DdbFlXTlYwy+BLNRw4rxPQp75IpB8b1efdd2D/Ol+BptLRGjQgLSorVpL3 +G0idaRll3O5Kim9QrPbGCxTTaft/bdttW4rf3v/3Lypkwva/8ZL1rtV5hOhdk35/QA6NGROKniY ekcAMbAQvuaAU3lGKEEsJd5Z5ncXg5Wh+CyonCvhd+giegLsRrIJr/FXtLGGz8VhU+J29crqhuyt vPKaXKy1kFuVw00MXx3uky0vJdQcnFXbWmc+FkrMduorqN5rI2gDIFyOA8MM0I0mA03z6+aSopqC PiRLupKm6oCrvnGCpnGh1JZFqyM/7PrPOIFpP4/jhZ+dxS4Fq9EAgw5xOcyuZdJNpo8vmZyQRSpZ cOEzY99cy6tWnufirrGnlxt4DG8h3SXsyvseEUm/ERU9SwEioHSSz/HscFN8g0JAWcvgga8WHkfs NoSvHp4KVph5FG5DkFLMInnO5tN14HLwRuqq6n7zkQD4ypr/v+s/PlPJjEVsXH3icO9wNHVs9bBY BtiV1/Cr5m27PLF6gFqFRZn1kDW+PKtr4sZwjsov1Btt0psLtbsL2mXFx/gmYK6e1TBBW6IuFwfn WgEajRWMEbphfMWbja2INoa5Figr6vkc1C2OTIYo49F7i2zqMx4pygn9scDbOR9QMhWcAvC/xmM9 KNfq5aZZ3HDP7MgW2RVPUfdgVfOZtP0TWsb39W29kmkVY0JrqVqwUci9Mh0JvUjBY0+mnjVWBWED TatKwg/OymoeSHrRCrkbSgPO3fE4OZ/xAVwbmaUtcsX0Zqj7CARsJQJAicldaLoIGe4Wu8e7kXk6 jHOix/8iCZxYo76MusXpYbWzZtlxZHWUmAkS7nDHiz5r3wTTC1L2qbsDhUf927jJDJgkfxoWkNl5 lOo0Z0a4uuX5MhZBqYkOUBEkkAeTIkeJ86WUo3YdA8M0NG6NeyPe44ekePBvHvitAg8UoRLxbQ9K uPa83fJkufY9ser88LHAmfXA0P8MqT+XyE4o6NmOCPPFZ4Yb90wImsvX1dVqhIdke6TVj8pNNZRS TIJnZamrvGnxyZf2jhcQqRzSsP6Uwou/v8IO8C/EBGXMtpT1GsoJlznsag7bbSHbzhsnmDFqzQbR Oeo0PbPzj/Cm24o6qEHXM5q/yFHbvHSj5G0ugHMhfhRX6XnwTvE0XbMwhiLs5V7acNzxO8YNx+sg qShuNl3Hs+NhMjyapflx5WcuJVZNmUKeJB/agNkcI6mp/j34+8Cj3H6I8W3qWexkvQKw7oztVSaL v9P/Myctl+ys1tA0bpGNYkbT2LiPp81pgsq2SWGowIXbJe8324GJfCN9w4aTKoOWPgNAlc3YyFHw BR/83YWBZAINIqAyfRiir0MRBxdR54e8E//pWNz6ZfscF5kfm4JWUeTbNzUBksg0bSlNPdiL/08s EdnMXF/GEw7amuzD20fE5StvPJF6LPWQjLjThUmwkPvytQs5vlb1FUZBrujXG/4SB8AINvvU9hcq vUEdyXIBiAa1cnU9S+TeNDOKPpp6yiE3H5LdtbPs34iu8hiVxwXKqd8Wa2S5q3rmFGS8xxhEQ2N/ zl/WcQqroZUi7r3xnLq4mXOiM7PGLgFvv+Z1J4ZtaYhMnAmjsRwHjxJITFq0K8Z4bJZhTyvK4PA6 pkNzLPBZy/I0iqVEhRuYYHUpEJzJCXepdWoODkUaX8MnnRRvjLccenMg9m+wOEQqIPH4KNb7+kNy l6adUA8vDlJfekS6q8Kj5meagqno8wjumiqFKhMPAEW8WuvnETpWmsfDC81xTDuTB4zcFTm/VyBK 63b4UXUdShnU4XsygDygufvk3IRqzYKd14UmcO9D+MTFkYgOJVdNj+dCvj5s8iRrFxVLQzv9XHaz wJPUzSlpug5m2w3KyT7JRy521Y/mzZtZr0ntXfMOow4+U6UHXLbVslOjyCVEeqERiY0xQbEEmdgK fVzSgJ8ywNfPamrCBP3DRXOX+C+FiFwGkbJD7N16xeFrM1QgkBAF1AY5yhO0YcIw+M5F6//8AO+1 uqqUGY+3xShqXSRFIwIPVMeCOu8APdUfBlEjmc/6NvajZ0YLtmiJy5LkVr+Iolzyz5/tpErhLhxM 2ia4b3gXiFNGPgfRkJrqlo/JTNMb7vJA7nKt9mz4x/0i0hZzuv/MZuBnZ5b2Ss+wpM8zgTD1JZD2 f0hTabrivHtdnkPYRxk5r2Gm14TEkyQZuOgx/ZdMMtMZ9VuKGBwAKj43Rkb+71NoqkVC9M9LRMiQ cfFQMK8nbctzFgyvfHE5njwTQfeyODNjiglZhfmj4OzHo9GNG4ySKTJlimfnbQBEA4Uf2HX0oouI lCeGzdHBb3ZPnFvZvPe9/Zyw3M9UHhry3BPbQcUadfai8im5W7FeBz6ewYOwRmqnUMub+WEg9RIH zK/O+7nWy+RcGW9Gi2ayI3yLyn6c4bqq5fDUbIcHJykzgfmUO9euqyfBBB9xPIb6q5bDivpbHAWM 0I335MefH6jVQM4r3aXRLb7uem4q8iPPcjnUxjKfrHHwZcON58vjtPRkzVO7H1bJ3FiZuoHXdtuB prr+gQjBS4cfqTstW1GcQhmjr8+2oyvZqNHHMVBRyUH4GZalAj3Skske1qO6rIZ3OG+z9SmQS0/e zryMfVhQlnAZmpCMqzOOGF+qQV6O8BXZCAynVuWjEEPrGggIWapnRsMrucfxaqbfS4c/vtodfvaH pWU9VKyLbaIPPaUWAH/YUXeRlgXYNh9gKgg3RiKLtiYTEwMN0XyqikQa4mE68ppAZ091pxlcTVU5 BG/s2VHWL0t1fNwaiF73eb64LoTPTip10K733XVg2FAk5FQY6KaX6MJWic5r2u7uFB07jG/OsTWj P6Roaugoab1dsns1wylWhpjcaUb6JM93npuC1Boc7x23rovwxiQT/SoHNG1au6lVqLmKO61eYCKm oxqz3Fw6iEBBPEkjcFJDw/MF+kriwoQz5fCmaxo11HQ+ykRyCYdMQGoYS/2eTmcOGd/mBKLVnP8E aZMjpwP1Bs9jnEG60RkJBl4BRZj+aXTV+WLsu5ryVhZtWbtY4j6WjI+OO6Njl1vB8/Pi2D/gYjyz xC8m//rwcLKVbsm0702KvlFa723EGHVNlsMZYVqy1ABPW9t8zMaNkFihPbL9oijdDY3Fzv8IGoXz 71s7mib1yM5+27/nxhVLwo7bto6OrQgLHqt4wdMRJKPkPyj98KT0D/OmSnFxKzCBhEjjPt9fivu5 IAFxzKx0tAvarUKjcrMuCgNtsCKetTUFTwFlTpvr0Pi8TVqAaYealF/w3DHMilYNZndkmMt3Nbkf iqwr5O9DEKqsPJqS+Jm3HedVog5peMsvhx2j4tKZkn4PVgnb8rlou6Afe8fXCOkbodEw7LV80+DJ jWmFYJ8kjfxigBH8f9q4cFHHxeqK3pMOnYcw7xayXjF1v1K65P9of1xYtc+yxIOe9lidQzWnY0iJ Z/dAC6A1pv0gP7corxysWmIYwcVW8gUGdJrCTFJ9g3J8ZW51E2PKk/+LdNmUBDGKSrlIbcBZh7no h0qsjDtOIusP+Nv919N1plBIF+KuostRch6JTne3JzzREISlZbzvKuvPCPEd5NxXIqx/976CskyC qZpWFAQZLxrs3B2JLO3DAkaTBTTQz+y2GdrFsc+5jFudeN+5vQN2l4uKZn+NJgjzY1OYc4T+UBme PwZEVSUXOvEuVTOnsLR90LDtvq3kwOirwrmoVYOQHCTM/6NASPZjiNs9rHO3S7m6nB3EXOxUC7Aa CFdVNleQSS38BwEwMTN+osXCypwbXYGSgaMcUd4hPF8mObPomtE/TLh02nGaTN3akjfhqtycjA8S 9f6X5NngbqmfJIvAIocu6/inaEgCdiod5FO5hi/AA3DkBkReO3x7o5n2dhSzKPjLgoXLHVZJYWTp n63iZ9TU8Lm3LfVP0gKAcQhKIPosuRiHSvsoG/zKrweCJ1mD3SCOLHWYZ8rQlJSIquOIY0oaK1ig DoU4cYcm47/+MNe3uhlWmlZHM7Boy3KlKtM96meyefbI/MGRh0tJ7AGWEpPX5i2gg2CIA34kyPQ4 KHskA9Pf0WU5tVyuecMsuWLSfrR2ba7vnfgEEZP7kw1zHEUwokyyvLxzvwfqw56UZ5DgEiQuiOpj TkWbqU4TLtgNB2kgRO6SD77Qn7So+9W6T8uvQ5eGZitL01WDGR2rh+Zv/SkLYcNW6cmdOjV07CN3 +UB+x/29F5305VXulG4NOO9GxYBlnfWhvl8ubU+rM2o5migtSnL0vIEYJAndozjR5BiRk5b6RvC9 WU32pKNxqE+qoZerRWRrI1MlSf9Anw3STxiVXpipwftPfsFa0EnIEYIV4VW5+1ljB+QqUAd+a0ZB t4gKQZx8q/G5VNBCpXQX8wTBeDWhfoLlovzl6LoxY0AXAKSXRop531yh9K+jiqrKRVnBTMZwZRg1 j82ZdriOx+O76Qm4q9WcT2UrM5HI33mUeqqtwEMmMPGYBPGIYx/NCu2HjakdasmRiCp0XgdeXr6H olO/Q1oVGBF1YHwlb1VmO9EKfPTHI67glnWtM/HG/MEUZH02WWrh3Ih+XHe3HWQ2OZ4ES/pVt8gs r2l62HXQh+nn3jzscSCeQydmEYDDF6iUjdF1ugBwAHqoUODrvKTv6WS+D2I1Noq8/CLOIXM9rRYH OMFAEElNEbmaDmOYPq0bssjWUsZ2NwzRwAqwgggoHRwHFPo9g2H505+R6We+2WwpagP7+25cWOwo Ir3sjdkwztKNg3VT6zJYf0uUz87B8E4xl4cCLehyY35ydLR8BZRDMQXlB1NSSieVKGdTeBm2x8G6 cx3Nbn+CxNlzqA594k832B8wShB+Hw3xcW0I/lOactvPPw2BMJCq8bKjHEm2PmdYtR6cm39WZKmA ulpObAciFb9S4lJI2cQd+mCtzOijCsOsnQ4lrtjxGYQ85+dFuC4unSwiQgDg/qtaQvXb4GYSUEH3 fSDO/DoEbcJvbX43fz8t37HkAcKt/cxwoQGZGdpXyvHt8PwDB6MEP6CJLid1glLn/2HuHgY9dc2b j3tKkYOVY5QiCQMXwj/8GRi9nCavbGgULfg8xr2+Lx32Yl1XH7/K4h4o515emMl4lfsNF7GK3FRk YwD88fYwfY6dQNJfPK1F6aN+4sEVPZFUtfFk63xE34gFRrzZGLfHgR4T6Rz1Hw99GYWzvjOhucD4 oWzW++/dLAP9aPmK/PR91aVeiynJm5YkMJEZk/BShF2gbeXJ/2PstfEXFOXF+QJWYk6ZLuOPPMaU qZvce2KbyFOkqb1wtFslSfKSsvP2TqdQD5hrAHdCi7upBGH+FYPhh6bfAVk+RcWxHvqbSHM+GvNl 7XsbmJ5V+oOxxVnb6BWy/vwB0Kz/yhUG+BRs1R3GwSaOa9gpnpha/HK4Xq3X/f7i+8/FagY7IUqF Zyu2gPSr9MyXziGLVnGc7kKz7izqNFW6Bf7wJx+mzXJJiwm1uNZpq1XmMzOU8b07xZtjwg/Kma8m 5BtrU9sSMqg6mC/Vu79DLw2MhHkRFK5OmTHaquOq+iNYFdidtLPDUd4gHnbOy+ZiyAFR0AmMmr/3 Va0+oXxW+F3BmUca2eYMnKCxcv8ZzV/PK/OukeuE9HqeHgFMqdQGx1Ouveazn/I3BWuobXVJ+ee0 /1m+T5Jn4VeuoTOGZ3TK+StsIC2nhL0ThSTiAqHbwFBEwUS8Vu3f6sLaQp5tHvtDau1nEvxBtEEn KSV+l9D5PY3dlpLjmHgi1+90tgn4XalTD4pR3bQgK+nIUcWdjehISX257gU4JFZoy7eGgL2X6/vx HOp0JRak5odjgUcMRErEfE+JPWpMPKmduyI0WJ27RSsO2ywb/gn1QzULFm5/qCEiMKrXH0ocURac nS7xXY4EuxTJwalKh0bWXtWL4WZF/eR7YL/jJJkTrEWoDaGc1zPuhKdhATXN08R5+tObPzjam+Qm 26cRJuJoe0ELbj7MnGkfg0+5dIGCj0F6INCth/JLgqjNAYj8+tPlC6hxIOjlOR+3aPdvzfbwjWIC kzpJiofXq/ACqoI1byGGNa2GNHasx92B6AxgcCnN8pPJBonjCJcpC16lM9A/J1sbWGurhh4DrkQg 5O7GCILtHtUrXy2Kyj+Aeb9/oS4wxKLz2WQ6DNk9hUHCPVuR+tdx9Sm9Yz95d5siyHiU1NtU7UdQ l48Q4t3e/POiZuWWX20uGxN7doWTall0ShItiTXU+FEOURClmCD93GMBtvC/Sc+Afp+yry4vSjJr Dxu89nbnGWFTIDaMuCor1qHmUyUcR7lS7o+r+0QbIopH/Htq3K/q083SbEd114G62nHXC6ymJxLK SNm3Rz6D/J51lQr8OV7DKy2qnf84kfuSKuMZQFZUAV+tBC6xBF0q4TclkgWAqs+UE8JWJLst+MKW LZe5Ss3q7wYHwGkOBeXy0ZUc/O9793ft0aN4/MFiskJhIIyYwOO1dsVSIPqi3KtpMHR5UPiPVp49 jFCweNjeFmPZSKGKaewJXirfBo86t12XZDSQPKiHl/czjS8e2wOGXnxcHddF+x8PsD75i5wqYv1y nrKA93t4cwnF3J5ae/F6U+aU7meS1d2+yK13+R5tM8PwFGYfcjD+9K56P7SLuWPK6n4+zUZboeu2 2Z7zi2eP1tuvUm+rAPov/XnvQn9omL/0i79J4hoktzNBF4Ee37v2tSIZKaqHpa8Jfg6KR46+Oo5z DUKVoSc1+c5jzYrM9n/0c+GleIraHZMr3gJJij9BYA3Fd2tug1hY5s+ViGCyMv8Pj6IhmYoywKRE +9HtfaHtgOul9qWMqY8xByIynaUT+v5cp0saUe/cxLtPkkQkQLo7VYuSyIZ2LGmipKl9PpZR7eKb y8gkfkIgSzSWQvpJN6/YQ4or7rxMnLIvLP16DqV6tZU+Pa8CFl8aVE7fsXseYuYyGe5Ckhk7um8R +9aCTPceSEf0x1C86M+wIw5B/o47TUPql9FdOncH36FaVL9hBA6HOi597t3ZIVmoQ9xo1R4wU2C2 uej2+g4ZFBSdDuSFNbBBCucCvvMG+a1w0Nq0MtsHnOYUP2xS0VAA/0cg9GV+7WO19GPznup5ZDAb SqESlZIl+H9i6Za7PW8YChFJ8EeB92jEw74wYTww2lLOQEBrRKNN3SdgVoSFqd7Bv1aKNMZSPrn1 K8ECfo2K5RYoVIqkfiGFAVcnBtRC1du6O0HxRPML7xjkh1gIZeUfqKq0L1jrZWfuykws+6oaiilv tboA+iUyfiUxO2VtSIagU7V43eJHpGJggWPqAOLcN7MksLc4naIa4ve5SjCmYaS6teJBBYn8gn06 eqvRq7Yc/AuSkLoPzIFFs4XcXWWcEYQyV2/dvOt17S8QUAdwBGi92QbddQRPGhtcgwLGUP51xTwE ROdqp8TSgBXOABU2NUSFWpqeD1nla+VMDPhf+xrCfz6E9z5HsWH3L+sw/y/t9I1Ol+P2jlQNG14u BAVpFUIgoMFLhyTAtNN1F+7/ZCWdk+nSx5MTMF4namTRYuWgHPdgC9DxOUXQVTmbkfWNGmgYCPak foRVhrjP9HeljTPH+iosT3ingwFxCJ/xQ8VkvhBV98Py6D4TrlhwRn5WlhVvJDzGzJ9Rkp9IxS1L 6xN3vMYmJC25qgH2o9txrnHzFWh8OTwb6Udy1AAj3L/ZweEE8JiOzaiDHg3p6OfU0G78hFWDpeC7 8CjQ+fkeY4emOMXRD0N/b3glfhaDIu5QtPm2hbWGmImFBS2ss5suDSbxwZ9gS9LOrKoFRB6gUiFU XUv/SNw/o5qYWUQS4CwxbNWmIfTae7XQHrS6SncWJIdsWvjVe6omY4kJkkJ/sf1WrGnScn6kVQcU Arf/+t6OJB67ZJPIR6HN5iJLGzGfUJP1KC7VscNrOTVgX1pKTun8Zf5G4DOlVQDeePufQAWFpS7/ OKrSSjRFQrhzZVUOBF7pjNSqWbEcSEHEa8w6MTtMurT3v/6nnT+MOSr3Rn16Oy5CONpJk42J7B2h 05BgKSbKakE4AoC93NZCwvMyT9TbLOvY/qRb2v1/yGPiqu95SHT7PFHKrwHGNGwfNzR6yRhFZiQt tWpq3KMHwl10f77LDg+UqLJPe/6VC3wAY1a1jQK03j6KE6mme9TUjUzvBEWYThdj9itlhy+SmX3B wUaqaHt0Mj0zXV+zfQrBYg9hi2N6/cuBsWQcvgv9dS3LbP5Qp1WzOkogWFZtegdLWK9xiBPrMcna vs2Q58zkd6WQrFp5DWc2LMRHa4Jd7rIeo163ZIL73yUdtQWaivWfbX8JqbP8xfdlhcSHEXEmaje7 2qY4JBQwFGUYMv9TJtG4/q2kgibXJFdl45UJ9TC2wQxJMEg/HB0I3xVIHIMCGN7fSILw/TVQUr6s m+/VKYgg/98eI3kxsOMdAdqkGPz70QPh7jtNe8PqkfM6v81cAq+K2V8K4PtjzI7EI29qyyuXDzgj iXjzNiQJ+iBiZy2YQvwUCvlbAlvamQZqP02EITNQHcQ1N3CADWzyg1tAXUl3OPEeZmuR+KhOzkab n/ti1PeiK7t+sTDiGx3u9PxpIY8c4xfP6MGy/8HWAVBNZRvFeIgROITOF9symy6Mzsdd5PYdLXse DrDaWgAKbCbCjvD0e4u9qtE3umaLwOj9AgqOKy4XcAEiAorM4jrM65an010N1s5zMS3fchYTbq9c Go+Aelqy6mEuLcS50tLCY/UoaOX1W0Y7d7F7p+LMPjYC6y7w6qiwnI3otlS8eAFHGvsjHL2o8QU9 66AZEPgcE2sU4lgEWDl7sDkzRZCbzABNlaSkoeN5BVPlkruLoxz+ORES9rBa9qjZY6gdGiaO7cSv I51HCBW0O/hExBj11iztbb/PFS7U5vDKI1BEB5ta0rT5pZ2or5Tb5d9s6eDKxqpXNhDNobeDH2JT ktpyiaLgMbo+YC3KNzlohfo//ROEQWc2Fb1pLFxaDbFq7qT37bZuQmNcv3syeNOpPPeuQC/4qLn6 NkgF2cqXgn06PBsUtfEBPVeCjuaJqB5EVxkuIQ4iA+9z6B+olJJ7Z5U7Z2FRNprPQwewGd685XBa xByPpFURbAeg21GVEp0SunJzExSXBZLXIMZa/IRSb0MPTo3+qGwenlf6oEJs1ASid/V+m3R5/sc/ 6fO6I8olgOkkPqB95aMrXpumLx4iHDjWo0H7QG4lzYIf8zp8D7Rn+TA5lnmE03eDwdxLITC4q+3P bkuDltxh8r8TElDFT5YdkeiNM/iJxS9po+0WskUh10m6R+XGMWsIdUIT2X/O8FKcq3+Zl2Mxwdnh A6GprD1YLg9h0kMkV8vAVRA8UWv8VKtMVV4lVCmuF91AQDIyaTvhCFHIRuKFhF/WJDCbqY617C0a +lXQCX6yqxy9ZY2yaUPK+ZhOdyWGq8KbJFof9wuYaeWF89KEkUjOl/RyQdjsYi5NEY5xlwyzjEMP emAL9gcxtddUgNjRII0/8IwfAbmmSb27arija2otAZZUndx+f5cj1rP2lULZ6/0V50rU3kvRlZwh ry/WvqEjAHvIZeMEgwsmihH/+rX5+pBTpfckpqy3zgOJyCHj5M7l6cEwerlO4+dT+aJgel1ws+5v PDrd147Dzn/Blp3Jn8+zx1JLskkOoNSlpctAqppAtwdZum7t7jmBOJ3RbP/9c6mkDYa4oQZz/kq5 3MECIbL+UQ1xYx9LVqItUb4Gvi/wde3o920i34dirkObBzk9+e99zPANxQiaNLIMqRM1EU+x3zMx c8iZEBogV5gXRTy/lCi7WkixORvLF7Rk8y55P2OP0np9/026hMTveFGDvKAvf6OPThlllyfT7/jS zX4F1cGcc6Ru75I32H7kuN/3QsRVjXp/gSuKSTefvY/0b//Y5hKmZhiozyP3cmgolOHAYjpg2prQ KWo+UbpA66JrcYQ/fNZWLHIGB14kquL7SrIB6UEwLv8eea+ekh5C1LJMCBXO4X5xFns1D2ZvZe6S E8j1Ur4djCnzEMpPG7YaH+fZ9ISK+qaj9yxqfCB58IlhUmMUB/8Hza9hqs5d5pbp0Sc3OmtjpXHG c/oR/zJjszDt/y7Df9LBRD0s7pvRtu153YxTiSit6emCSnxaCXAZkn/OibRSf3WzQHfhdYVUJd6h pA6FFXilD7n2NEHIRJ64rx2wuCmWN34UvF/S/jEWppH1ZbrscTxqdcYQVAmDwz5LHHkQf405YCwY wlhJi1c2mxcF4LgFsVxvSYuE `protect end_protected
---------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 04.03.2016 11:22:26 -- Design Name: -- Module Name: rem_testbench - Behavioral -- Project Name: -- Target Devices: -- Tool Versions: -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --use IEEE.NUMERIC_STD.ALL; -- Uncomment the following library declaration if instantiating -- any Xilinx leaf cells in this code. --library UNISIM; --use UNISIM.VComponents.all; entity fprintf_testbench is end fprintf_testbench; architecture Behavioural of fprintf_testbench is signal sig_i00, sig_i01, sig_i02, sig_r00, sig_r01,sig_r02, sig_FP, sig_FPout,sig_MDAT : std_logic_vector(31 DOWNTO 0); signal sig_reset, sig_CLK, sig_MWAIT : std_logic; component FPRINTFCoreAndMemory is PORT ( in0 : IN std_logic_vector(31 DOWNTO 0); in1 : IN std_logic_vector(31 DOWNTO 0); in2 : IN std_logic_vector(31 DOWNTO 0); out0 : OUT std_logic_vector(31 DOWNTO 0); out1 : OUT std_logic_vector(31 DOWNTO 0); out2: OUT std_logic_vector(31 DOWNTO 0); frame_pointer : IN std_logic_vector(31 DOWNTO 0); frame_pointer_out : OUT std_logic_vector(31 DOWNTO 0); rst : IN std_logic; clck : IN std_logic; mem_wait : IN std_logic; mem_push : IN std_logic_vector(31 DOWNTO 0) ); end component; begin uut: FPRINTFCoreAndMemory port map ( in0 => sig_i00, in1 => sig_i01, in2 => sig_i02, out0 => sig_r00, out1 => sig_r01, out2 => sig_r02, frame_pointer => sig_FP, frame_pointer_out => sig_FPout, rst => sig_reset, clck => sig_CLK, mem_wait => sig_MWAIT, mem_push => sig_MDAT ); clock: process constant clock_period:time := 40ns; begin wait for 200ns; for I in 0 to 100 loop sig_CLK <= '0'; wait for clock_period/2; sig_CLK <= '1'; wait for clock_period/2; end loop; wait; end process clock; test: process begin sig_MWAIT <= '1'; sig_reset <= '1'; wait for 100ns; sig_reset <= '0'; wait for 100ns; sig_i00 <= "00000000000000000000000000000101"; sig_i01 <= "00000000000000000000000000001011"; sig_i02 <= "00000000000000000000101010101010"; sig_MDAT <= "00000000001110001010101111010100"; sig_FP <= "00000000000000000000000001010000"; wait; end process test; end Behavioural;
--##### NOTE: --##### THIS IS A TEMPLATE. It will be processed by mkbfmsim.py ------------------------------------------------------------------------------ -- -- This vhdl module is a template for creating IP testbenches using the IBM -- BFM toolkits. It provides a fixed interface to the subsystem testbench. -- -- DO NOT CHANGE THE entity name, architecture name, generic parameter -- declaration or port declaration of this file. You may add components, -- instances, constants, signals, etc. as you wish. -- -- See IBM Bus Functional Model Toolkit User's Manual for more information -- on the BFMs. -- ------------------------------------------------------------------------------ -- osif_tb.vhd - entity/architecture pair ------------------------------------------------------------------------------ -- -- *************************************************************************** -- ** Copyright (c) 1995-2006 Xilinx, Inc. All rights reserved. ** -- ** ** -- ** Xilinx, Inc. ** -- ** XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" ** -- ** AS A COURTESY TO YOU, SOLELY FOR USE IN DEVELOPING PROGRAMS AND ** -- ** SOLUTIONS FOR XILINX DEVICES. BY PROVIDING THIS DESIGN, CODE, ** -- ** OR INFORMATION AS ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, ** -- ** APPLICATION OR STANDARD, XILINX IS MAKING NO REPRESENTATION ** -- ** THAT THIS IMPLEMENTATION IS FREE FROM ANY CLAIMS OF INFRINGEMENT, ** -- ** AND YOU ARE RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE ** -- ** FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY DISCLAIMS ANY ** -- ** WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE ** -- ** IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR ** -- ** REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF ** -- ** INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS ** -- ** FOR A PARTICULAR PURPOSE. ** -- ** ** -- *************************************************************************** -- ------------------------------------------------------------------------------ -- Filename: osif_tb.vhd -- Version: 1.00.c -- Description: IP testbench -- Date: Tue Aug 1 12:52:05 2006 (by Create and Import Peripheral Wizard) -- VHDL Standard: VHDL'93 ------------------------------------------------------------------------------ -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_com" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port: "*_i" -- device pins: "*_pin" -- ports: "- Names begin with Uppercase" -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC>" ------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; library plb_osif_v2_01_a; library burst_ram_v2_01_a; library osif_new_v1_00_a; library reconos_v2_01_a; use reconos_v2_01_a.reconos_pkg.all; library work; use work.all; ------------------------------------------------------------------------------ -- Entity section ------------------------------------------------------------------------------ entity osif_tb is ------------------------------------------ -- DO NOT CHANGE THIS GENERIC DECLARATION ------------------------------------------ generic ( C_FIFO_DWIDTH : integer := 32; -- Bus protocol parameters, do not add to or delete C_BASEADDR : std_logic_vector := X"FFFFFFFF"; C_HIGHADDR : std_logic_vector := X"00000000"; C_FAMILY : string := "virtex2p"; C_DCR_BASEADDR : std_logic_vector := "0000000000"; C_DCR_HIGHADDR : std_logic_vector := "0000000011"; C_DCR_AWIDTH : integer := 10; C_DCR_DWIDTH : integer := 32; C_REGISTER_OSIF_PORTS : integer := 1; -- route OSIF ports through registers C_DCR_ILA : integer := 0; -- 0: no debug ILA, 1: include debug chipscope ILA for DCR debugging C_MPLB_AWIDTH : integer := 32; C_MPLB_DWIDTH : integer := 64; C_MPLB_NATIVE_DWIDTH : integer := 32; C_MPLB_P2P : integer := 0; C_MPLB_SMALLEST_SLAVE : integer := 32; C_MPLB_CLK_PERIOD_PS : integer := 10000 ); ------------------------------------------ -- DO NOT CHANGE THIS PORT DECLARATION ------------------------------------------ port ( -- PLB bus interface, do not add or delete MPLB_Clk : in std_logic; MPLB_Rst : in std_logic; MD_error : out std_logic; M_request : out std_logic; M_priority : out std_logic_vector(0 to 1); M_busLock : out std_logic; M_RNW : out std_logic; M_BE : out std_logic_vector(0 to C_MPLB_DWIDTH/8-1); M_MSize : out std_logic_vector(0 to 1); M_size : out std_logic_vector(0 to 3); M_type : out std_logic_vector(0 to 2); M_TAttribute : out std_logic_vector(0 to 15); M_lockErr : out std_logic; M_abort : out std_logic; M_UABus : out std_logic_vector(0 to 31); M_ABus : out std_logic_vector(0 to 31); M_wrDBus : out std_logic_vector(0 to C_MPLB_DWIDTH-1); M_wrBurst : out std_logic; M_rdBurst : out std_logic; PLB_MAddrAck : in std_logic; PLB_MSSize : in std_logic_vector(0 to 1); PLB_MRearbitrate : in std_logic; PLB_MTimeout : in std_logic; PLB_MBusy : in std_logic; PLB_MRdErr : in std_logic; PLB_MWrErr : in std_logic; PLB_MIRQ : in std_logic; PLB_MRdDBus : in std_logic_vector(0 to (C_MPLB_DWIDTH-1)); PLB_MRdWdAddr : in std_logic_vector(0 to 3); PLB_MRdDAck : in std_logic; PLB_MRdBTerm : in std_logic; PLB_MWrDAck : in std_logic; PLB_MWrBTerm : in std_logic; -- BFM synchronization bus interface SYNCH_IN : in std_logic_vector(0 to 31) := (others => '0'); SYNCH_OUT : out std_logic_vector(0 to 31) := (others => '0') ); end entity osif_tb; ------------------------------------------------------------------------------ -- Architecture section ------------------------------------------------------------------------------ architecture testbench of osif_tb is --USER testbench signal declarations added here as you wish ------------------------------------------ -- Standard constants for bfl/vhdl communication ------------------------------------------ constant NOP : integer := 0; constant START : integer := 1; constant STOP : integer := 2; constant WAIT_IN : integer := 3; constant WAIT_OUT : integer := 4; constant ASSERT_IN : integer := 5; constant ASSERT_OUT : integer := 6; constant ASSIGN_IN : integer := 7; constant ASSIGN_OUT : integer := 8; constant RESET_WDT : integer := 9; constant INTERRUPT : integer := 31; signal busy_local : std_logic; signal task_interrupt : std_logic; signal task_busy : std_logic; signal task_blocking : std_logic; signal task_clk : std_logic; signal task_reset : std_logic; signal task_os2task_vec : std_logic_vector(0 to C_OSIF_OS2TASK_REC_WIDTH-1); signal task_os2task_vec_i : std_logic_vector(0 to C_OSIF_OS2TASK_REC_WIDTH-1); signal task_task2os_vec : std_logic_vector(0 to C_OSIF_TASK2OS_REC_WIDTH-1); signal task_os2task : osif_os2task_t; signal task_task2os : osif_task2os_t; signal burstAddr : std_logic_vector(0 to 13); signal burstWrData : std_logic_vector(0 to 63); signal burstRdData : std_logic_vector(0 to 63); signal burstWE : std_logic; signal burstBE : std_logic_vector(0 to 7); signal task2burst_Addr : std_logic_vector(0 to 11); signal task2burst_Data : std_logic_vector(0 to 31); signal burst2task_Data : std_logic_vector(0 to 31); signal task2burst_WE : std_logic; signal VDEC_YCrCb : std_logic_vector(9 downto 2); signal VDEC_LLC : std_logic; signal VDEC_Rst : std_logic; signal VDEC_OE : std_logic; signal VDEC_PwrDn : std_logic; --------- -- FIFO control and data lines --------- signal fifo_clk : std_logic; signal fifo_reset : std_logic; signal fifo_read_remove : std_logic; signal fifo_read_data : std_logic_vector(0 to C_FIFO_DWIDTH-1); signal fifo_read_ready : std_logic; signal fifo_write_add : std_logic; signal fifo_write_data : std_logic_vector(0 to C_FIFO_DWIDTH-1); signal fifo_write_ready : std_logic; -- for simulation signal fifo_read_add : std_logic; signal fifo_read_datain : std_logic_vector(0 to C_FIFO_DWIDTH-1); signal fifo_read_empty : std_logic; signal fifo_read_full : std_logic; signal fifo_read_valid : std_logic; signal fifo_write_remove : std_logic; signal fifo_write_dataout : std_logic_vector(0 to C_FIFO_DWIDTH-1); signal fifo_write_empty : std_logic; signal fifo_write_full : std_logic; signal fifo_write_valid : std_logic; --------- -- DCR stimuli --------- signal PLB_Clk : std_logic; signal PLB_Rst : std_logic; signal dcrAck : std_logic; signal dcrDBus_in : std_logic_vector(0 to C_DCR_DWIDTH-1); signal dcrABus : std_logic_vector(0 to C_DCR_AWIDTH-1); signal dcrDBus_out : std_logic_vector(0 to C_DCR_DWIDTH-1); signal dcrRead : std_logic; signal dcrWrite : std_logic; signal dcrICON : std_logic_vector(35 downto 0); -- chipscope constant C_GND_TASK_DATA : std_logic_vector(0 to 31) := (others => '0'); constant C_GND_TASK_ADDR : std_logic_vector(0 to 11) := (others => '0'); begin ------------------------------------------ -- Instance of IP under test. -- Communication with the BFL is by using SYNCH_IN/SYNCH_OUT signals. ------------------------------------------ UUT : entity osif_new_v1_00_a.osif_new generic map ( -- MAP USER GENERICS BELOW THIS LINE --------------- --USER generics mapped here C_BURST_AWIDTH => 14, -- MAP USER GENERICS ABOVE THIS LINE --------------- C_BASEADDR => C_BASEADDR, C_HIGHADDR => C_HIGHADDR, C_FAMILY => C_FAMILY, C_DCR_BASEADDR => C_DCR_BASEADDR, C_DCR_HIGHADDR => C_DCR_HIGHADDR, C_DCR_AWIDTH => C_DCR_AWIDTH, C_DCR_DWIDTH => C_DCR_DWIDTH, C_DCR_ILA => C_DCR_ILA, C_MPLB_AWIDTH =>C_MPLB_AWIDTH, C_MPLB_DWIDTH =>C_MPLB_DWIDTH, C_MPLB_NATIVE_DWIDTH =>C_MPLB_NATIVE_DWIDTH, C_MPLB_P2P =>C_MPLB_P2P, C_MPLB_SMALLEST_SLAVE =>C_MPLB_SMALLEST_SLAVE, C_MPLB_CLK_PERIOD_PS =>C_MPLB_CLK_PERIOD_PS ) port map ( -- MAP USER PORTS BELOW THIS LINE ------------------ interrupt => task_interrupt, busy => task_busy, blocking => task_blocking, -- task interface task_clk => task_clk, task_reset => task_reset, osif_os2task_vec => task_os2task_vec, osif_task2os_vec => task_task2os_vec, -- burst mem interface burstAddr => burstAddr, burstWrData => burstWrData, burstRdData => burstRdData, burstWE => burstWE, burstBE => burstBE, -- "real" FIFO access signals fifo_clk => fifo_clk, fifo_reset => fifo_reset, fifo_read_en => fifo_read_remove, fifo_read_data => fifo_read_data, fifo_read_ready => fifo_read_ready, fifo_write_en => fifo_write_add, fifo_write_data => fifo_write_data, fifo_write_ready => fifo_write_ready, -- MAP USER PORTS ABOVE THIS LINE ------------------ o_dcrAck => dcrAck, o_dcrDBus => dcrDBus_in, i_dcrABus => dcrABus, i_dcrDBus => dcrDBus_out, i_dcrRead => dcrRead, i_dcrWrite => dcrWrite, i_dcrICON => dcrICON, -- sys_clk => PLB_Clk, -- sys_reset => PLB_Rst, -- SPLB_Clk => SPLB_Clk, -- SPLB_Rst => SPLB_Rst , -- PLB_ABus => PLB_ABus, -- PLB_UABus => PLB_UABus, -- PLB_PAValid => PLB_PAValid, -- PLB_SAValid => PLB_SAValid, -- PLB_rdPrim => PLB_rdPrim, -- PLB_wrPrim => PLB_wrPrim, -- PLB_masterID => PLB_masterID, -- PLB_abort => PLB_abort, -- PLB_busLock => PLB_busLock, -- PLB_RNW => PLB_RNW, -- PLB_BE => PLB_BE, -- PLB_MSize => PLB_MSize, -- PLB_size => PLB_size , -- PLB_type => PLB_type, -- PLB_lockErr => PLB_lockErr, -- PLB_wrDBus => PLB_wrDBus, -- PLB_wrBurst => PLB_wrBurst, -- PLB_rdBurst => PLB_rdBurst, -- PLB_wrPendReq => PLB_wrPendReq, -- PLB_rdPendReq => PLB_rdPendReq, -- PLB_wrPendPri => PLB_wrPendPri, -- PLB_rdPendPri => PLB_rdPendPri, -- PLB_reqPri => PLB_reqPri, -- PLB_TAttribute => PLB_TAttribute, -- Sl_addrAck => Sl_addrAck, -- Sl_SSize => Sl_SSize, -- Sl_wait => Sl_wait, -- Sl_rearbitrate => Sl_rearbitrate, -- Sl_wrDAck => Sl_wrDAck, -- Sl_wrComp => Sl_wrComp, -- Sl_wrBTerm => Sl_wrBTerm, -- Sl_rdDBus => Sl_rdDBus, -- Sl_rdWdAddr => Sl_rdWdAddr, -- Sl_rdDAck => Sl_rdDAck, -- Sl_rdComp => Sl_rdComp, -- Sl_rdBTerm => Sl_rdBTerm , -- Sl_MBusy => Sl_MBusy, -- Sl_MWrErr => Sl_MWrErr, -- Sl_MRdErr => Sl_MRdErr, -- Sl_MIRQ => Sl_MIRQ, MPLB_Clk => MPLB_Clk, MPLB_Rst => MPLB_Rst, MD_error => MD_error, M_request => M_request, M_priority => M_priority, M_busLock => M_busLock , M_RNW => M_RNW, M_BE => M_BE, M_MSize => M_MSize, M_size => M_size, M_type => M_type, M_TAttribute => M_TAttribute, M_lockErr => M_lockErr, M_abort => M_abort, M_UABus => M_UABus, M_ABus => M_ABus, M_wrDBus => M_wrDBus, M_wrBurst => M_wrBurst, M_rdBurst =>M_rdBurst, PLB_MAddrAck => PLB_MAddrAck, PLB_MSSize => PLB_MSSize, PLB_MRearbitrate => PLB_MRearbitrate, PLB_MTimeout => PLB_MTimeout, PLB_MBusy => PLB_MBusy, PLB_MRdErr => PLB_MRdErr, PLB_MWrErr => PLB_MWrErr, PLB_MIRQ => PLB_MIRQ, PLB_MRdDBus => PLB_MRdDBus, PLB_MRdWdAddr => PLB_MRdWdAddr, PLB_MRdDAck => PLB_MRdDAck, PLB_MRdBTerm => PLB_MRdBTerm, PLB_MWrDAck => PLB_MWrDAck, PLB_MWrBTerm => PLB_MWrBTerm ); PLB_Clk <= MPLB_Clk; PLB_Rst <= MPLB_Rst; ------------------------------------------ -- user task ------------------------------------------ dont_register_osif_ports : if C_REGISTER_OSIF_PORTS = 0 generate task_os2task_vec_i <= task_os2task_vec; task_task2os_vec <= to_std_logic_vector(task_task2os); end generate; register_osif_ports : if C_REGISTER_OSIF_PORTS /= 0 generate register_osif_ports_proc: process(task_clk) begin if rising_edge(task_clk) then task_os2task_vec_i <= task_os2task_vec; task_task2os_vec <= to_std_logic_vector(task_task2os); end if; end process; end generate; task_os2task <= to_osif_os2task_t(task_os2task_vec_i or (X"0000000000" & busy_local & "000000")); -- task_inst: User task instatiation task_0_inst: entity work.test_mutex generic map ( C_BURST_AWIDTH => 12, C_BURST_DWIDTH => 32 ) port map ( clk => task_clk, reset => task_reset, i_osif => task_os2task, o_osif => task_task2os, o_RAMAddr => task2burst_Addr, o_RAMData => task2burst_Data, i_RAMData => burst2task_Data, o_RAMWE => task2burst_WE ); ------------------------------------------ -- Zero out the unused synch_out bits ------------------------------------------ SYNCH_OUT(10 to 31) <= (others => '0'); ------------------------------------------ -- Test bench code itself -- -- The test bench itself can be arbitrarily complex and may include -- hierarchy as the designer sees fit ------------------------------------------ TEST_PROCESS : process begin SYNCH_OUT(NOP) <= '0'; SYNCH_OUT(START) <= '0'; SYNCH_OUT(STOP) <= '0'; SYNCH_OUT(WAIT_IN) <= '0'; SYNCH_OUT(WAIT_OUT) <= '0'; SYNCH_OUT(ASSERT_IN) <= '0'; SYNCH_OUT(ASSERT_OUT) <= '0'; SYNCH_OUT(ASSIGN_IN) <= '0'; SYNCH_OUT(ASSIGN_OUT) <= '0'; SYNCH_OUT(RESET_WDT) <= '0'; -- initializations -- wait for reset to stabalize after power-up wait for 200 ns; -- wait for end of reset -- wait until (PLB_Rst'EVENT and PLB_Rst = '0'); -- assert FALSE report "*** Real simulation starts here ***" severity NOTE; -- wait for reset to be completed -- wait for 200 ns; ------------------------------------------ -- Test User Logic Slave Register ------------------------------------------ -- send out start signal to begin testing ... -- wait until (PLB_Clk'EVENT and PLB_Clk = '1'); -- SYNCH_OUT(START) <= '1'; -- assert FALSE report "*** Start User Logic Slave Register Test ***" severity NOTE; -- wait until (PLB_Clk'EVENT and PLB_Clk = '1'); -- SYNCH_OUT(START) <= '0'; -- wait stop signal for end of testing ... -- wait until (SYNCH_IN(STOP)'EVENT and SYNCH_IN(STOP) = '1'); -- assert FALSE report "*** User Logic Slave Register Test Complete ***" severity NOTE; -- wait for 1 us; ------------------------------------------ -- Test User I/Os and other features ------------------------------------------ --USER code added here to stimulate any user I/Os wait; end process TEST_PROCESS; dcr_sim : process is procedure OSIF_WRITE( where : in std_logic_vector(0 to 1); what : in std_logic_vector(0 to C_DCR_DWIDTH-1) ) is begin dcrABus(C_DCR_AWIDTH-2 to C_DCR_AWIDTH-1) <= where; dcrDBus_out <= what; wait until rising_edge(PLB_Clk); dcrWrite <= '1'; wait until rising_edge(PLB_Clk) and dcrAck = '1'; dcrWrite <= '0'; end procedure; procedure OSIF_READ( where : in std_logic_vector(0 to 1); variable what : out std_logic_vector(0 to C_DCR_DWIDTH-1) ) is begin dcrABus(C_DCR_AWIDTH-2 to C_DCR_AWIDTH-1) <= where; wait until rising_edge(PLB_Clk); dcrRead <= '1'; wait until rising_edge(PLB_Clk) and dcrAck = '1'; what := dcrDBus_in; dcrRead <= '0'; end procedure; constant OSIF_REG_COMMAND : std_logic_vector(0 to 1) := "00"; constant OSIF_REG_DATA : std_logic_vector(0 to 1) := "01"; constant OSIF_REG_DONE : std_logic_vector(0 to 1) := "10"; constant OSIF_REG_DATAX : std_logic_vector(0 to 1) := "10"; constant OSIF_CMDNEW : std_logic_vector(0 to C_DCR_DWIDTH-1) := X"FFFFFFFF"; variable dummy : std_logic_vector(0 to C_DCR_DWIDTH-1); begin -- initializations -- wait for reset to stabalize after power-up wait for 200 ns; -- wait for end of reset wait until (PLB_Rst'EVENT and PLB_Rst = '0'); dcrABus <= C_DCR_BASEADDR; dcrDBus_out <= (others => '0'); dcrICON <= (others => '0'); dcrRead <= '0'; dcrWrite <= '0'; -- sst-generated code starts here -- %%%SST_TESTBENCH_START%%% wait for 1000 ns; -- write init data 00000005 OSIF_WRITE(OSIF_REG_COMMAND, OSIF_CMD_SET_INIT_DATA & X"000000"); OSIF_WRITE(OSIF_REG_DATA, X"00000005"); OSIF_WRITE(OSIF_REG_DONE, OSIF_CMDNEW); wait for 100 ns; -- write unlock OSIF_WRITE(OSIF_REG_COMMAND, OSIF_CMD_UNBLOCK & X"000000"); OSIF_WRITE(OSIF_REG_DATA, X"00000000"); OSIF_WRITE(OSIF_REG_DONE, OSIF_CMDNEW); wait for 100 ns; -- read semaphore 00000000 wait -- OSIF_READ(OSIF_REG_COMMAND, dummy); -- assert dummy(0 to C_OSIF_CMD_WIDTH-1) = OSIF_CMD_SEM_WAIT report "*** ERROR: DCR command read mismatch! Expected OSIF_CMD_SEM_WAIT (SST line 9)." severity WARNING; -- OSIF_READ(OSIF_REG_DATA, dummy); -- assert dummy = X"00000000" report "*** ERROR: DCR data read mismatch (SST line 9)! ***" severity WARNING; -- OSIF_READ(OSIF_REG_DATAX, dummy); -- wait for 500 ns; -- write unlock -- OSIF_WRITE(OSIF_REG_COMMAND, OSIF_CMD_UNBLOCK & X"000000"); -- OSIF_WRITE(OSIF_REG_DATA, X"00000000"); -- OSIF_WRITE(OSIF_REG_DONE, OSIF_CMDNEW); -- wait for 1000 ns; -- read semaphore 00000001 post -- OSIF_READ(OSIF_REG_COMMAND, dummy); -- assert dummy(0 to C_OSIF_CMD_WIDTH-1) = OSIF_CMD_SEM_POST report "*** ERROR: DCR command read mismatch! Expected OSIF_CMD_SEM_POST (SST line 15)." severity WARNING; -- OSIF_READ(OSIF_REG_DATA, dummy); -- assert dummy = X"00000001" report "*** ERROR: DCR data read mismatch (SST line 15)! ***" severity WARNING; -- OSIF_READ(OSIF_REG_DATAX, dummy); -- wait for 1000 ns; -- read semaphore 00000000 wait -- OSIF_READ(OSIF_REG_COMMAND, dummy); -- assert dummy(0 to C_OSIF_CMD_WIDTH-1) = OSIF_CMD_SEM_WAIT report "*** ERROR: DCR command read mismatch! Expected OSIF_CMD_SEM_WAIT (SST line 19)." severity WARNING; -- OSIF_READ(OSIF_REG_DATA, dummy); -- assert dummy = X"00000000" report "*** ERROR: DCR data read mismatch (SST line 19)! ***" severity WARNING; -- OSIF_READ(OSIF_REG_DATAX, dummy); -- wait for 500 ns; -- write unlock -- OSIF_WRITE(OSIF_REG_COMMAND, OSIF_CMD_UNBLOCK & X"000000"); -- OSIF_WRITE(OSIF_REG_DATA, X"00000000"); -- OSIF_WRITE(OSIF_REG_DONE, OSIF_CMDNEW); -- wait for 1000 ns; -- read semaphore 00000001 post -- OSIF_READ(OSIF_REG_COMMAND, dummy); -- assert dummy(0 to C_OSIF_CMD_WIDTH-1) = OSIF_CMD_SEM_POST report "*** ERROR: DCR command read mismatch! Expected OSIF_CMD_SEM_POST (SST line 25)." severity WARNING; -- OSIF_READ(OSIF_REG_DATA, dummy); -- assert dummy = X"00000001" report "*** ERROR: DCR data read mismatch (SST line 25)! ***" severity WARNING; -- OSIF_READ(OSIF_REG_DATAX, dummy); -- wait for 1000 ns; -- %%%SST_TESTBENCH_END%%% -- end of sst-generated code wait for 1 us; wait; end process; -- simulate RAM burst_ram_i : entity burst_ram_v2_01_a.burst_ram generic map ( G_PORTA_AWIDTH => 12, G_PORTA_DWIDTH => 32, G_PORTA_PORTS => 1, G_PORTB_AWIDTH => 11, G_PORTB_DWIDTH => 64, G_PORTB_USE_BE => 1 ) port map ( addra => task2burst_Addr, addrax => C_GND_TASK_ADDR, addrb => burstAddr(0 to 10), -- RAM is addressing 64Bit values clka => task_clk, clkax => '0', clkb => task_clk, dina => task2burst_Data, dinax => C_GND_TASK_DATA, dinb => burstWrData, douta => burst2task_Data, doutax => open, doutb => burstRdData, wea => task2burst_WE, weax => '0', web => burstWE, ena => '1', enax => '0', enb => '1', beb => burstBE ); -- simulate FIFOs fifo_left : entity work.fifo port map ( clk => fifo_clk, din => fifo_read_datain, rd_en => fifo_read_remove, rst => fifo_reset, wr_en => fifo_read_add, dout => fifo_read_data, empty => fifo_read_empty, full => fifo_read_full, valid => fifo_read_valid); fifo_read_ready <= (not fifo_read_empty) or fifo_read_valid ; fifo_right : entity work.fifo port map ( clk => fifo_clk, din => fifo_write_data, rd_en => fifo_write_remove, rst => fifo_reset, wr_en => fifo_write_add, dout => fifo_write_dataout, empty => fifo_write_empty, full => fifo_write_full, valid => fifo_write_valid); fifo_write_ready <= not(fifo_write_full); fifo_fill : process(fifo_clk, fifo_reset) variable counter : std_logic_vector(0 to C_FIFO_DWIDTH-1); begin if fifo_reset = '1' then counter := (others => '0'); fifo_read_add <= '0'; fifo_read_datain <= (others => '0'); elsif rising_edge(fifo_clk) then fifo_read_add <= '0'; -- only write on every second clock if fifo_read_full = '0' and fifo_read_add = '0' and counter < 16 then fifo_read_datain <= counter; counter := counter + 1; fifo_read_add <= '1'; end if; end if; end process; -- infer latch for local busy signal -- needed for asynchronous communication between thread and OSIF busy_local_gen : process(task_reset, task_task2os.request, task_os2task.ack) begin if task_reset = '1' then busy_local <= '0'; elsif task_task2os.request = '1' then busy_local <= '1'; elsif task_os2task.ack = '1' then busy_local <= '0'; end if; end process; end architecture testbench;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc2815.vhd,v 1.2 2001-10-26 16:30:22 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- entity NOR is end NOR; ENTITY c13s09b00x00p99n01i02815ent IS END c13s09b00x00p99n01i02815ent; ARCHITECTURE c13s09b00x00p99n01i02815arch OF c13s09b00x00p99n01i02815ent IS BEGIN TESTING: PROCESS BEGIN assert FALSE report "***FAILED TEST: c13s09b00x00p99n01i02815 - Reserved word NOR can not be used as an entity name." severity ERROR; wait; END PROCESS TESTING; END c13s09b00x00p99n01i02815arch;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc2815.vhd,v 1.2 2001-10-26 16:30:22 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- entity NOR is end NOR; ENTITY c13s09b00x00p99n01i02815ent IS END c13s09b00x00p99n01i02815ent; ARCHITECTURE c13s09b00x00p99n01i02815arch OF c13s09b00x00p99n01i02815ent IS BEGIN TESTING: PROCESS BEGIN assert FALSE report "***FAILED TEST: c13s09b00x00p99n01i02815 - Reserved word NOR can not be used as an entity name." severity ERROR; wait; END PROCESS TESTING; END c13s09b00x00p99n01i02815arch;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc2815.vhd,v 1.2 2001-10-26 16:30:22 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- entity NOR is end NOR; ENTITY c13s09b00x00p99n01i02815ent IS END c13s09b00x00p99n01i02815ent; ARCHITECTURE c13s09b00x00p99n01i02815arch OF c13s09b00x00p99n01i02815ent IS BEGIN TESTING: PROCESS BEGIN assert FALSE report "***FAILED TEST: c13s09b00x00p99n01i02815 - Reserved word NOR can not be used as an entity name." severity ERROR; wait; END PROCESS TESTING; END c13s09b00x00p99n01i02815arch;
-- SPI controller constant CFG_SPICTRL_ENABLE : integer := CONFIG_SPICTRL_ENABLE; constant CFG_SPICTRL_NUM : integer := CONFIG_SPICTRL_NUM; constant CFG_SPICTRL_SLVS : integer := CONFIG_SPICTRL_SLVS; constant CFG_SPICTRL_FIFO : integer := CONFIG_SPICTRL_FIFO; constant CFG_SPICTRL_SLVREG : integer := CONFIG_SPICTRL_SLVREG; constant CFG_SPICTRL_ODMODE : integer := CONFIG_SPICTRL_ODMODE; constant CFG_SPICTRL_AM : integer := CONFIG_SPICTRL_AM; constant CFG_SPICTRL_ASEL : integer := CONFIG_SPICTRL_ASEL; constant CFG_SPICTRL_TWEN : integer := CONFIG_SPICTRL_TWEN; constant CFG_SPICTRL_MAXWLEN : integer := CONFIG_SPICTRL_MAXWLEN; constant CFG_SPICTRL_SYNCRAM : integer := CONFIG_SPICTRL_SYNCRAM; constant CFG_SPICTRL_FT : integer := CONFIG_SPICTRL_FT;
-- SPI controller constant CFG_SPICTRL_ENABLE : integer := CONFIG_SPICTRL_ENABLE; constant CFG_SPICTRL_NUM : integer := CONFIG_SPICTRL_NUM; constant CFG_SPICTRL_SLVS : integer := CONFIG_SPICTRL_SLVS; constant CFG_SPICTRL_FIFO : integer := CONFIG_SPICTRL_FIFO; constant CFG_SPICTRL_SLVREG : integer := CONFIG_SPICTRL_SLVREG; constant CFG_SPICTRL_ODMODE : integer := CONFIG_SPICTRL_ODMODE; constant CFG_SPICTRL_AM : integer := CONFIG_SPICTRL_AM; constant CFG_SPICTRL_ASEL : integer := CONFIG_SPICTRL_ASEL; constant CFG_SPICTRL_TWEN : integer := CONFIG_SPICTRL_TWEN; constant CFG_SPICTRL_MAXWLEN : integer := CONFIG_SPICTRL_MAXWLEN; constant CFG_SPICTRL_SYNCRAM : integer := CONFIG_SPICTRL_SYNCRAM; constant CFG_SPICTRL_FT : integer := CONFIG_SPICTRL_FT;
-- SPI controller constant CFG_SPICTRL_ENABLE : integer := CONFIG_SPICTRL_ENABLE; constant CFG_SPICTRL_NUM : integer := CONFIG_SPICTRL_NUM; constant CFG_SPICTRL_SLVS : integer := CONFIG_SPICTRL_SLVS; constant CFG_SPICTRL_FIFO : integer := CONFIG_SPICTRL_FIFO; constant CFG_SPICTRL_SLVREG : integer := CONFIG_SPICTRL_SLVREG; constant CFG_SPICTRL_ODMODE : integer := CONFIG_SPICTRL_ODMODE; constant CFG_SPICTRL_AM : integer := CONFIG_SPICTRL_AM; constant CFG_SPICTRL_ASEL : integer := CONFIG_SPICTRL_ASEL; constant CFG_SPICTRL_TWEN : integer := CONFIG_SPICTRL_TWEN; constant CFG_SPICTRL_MAXWLEN : integer := CONFIG_SPICTRL_MAXWLEN; constant CFG_SPICTRL_SYNCRAM : integer := CONFIG_SPICTRL_SYNCRAM; constant CFG_SPICTRL_FT : integer := CONFIG_SPICTRL_FT;
-- SPI controller constant CFG_SPICTRL_ENABLE : integer := CONFIG_SPICTRL_ENABLE; constant CFG_SPICTRL_NUM : integer := CONFIG_SPICTRL_NUM; constant CFG_SPICTRL_SLVS : integer := CONFIG_SPICTRL_SLVS; constant CFG_SPICTRL_FIFO : integer := CONFIG_SPICTRL_FIFO; constant CFG_SPICTRL_SLVREG : integer := CONFIG_SPICTRL_SLVREG; constant CFG_SPICTRL_ODMODE : integer := CONFIG_SPICTRL_ODMODE; constant CFG_SPICTRL_AM : integer := CONFIG_SPICTRL_AM; constant CFG_SPICTRL_ASEL : integer := CONFIG_SPICTRL_ASEL; constant CFG_SPICTRL_TWEN : integer := CONFIG_SPICTRL_TWEN; constant CFG_SPICTRL_MAXWLEN : integer := CONFIG_SPICTRL_MAXWLEN; constant CFG_SPICTRL_SYNCRAM : integer := CONFIG_SPICTRL_SYNCRAM; constant CFG_SPICTRL_FT : integer := CONFIG_SPICTRL_FT;
---------------------------------------------------------------------- -- This file is owned and controlled by Xilinx and must be used -- -- solely for design, simulation, implementation and creation of -- -- design files limited to Xilinx devices or technologies. Use -- -- with non-Xilinx devices or technologies is expressly prohibited -- -- and immediately terminates your license. -- -- -- -- Xilinx products are not intended for use in life support -- -- appliances, devices, or systems. Use in such applications are -- -- expressly prohibited. -- -- -- -- Copyright (C) 2001, Xilinx, Inc. All Rights Reserved. -- ---------------------------------------------------------------------- -- You must compile the wrapper file asyn_fifo_distrib.vhd when simulating -- the core, asyn_fifo_distrib. When compiling the wrapper file, be sure to -- reference the XilinxCoreLib VHDL simulation library. For detailed -- instructions, please refer to the "Coregen Users Guide". -- The synopsys directives "translate_off/translate_on" specified -- below are supported by XST, FPGA Express, Exemplar and Synplicity -- synthesis tools. Ensure they are correct for your synthesis tool(s). -- synopsys translate_off LIBRARY ieee; USE ieee.std_logic_1164.ALL; Library XilinxCoreLib; ENTITY asyn_fifo_distrib IS port ( din: IN std_logic_VECTOR(15 downto 0); wr_en: IN std_logic; wr_clk: IN std_logic; rd_en: IN std_logic; rd_clk: IN std_logic; ainit: IN std_logic; dout: OUT std_logic_VECTOR(15 downto 0); full: OUT std_logic; empty: OUT std_logic; almost_full: OUT std_logic; almost_empty: OUT std_logic; wr_count: OUT std_logic_VECTOR(3 downto 0)); END asyn_fifo_distrib; ARCHITECTURE asyn_fifo_distrib_a OF asyn_fifo_distrib IS component wrapped_asyn_fifo_distrib port ( din: IN std_logic_VECTOR(15 downto 0); wr_en: IN std_logic; wr_clk: IN std_logic; rd_en: IN std_logic; rd_clk: IN std_logic; ainit: IN std_logic; dout: OUT std_logic_VECTOR(15 downto 0); full: OUT std_logic; empty: OUT std_logic; almost_full: OUT std_logic; almost_empty: OUT std_logic; wr_count: OUT std_logic_VECTOR(3 downto 0)); end component; -- Configuration specification for all : wrapped_asyn_fifo_distrib use entity XilinxCoreLib.async_fifo_v3_0(behavioral) generic map( c_wr_count_width => 4, c_has_rd_err => 0, c_data_width => 16, c_has_almost_full => 1, c_rd_err_low => 0, c_has_wr_ack => 0, c_wr_ack_low => 0, c_fifo_depth => 15, c_rd_count_width => 2, c_has_wr_err => 0, c_has_almost_empty => 1, c_rd_ack_low => 0, c_has_wr_count => 1, c_use_blockmem => 1, c_has_rd_ack => 0, c_has_rd_count => 0, c_wr_err_low => 0, c_enable_rlocs => 0); BEGIN U0 : wrapped_asyn_fifo_distrib port map ( din => din, wr_en => wr_en, wr_clk => wr_clk, rd_en => rd_en, rd_clk => rd_clk, ainit => ainit, dout => dout, full => full, empty => empty, almost_full => almost_full, almost_empty => almost_empty, wr_count => wr_count); END asyn_fifo_distrib_a; -- synopsys translate_on
-- (c) Copyright 1995-2016 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:ip:dist_mem_gen:8.0 -- IP Revision: 10 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; LIBRARY dist_mem_gen_v8_0_10; USE dist_mem_gen_v8_0_10.dist_mem_gen_v8_0_10; ENTITY dist_mem_gen_2 IS PORT ( a : IN STD_LOGIC_VECTOR(11 DOWNTO 0); spo : OUT STD_LOGIC_VECTOR(5 DOWNTO 0) ); END dist_mem_gen_2; ARCHITECTURE dist_mem_gen_2_arch OF dist_mem_gen_2 IS ATTRIBUTE DowngradeIPIdentifiedWarnings : STRING; ATTRIBUTE DowngradeIPIdentifiedWarnings OF dist_mem_gen_2_arch: ARCHITECTURE IS "yes"; COMPONENT dist_mem_gen_v8_0_10 IS GENERIC ( C_FAMILY : STRING; C_ADDR_WIDTH : INTEGER; C_DEFAULT_DATA : STRING; C_DEPTH : INTEGER; C_HAS_CLK : INTEGER; C_HAS_D : INTEGER; C_HAS_DPO : INTEGER; C_HAS_DPRA : INTEGER; C_HAS_I_CE : INTEGER; C_HAS_QDPO : INTEGER; C_HAS_QDPO_CE : INTEGER; C_HAS_QDPO_CLK : INTEGER; C_HAS_QDPO_RST : INTEGER; C_HAS_QDPO_SRST : INTEGER; C_HAS_QSPO : INTEGER; C_HAS_QSPO_CE : INTEGER; C_HAS_QSPO_RST : INTEGER; C_HAS_QSPO_SRST : INTEGER; C_HAS_SPO : INTEGER; C_HAS_WE : INTEGER; C_MEM_INIT_FILE : STRING; C_ELABORATION_DIR : STRING; C_MEM_TYPE : INTEGER; C_PIPELINE_STAGES : INTEGER; C_QCE_JOINED : INTEGER; C_QUALIFY_WE : INTEGER; C_READ_MIF : INTEGER; C_REG_A_D_INPUTS : INTEGER; C_REG_DPRA_INPUT : INTEGER; C_SYNC_ENABLE : INTEGER; C_WIDTH : INTEGER; C_PARSER_TYPE : INTEGER ); PORT ( a : IN STD_LOGIC_VECTOR(11 DOWNTO 0); d : IN STD_LOGIC_VECTOR(5 DOWNTO 0); dpra : IN STD_LOGIC_VECTOR(11 DOWNTO 0); clk : IN STD_LOGIC; we : IN STD_LOGIC; i_ce : IN STD_LOGIC; qspo_ce : IN STD_LOGIC; qdpo_ce : IN STD_LOGIC; qdpo_clk : IN STD_LOGIC; qspo_rst : IN STD_LOGIC; qdpo_rst : IN STD_LOGIC; qspo_srst : IN STD_LOGIC; qdpo_srst : IN STD_LOGIC; spo : OUT STD_LOGIC_VECTOR(5 DOWNTO 0); dpo : OUT STD_LOGIC_VECTOR(5 DOWNTO 0); qspo : OUT STD_LOGIC_VECTOR(5 DOWNTO 0); qdpo : OUT STD_LOGIC_VECTOR(5 DOWNTO 0) ); END COMPONENT dist_mem_gen_v8_0_10; ATTRIBUTE X_CORE_INFO : STRING; ATTRIBUTE X_CORE_INFO OF dist_mem_gen_2_arch: ARCHITECTURE IS "dist_mem_gen_v8_0_10,Vivado 2016.1"; ATTRIBUTE CHECK_LICENSE_TYPE : STRING; ATTRIBUTE CHECK_LICENSE_TYPE OF dist_mem_gen_2_arch : ARCHITECTURE IS "dist_mem_gen_2,dist_mem_gen_v8_0_10,{}"; ATTRIBUTE CORE_GENERATION_INFO : STRING; ATTRIBUTE CORE_GENERATION_INFO OF dist_mem_gen_2_arch: ARCHITECTURE IS "dist_mem_gen_2,dist_mem_gen_v8_0_10,{x_ipProduct=Vivado 2016.1,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=dist_mem_gen,x_ipVersion=8.0,x_ipCoreRevision=10,x_ipLanguage=VERILOG,x_ipSimLanguage=MIXED,C_FAMILY=artix7,C_ADDR_WIDTH=12,C_DEFAULT_DATA=0,C_DEPTH=2160,C_HAS_CLK=0,C_HAS_D=0,C_HAS_DPO=0,C_HAS_DPRA=0,C_HAS_I_CE=0,C_HAS_QDPO=0,C_HAS_QDPO_CE=0,C_HAS_QDPO_CLK=0,C_HAS_QDPO_RST=0,C_HAS_QDPO_SRST=0,C_HAS_QSPO=0,C_HAS_QSPO_CE=0,C_HAS_QSPO_RST=0,C_HAS_QSPO_SRST=0,C_HAS_SPO=1,C_HAS_WE=0,C_MEM_INI" & "T_FILE=dist_mem_gen_2.mif,C_ELABORATION_DIR=./,C_MEM_TYPE=0,C_PIPELINE_STAGES=0,C_QCE_JOINED=0,C_QUALIFY_WE=0,C_READ_MIF=1,C_REG_A_D_INPUTS=0,C_REG_DPRA_INPUT=0,C_SYNC_ENABLE=1,C_WIDTH=6,C_PARSER_TYPE=1}"; BEGIN U0 : dist_mem_gen_v8_0_10 GENERIC MAP ( C_FAMILY => "artix7", C_ADDR_WIDTH => 12, C_DEFAULT_DATA => "0", C_DEPTH => 2160, C_HAS_CLK => 0, C_HAS_D => 0, C_HAS_DPO => 0, C_HAS_DPRA => 0, C_HAS_I_CE => 0, C_HAS_QDPO => 0, C_HAS_QDPO_CE => 0, C_HAS_QDPO_CLK => 0, C_HAS_QDPO_RST => 0, C_HAS_QDPO_SRST => 0, C_HAS_QSPO => 0, C_HAS_QSPO_CE => 0, C_HAS_QSPO_RST => 0, C_HAS_QSPO_SRST => 0, C_HAS_SPO => 1, C_HAS_WE => 0, C_MEM_INIT_FILE => "dist_mem_gen_2.mif", C_ELABORATION_DIR => "./", C_MEM_TYPE => 0, C_PIPELINE_STAGES => 0, C_QCE_JOINED => 0, C_QUALIFY_WE => 0, C_READ_MIF => 1, C_REG_A_D_INPUTS => 0, C_REG_DPRA_INPUT => 0, C_SYNC_ENABLE => 1, C_WIDTH => 6, C_PARSER_TYPE => 1 ) PORT MAP ( a => a, d => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 6)), dpra => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 12)), clk => '0', we => '0', i_ce => '1', qspo_ce => '1', qdpo_ce => '1', qdpo_clk => '0', qspo_rst => '0', qdpo_rst => '0', qspo_srst => '0', qdpo_srst => '0', spo => spo ); END dist_mem_gen_2_arch;
library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_unsigned.all; use WORK.all; entity flip_flop is port( CK: in std_logic; RESET: in std_logic; ENABLE: in std_logic; D: in std_logic; Q: out std_logic); end flip_flop; architecture BEHAVIORAL of flip_flop is begin REGISTER_PROCESS: process(CK, RESET) begin if CK'event and CK='1' then -- positive edge triggered: if RESET='1' then -- active high reset Q <= '0'; else if ENABLE = '1' then Q <= D; end if; end if; end if; end process; end BEHAVIORAL;
-- -- Mems testbench -- -- Author(s): -- * Rodrigo A. Melo -- -- Copyright (c) 2016 Authors and INTI -- Distributed under the BSD 3-Clause License -- library IEEE; use IEEE.std_logic_1164.all; library FPGALIB; use FPGALIB.MEMs.all; use FPGALIB.Simul.all; entity Mems_tb is end entity Mems_tb; architecture TestBench of Mems_tb is constant AWIDTH: positive:=3; constant DWIDTH: positive:=8; constant DEPTH : natural:=5; signal rst : std_logic; signal stop : boolean; signal clk1, clk2 : std_logic; signal wen1, wen2 : std_logic; signal addr1, addr2 : std_logic_vector(AWIDTH-1 downto 0):=(others => '0'); signal data1, data2 : std_logic_vector(DWIDTH-1 downto 0); signal s_out, d_out : std_logic_vector(DWIDTH-1 downto 0); signal t_out1, t_out2 : std_logic_vector(DWIDTH-1 downto 0); begin clock1 : Clock generic map(FREQUENCY => 2) port map(clk_o => clk1, rst_o => rst, stop_i => stop); clock2 : Clock generic map(FREQUENCY => 3) port map(clk_o => clk2, rst_o => open, stop_i => stop); SingleRAM: SinglePortRAM generic map(AWIDTH => AWIDTH, DWIDTH => DWIDTH, DEPTH => DEPTH) port map( clk_i => clk1, wen_i => wen1, addr_i => addr1, data_i => data1, data_o => s_out); DualRAM: SimpleDualPortRAM generic map(AWIDTH => AWIDTH, DWIDTH => DWIDTH, DEPTH => DEPTH) port map(clk1_i => clk1, clk2_i => clk2, wen1_i => wen1, addr1_i => addr1, addr2_i => addr2, data1_i => data1, data2_o => d_out); TrueDualRAM: TrueDualPortRAM generic map(AWIDTH => AWIDTH, DWIDTH => DWIDTH, DEPTH => DEPTH) port map(clk1_i => clk1, clk2_i => clk2, wen1_i => wen1, wen2_i => wen2, addr1_i => addr1, addr2_i => addr2, data1_i => data1, data2_i => data2, data1_o => t_out1, data2_o => t_out2); side1: process begin print("* Start of Test"); wait until rising_edge(clk1) and rst = '0'; print("* Writing Side 1"); wen1 <= '1'; addr1 <= "000"; data1 <= x"CA"; wait until rising_edge(clk1); addr1 <= "001"; data1 <= x"FE"; wait until rising_edge(clk1); wen1 <= '0'; print("* Reading Side 1"); addr1 <= "000"; wait until rising_edge(clk1); addr1 <= "001"; wait until rising_edge(clk1); assert s_out=x"CA" report "ERROR in SinglePortRAM" severity failure; assert t_out1=x"CA" report "ERROR in TrueDualPortRAM" severity failure; wait until rising_edge(clk1); assert s_out=x"FE" report "ERROR in SinglePortRAM" severity failure; assert t_out1=x"FE" report "ERROR in TrueDualPortRAM" severity failure; wait until rising_edge(clk1); print("* Side 1 is OK in SinglePortRAM and TrueDualPortRAM"); wait; end process side1; side2: process begin wait until rising_edge(clk2) and rst = '0'; wait until rising_edge(clk2); wait until rising_edge(clk2); wait until rising_edge(clk2); wait until rising_edge(clk2); wait until rising_edge(clk2); wait until rising_edge(clk2); print("* Reading Side 2"); addr2 <= "000"; wait until rising_edge(clk2); addr2 <= "001"; wait until rising_edge(clk2); assert d_out=x"CA" report "ERROR in DualPortRAM" severity failure; wait until rising_edge(clk2); assert d_out=x"FE" report "ERROR in DualPortRAM" severity failure; wait until rising_edge(clk2); print("* Writing Side 2"); wen2 <= '1'; addr2 <= "000"; data2 <= x"B0"; wait until rising_edge(clk2); addr2 <= "001"; data2 <= x"CA"; wait until rising_edge(clk2); wen2 <= '0'; print("* Reading Side 2"); addr2 <= "000"; wait until rising_edge(clk2); addr2 <= "001"; wait until rising_edge(clk2); assert t_out2=x"B0" report "ERROR in TrueDualPortRAM" severity failure; wait until rising_edge(clk1); assert t_out2=x"CA" report "ERROR in TrueDualPortRAM" severity failure; wait until rising_edge(clk1); print("* Side 2 is OK in DualPortRAM and TrueDualPortRAM"); print("* End of Test"); stop <= TRUE; wait; end process side2; end architecture TestBench;
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library work; use work.common.ALL; entity RAM1_Visitor is port( ---input clk:in std_logic; DMemReadWrite : in std_logic_vector(1 downto 0); EXandMEM_AluRes: in std_logic_vector(15 downto 0); DataReady: in std_logic; WriteData: in std_logic_vector(15 downto 0); TSRE: in std_logic; TBRE: in std_logic; ---output RAM1_Enable: out std_logic := '1'; RAM1_ReadEnable: out std_logic := '1'; RAM1_WriteEnable: out std_logic := '1'; SPort_WriteEnable:out std_logic := '1'; SPort_ReadEnable: out std_logic := '1'; DMemData:inout std_logic_vector(15 downto 0); DMemAddr: out std_logic_vector(15 downto 0) ); end RAM1_Visitor; architecture behavior of RAM1_Visitor is signal tempMemData:std_logic_vector(15 downto 0); signal tempMemDataSrc: std_logic_vector(1 downto 0); signal tempRAM1_Enable :std_logic; signal tempSPort_WriteEnable:std_logic; signal tempSPort_ReadEnable:std_logic; signal tempRAM1_ReadEnable:std_logic; signal tempRAM1_WriteEnable:std_logic; begin process(DMemData,EXandMEM_AluRes,DataReady,TSRE, TBRE) variable temp:std_logic_vector(15 downto 0); begin if EXandMEM_AluRes = COM_STATUS_ADDR then temp:= "0000000000000001"; temp(0):= TSRE and TBRE; temp(1):= DataReady; tempMemData <= temp; elsif EXandMEM_AluRes = COM_DATA_ADDR then tempMemData <= DMemData;--AcqPortData; else tempMemData <= DMemData;--AcqMemoryData; end if; end process; process(EXandMEM_AluRes, DMemReadWrite) begin if DMemReadWrite = MEM_READ then if (EXandMEM_AluRes = COM_DATA_ADDR) then tempMemDataSrc <= "00"; ------port elsif (EXandMEM_AluRes = COM_STATUS_ADDR) then tempMemDataSrc <= "11"; -- port status elsif EXandMEM_AluRes < DATA_MEM_BEGIN then tempMemDataSrc <="01"; ---------RAM2 else tempMemDataSrc <="10"; ---------RAM1 end if; elsif DMemReadWrite = MEM_WRITE then if (EXandMEM_AluRes = COM_DATA_ADDR) then tempMemDataSrc <= "00"; ------port data elsif (EXandMEM_AluRes = COM_STATUS_ADDR) then tempMemDataSrc <= "11"; -- port status elsif EXandMEM_AluRes < DATA_MEM_BEGIN then tempMemDataSrc <="01"; ---------RAM2 else tempMemDataSrc <="10"; ---------RAM1 end if; else tempMemDataSrc <= "10"; end if; end process; process(EXandMEM_AluRes, DMemReadWrite, tempMemDataSrc, writeData, tempMemData) begin if DMemReadWrite = MEM_READ then if tempMemDataSrc = "00" then DMemData <= "ZZZZZZZZZZZZZZZZ"; DMemAddr <= EXandMEM_AluRes; elsif tempMemDataSrc = "11" then DMemData <= tempMemData; DMemAddr <= EXandMEM_AluRes; elsif tempMemDataSrc = "10" then DMemData <= "ZZZZZZZZZZZZZZZZ"; DMemAddr <= EXandMEM_AluRes; elsif tempMemDataSrc = "01" then DMemData <= "ZZZZZZZZZZZZZZZZ"; DMemAddr <= EXandMEM_AluRes; else DMemData <= "ZZZZZZZZZZZZZZZZ"; end if; elsif DMemReadWrite = MEM_WRITE then if tempMemDataSrc = "00" then DMemData <= writeData; DMemAddr <= EXandMEM_AluRes; elsif tempMemDataSrc = "10" then DMemData <= writeData; DMemAddr <= EXandMEM_AluRes; elsif tempMemDataSrc = "01" then DMemData <= writeData; DMemAddr <= EXandMEM_AluRes; else DMemData <= "ZZZZZZZZZZZZZZZZ"; end if; else DMemData <= "ZZZZZZZZZZZZZZZZ"; end if; end process; RAM1_Enable <=tempRAM1_Enable; SPort_WriteEnable <= tempSPort_WriteEnable; SPort_ReadEnable <= tempSPort_ReadEnable; RAM1_ReadEnable <= tempRAM1_ReadEnable; RAM1_WriteEnable <= tempRAM1_WriteEnable; process(clk, EXandMEM_AluRes, DMemReadWrite, tempMemDataSrc) begin if clk = '0' then if EXandMEM_AluRes = COM_DATA_ADDR then tempRAM1_Enable <= '1'; tempRAM1_ReadEnable <= '1'; tempRAM1_WriteEnable <= '1'; if DMemReadWrite = MEM_READ then tempSport_ReadEnable <= '0'; tempSport_WriteEnable <= '1'; elsif DMemReadWrite = MEM_WRITE then tempSport_ReadEnable <= '1'; tempSport_WriteEnable <= '0'; else tempSport_ReadEnable <= '1'; tempSport_WriteEnable <= '1'; end if; elsif tempMemDataSrc = "10" then ---------------------RAM1 tempRAM1_Enable <= '0'; tempSPort_WriteEnable <= '1'; tempSPort_ReadEnable <= '1'; if DMemReadWrite = MEM_READ then tempRAM1_ReadEnable <= '0'; tempRAM1_WriteEnable <= '1'; elsif DMemReadWrite = MEM_WRITE then tempRAM1_ReadEnable <= '1'; tempRAM1_WriteEnable <= '0'; else tempRAM1_ReadEnable <= '1'; tempRAM1_WriteEnable <= '1'; end if; else tempRAM1_Enable <= '1'; tempSPort_WriteEnable <= '1'; tempSPort_ReadEnable <= '1'; tempRAM1_ReadEnable <= '1'; tempRAM1_WriteEnable <= '1'; end if; elsif clk = '1' then tempRAM1_Enable <= '1'; tempSPort_WriteEnable <= '1'; tempSPort_ReadEnable <= '1'; tempRAM1_ReadEnable <= '1'; tempRAM1_WriteEnable <= '1'; end if; end process; end behavior;
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library work; use work.common.ALL; entity RAM1_Visitor is port( ---input clk:in std_logic; DMemReadWrite : in std_logic_vector(1 downto 0); EXandMEM_AluRes: in std_logic_vector(15 downto 0); DataReady: in std_logic; WriteData: in std_logic_vector(15 downto 0); TSRE: in std_logic; TBRE: in std_logic; ---output RAM1_Enable: out std_logic := '1'; RAM1_ReadEnable: out std_logic := '1'; RAM1_WriteEnable: out std_logic := '1'; SPort_WriteEnable:out std_logic := '1'; SPort_ReadEnable: out std_logic := '1'; DMemData:inout std_logic_vector(15 downto 0); DMemAddr: out std_logic_vector(15 downto 0) ); end RAM1_Visitor; architecture behavior of RAM1_Visitor is signal tempMemData:std_logic_vector(15 downto 0); signal tempMemDataSrc: std_logic_vector(1 downto 0); signal tempRAM1_Enable :std_logic; signal tempSPort_WriteEnable:std_logic; signal tempSPort_ReadEnable:std_logic; signal tempRAM1_ReadEnable:std_logic; signal tempRAM1_WriteEnable:std_logic; begin process(DMemData,EXandMEM_AluRes,DataReady,TSRE, TBRE) variable temp:std_logic_vector(15 downto 0); begin if EXandMEM_AluRes = COM_STATUS_ADDR then temp:= "0000000000000001"; temp(0):= TSRE and TBRE; temp(1):= DataReady; tempMemData <= temp; elsif EXandMEM_AluRes = COM_DATA_ADDR then tempMemData <= DMemData;--AcqPortData; else tempMemData <= DMemData;--AcqMemoryData; end if; end process; process(EXandMEM_AluRes, DMemReadWrite) begin if DMemReadWrite = MEM_READ then if (EXandMEM_AluRes = COM_DATA_ADDR) then tempMemDataSrc <= "00"; ------port elsif (EXandMEM_AluRes = COM_STATUS_ADDR) then tempMemDataSrc <= "11"; -- port status elsif EXandMEM_AluRes < DATA_MEM_BEGIN then tempMemDataSrc <="01"; ---------RAM2 else tempMemDataSrc <="10"; ---------RAM1 end if; elsif DMemReadWrite = MEM_WRITE then if (EXandMEM_AluRes = COM_DATA_ADDR) then tempMemDataSrc <= "00"; ------port data elsif (EXandMEM_AluRes = COM_STATUS_ADDR) then tempMemDataSrc <= "11"; -- port status elsif EXandMEM_AluRes < DATA_MEM_BEGIN then tempMemDataSrc <="01"; ---------RAM2 else tempMemDataSrc <="10"; ---------RAM1 end if; else tempMemDataSrc <= "10"; end if; end process; process(EXandMEM_AluRes, DMemReadWrite, tempMemDataSrc, writeData, tempMemData) begin if DMemReadWrite = MEM_READ then if tempMemDataSrc = "00" then DMemData <= "ZZZZZZZZZZZZZZZZ"; DMemAddr <= EXandMEM_AluRes; elsif tempMemDataSrc = "11" then DMemData <= tempMemData; DMemAddr <= EXandMEM_AluRes; elsif tempMemDataSrc = "10" then DMemData <= "ZZZZZZZZZZZZZZZZ"; DMemAddr <= EXandMEM_AluRes; elsif tempMemDataSrc = "01" then DMemData <= "ZZZZZZZZZZZZZZZZ"; DMemAddr <= EXandMEM_AluRes; else DMemData <= "ZZZZZZZZZZZZZZZZ"; end if; elsif DMemReadWrite = MEM_WRITE then if tempMemDataSrc = "00" then DMemData <= writeData; DMemAddr <= EXandMEM_AluRes; elsif tempMemDataSrc = "10" then DMemData <= writeData; DMemAddr <= EXandMEM_AluRes; elsif tempMemDataSrc = "01" then DMemData <= writeData; DMemAddr <= EXandMEM_AluRes; else DMemData <= "ZZZZZZZZZZZZZZZZ"; end if; else DMemData <= "ZZZZZZZZZZZZZZZZ"; end if; end process; RAM1_Enable <=tempRAM1_Enable; SPort_WriteEnable <= tempSPort_WriteEnable; SPort_ReadEnable <= tempSPort_ReadEnable; RAM1_ReadEnable <= tempRAM1_ReadEnable; RAM1_WriteEnable <= tempRAM1_WriteEnable; process(clk, EXandMEM_AluRes, DMemReadWrite, tempMemDataSrc) begin if clk = '0' then if EXandMEM_AluRes = COM_DATA_ADDR then tempRAM1_Enable <= '1'; tempRAM1_ReadEnable <= '1'; tempRAM1_WriteEnable <= '1'; if DMemReadWrite = MEM_READ then tempSport_ReadEnable <= '0'; tempSport_WriteEnable <= '1'; elsif DMemReadWrite = MEM_WRITE then tempSport_ReadEnable <= '1'; tempSport_WriteEnable <= '0'; else tempSport_ReadEnable <= '1'; tempSport_WriteEnable <= '1'; end if; elsif tempMemDataSrc = "10" then ---------------------RAM1 tempRAM1_Enable <= '0'; tempSPort_WriteEnable <= '1'; tempSPort_ReadEnable <= '1'; if DMemReadWrite = MEM_READ then tempRAM1_ReadEnable <= '0'; tempRAM1_WriteEnable <= '1'; elsif DMemReadWrite = MEM_WRITE then tempRAM1_ReadEnable <= '1'; tempRAM1_WriteEnable <= '0'; else tempRAM1_ReadEnable <= '1'; tempRAM1_WriteEnable <= '1'; end if; else tempRAM1_Enable <= '1'; tempSPort_WriteEnable <= '1'; tempSPort_ReadEnable <= '1'; tempRAM1_ReadEnable <= '1'; tempRAM1_WriteEnable <= '1'; end if; elsif clk = '1' then tempRAM1_Enable <= '1'; tempSPort_WriteEnable <= '1'; tempSPort_ReadEnable <= '1'; tempRAM1_ReadEnable <= '1'; tempRAM1_WriteEnable <= '1'; end if; end process; end behavior;
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library UNISIM; use UNISIM.Vcomponents.all; entity dcm4 is port (CLKIN_IN : in std_logic; CLK0_OUT : out std_logic; CLK0_OUT1 : out std_logic; CLK2X_OUT : out std_logic); end dcm4; architecture BEHAVIORAL of dcm4 is signal CLKFX_BUF : std_logic; signal CLKIN_IBUFG : std_logic; signal GND_BIT : std_logic; begin GND_BIT <= '0'; CLKFX_BUFG_INST : BUFG port map (I => CLKFX_BUF, O => CLK0_OUT); DCM_INST : DCM generic map(CLK_FEEDBACK => "NONE", CLKDV_DIVIDE => 4.0, -- 40.00 = 32 * 5 / 4 CLKFX_DIVIDE => 4, CLKFX_MULTIPLY => 5, CLKIN_DIVIDE_BY_2 => false, CLKIN_PERIOD => 31.250, CLKOUT_PHASE_SHIFT => "NONE", DESKEW_ADJUST => "SYSTEM_SYNCHRONOUS", DFS_FREQUENCY_MODE => "LOW", DLL_FREQUENCY_MODE => "LOW", DUTY_CYCLE_CORRECTION => true, FACTORY_JF => x"C080", PHASE_SHIFT => 0, STARTUP_WAIT => false) port map (CLKFB => GND_BIT, CLKIN => CLKIN_IN, DSSEN => GND_BIT, PSCLK => GND_BIT, PSEN => GND_BIT, PSINCDEC => GND_BIT, RST => GND_BIT, CLKDV => open, CLKFX => CLKFX_BUF, CLKFX180 => open, CLK0 => open, CLK2X => open, CLK2X180 => open, CLK90 => open, CLK180 => open, CLK270 => open, LOCKED => open, PSDONE => open, STATUS => open); end BEHAVIORAL;
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity bcd_counter is port ( clk_in : in std_logic; reset : in std_logic; inc : in std_logic; bcd : out std_logic_vector(3 downto 0); clk_out : out std_logic); end; architecture behavioral of bcd_counter is signal temporal: std_logic; signal counter : integer range 0 to 10; begin counter_process: process (reset, clk_in) begin if (reset = '1') then temporal <= '0'; counter <= 0; elsif rising_edge(clk_in) then if inc = '1' then if (counter = 9) then temporal <= '1'; counter <= 0; else temporal <= '0'; counter <= counter + 1; end if; else if (counter = 0) then temporal <= '1'; counter <= 9; else temporal <= '0'; counter <= counter - 1; end if; end if; end if; end process; clk_out <= temporal; bcd <= std_logic_vector(to_unsigned(counter,4)); end;
------------------------------------------------------------------------------- -- Title : Motor control for DC Motors -- Project : Loa ------------------------------------------------------------------------------- -- Author : Fabian Greif <[email protected]> -- Company : Roboterclub Aachen e.V. -- Platform : Spartan 3-400 ------------------------------------------------------------------------------- -- Description: -- -- Generates a symmetric (center-aligned) PWM without deadtime -- -- Register Map: -- Base Address + 0 | W | PWM -- Base Address + 0 | R | unused ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library work; use work.bus_pkg.all; use work.utils_pkg.all; use work.motor_control_pkg.all; use work.symmetric_pwm_pkg.all; entity dc_motor_module is generic ( BASE_ADDRESS : integer range 0 to 16#7FFF#; WIDTH : positive := 12; -- Number of bits for the PWM generation (e.g. 12 => 0..4095) PRESCALER : positive ); port ( pwm1_p : out std_logic; -- Halfbridge 1 pwm2_p : out std_logic; -- Halfbridge 2 sd_p : out std_logic; -- Shutdown -- Disable switching break_p : in std_logic; bus_o : out busdevice_out_type; bus_i : in busdevice_in_type; clk : in std_logic ); end dc_motor_module; ------------------------------------------------------------------------------- architecture behavioral of dc_motor_module is type dc_motor_module_type is record data_out : std_logic_vector(15 downto 0); -- currently not used pwm_value : std_logic_vector(WIDTH - 1 downto 0); -- PWM value sd : std_logic; -- Shutdown end record; signal clk_en : std_logic := '1'; signal underflow : std_logic; -- currently not used signal overflow : std_logic; -- currently not used signal pwm : std_logic; signal r, rin : dc_motor_module_type := ( data_out => (others => '0'), pwm_value => (others => '0'), sd => '1' ); begin seq_proc : process(clk) begin if rising_edge(clk) then r <= rin; end if; end process seq_proc; comb_proc : process(bus_i.addr, bus_i.data(15), bus_i.data(WIDTH - 1 downto 0), bus_i.re, bus_i.we, pwm, break_p, r, r.sd) variable v : dc_motor_module_type; begin v := r; -- Set default values v.data_out := (others => '0'); -- Check Bus Address if bus_i.addr = std_logic_vector(to_unsigned(BASE_ADDRESS, 15)) then if bus_i.we = '1' then v.pwm_value := bus_i.data(WIDTH - 1 downto 0); v.sd := bus_i.data(15); elsif bus_i.re = '1' then -- v.data_out := r.counter; end if; end if; if r.sd = '1' then pwm1_p <= '0'; pwm2_p <= '0'; sd_p <= '1'; else if break_p = '1' then pwm1_p <= '0'; pwm2_p <= '0'; else pwm1_p <= pwm; pwm2_p <= not pwm; end if; sd_p <= '0'; end if; rin <= v; end process comb_proc; bus_o.data <= r.data_out; -- Generate clock for the PWM generator divider : clock_divider generic map ( DIV => PRESCALER) port map ( clk_out_p => clk_en, clk => clk); pwm_generator : symmetric_pwm generic map ( WIDTH => WIDTH) port map ( pwm_p => pwm, underflow_p => underflow, overflow_p => overflow, clk_en_p => clk_en, value_p => r.pwm_value, reset => '0', clk => clk); end behavioral;
------------------------------------------------------------------------------- -- Title : Motor control for DC Motors -- Project : Loa ------------------------------------------------------------------------------- -- Author : Fabian Greif <[email protected]> -- Company : Roboterclub Aachen e.V. -- Platform : Spartan 3-400 ------------------------------------------------------------------------------- -- Description: -- -- Generates a symmetric (center-aligned) PWM without deadtime -- -- Register Map: -- Base Address + 0 | W | PWM -- Base Address + 0 | R | unused ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library work; use work.bus_pkg.all; use work.utils_pkg.all; use work.motor_control_pkg.all; use work.symmetric_pwm_pkg.all; entity dc_motor_module is generic ( BASE_ADDRESS : integer range 0 to 16#7FFF#; WIDTH : positive := 12; -- Number of bits for the PWM generation (e.g. 12 => 0..4095) PRESCALER : positive ); port ( pwm1_p : out std_logic; -- Halfbridge 1 pwm2_p : out std_logic; -- Halfbridge 2 sd_p : out std_logic; -- Shutdown -- Disable switching break_p : in std_logic; bus_o : out busdevice_out_type; bus_i : in busdevice_in_type; clk : in std_logic ); end dc_motor_module; ------------------------------------------------------------------------------- architecture behavioral of dc_motor_module is type dc_motor_module_type is record data_out : std_logic_vector(15 downto 0); -- currently not used pwm_value : std_logic_vector(WIDTH - 1 downto 0); -- PWM value sd : std_logic; -- Shutdown end record; signal clk_en : std_logic := '1'; signal underflow : std_logic; -- currently not used signal overflow : std_logic; -- currently not used signal pwm : std_logic; signal r, rin : dc_motor_module_type := ( data_out => (others => '0'), pwm_value => (others => '0'), sd => '1' ); begin seq_proc : process(clk) begin if rising_edge(clk) then r <= rin; end if; end process seq_proc; comb_proc : process(bus_i.addr, bus_i.data(15), bus_i.data(WIDTH - 1 downto 0), bus_i.re, bus_i.we, pwm, break_p, r, r.sd) variable v : dc_motor_module_type; begin v := r; -- Set default values v.data_out := (others => '0'); -- Check Bus Address if bus_i.addr = std_logic_vector(to_unsigned(BASE_ADDRESS, 15)) then if bus_i.we = '1' then v.pwm_value := bus_i.data(WIDTH - 1 downto 0); v.sd := bus_i.data(15); elsif bus_i.re = '1' then -- v.data_out := r.counter; end if; end if; if r.sd = '1' then pwm1_p <= '0'; pwm2_p <= '0'; sd_p <= '1'; else if break_p = '1' then pwm1_p <= '0'; pwm2_p <= '0'; else pwm1_p <= pwm; pwm2_p <= not pwm; end if; sd_p <= '0'; end if; rin <= v; end process comb_proc; bus_o.data <= r.data_out; -- Generate clock for the PWM generator divider : clock_divider generic map ( DIV => PRESCALER) port map ( clk_out_p => clk_en, clk => clk); pwm_generator : symmetric_pwm generic map ( WIDTH => WIDTH) port map ( pwm_p => pwm, underflow_p => underflow, overflow_p => overflow, clk_en_p => clk_en, value_p => r.pwm_value, reset => '0', clk => clk); end behavioral;
-- ----------------------------------------------------------------------------- -- -- Copyright 1995 by IEEE. All rights reserved. -- -- This source file is considered by the IEEE to be an essential part of the use -- of the standard 1076.3 and as such may be distributed without change, except -- as permitted by the standard. This source file may not be sold or distributed -- for profit. This package may be modified to include additional data required -- by tools, but must in no way change the external interfaces or simulation -- behaviour of the description. It is permissible to add comments and/or -- attributes to the package declarations, but not to change or delete any -- original lines of the approved package declaration. The package body may be -- changed only in accordance with the terms of clauses 7.1 and 7.2 of the -- standard. -- -- Title : Standard VHDL Synthesis Package (1076.3, NUMERIC_BIT) -- -- Library : This package shall be compiled into a library symbolically -- : named IEEE. -- -- Developers : IEEE DASC Synthesis Working Group, PAR 1076.3 -- -- Purpose : This package defines numeric types and arithmetic functions -- : for use with synthesis tools. Two numeric types are defined: -- : -- > UNSIGNED: represents an UNSIGNED number in vector form -- : -- > SIGNED: represents a SIGNED number in vector form -- : The base element type is type BIT. -- : The leftmost bit is treated as the most significant bit. -- : Signed vectors are represented in two's complement form. -- : This package contains overloaded arithmetic operators on -- : the SIGNED and UNSIGNED types. The package also contains -- : useful type conversions functions, clock detection -- : functions, and other utility functions. -- : -- : If any argument to a function is a null array, a null array is -- : returned (exceptions, if any, are noted individually). -- -- Limitation : -- -- Note : No declarations or definitions shall be included in, -- : or excluded from this package. The "package declaration" -- : defines the types, subtypes and declarations of -- : NUMERIC_BIT. The NUMERIC_BIT package body shall be -- : considered the formal definition of the semantics of -- : this package. Tool developers may choose to implement -- : the package body in the most efficient manner available -- : to them. -- : -- ----------------------------------------------------------------------------- -- Version : 2.4 -- Date : 12 April 1995 -- ----------------------------------------------------------------------------- --============================================================================== --======================= Package Body ========================================= --============================================================================== package body NUMERIC_BIT is -- null range array constants constant NAU: UNSIGNED(0 downto 1) := (others => '0'); constant NAS: SIGNED(0 downto 1) := (others => '0'); -- implementation controls constant NO_WARNING: BOOLEAN := FALSE; -- default to emit warnings --=========================Local Subprograms ================================= function MAX (LEFT, RIGHT: INTEGER) return INTEGER is begin if LEFT > RIGHT then return LEFT; else return RIGHT; end if; end MAX; function MIN (LEFT, RIGHT: INTEGER) return INTEGER is begin if LEFT < RIGHT then return LEFT; else return RIGHT; end if; end MIN; function SIGNED_NUM_BITS (ARG: INTEGER) return NATURAL is variable NBITS: NATURAL; variable N: NATURAL; begin if ARG >= 0 then N := ARG; else N := -(ARG+1); end if; NBITS := 1; while N > 0 loop NBITS := NBITS+1; N := N / 2; end loop; return NBITS; end SIGNED_NUM_BITS; function UNSIGNED_NUM_BITS (ARG: NATURAL) return NATURAL is variable NBITS: NATURAL; variable N: NATURAL; begin N := ARG; NBITS := 1; while N > 1 loop NBITS := NBITS+1; N := N / 2; end loop; return NBITS; end UNSIGNED_NUM_BITS; ------------------------------------------------------------------------------ -- this internal function computes the addition of two UNSIGNED -- with input carry -- * the two arguments are of the same length function ADD_UNSIGNED (L, R: UNSIGNED; C: BIT) return UNSIGNED is constant L_LEFT: INTEGER := L'LENGTH-1; alias XL: UNSIGNED(L_LEFT downto 0) is L; alias XR: UNSIGNED(L_LEFT downto 0) is R; variable RESULT: UNSIGNED(L_LEFT downto 0); variable CBIT: BIT := C; begin for I in 0 to L_LEFT loop RESULT(I) := CBIT xor XL(I) xor XR(I); CBIT := (CBIT and XL(I)) or (CBIT and XR(I)) or (XL(I) and XR(I)); end loop; return RESULT; end ADD_UNSIGNED; -- this internal function computes the addition of two SIGNED -- with input carry -- * the two arguments are of the same length function ADD_SIGNED (L, R: SIGNED; C: BIT) return SIGNED is constant L_LEFT: INTEGER := L'LENGTH-1; alias XL: SIGNED(L_LEFT downto 0) is L; alias XR: SIGNED(L_LEFT downto 0) is R; variable RESULT: SIGNED(L_LEFT downto 0); variable CBIT: BIT := C; begin for I in 0 to L_LEFT loop RESULT(I) := CBIT xor XL(I) xor XR(I); CBIT := (CBIT and XL(I)) or (CBIT and XR(I)) or (XL(I) and XR(I)); end loop; return RESULT; end ADD_SIGNED; ------------------------------------------------------------------------------ -- this internal procedure computes UNSIGNED division -- giving the quotient and remainder. procedure DIVMOD (NUM, XDENOM: UNSIGNED; XQUOT, XREMAIN: out UNSIGNED) is variable TEMP: UNSIGNED(NUM'LENGTH downto 0); variable QUOT: UNSIGNED(MAX(NUM'LENGTH, XDENOM'LENGTH)-1 downto 0); alias DENOM: UNSIGNED(XDENOM'LENGTH-1 downto 0) is XDENOM; variable TOPBIT: INTEGER; begin TEMP := "0"&NUM; QUOT := (others => '0'); TOPBIT := -1; for J in DENOM'RANGE loop if DENOM(J)='1' then TOPBIT := J; exit; end if; end loop; assert TOPBIT >= 0 report "DIV, MOD, or REM by zero" severity ERROR; for J in NUM'LENGTH-(TOPBIT+1) downto 0 loop if TEMP(TOPBIT+J+1 downto J) >= "0"&DENOM(TOPBIT downto 0) then TEMP(TOPBIT+J+1 downto J) := (TEMP(TOPBIT+J+1 downto J)) -("0"&DENOM(TOPBIT downto 0)); QUOT(J) := '1'; end if; assert TEMP(TOPBIT+J+1)='0' report "internal error in the division algorithm" severity ERROR; end loop; XQUOT := RESIZE(QUOT, XQUOT'LENGTH); XREMAIN := RESIZE(TEMP, XREMAIN'LENGTH); end DIVMOD; -----------------Local Subprograms - shift/rotate ops------------------------- function XSLL (ARG: BIT_VECTOR; COUNT: NATURAL) return BIT_VECTOR is constant ARG_L: INTEGER := ARG'LENGTH-1; alias XARG: BIT_VECTOR(ARG_L downto 0) is ARG; variable RESULT: BIT_VECTOR(ARG_L downto 0) := (others => '0'); begin if COUNT <= ARG_L then RESULT(ARG_L downto COUNT) := XARG(ARG_L-COUNT downto 0); end if; return RESULT; end XSLL; function XSRL (ARG: BIT_VECTOR; COUNT: NATURAL) return BIT_VECTOR is constant ARG_L: INTEGER := ARG'LENGTH-1; alias XARG: BIT_VECTOR(ARG_L downto 0) is ARG; variable RESULT: BIT_VECTOR(ARG_L downto 0) := (others => '0'); begin if COUNT <= ARG_L then RESULT(ARG_L-COUNT downto 0) := XARG(ARG_L downto COUNT); end if; return RESULT; end XSRL; function XSRA (ARG: BIT_VECTOR; COUNT: NATURAL) return BIT_VECTOR is constant ARG_L: INTEGER := ARG'LENGTH-1; alias XARG: BIT_VECTOR(ARG_L downto 0) is ARG; variable RESULT: BIT_VECTOR(ARG_L downto 0); variable XCOUNT: NATURAL := COUNT; begin if ((ARG'LENGTH <= 1) or (XCOUNT = 0)) then return ARG; else if (XCOUNT > ARG_L) then XCOUNT := ARG_L; end if; RESULT(ARG_L-XCOUNT downto 0) := XARG(ARG_L downto XCOUNT); RESULT(ARG_L downto (ARG_L - XCOUNT + 1)) := (others => XARG(ARG_L)); end if; return RESULT; end XSRA; function XROL (ARG: BIT_VECTOR; COUNT: NATURAL) return BIT_VECTOR is constant ARG_L: INTEGER := ARG'LENGTH-1; alias XARG: BIT_VECTOR(ARG_L downto 0) is ARG; variable RESULT: BIT_VECTOR(ARG_L downto 0) := XARG; variable COUNTM: INTEGER; begin COUNTM := COUNT mod (ARG_L + 1); if COUNTM /= 0 then RESULT(ARG_L downto COUNTM) := XARG(ARG_L-COUNTM downto 0); RESULT(COUNTM-1 downto 0) := XARG(ARG_L downto ARG_L-COUNTM+1); end if; return RESULT; end XROL; function XROR (ARG: BIT_VECTOR; COUNT: NATURAL) return BIT_VECTOR is constant ARG_L: INTEGER := ARG'LENGTH-1; alias XARG: BIT_VECTOR(ARG_L downto 0) is ARG; variable RESULT: BIT_VECTOR(ARG_L downto 0) := XARG; variable COUNTM: INTEGER; begin COUNTM := COUNT mod (ARG_L + 1); if COUNTM /= 0 then RESULT(ARG_L-COUNTM downto 0) := XARG(ARG_L downto COUNTM); RESULT(ARG_L downto ARG_L-COUNTM+1) := XARG(COUNTM-1 downto 0); end if; return RESULT; end XROR; ---------------- Local Subprograms - Relational Operators -------------------- -- General "=" for UNSIGNED vectors, same length -- function UNSIGNED_EQUAL (L, R: UNSIGNED) return BOOLEAN is begin return BIT_VECTOR(L) = BIT_VECTOR(R); end UNSIGNED_EQUAL; -- -- General "=" for SIGNED vectors, same length -- function SIGNED_EQUAL (L, R: SIGNED) return BOOLEAN is begin return BIT_VECTOR(L) = BIT_VECTOR(R); end SIGNED_EQUAL; -- -- General "<" for UNSIGNED vectors, same length -- function UNSIGNED_LESS (L, R: UNSIGNED) return BOOLEAN is begin return BIT_VECTOR(L) < BIT_VECTOR(R); end UNSIGNED_LESS; -- -- General "<" function for SIGNED vectors, same length -- function SIGNED_LESS (L, R: SIGNED) return BOOLEAN is -- Need aliases to assure index direction variable INTERN_L: SIGNED(0 to L'LENGTH-1); variable INTERN_R: SIGNED(0 to R'LENGTH-1); begin INTERN_L := L; INTERN_R := R; INTERN_L(0) := not INTERN_L(0); INTERN_R(0) := not INTERN_R(0); return BIT_VECTOR(INTERN_L) < BIT_VECTOR(INTERN_R); end SIGNED_LESS; -- -- General "<=" function for UNSIGNED vectors, same length -- function UNSIGNED_LESS_OR_EQUAL (L, R: UNSIGNED) return BOOLEAN is begin return BIT_VECTOR(L) <= BIT_VECTOR(R); end UNSIGNED_LESS_OR_EQUAL; -- -- General "<=" function for SIGNED vectors, same length -- function SIGNED_LESS_OR_EQUAL (L, R: SIGNED) return BOOLEAN is -- Need aliases to assure index direction variable INTERN_L: SIGNED(0 to L'LENGTH-1); variable INTERN_R: SIGNED(0 to R'LENGTH-1); begin INTERN_L := L; INTERN_R := R; INTERN_L(0) := not INTERN_L(0); INTERN_R(0) := not INTERN_R(0); return BIT_VECTOR(INTERN_L) <= BIT_VECTOR(INTERN_R); end SIGNED_LESS_OR_EQUAL; --====================== Exported Functions ================================== -- Id: A.1 function "abs" (ARG: SIGNED) return SIGNED is constant ARG_LEFT: INTEGER := ARG'LENGTH-1; variable RESULT: SIGNED(ARG_LEFT downto 0); begin if ARG'LENGTH < 1 then return NAS; end if; RESULT := ARG; if RESULT(RESULT'LEFT) = '1' then RESULT := -RESULT; end if; return RESULT; end "abs"; -- Id: A.2 function "-" (ARG: SIGNED) return SIGNED is constant ARG_LEFT: INTEGER := ARG'LENGTH-1; alias XARG: SIGNED(ARG_LEFT downto 0) is ARG; variable RESULT: SIGNED(ARG_LEFT downto 0); variable CBIT: BIT := '1'; begin if ARG'LENGTH < 1 then return NAS; end if; for I in 0 to RESULT'LEFT loop RESULT(I) := not(XARG(I)) xor CBIT; CBIT := CBIT and not(XARG(I)); end loop; return RESULT; end "-"; --============================================================================ -- Id: A.3 function "+" (L, R: UNSIGNED) return UNSIGNED is constant L_LEFT: INTEGER := L'LENGTH-1; constant R_LEFT: INTEGER := R'LENGTH-1; constant SIZE: NATURAL := MAX(L'LENGTH, R'LENGTH); begin if ((L'LENGTH < 1) or (R'LENGTH < 1)) then return NAU; end if; return ADD_UNSIGNED(RESIZE(L, SIZE), RESIZE(R, SIZE), '0'); end "+"; -- Id: A.4 function "+" (L, R: SIGNED) return SIGNED is constant L_LEFT: INTEGER := L'LENGTH-1; constant R_LEFT: INTEGER := R'LENGTH-1; constant SIZE: NATURAL := MAX(L'LENGTH, R'LENGTH); begin if ((L'LENGTH < 1) or (R'LENGTH < 1)) then return NAS; end if; return ADD_SIGNED(RESIZE(L, SIZE), RESIZE(R, SIZE), '0'); end "+"; -- Id: A.5 function "+" (L: UNSIGNED; R: NATURAL) return UNSIGNED is begin return L + TO_UNSIGNED(R, L'LENGTH); end "+"; -- Id: A.6 function "+" (L: NATURAL; R: UNSIGNED) return UNSIGNED is begin return TO_UNSIGNED(L, R'LENGTH) + R; end "+"; -- Id: A.7 function "+" (L: SIGNED; R: INTEGER) return SIGNED is begin return L + TO_SIGNED(R, L'LENGTH); end "+"; -- Id: A.8 function "+" (L: INTEGER; R: SIGNED) return SIGNED is begin return TO_SIGNED(L, R'LENGTH) + R; end "+"; --============================================================================ -- Id: A.9 function "-" (L, R: UNSIGNED) return UNSIGNED is constant L_LEFT: INTEGER := L'LENGTH-1; constant R_LEFT: INTEGER := R'LENGTH-1; constant SIZE: NATURAL := MAX(L'LENGTH, R'LENGTH); begin if ((L'LENGTH < 1) or (R'LENGTH < 1)) then return NAU; end if; return ADD_UNSIGNED(RESIZE(L, SIZE), not(RESIZE(R, SIZE)), '1'); end "-"; -- Id: A.10 function "-" (L, R: SIGNED) return SIGNED is constant L_LEFT: INTEGER := L'LENGTH-1; constant R_LEFT: INTEGER := R'LENGTH-1; constant SIZE: NATURAL := MAX(L'LENGTH, R'LENGTH); begin if ((L'LENGTH < 1) or (R'LENGTH < 1)) then return NAS; end if; return ADD_SIGNED(RESIZE(L, SIZE), not(RESIZE(R, SIZE)), '1'); end "-"; -- Id: A.11 function "-" (L: UNSIGNED; R: NATURAL) return UNSIGNED is begin return L - TO_UNSIGNED(R, L'LENGTH); end "-"; -- Id: A.12 function "-" (L: NATURAL; R: UNSIGNED) return UNSIGNED is begin return TO_UNSIGNED(L, R'LENGTH) - R; end "-"; -- Id: A.13 function "-" (L: SIGNED; R: INTEGER) return SIGNED is begin return L - TO_SIGNED(R, L'LENGTH); end "-"; -- Id: A.14 function "-" (L: INTEGER; R: SIGNED) return SIGNED is begin return TO_SIGNED(L, R'LENGTH) - R; end "-"; --============================================================================ -- Id: A.15 function "*" (L, R: UNSIGNED) return UNSIGNED is constant L_LEFT: INTEGER := L'LENGTH-1; constant R_LEFT: INTEGER := R'LENGTH-1; alias XL: UNSIGNED(L_LEFT downto 0) is L; alias XR: UNSIGNED(R_LEFT downto 0) is R; variable RESULT: UNSIGNED((L'LENGTH+R'LENGTH-1) downto 0) := (others => '0'); variable ADVAL: UNSIGNED((L'LENGTH+R'LENGTH-1) downto 0); begin if ((L'LENGTH < 1) or (R'LENGTH < 1)) then return NAU; end if; ADVAL := RESIZE(XR, RESULT'LENGTH); for I in 0 to L_LEFT loop if XL(I)='1' then RESULT := RESULT + ADVAL; end if; ADVAL := SHIFT_LEFT(ADVAL, 1); end loop; return RESULT; end "*"; -- Id: A.16 function "*" (L, R: SIGNED) return SIGNED is constant L_LEFT: INTEGER := L'LENGTH-1; constant R_LEFT: INTEGER := R'LENGTH-1; variable XL: SIGNED(L_LEFT downto 0); variable XR: SIGNED(R_LEFT downto 0); variable RESULT: SIGNED((L_LEFT+R_LEFT+1) downto 0) := (others => '0'); variable ADVAL: SIGNED((L_LEFT+R_LEFT+1) downto 0); begin if ((L_LEFT < 0) or (R_LEFT < 0)) then return NAS; end if; XL := L; XR := R; ADVAL := RESIZE(XR, RESULT'LENGTH); for I in 0 to L_LEFT-1 loop if XL(I)='1' then RESULT := RESULT + ADVAL; end if; ADVAL := SHIFT_LEFT(ADVAL, 1); end loop; if XL(L_LEFT)='1' then RESULT := RESULT - ADVAL; end if; return RESULT; end "*"; -- Id: A.17 function "*" (L: UNSIGNED; R: NATURAL) return UNSIGNED is begin return L * TO_UNSIGNED(R, L'LENGTH); end "*"; -- Id: A.18 function "*" (L: NATURAL; R: UNSIGNED) return UNSIGNED is begin return TO_UNSIGNED(L, R'LENGTH) * R; end "*"; -- Id: A.19 function "*" (L: SIGNED; R: INTEGER) return SIGNED is begin return L * TO_SIGNED(R, L'LENGTH); end "*"; -- Id: A.20 function "*" (L: INTEGER; R: SIGNED) return SIGNED is begin return TO_SIGNED(L, R'LENGTH) * R; end "*"; --============================================================================ -- Id: A.21 function "/" (L, R: UNSIGNED) return UNSIGNED is variable FQUOT: UNSIGNED(L'LENGTH-1 downto 0); variable FREMAIN: UNSIGNED(R'LENGTH-1 downto 0); begin if ((L'LENGTH < 1) or (R'LENGTH < 1)) then return NAU; end if; DIVMOD(L, R, FQUOT, FREMAIN); return FQUOT; end "/"; -- Id: A.22 function "/" (L, R: SIGNED) return SIGNED is variable FQUOT: UNSIGNED(L'LENGTH-1 downto 0); variable FREMAIN: UNSIGNED(R'LENGTH-1 downto 0); variable XNUM: UNSIGNED(L'LENGTH-1 downto 0); variable XDENOM: UNSIGNED(R'LENGTH-1 downto 0); variable QNEG: BOOLEAN := FALSE; begin if ((L'LENGTH < 1) or (R'LENGTH < 1)) then return NAS; end if; if L(L'LEFT)='1' then XNUM := UNSIGNED(-L); QNEG := TRUE; else XNUM := UNSIGNED(L); end if; if R(R'LEFT)='1' then XDENOM := UNSIGNED(-R); QNEG := not QNEG; else XDENOM := UNSIGNED(R); end if; DIVMOD(XNUM, XDENOM, FQUOT, FREMAIN); if QNEG then FQUOT := "0"-FQUOT; end if; return SIGNED(FQUOT); end "/"; -- Id: A.23 function "/" (L: UNSIGNED; R: NATURAL) return UNSIGNED is constant R_LENGTH: NATURAL := MAX(L'LENGTH, UNSIGNED_NUM_BITS(R)); variable XR, QUOT: UNSIGNED(R_LENGTH-1 downto 0); begin if (L'LENGTH < 1) then return NAU; end if; if (R_LENGTH > L'LENGTH) then QUOT := (others => '0'); return RESIZE(QUOT, L'LENGTH); end if; XR := TO_UNSIGNED(R, R_LENGTH); QUOT := RESIZE((L / XR), QUOT'LENGTH); return RESIZE(QUOT, L'LENGTH); end "/"; -- Id: A.24 function "/" (L: NATURAL; R: UNSIGNED) return UNSIGNED is constant L_LENGTH: NATURAL := MAX(UNSIGNED_NUM_BITS(L), R'LENGTH); variable XL, QUOT: UNSIGNED(L_LENGTH-1 downto 0); begin if (R'LENGTH < 1) then return NAU; end if; XL := TO_UNSIGNED(L, L_LENGTH); QUOT := RESIZE((XL / R), QUOT'LENGTH); if L_LENGTH > R'LENGTH and QUOT(L_LENGTH-1 downto R'LENGTH) /= (L_LENGTH-1 downto R'LENGTH => '0') then assert NO_WARNING report "NUMERIC_BIT.""/"": Quotient Truncated" severity WARNING; end if; return RESIZE(QUOT, R'LENGTH); end "/"; -- Id: A.25 function "/" (L: SIGNED; R: INTEGER) return SIGNED is constant R_LENGTH: NATURAL := MAX(L'LENGTH, SIGNED_NUM_BITS(R)); variable XR, QUOT: SIGNED(R_LENGTH-1 downto 0); begin if (L'LENGTH < 1) then return NAS; end if; if (R_LENGTH > L'LENGTH) then QUOT := (others => '0'); return RESIZE(QUOT, L'LENGTH); end if; XR := TO_SIGNED(R, R_LENGTH); QUOT := RESIZE((L / XR), QUOT'LENGTH); return RESIZE(QUOT, L'LENGTH); end "/"; -- Id: A.26 function "/" (L: INTEGER; R: SIGNED) return SIGNED is constant L_LENGTH: NATURAL := MAX(SIGNED_NUM_BITS(L), R'LENGTH); variable XL, QUOT: SIGNED(L_LENGTH-1 downto 0); begin if (R'LENGTH < 1) then return NAS; end if; XL := TO_SIGNED(L, L_LENGTH); QUOT := RESIZE((XL / R), QUOT'LENGTH); if L_LENGTH > R'LENGTH and QUOT(L_LENGTH-1 downto R'LENGTH) /= (L_LENGTH-1 downto R'LENGTH => QUOT(R'LENGTH-1)) then assert NO_WARNING report "NUMERIC_BIT.""/"": Quotient Truncated" severity WARNING; end if; return RESIZE(QUOT, R'LENGTH); end "/"; --============================================================================ -- Id: A.27 function "rem" (L, R: UNSIGNED) return UNSIGNED is variable FQUOT: UNSIGNED(L'LENGTH-1 downto 0); variable FREMAIN: UNSIGNED(R'LENGTH-1 downto 0); begin if ((L'LENGTH < 1) or (R'LENGTH < 1)) then return NAU; end if; DIVMOD(L, R, FQUOT, FREMAIN); return FREMAIN; end "rem"; -- Id: A.28 function "rem" (L, R: SIGNED) return SIGNED is variable FQUOT: UNSIGNED(L'LENGTH-1 downto 0); variable FREMAIN: UNSIGNED(R'LENGTH-1 downto 0); variable XNUM: UNSIGNED(L'LENGTH-1 downto 0); variable XDENOM: UNSIGNED(R'LENGTH-1 downto 0); variable RNEG: BOOLEAN := FALSE; begin if ((L'LENGTH < 1) or (R'LENGTH < 1)) then return NAS; end if; if L(L'LEFT)='1' then XNUM := UNSIGNED(-L); RNEG := TRUE; else XNUM := UNSIGNED(L); end if; if R(R'LEFT)='1' then XDENOM := UNSIGNED(-R); else XDENOM := UNSIGNED(R); end if; DIVMOD(XNUM, XDENOM, FQUOT, FREMAIN); if RNEG then FREMAIN := "0"-FREMAIN; end if; return SIGNED(FREMAIN); end "rem"; -- Id: A.29 function "rem" (L: UNSIGNED; R: NATURAL) return UNSIGNED is constant R_LENGTH: NATURAL := MAX(L'LENGTH, UNSIGNED_NUM_BITS(R)); variable XR, XREM: UNSIGNED(R_LENGTH-1 downto 0); begin if (L'LENGTH < 1) then return NAU; end if; XR := TO_UNSIGNED(R, R_LENGTH); XREM := RESIZE((L rem XR), XREM'LENGTH); if R_LENGTH > L'LENGTH and XREM(R_LENGTH-1 downto L'LENGTH) /= (R_LENGTH-1 downto L'LENGTH => '0') then assert NO_WARNING report "NUMERIC_BIT.""rem"": Remainder Truncated" severity WARNING; end if; return RESIZE(XREM, L'LENGTH); end "rem"; -- Id: A.30 function "rem" (L: NATURAL; R: UNSIGNED) return UNSIGNED is constant L_LENGTH: NATURAL := MAX(UNSIGNED_NUM_BITS(L), R'LENGTH); variable XL, XREM: UNSIGNED(L_LENGTH-1 downto 0); begin if (R'LENGTH < 1) then return NAU; end if; XL := TO_UNSIGNED(L, L_LENGTH); XREM := RESIZE((XL rem R), XREM'LENGTH); if L_LENGTH > R'LENGTH and XREM(L_LENGTH-1 downto R'LENGTH) /= (L_LENGTH-1 downto R'LENGTH => '0') then assert NO_WARNING report "NUMERIC_BIT.""rem"": Remainder Truncated" severity WARNING; end if; return RESIZE(XREM, R'LENGTH); end "rem"; -- Id: A.31 function "rem" (L: SIGNED; R: INTEGER) return SIGNED is constant R_LENGTH: NATURAL := MAX(L'LENGTH, SIGNED_NUM_BITS(R)); variable XR, XREM: SIGNED(R_LENGTH-1 downto 0); begin if (L'LENGTH < 1) then return NAS; end if; XR := TO_SIGNED(R, R_LENGTH); XREM := RESIZE((L rem XR), XREM'LENGTH); if R_LENGTH > L'LENGTH and XREM(R_LENGTH-1 downto L'LENGTH) /= (R_LENGTH-1 downto L'LENGTH => XREM(L'LENGTH-1)) then assert NO_WARNING report "NUMERIC_BIT.""rem"": Remainder Truncated" severity WARNING; end if; return RESIZE(XREM, L'LENGTH); end "rem"; -- Id: A.32 function "rem" (L: INTEGER; R: SIGNED) return SIGNED is constant L_LENGTH: NATURAL := MAX(SIGNED_NUM_BITS(L), R'LENGTH); variable XL, XREM: SIGNED(L_LENGTH-1 downto 0); begin if (R'LENGTH < 1) then return NAS; end if; XL := TO_SIGNED(L, L_LENGTH); XREM := RESIZE((XL rem R), XREM'LENGTH); if L_LENGTH > R'LENGTH and XREM(L_LENGTH-1 downto R'LENGTH) /= (L_LENGTH-1 downto R'LENGTH => XREM(R'LENGTH-1)) then assert NO_WARNING report "NUMERIC_BIT.""rem"": Remainder Truncated" severity WARNING; end if; return RESIZE(XREM, R'LENGTH); end "rem"; --============================================================================ -- Id: A.33 function "mod" (L, R: UNSIGNED) return UNSIGNED is variable FQUOT: UNSIGNED(L'LENGTH-1 downto 0); variable FREMAIN: UNSIGNED(R'LENGTH-1 downto 0); begin if ((L'LENGTH < 1) or (R'LENGTH < 1)) then return NAU; end if; DIVMOD(L, R, FQUOT, FREMAIN); return FREMAIN; end "mod"; -- Id: A.34 function "mod" (L, R: SIGNED) return SIGNED is variable FQUOT: UNSIGNED(L'LENGTH-1 downto 0); variable FREMAIN: UNSIGNED(R'LENGTH-1 downto 0); variable XNUM: UNSIGNED(L'LENGTH-1 downto 0); variable XDENOM: UNSIGNED(R'LENGTH-1 downto 0); variable RNEG: BOOLEAN := FALSE; begin if ((L'LENGTH < 1) or (R'LENGTH < 1)) then return NAS; end if; if L(L'LEFT)='1' then XNUM := UNSIGNED(-L); else XNUM := UNSIGNED(L); end if; if R(R'LEFT)='1' then XDENOM := UNSIGNED(-R); RNEG := TRUE; else XDENOM := UNSIGNED(R); end if; DIVMOD(XNUM, XDENOM, FQUOT, FREMAIN); if RNEG and L(L'LEFT)='1' then FREMAIN := "0"-FREMAIN; elsif RNEG and FREMAIN/="0" then FREMAIN := FREMAIN-XDENOM; elsif L(L'LEFT)='1' and FREMAIN/="0" then FREMAIN := XDENOM-FREMAIN; end if; return SIGNED(FREMAIN); end "mod"; -- Id: A.35 function "mod" (L: UNSIGNED; R: NATURAL) return UNSIGNED is constant R_LENGTH: NATURAL := MAX(L'LENGTH, UNSIGNED_NUM_BITS(R)); variable XR, XREM: UNSIGNED(R_LENGTH-1 downto 0); begin if (L'LENGTH < 1) then return NAU; end if; XR := TO_UNSIGNED(R, R_LENGTH); XREM := RESIZE((L mod XR), XREM'LENGTH); if R_LENGTH > L'LENGTH and XREM(R_LENGTH-1 downto L'LENGTH) /= (R_LENGTH-1 downto L'LENGTH => '0') then assert NO_WARNING report "NUMERIC_BIT.""mod"": modulus Truncated" severity WARNING; end if; return RESIZE(XREM, L'LENGTH); end "mod"; -- Id: A.36 function "mod" (L: NATURAL; R: UNSIGNED) return UNSIGNED is constant L_LENGTH: NATURAL := MAX(UNSIGNED_NUM_BITS(L), R'LENGTH); variable XL, XREM: UNSIGNED(L_LENGTH-1 downto 0); begin if (R'LENGTH < 1) then return NAU; end if; XL := TO_UNSIGNED(L, L_LENGTH); XREM := RESIZE((XL mod R), XREM'LENGTH); if L_LENGTH > R'LENGTH and XREM(L_LENGTH-1 downto R'LENGTH) /= (L_LENGTH-1 downto R'LENGTH => '0') then assert NO_WARNING report "NUMERIC_BIT.""mod"": modulus Truncated" severity WARNING; end if; return RESIZE(XREM, R'LENGTH); end "mod"; -- Id: A.37 function "mod" (L: SIGNED; R: INTEGER) return SIGNED is constant R_LENGTH: NATURAL := MAX(L'LENGTH, SIGNED_NUM_BITS(R)); variable XR, XREM: SIGNED(R_LENGTH-1 downto 0); begin if (L'LENGTH < 1) then return NAS; end if; XR := TO_SIGNED(R, R_LENGTH); XREM := RESIZE((L mod XR), XREM'LENGTH); if R_LENGTH > L'LENGTH and XREM(R_LENGTH-1 downto L'LENGTH) /= (R_LENGTH-1 downto L'LENGTH => XREM(L'LENGTH-1)) then assert NO_WARNING report "NUMERIC_BIT.""mod"": modulus Truncated" severity WARNING; end if; return RESIZE(XREM, L'LENGTH); end "mod"; -- Id: A.38 function "mod" (L: INTEGER; R: SIGNED) return SIGNED is constant L_LENGTH: NATURAL := MAX(SIGNED_NUM_BITS(L), R'LENGTH); variable XL, XREM: SIGNED(L_LENGTH-1 downto 0); begin if (R'LENGTH < 1) then return NAS; end if; XL := TO_SIGNED(L, L_LENGTH); XREM := RESIZE((XL mod R), XREM'LENGTH); if L_LENGTH > R'LENGTH and XREM(L_LENGTH-1 downto R'LENGTH) /= (L_LENGTH-1 downto R'LENGTH => XREM(R'LENGTH-1)) then assert NO_WARNING report "NUMERIC_BIT.""mod"": modulus Truncated" severity WARNING; end if; return RESIZE(XREM, R'LENGTH); end "mod"; --============================================================================ -- Id: C.1 function ">" (L, R: UNSIGNED) return BOOLEAN is variable SIZE: NATURAL := MAX(L'LENGTH, R'LENGTH); begin if ((L'LENGTH < 1) or (R'LENGTH < 1)) then assert NO_WARNING report "NUMERIC_BIT."">"": null argument detected, returning FALSE" severity WARNING; return FALSE; end if; return not UNSIGNED_LESS_OR_EQUAL(RESIZE(L, SIZE), RESIZE(R, SIZE)); end ">"; -- Id: C.2 function ">" (L, R: SIGNED) return BOOLEAN is variable SIZE: NATURAL := MAX(L'LENGTH, R'LENGTH); begin if ((L'LENGTH < 1) or (R'LENGTH < 1)) then assert NO_WARNING report "NUMERIC_BIT."">"": null argument detected, returning FALSE" severity WARNING; return FALSE; end if; return not SIGNED_LESS_OR_EQUAL(RESIZE(L, SIZE), RESIZE(R, SIZE)); end ">"; -- Id: C.3 function ">" (L: NATURAL; R: UNSIGNED) return BOOLEAN is begin if (R'LENGTH < 1) then assert NO_WARNING report "NUMERIC_BIT."">"": null argument detected, returning FALSE" severity WARNING; return FALSE; end if; if UNSIGNED_NUM_BITS(L) > R'LENGTH then return TRUE; end if; return not UNSIGNED_LESS_OR_EQUAL(TO_UNSIGNED(L, R'LENGTH), R); end ">"; -- Id: C.4 function ">" (L: INTEGER; R: SIGNED) return BOOLEAN is begin if (R'LENGTH < 1) then assert NO_WARNING report "NUMERIC_BIT."">"": null argument detected, returning FALSE" severity WARNING; return FALSE; end if; if SIGNED_NUM_BITS(L) > R'LENGTH then return L > 0; end if; return not SIGNED_LESS_OR_EQUAL(TO_SIGNED(L, R'LENGTH), R); end ">"; -- Id: C.5 function ">" (L: UNSIGNED; R: NATURAL) return BOOLEAN is begin if (L'LENGTH < 1) then assert NO_WARNING report "NUMERIC_BIT."">"": null argument detected, returning FALSE" severity WARNING; return FALSE; end if; if UNSIGNED_NUM_BITS(R) > L'LENGTH then return FALSE; end if; return not UNSIGNED_LESS_OR_EQUAL(L, TO_UNSIGNED(R, L'LENGTH)); end ">"; -- Id: C.6 function ">" (L: SIGNED; R: INTEGER) return BOOLEAN is begin if (L'LENGTH < 1) then assert NO_WARNING report "NUMERIC_BIT."">"": null argument detected, returning FALSE" severity WARNING; return FALSE; end if; if SIGNED_NUM_BITS(R) > L'LENGTH then return 0 > R; end if; return not SIGNED_LESS_OR_EQUAL(L, TO_SIGNED(R, L'LENGTH)); end ">"; --============================================================================ -- Id: C.7 function "<" (L, R: UNSIGNED) return BOOLEAN is variable SIZE: NATURAL := MAX(L'LENGTH, R'LENGTH); begin if ((L'LENGTH < 1) or (R'LENGTH < 1)) then assert NO_WARNING report "NUMERIC_BIT.""<"": null argument detected, returning FALSE" severity WARNING; return FALSE; end if; return UNSIGNED_LESS(RESIZE(L, SIZE), RESIZE(R, SIZE)); end "<"; -- Id: C.8 function "<" (L, R: SIGNED) return BOOLEAN is variable SIZE: NATURAL := MAX(L'LENGTH, R'LENGTH); begin if ((L'LENGTH < 1) or (R'LENGTH < 1)) then assert NO_WARNING report "NUMERIC_BIT.""<"": null argument detected, returning FALSE" severity WARNING; return FALSE; end if; return SIGNED_LESS(RESIZE(L, SIZE), RESIZE(R, SIZE)); end "<"; -- Id: C.9 function "<" (L: NATURAL; R: UNSIGNED) return BOOLEAN is begin if (R'LENGTH < 1) then assert NO_WARNING report "NUMERIC_BIT.""<"": null argument detected, returning FALSE" severity WARNING; return FALSE; end if; if UNSIGNED_NUM_BITS(L) > R'LENGTH then return L < 0; end if; return UNSIGNED_LESS(TO_UNSIGNED(L, R'LENGTH), R); end "<"; -- Id: C.10 function "<" (L: INTEGER; R: SIGNED) return BOOLEAN is begin if (R'LENGTH < 1) then assert NO_WARNING report "NUMERIC_BIT.""<"": null argument detected, returning FALSE" severity WARNING; return FALSE; end if; if SIGNED_NUM_BITS(L) > R'LENGTH then return L < 0; end if; return SIGNED_LESS(TO_SIGNED(L, R'LENGTH), R); end "<"; -- Id: C.11 function "<" (L: UNSIGNED; R: NATURAL) return BOOLEAN is begin if (L'LENGTH < 1) then assert NO_WARNING report "NUMERIC_BIT.""<"": null argument detected, returning FALSE" severity WARNING; return FALSE; end if; if UNSIGNED_NUM_BITS(R) > L'LENGTH then return 0 < R; end if; return UNSIGNED_LESS(L, TO_UNSIGNED(R, L'LENGTH)); end "<"; -- Id: C.12 function "<" (L: SIGNED; R: INTEGER) return BOOLEAN is begin if (L'LENGTH < 1) then assert NO_WARNING report "NUMERIC_BIT.""<"": null argument detected, returning FALSE" severity WARNING; return FALSE; end if; if SIGNED_NUM_BITS(R) > L'LENGTH then return 0 < R; end if; return SIGNED_LESS(L, TO_SIGNED(R, L'LENGTH)); end "<"; --============================================================================ -- Id: C.13 function "<=" (L, R: UNSIGNED) return BOOLEAN is variable SIZE: NATURAL := MAX(L'LENGTH, R'LENGTH); begin if ((L'LENGTH < 1) or (R'LENGTH < 1)) then assert NO_WARNING report "NUMERIC_BIT.""<="": null argument detected, returning FALSE" severity WARNING; return FALSE; end if; return UNSIGNED_LESS_OR_EQUAL(RESIZE(L, SIZE), RESIZE(R, SIZE)); end "<="; -- Id: C.14 function "<=" (L, R: SIGNED) return BOOLEAN is variable SIZE: NATURAL := MAX(L'LENGTH, R'LENGTH); begin if ((L'LENGTH < 1) or (R'LENGTH < 1)) then assert NO_WARNING report "NUMERIC_BIT.""<="": null argument detected, returning FALSE" severity WARNING; return FALSE; end if; return SIGNED_LESS_OR_EQUAL(RESIZE(L, SIZE), RESIZE(R, SIZE)); end "<="; -- Id: C.15 function "<=" (L: NATURAL; R: UNSIGNED) return BOOLEAN is begin if (R'LENGTH < 1) then assert NO_WARNING report "NUMERIC_BIT.""<="": null argument detected, returning FALSE" severity WARNING; return FALSE; end if; if UNSIGNED_NUM_BITS(L) > R'LENGTH then return L < 0; end if; return UNSIGNED_LESS_OR_EQUAL(TO_UNSIGNED(L, R'LENGTH), R); end "<="; -- Id: C.16 function "<=" (L: INTEGER; R: SIGNED) return BOOLEAN is begin if (R'LENGTH < 1) then assert NO_WARNING report "NUMERIC_BIT.""<="": null argument detected, returning FALSE" severity WARNING; return FALSE; end if; if SIGNED_NUM_BITS(L) > R'LENGTH then return L < 0; end if; return SIGNED_LESS_OR_EQUAL(TO_SIGNED(L, R'LENGTH), R); end "<="; -- Id: C.17 function "<=" (L: UNSIGNED; R: NATURAL) return BOOLEAN is begin if (L'LENGTH < 1) then assert NO_WARNING report "NUMERIC_BIT.""<="": null argument detected, returning FALSE" severity WARNING; return FALSE; end if; if UNSIGNED_NUM_BITS(R) > L'LENGTH then return 0 < R; end if; return UNSIGNED_LESS_OR_EQUAL(L, TO_UNSIGNED(R, L'LENGTH)); end "<="; -- Id: C.18 function "<=" (L: SIGNED; R: INTEGER) return BOOLEAN is begin if (L'LENGTH < 1) then assert NO_WARNING report "NUMERIC_BIT.""<="": null argument detected, returning FALSE" severity WARNING; return FALSE; end if; if SIGNED_NUM_BITS(R) > L'LENGTH then return 0 < R; end if; return SIGNED_LESS_OR_EQUAL(L, TO_SIGNED(R, L'LENGTH)); end "<="; --============================================================================ -- Id: C.19 function ">=" (L, R: UNSIGNED) return BOOLEAN is variable SIZE: NATURAL := MAX(L'LENGTH, R'LENGTH); begin if ((L'LENGTH < 1) or (R'LENGTH < 1)) then assert NO_WARNING report "NUMERIC_BIT."">="": null argument detected, returning FALSE" severity WARNING; return FALSE; end if; return not UNSIGNED_LESS(RESIZE(L, SIZE), RESIZE(R, SIZE)); end ">="; -- Id: C.20 function ">=" (L, R: SIGNED) return BOOLEAN is variable SIZE: NATURAL := MAX(L'LENGTH, R'LENGTH); begin if ((L'LENGTH < 1) or (R'LENGTH < 1)) then assert NO_WARNING report "NUMERIC_BIT."">="": null argument detected, returning FALSE" severity WARNING; return FALSE; end if; return not SIGNED_LESS(RESIZE(L, SIZE), RESIZE(R, SIZE)); end ">="; -- Id: C.21 function ">=" (L: NATURAL; R: UNSIGNED) return BOOLEAN is begin if (R'LENGTH < 1) then assert NO_WARNING report "NUMERIC_BIT."">="": null argument detected, returning FALSE" severity WARNING; return FALSE; end if; if UNSIGNED_NUM_BITS(L) > R'LENGTH then return L > 0; end if; return not UNSIGNED_LESS(TO_UNSIGNED(L, R'LENGTH), R); end ">="; -- Id: C.22 function ">=" (L: INTEGER; R: SIGNED) return BOOLEAN is begin if (R'LENGTH < 1) then assert NO_WARNING report "NUMERIC_BIT."">="": null argument detected, returning FALSE" severity WARNING; return FALSE; end if; if SIGNED_NUM_BITS(L) > R'LENGTH then return L > 0; end if; return not SIGNED_LESS(TO_SIGNED(L, R'LENGTH), R); end ">="; -- Id: C.23 function ">=" (L: UNSIGNED; R: NATURAL) return BOOLEAN is begin if (L'LENGTH < 1) then assert NO_WARNING report "NUMERIC_BIT."">="": null argument detected, returning FALSE" severity WARNING; return FALSE; end if; if UNSIGNED_NUM_BITS(R) > L'LENGTH then return 0 > R; end if; return not UNSIGNED_LESS(L, TO_UNSIGNED(R, L'LENGTH)); end ">="; -- Id: C.24 function ">=" (L: SIGNED; R: INTEGER) return BOOLEAN is begin if (L'LENGTH < 1) then assert NO_WARNING report "NUMERIC_BIT."">="": null argument detected, returning FALSE" severity WARNING; return FALSE; end if; if SIGNED_NUM_BITS(R) > L'LENGTH then return 0 > R; end if; return not SIGNED_LESS(L, TO_SIGNED(R, L'LENGTH)); end ">="; --============================================================================ -- Id: C.25 function "=" (L, R: UNSIGNED) return BOOLEAN is variable SIZE: NATURAL := MAX(L'LENGTH, R'LENGTH); begin if ((L'LENGTH < 1) or (R'LENGTH < 1)) then assert NO_WARNING report "NUMERIC_BIT.""="": null argument detected, returning FALSE" severity WARNING; return FALSE; end if; return UNSIGNED_EQUAL(RESIZE(L, SIZE), RESIZE(R, SIZE)); end "="; -- Id: C.26 function "=" (L, R: SIGNED) return BOOLEAN is variable SIZE: NATURAL := MAX(L'LENGTH, R'LENGTH); begin if ((L'LENGTH < 1) or (R'LENGTH < 1)) then assert NO_WARNING report "NUMERIC_BIT.""="": null argument detected, returning FALSE" severity WARNING; return FALSE; end if; return SIGNED_EQUAL(RESIZE(L, SIZE), RESIZE(R, SIZE)); end "="; -- Id: C.27 function "=" (L: NATURAL; R: UNSIGNED) return BOOLEAN is begin if (R'LENGTH < 1) then assert NO_WARNING report "NUMERIC_BIT.""="": null argument detected, returning FALSE" severity WARNING; return FALSE; end if; if UNSIGNED_NUM_BITS(L) > R'LENGTH then return FALSE; end if; return UNSIGNED_EQUAL(TO_UNSIGNED(L, R'LENGTH), R); end "="; -- Id: C.28 function "=" (L: INTEGER; R: SIGNED) return BOOLEAN is begin if (R'LENGTH < 1) then assert NO_WARNING report "NUMERIC_BIT.""="": null argument detected, returning FALSE" severity WARNING; return FALSE; end if; if SIGNED_NUM_BITS(L) > R'LENGTH then return FALSE; end if; return SIGNED_EQUAL(TO_SIGNED(L, R'LENGTH), R); end "="; -- Id: C.29 function "=" (L: UNSIGNED; R: NATURAL) return BOOLEAN is begin if (L'LENGTH < 1) then assert NO_WARNING report "NUMERIC_BIT.""="": null argument detected, returning FALSE" severity WARNING; return FALSE; end if; if UNSIGNED_NUM_BITS(R) > L'LENGTH then return FALSE; end if; return UNSIGNED_EQUAL(L, TO_UNSIGNED(R, L'LENGTH)); end "="; -- Id: C.30 function "=" (L: SIGNED; R: INTEGER) return BOOLEAN is begin if (L'LENGTH < 1) then assert NO_WARNING report "NUMERIC_BIT.""="": null argument detected, returning FALSE" severity WARNING; return FALSE; end if; if SIGNED_NUM_BITS(R) > L'LENGTH then return FALSE; end if; return SIGNED_EQUAL(L, TO_SIGNED(R, L'LENGTH)); end "="; --============================================================================ -- Id: C.31 function "/=" (L, R: UNSIGNED) return BOOLEAN is variable SIZE: NATURAL := MAX(L'LENGTH, R'LENGTH); begin if ((L'LENGTH < 1) or (R'LENGTH < 1)) then assert NO_WARNING report "NUMERIC_BIT.""/="": null argument detected, returning TRUE" severity WARNING; return TRUE; end if; return not(UNSIGNED_EQUAL(RESIZE(L, SIZE), RESIZE(R, SIZE))); end "/="; -- Id: C.32 function "/=" (L, R: SIGNED) return BOOLEAN is variable SIZE: NATURAL := MAX(L'LENGTH, R'LENGTH); begin if ((L'LENGTH < 1) or (R'LENGTH < 1)) then assert NO_WARNING report "NUMERIC_BIT.""/="": null argument detected, returning TRUE" severity WARNING; return TRUE; end if; return not(SIGNED_EQUAL(RESIZE(L, SIZE), RESIZE(R, SIZE))); end "/="; -- Id: C.33 function "/=" (L: NATURAL; R: UNSIGNED) return BOOLEAN is begin if (R'LENGTH < 1) then assert NO_WARNING report "NUMERIC_BIT.""/="": null argument detected, returning TRUE" severity WARNING; return TRUE; end if; if UNSIGNED_NUM_BITS(L) > R'LENGTH then return TRUE; end if; return not(UNSIGNED_EQUAL(TO_UNSIGNED(L, R'LENGTH), R)); end "/="; -- Id: C.34 function "/=" (L: INTEGER; R: SIGNED) return BOOLEAN is begin if (R'LENGTH < 1) then assert NO_WARNING report "NUMERIC_BIT.""/="": null argument detected, returning TRUE" severity WARNING; return TRUE; end if; if SIGNED_NUM_BITS(L) > R'LENGTH then return TRUE; end if; return not(SIGNED_EQUAL(TO_SIGNED(L, R'LENGTH), R)); end "/="; -- Id: C.35 function "/=" (L: UNSIGNED; R: NATURAL) return BOOLEAN is begin if (L'LENGTH < 1) then assert NO_WARNING report "NUMERIC_BIT.""/="": null argument detected, returning TRUE" severity WARNING; return TRUE; end if; if UNSIGNED_NUM_BITS(R) > L'LENGTH then return TRUE; end if; return not(UNSIGNED_EQUAL(L, TO_UNSIGNED(R, L'LENGTH))); end "/="; -- Id: C.36 function "/=" (L: SIGNED; R: INTEGER) return BOOLEAN is begin if (L'LENGTH < 1) then assert NO_WARNING report "NUMERIC_BIT.""/="": null argument detected, returning TRUE" severity WARNING; return TRUE; end if; if SIGNED_NUM_BITS(R) > L'LENGTH then return TRUE; end if; return not(SIGNED_EQUAL(L, TO_SIGNED(R, L'LENGTH))); end "/="; --============================================================================ -- Id: S.1 function SHIFT_LEFT (ARG: UNSIGNED; COUNT: NATURAL) return UNSIGNED is begin if (ARG'LENGTH < 1) then return NAU; end if; return UNSIGNED(XSLL(BIT_VECTOR(ARG), COUNT)); end SHIFT_LEFT; -- Id: S.2 function SHIFT_RIGHT (ARG: UNSIGNED; COUNT: NATURAL) return UNSIGNED is begin if (ARG'LENGTH < 1) then return NAU; end if; return UNSIGNED(XSRL(BIT_VECTOR(ARG), COUNT)); end SHIFT_RIGHT; -- Id: S.3 function SHIFT_LEFT (ARG: SIGNED; COUNT: NATURAL) return SIGNED is begin if (ARG'LENGTH < 1) then return NAS; end if; return SIGNED(XSLL(BIT_VECTOR(ARG), COUNT)); end SHIFT_LEFT; -- Id: S.4 function SHIFT_RIGHT (ARG: SIGNED; COUNT: NATURAL) return SIGNED is begin if (ARG'LENGTH < 1) then return NAS; end if; return SIGNED(XSRA(BIT_VECTOR(ARG), COUNT)); end SHIFT_RIGHT; --============================================================================ -- Id: S.5 function ROTATE_LEFT (ARG: UNSIGNED; COUNT: NATURAL) return UNSIGNED is begin if (ARG'LENGTH < 1) then return NAU; end if; return UNSIGNED(XROL(BIT_VECTOR(ARG), COUNT)); end ROTATE_LEFT; -- Id: S.6 function ROTATE_RIGHT (ARG: UNSIGNED; COUNT: NATURAL) return UNSIGNED is begin if (ARG'LENGTH < 1) then return NAU; end if; return UNSIGNED(XROR(BIT_VECTOR(ARG), COUNT)); end ROTATE_RIGHT; -- Id: S.7 function ROTATE_LEFT (ARG: SIGNED; COUNT: NATURAL) return SIGNED is begin if (ARG'LENGTH < 1) then return NAS; end if; return SIGNED(XROL(BIT_VECTOR(ARG), COUNT)); end ROTATE_LEFT; -- Id: S.8 function ROTATE_RIGHT (ARG: SIGNED; COUNT: NATURAL) return SIGNED is begin if (ARG'LENGTH < 1) then return NAS; end if; return SIGNED(XROR(BIT_VECTOR(ARG), COUNT)); end ROTATE_RIGHT; --============================================================================ --START-V93 ------------------------------------------------------------------------------ -- Note : Function S.9 is not compatible with VHDL 1076-1987. Comment -- out the function (declaration and body) for VHDL 1076-1987 compatibility. ------------------------------------------------------------------------------ -- Id: S.9 function "sll" (ARG: UNSIGNED; COUNT: INTEGER) return UNSIGNED is begin if (COUNT >= 0) then return SHIFT_LEFT(ARG, COUNT); else return SHIFT_RIGHT(ARG, -COUNT); end if; end "sll"; ------------------------------------------------------------------------------ -- Note : Function S.10 is not compatible with VHDL 1076-1987. Comment -- out the function (declaration and body) for VHDL 1076-1987 compatibility. ------------------------------------------------------------------------------ -- Id: S.10 function "sll" (ARG: SIGNED; COUNT: INTEGER) return SIGNED is begin if (COUNT >= 0) then return SHIFT_LEFT(ARG, COUNT); else return SIGNED(SHIFT_RIGHT(UNSIGNED(ARG), -COUNT)); end if; end "sll"; ------------------------------------------------------------------------------ -- Note : Function S.11 is not compatible with VHDL 1076-1987. Comment -- out the function (declaration and body) for VHDL 1076-1987 compatibility. ------------------------------------------------------------------------------ -- Id: S.11 function "srl" (ARG: UNSIGNED; COUNT: INTEGER) return UNSIGNED is begin if (COUNT >= 0) then return SHIFT_RIGHT(ARG, COUNT); else return SHIFT_LEFT(ARG, -COUNT); end if; end "srl"; ------------------------------------------------------------------------------ -- Note : Function S.12 is not compatible with VHDL 1076-1987. Comment -- out the function (declaration and body) for VHDL 1076-1987 compatibility. ------------------------------------------------------------------------------ -- Id: S.12 function "srl" (ARG: SIGNED; COUNT: INTEGER) return SIGNED is begin if (COUNT >= 0) then return SIGNED(SHIFT_RIGHT(UNSIGNED(ARG), COUNT)); else return SHIFT_LEFT(ARG, -COUNT); end if; end "srl"; ------------------------------------------------------------------------------ -- Note : Function S.13 is not compatible with VHDL 1076-1987. Comment -- out the function (declaration and body) for VHDL 1076-1987 compatibility. ------------------------------------------------------------------------------ -- Id: S.13 function "rol" (ARG: UNSIGNED; COUNT: INTEGER) return UNSIGNED is begin if (COUNT >= 0) then return ROTATE_LEFT(ARG, COUNT); else return ROTATE_RIGHT(ARG, -COUNT); end if; end "rol"; ------------------------------------------------------------------------------ -- Note : Function S.14 is not compatible with VHDL 1076-1987. Comment -- out the function (declaration and body) for VHDL 1076-1987 compatibility. ------------------------------------------------------------------------------ -- Id: S.14 function "rol" (ARG: SIGNED; COUNT: INTEGER) return SIGNED is begin if (COUNT >= 0) then return ROTATE_LEFT(ARG, COUNT); else return ROTATE_RIGHT(ARG, -COUNT); end if; end "rol"; ------------------------------------------------------------------------------ -- Note : Function S.15 is not compatible with VHDL 1076-1987. Comment -- out the function (declaration and body) for VHDL 1076-1987 compatibility. ------------------------------------------------------------------------------ -- Id: S.15 function "ror" (ARG: UNSIGNED; COUNT: INTEGER) return UNSIGNED is begin if (COUNT >= 0) then return ROTATE_RIGHT(ARG, COUNT); else return ROTATE_LEFT(ARG, -COUNT); end if; end "ror"; ------------------------------------------------------------------------------ -- Note : Function S.16 is not compatible with VHDL 1076-1987. Comment -- out the function (declaration and body) for VHDL 1076-1987 compatibility. ------------------------------------------------------------------------------ -- Id: S.16 function "ror" (ARG: SIGNED; COUNT: INTEGER) return SIGNED is begin if (COUNT >= 0) then return ROTATE_RIGHT(ARG, COUNT); else return ROTATE_LEFT(ARG, -COUNT); end if; end "ror"; --END-V93 --============================================================================ -- Id: D.1 function TO_INTEGER (ARG: UNSIGNED) return NATURAL is constant ARG_LEFT: INTEGER := ARG'LENGTH-1; alias XARG: UNSIGNED(ARG_LEFT downto 0) is ARG; variable RESULT: NATURAL := 0; begin if (ARG'LENGTH < 1) then assert NO_WARNING report "NUMERIC_BIT.TO_INTEGER: null detected, returning 0" severity WARNING; return 0; end if; for I in XARG'RANGE loop RESULT := RESULT+RESULT; if XARG(I) = '1' then RESULT := RESULT + 1; end if; end loop; return RESULT; end TO_INTEGER; -- Id: D.2 function TO_INTEGER (ARG: SIGNED) return INTEGER is begin if (ARG'LENGTH < 1) then assert NO_WARNING report "NUMERIC_BIT.TO_INTEGER: null detected, returning 0" severity WARNING; return 0; end if; if ARG(ARG'LEFT) = '0' then return TO_INTEGER(UNSIGNED(ARG)); else return (- (TO_INTEGER(UNSIGNED(- (ARG + 1)))) -1); end if; end TO_INTEGER; -- Id: D.3 function TO_UNSIGNED (ARG, SIZE: NATURAL) return UNSIGNED is variable RESULT: UNSIGNED(SIZE-1 downto 0); variable I_VAL: NATURAL := ARG; begin if (SIZE < 1) then return NAU; end if; for I in 0 to RESULT'LEFT loop if (I_VAL mod 2) = 0 then RESULT(I) := '0'; else RESULT(I) := '1'; end if; I_VAL := I_VAL/2; end loop; if not(I_VAL =0) then assert NO_WARNING report "NUMERIC_BIT.TO_UNSIGNED: vector truncated" severity WARNING; end if; return RESULT; end TO_UNSIGNED; -- Id: D.4 function TO_SIGNED (ARG: INTEGER; SIZE: NATURAL) return SIGNED is variable RESULT: SIGNED(SIZE-1 downto 0); variable B_VAL: BIT := '0'; variable I_VAL: INTEGER := ARG; begin if (SIZE < 1) then return NAS; end if; if (ARG < 0) then B_VAL := '1'; I_VAL := -(ARG+1); end if; for I in 0 to RESULT'LEFT loop if (I_VAL mod 2) = 0 then RESULT(I) := B_VAL; else RESULT(I) := not B_VAL; end if; I_VAL := I_VAL/2; end loop; if ((I_VAL/=0) or (B_VAL/=RESULT(RESULT'LEFT))) then assert NO_WARNING report "NUMERIC_BIT.TO_SIGNED: vector truncated" severity WARNING; end if; return RESULT; end TO_SIGNED; --============================================================================ -- Id: R.1 function RESIZE (ARG: SIGNED; NEW_SIZE: NATURAL) return SIGNED is alias INVEC: SIGNED(ARG'LENGTH-1 downto 0) is ARG; variable RESULT: SIGNED(NEW_SIZE-1 downto 0) := (others => '0'); constant BOUND: INTEGER := MIN(ARG'LENGTH, RESULT'LENGTH)-2; begin if (NEW_SIZE < 1) then return NAS; end if; if (ARG'LENGTH = 0) then return RESULT; end if; RESULT := (others => ARG(ARG'LEFT)); if BOUND >= 0 then RESULT(BOUND downto 0) := INVEC(BOUND downto 0); end if; return RESULT; end RESIZE; -- Id: R.2 function RESIZE (ARG: UNSIGNED; NEW_SIZE: NATURAL) return UNSIGNED is constant ARG_LEFT: INTEGER := ARG'LENGTH-1; alias XARG: UNSIGNED(ARG_LEFT downto 0) is ARG; variable RESULT: UNSIGNED(NEW_SIZE-1 downto 0) := (others => '0'); begin if (NEW_SIZE < 1) then return NAU; end if; if XARG'LENGTH =0 then return RESULT; end if; if (RESULT'LENGTH < ARG'LENGTH) then RESULT(RESULT'LEFT downto 0) := XARG(RESULT'LEFT downto 0); else RESULT(RESULT'LEFT downto XARG'LEFT+1) := (others => '0'); RESULT(XARG'LEFT downto 0) := XARG; end if; return RESULT; end RESIZE; --============================================================================ -- Id: L.1 function "not" (L: UNSIGNED) return UNSIGNED is variable RESULT: UNSIGNED(L'LENGTH-1 downto 0); begin RESULT := UNSIGNED(not(BIT_VECTOR(L))); return RESULT; end "not"; -- Id: L.2 function "and" (L, R: UNSIGNED) return UNSIGNED is variable RESULT: UNSIGNED(L'LENGTH-1 downto 0); begin RESULT := UNSIGNED(BIT_VECTOR(L) and BIT_VECTOR(R)); return RESULT; end "and"; -- Id: L.3 function "or" (L, R: UNSIGNED) return UNSIGNED is variable RESULT: UNSIGNED(L'LENGTH-1 downto 0); begin RESULT := UNSIGNED(BIT_VECTOR(L) or BIT_VECTOR(R)); return RESULT; end "or"; -- Id: L.4 function "nand" (L, R: UNSIGNED) return UNSIGNED is variable RESULT: UNSIGNED(L'LENGTH-1 downto 0); begin RESULT := UNSIGNED(BIT_VECTOR(L) nand BIT_VECTOR(R)); return RESULT; end "nand"; -- Id: L.5 function "nor" (L, R: UNSIGNED) return UNSIGNED is variable RESULT: UNSIGNED(L'LENGTH-1 downto 0); begin RESULT := UNSIGNED(BIT_VECTOR(L) nor BIT_VECTOR(R)); return RESULT; end "nor"; -- Id: L.6 function "xor" (L, R: UNSIGNED) return UNSIGNED is variable RESULT: UNSIGNED(L'LENGTH-1 downto 0); begin RESULT := UNSIGNED(BIT_VECTOR(L) xor BIT_VECTOR(R)); return RESULT; end "xor"; --START-V93 ------------------------------------------------------------------------------ -- Note : Function L.7 is not compatible with VHDL 1076-1987. Comment -- out the function (declaration and body) for VHDL 1076-1987 compatibility. ------------------------------------------------------------------------------ -- Id: L.7 function "xnor" (L, R: UNSIGNED) return UNSIGNED is variable RESULT: UNSIGNED(L'LENGTH-1 downto 0); begin RESULT := UNSIGNED(BIT_VECTOR(L) xnor BIT_VECTOR(R)); return RESULT; end "xnor"; --END-V93 -- Id: L.8 function "not" (L: SIGNED) return SIGNED is variable RESULT: SIGNED(L'LENGTH-1 downto 0); begin RESULT := SIGNED(not(BIT_VECTOR(L))); return RESULT; end "not"; -- Id: L.9 function "and" (L, R: SIGNED) return SIGNED is variable RESULT: SIGNED(L'LENGTH-1 downto 0); begin RESULT := SIGNED(BIT_VECTOR(L) and BIT_VECTOR(R)); return RESULT; end "and"; -- Id: L.10 function "or" (L, R: SIGNED) return SIGNED is variable RESULT: SIGNED(L'LENGTH-1 downto 0); begin RESULT := SIGNED(BIT_VECTOR(L) or BIT_VECTOR(R)); return RESULT; end "or"; -- Id: L.11 function "nand" (L, R: SIGNED) return SIGNED is variable RESULT: SIGNED(L'LENGTH-1 downto 0); begin RESULT := SIGNED(BIT_VECTOR(L) nand BIT_VECTOR(R)); return RESULT; end "nand"; -- Id: L.12 function "nor" (L, R: SIGNED) return SIGNED is variable RESULT: SIGNED(L'LENGTH-1 downto 0); begin RESULT := SIGNED(BIT_VECTOR(L) nor BIT_VECTOR(R)); return RESULT; end "nor"; -- Id: L.13 function "xor" (L, R: SIGNED) return SIGNED is variable RESULT: SIGNED(L'LENGTH-1 downto 0); begin RESULT := SIGNED(BIT_VECTOR(L) xor BIT_VECTOR(R)); return RESULT; end "xor"; --START-V93 ------------------------------------------------------------------------------ -- Note : Function L.14 is not compatible with VHDL 1076-1987. Comment -- out the function (declaration and body) for VHDL 1076-1987 compatibility. ------------------------------------------------------------------------------ -- Id: L.14 function "xnor" (L, R: SIGNED) return SIGNED is variable RESULT: SIGNED(L'LENGTH-1 downto 0); begin RESULT := SIGNED(BIT_VECTOR(L) xnor BIT_VECTOR(R)); return RESULT; end "xnor"; --END-V93 --============================================================================ -- Id: E.1 function RISING_EDGE (signal S: BIT) return BOOLEAN is begin return S'EVENT and S = '1'; end RISING_EDGE; -- Id: E.2 function FALLING_EDGE (signal S: BIT) return BOOLEAN is begin return S'EVENT and S = '0'; end FALLING_EDGE; --============================================================================ end NUMERIC_BIT;
-- ----------------------------------------------------------------------------- -- -- Copyright 1995 by IEEE. All rights reserved. -- -- This source file is considered by the IEEE to be an essential part of the use -- of the standard 1076.3 and as such may be distributed without change, except -- as permitted by the standard. This source file may not be sold or distributed -- for profit. This package may be modified to include additional data required -- by tools, but must in no way change the external interfaces or simulation -- behaviour of the description. It is permissible to add comments and/or -- attributes to the package declarations, but not to change or delete any -- original lines of the approved package declaration. The package body may be -- changed only in accordance with the terms of clauses 7.1 and 7.2 of the -- standard. -- -- Title : Standard VHDL Synthesis Package (1076.3, NUMERIC_BIT) -- -- Library : This package shall be compiled into a library symbolically -- : named IEEE. -- -- Developers : IEEE DASC Synthesis Working Group, PAR 1076.3 -- -- Purpose : This package defines numeric types and arithmetic functions -- : for use with synthesis tools. Two numeric types are defined: -- : -- > UNSIGNED: represents an UNSIGNED number in vector form -- : -- > SIGNED: represents a SIGNED number in vector form -- : The base element type is type BIT. -- : The leftmost bit is treated as the most significant bit. -- : Signed vectors are represented in two's complement form. -- : This package contains overloaded arithmetic operators on -- : the SIGNED and UNSIGNED types. The package also contains -- : useful type conversions functions, clock detection -- : functions, and other utility functions. -- : -- : If any argument to a function is a null array, a null array is -- : returned (exceptions, if any, are noted individually). -- -- Limitation : -- -- Note : No declarations or definitions shall be included in, -- : or excluded from this package. The "package declaration" -- : defines the types, subtypes and declarations of -- : NUMERIC_BIT. The NUMERIC_BIT package body shall be -- : considered the formal definition of the semantics of -- : this package. Tool developers may choose to implement -- : the package body in the most efficient manner available -- : to them. -- : -- ----------------------------------------------------------------------------- -- Version : 2.4 -- Date : 12 April 1995 -- ----------------------------------------------------------------------------- --============================================================================== --======================= Package Body ========================================= --============================================================================== package body NUMERIC_BIT is -- null range array constants constant NAU: UNSIGNED(0 downto 1) := (others => '0'); constant NAS: SIGNED(0 downto 1) := (others => '0'); -- implementation controls constant NO_WARNING: BOOLEAN := FALSE; -- default to emit warnings --=========================Local Subprograms ================================= function MAX (LEFT, RIGHT: INTEGER) return INTEGER is begin if LEFT > RIGHT then return LEFT; else return RIGHT; end if; end MAX; function MIN (LEFT, RIGHT: INTEGER) return INTEGER is begin if LEFT < RIGHT then return LEFT; else return RIGHT; end if; end MIN; function SIGNED_NUM_BITS (ARG: INTEGER) return NATURAL is variable NBITS: NATURAL; variable N: NATURAL; begin if ARG >= 0 then N := ARG; else N := -(ARG+1); end if; NBITS := 1; while N > 0 loop NBITS := NBITS+1; N := N / 2; end loop; return NBITS; end SIGNED_NUM_BITS; function UNSIGNED_NUM_BITS (ARG: NATURAL) return NATURAL is variable NBITS: NATURAL; variable N: NATURAL; begin N := ARG; NBITS := 1; while N > 1 loop NBITS := NBITS+1; N := N / 2; end loop; return NBITS; end UNSIGNED_NUM_BITS; ------------------------------------------------------------------------------ -- this internal function computes the addition of two UNSIGNED -- with input carry -- * the two arguments are of the same length function ADD_UNSIGNED (L, R: UNSIGNED; C: BIT) return UNSIGNED is constant L_LEFT: INTEGER := L'LENGTH-1; alias XL: UNSIGNED(L_LEFT downto 0) is L; alias XR: UNSIGNED(L_LEFT downto 0) is R; variable RESULT: UNSIGNED(L_LEFT downto 0); variable CBIT: BIT := C; begin for I in 0 to L_LEFT loop RESULT(I) := CBIT xor XL(I) xor XR(I); CBIT := (CBIT and XL(I)) or (CBIT and XR(I)) or (XL(I) and XR(I)); end loop; return RESULT; end ADD_UNSIGNED; -- this internal function computes the addition of two SIGNED -- with input carry -- * the two arguments are of the same length function ADD_SIGNED (L, R: SIGNED; C: BIT) return SIGNED is constant L_LEFT: INTEGER := L'LENGTH-1; alias XL: SIGNED(L_LEFT downto 0) is L; alias XR: SIGNED(L_LEFT downto 0) is R; variable RESULT: SIGNED(L_LEFT downto 0); variable CBIT: BIT := C; begin for I in 0 to L_LEFT loop RESULT(I) := CBIT xor XL(I) xor XR(I); CBIT := (CBIT and XL(I)) or (CBIT and XR(I)) or (XL(I) and XR(I)); end loop; return RESULT; end ADD_SIGNED; ------------------------------------------------------------------------------ -- this internal procedure computes UNSIGNED division -- giving the quotient and remainder. procedure DIVMOD (NUM, XDENOM: UNSIGNED; XQUOT, XREMAIN: out UNSIGNED) is variable TEMP: UNSIGNED(NUM'LENGTH downto 0); variable QUOT: UNSIGNED(MAX(NUM'LENGTH, XDENOM'LENGTH)-1 downto 0); alias DENOM: UNSIGNED(XDENOM'LENGTH-1 downto 0) is XDENOM; variable TOPBIT: INTEGER; begin TEMP := "0"&NUM; QUOT := (others => '0'); TOPBIT := -1; for J in DENOM'RANGE loop if DENOM(J)='1' then TOPBIT := J; exit; end if; end loop; assert TOPBIT >= 0 report "DIV, MOD, or REM by zero" severity ERROR; for J in NUM'LENGTH-(TOPBIT+1) downto 0 loop if TEMP(TOPBIT+J+1 downto J) >= "0"&DENOM(TOPBIT downto 0) then TEMP(TOPBIT+J+1 downto J) := (TEMP(TOPBIT+J+1 downto J)) -("0"&DENOM(TOPBIT downto 0)); QUOT(J) := '1'; end if; assert TEMP(TOPBIT+J+1)='0' report "internal error in the division algorithm" severity ERROR; end loop; XQUOT := RESIZE(QUOT, XQUOT'LENGTH); XREMAIN := RESIZE(TEMP, XREMAIN'LENGTH); end DIVMOD; -----------------Local Subprograms - shift/rotate ops------------------------- function XSLL (ARG: BIT_VECTOR; COUNT: NATURAL) return BIT_VECTOR is constant ARG_L: INTEGER := ARG'LENGTH-1; alias XARG: BIT_VECTOR(ARG_L downto 0) is ARG; variable RESULT: BIT_VECTOR(ARG_L downto 0) := (others => '0'); begin if COUNT <= ARG_L then RESULT(ARG_L downto COUNT) := XARG(ARG_L-COUNT downto 0); end if; return RESULT; end XSLL; function XSRL (ARG: BIT_VECTOR; COUNT: NATURAL) return BIT_VECTOR is constant ARG_L: INTEGER := ARG'LENGTH-1; alias XARG: BIT_VECTOR(ARG_L downto 0) is ARG; variable RESULT: BIT_VECTOR(ARG_L downto 0) := (others => '0'); begin if COUNT <= ARG_L then RESULT(ARG_L-COUNT downto 0) := XARG(ARG_L downto COUNT); end if; return RESULT; end XSRL; function XSRA (ARG: BIT_VECTOR; COUNT: NATURAL) return BIT_VECTOR is constant ARG_L: INTEGER := ARG'LENGTH-1; alias XARG: BIT_VECTOR(ARG_L downto 0) is ARG; variable RESULT: BIT_VECTOR(ARG_L downto 0); variable XCOUNT: NATURAL := COUNT; begin if ((ARG'LENGTH <= 1) or (XCOUNT = 0)) then return ARG; else if (XCOUNT > ARG_L) then XCOUNT := ARG_L; end if; RESULT(ARG_L-XCOUNT downto 0) := XARG(ARG_L downto XCOUNT); RESULT(ARG_L downto (ARG_L - XCOUNT + 1)) := (others => XARG(ARG_L)); end if; return RESULT; end XSRA; function XROL (ARG: BIT_VECTOR; COUNT: NATURAL) return BIT_VECTOR is constant ARG_L: INTEGER := ARG'LENGTH-1; alias XARG: BIT_VECTOR(ARG_L downto 0) is ARG; variable RESULT: BIT_VECTOR(ARG_L downto 0) := XARG; variable COUNTM: INTEGER; begin COUNTM := COUNT mod (ARG_L + 1); if COUNTM /= 0 then RESULT(ARG_L downto COUNTM) := XARG(ARG_L-COUNTM downto 0); RESULT(COUNTM-1 downto 0) := XARG(ARG_L downto ARG_L-COUNTM+1); end if; return RESULT; end XROL; function XROR (ARG: BIT_VECTOR; COUNT: NATURAL) return BIT_VECTOR is constant ARG_L: INTEGER := ARG'LENGTH-1; alias XARG: BIT_VECTOR(ARG_L downto 0) is ARG; variable RESULT: BIT_VECTOR(ARG_L downto 0) := XARG; variable COUNTM: INTEGER; begin COUNTM := COUNT mod (ARG_L + 1); if COUNTM /= 0 then RESULT(ARG_L-COUNTM downto 0) := XARG(ARG_L downto COUNTM); RESULT(ARG_L downto ARG_L-COUNTM+1) := XARG(COUNTM-1 downto 0); end if; return RESULT; end XROR; ---------------- Local Subprograms - Relational Operators -------------------- -- General "=" for UNSIGNED vectors, same length -- function UNSIGNED_EQUAL (L, R: UNSIGNED) return BOOLEAN is begin return BIT_VECTOR(L) = BIT_VECTOR(R); end UNSIGNED_EQUAL; -- -- General "=" for SIGNED vectors, same length -- function SIGNED_EQUAL (L, R: SIGNED) return BOOLEAN is begin return BIT_VECTOR(L) = BIT_VECTOR(R); end SIGNED_EQUAL; -- -- General "<" for UNSIGNED vectors, same length -- function UNSIGNED_LESS (L, R: UNSIGNED) return BOOLEAN is begin return BIT_VECTOR(L) < BIT_VECTOR(R); end UNSIGNED_LESS; -- -- General "<" function for SIGNED vectors, same length -- function SIGNED_LESS (L, R: SIGNED) return BOOLEAN is -- Need aliases to assure index direction variable INTERN_L: SIGNED(0 to L'LENGTH-1); variable INTERN_R: SIGNED(0 to R'LENGTH-1); begin INTERN_L := L; INTERN_R := R; INTERN_L(0) := not INTERN_L(0); INTERN_R(0) := not INTERN_R(0); return BIT_VECTOR(INTERN_L) < BIT_VECTOR(INTERN_R); end SIGNED_LESS; -- -- General "<=" function for UNSIGNED vectors, same length -- function UNSIGNED_LESS_OR_EQUAL (L, R: UNSIGNED) return BOOLEAN is begin return BIT_VECTOR(L) <= BIT_VECTOR(R); end UNSIGNED_LESS_OR_EQUAL; -- -- General "<=" function for SIGNED vectors, same length -- function SIGNED_LESS_OR_EQUAL (L, R: SIGNED) return BOOLEAN is -- Need aliases to assure index direction variable INTERN_L: SIGNED(0 to L'LENGTH-1); variable INTERN_R: SIGNED(0 to R'LENGTH-1); begin INTERN_L := L; INTERN_R := R; INTERN_L(0) := not INTERN_L(0); INTERN_R(0) := not INTERN_R(0); return BIT_VECTOR(INTERN_L) <= BIT_VECTOR(INTERN_R); end SIGNED_LESS_OR_EQUAL; --====================== Exported Functions ================================== -- Id: A.1 function "abs" (ARG: SIGNED) return SIGNED is constant ARG_LEFT: INTEGER := ARG'LENGTH-1; variable RESULT: SIGNED(ARG_LEFT downto 0); begin if ARG'LENGTH < 1 then return NAS; end if; RESULT := ARG; if RESULT(RESULT'LEFT) = '1' then RESULT := -RESULT; end if; return RESULT; end "abs"; -- Id: A.2 function "-" (ARG: SIGNED) return SIGNED is constant ARG_LEFT: INTEGER := ARG'LENGTH-1; alias XARG: SIGNED(ARG_LEFT downto 0) is ARG; variable RESULT: SIGNED(ARG_LEFT downto 0); variable CBIT: BIT := '1'; begin if ARG'LENGTH < 1 then return NAS; end if; for I in 0 to RESULT'LEFT loop RESULT(I) := not(XARG(I)) xor CBIT; CBIT := CBIT and not(XARG(I)); end loop; return RESULT; end "-"; --============================================================================ -- Id: A.3 function "+" (L, R: UNSIGNED) return UNSIGNED is constant L_LEFT: INTEGER := L'LENGTH-1; constant R_LEFT: INTEGER := R'LENGTH-1; constant SIZE: NATURAL := MAX(L'LENGTH, R'LENGTH); begin if ((L'LENGTH < 1) or (R'LENGTH < 1)) then return NAU; end if; return ADD_UNSIGNED(RESIZE(L, SIZE), RESIZE(R, SIZE), '0'); end "+"; -- Id: A.4 function "+" (L, R: SIGNED) return SIGNED is constant L_LEFT: INTEGER := L'LENGTH-1; constant R_LEFT: INTEGER := R'LENGTH-1; constant SIZE: NATURAL := MAX(L'LENGTH, R'LENGTH); begin if ((L'LENGTH < 1) or (R'LENGTH < 1)) then return NAS; end if; return ADD_SIGNED(RESIZE(L, SIZE), RESIZE(R, SIZE), '0'); end "+"; -- Id: A.5 function "+" (L: UNSIGNED; R: NATURAL) return UNSIGNED is begin return L + TO_UNSIGNED(R, L'LENGTH); end "+"; -- Id: A.6 function "+" (L: NATURAL; R: UNSIGNED) return UNSIGNED is begin return TO_UNSIGNED(L, R'LENGTH) + R; end "+"; -- Id: A.7 function "+" (L: SIGNED; R: INTEGER) return SIGNED is begin return L + TO_SIGNED(R, L'LENGTH); end "+"; -- Id: A.8 function "+" (L: INTEGER; R: SIGNED) return SIGNED is begin return TO_SIGNED(L, R'LENGTH) + R; end "+"; --============================================================================ -- Id: A.9 function "-" (L, R: UNSIGNED) return UNSIGNED is constant L_LEFT: INTEGER := L'LENGTH-1; constant R_LEFT: INTEGER := R'LENGTH-1; constant SIZE: NATURAL := MAX(L'LENGTH, R'LENGTH); begin if ((L'LENGTH < 1) or (R'LENGTH < 1)) then return NAU; end if; return ADD_UNSIGNED(RESIZE(L, SIZE), not(RESIZE(R, SIZE)), '1'); end "-"; -- Id: A.10 function "-" (L, R: SIGNED) return SIGNED is constant L_LEFT: INTEGER := L'LENGTH-1; constant R_LEFT: INTEGER := R'LENGTH-1; constant SIZE: NATURAL := MAX(L'LENGTH, R'LENGTH); begin if ((L'LENGTH < 1) or (R'LENGTH < 1)) then return NAS; end if; return ADD_SIGNED(RESIZE(L, SIZE), not(RESIZE(R, SIZE)), '1'); end "-"; -- Id: A.11 function "-" (L: UNSIGNED; R: NATURAL) return UNSIGNED is begin return L - TO_UNSIGNED(R, L'LENGTH); end "-"; -- Id: A.12 function "-" (L: NATURAL; R: UNSIGNED) return UNSIGNED is begin return TO_UNSIGNED(L, R'LENGTH) - R; end "-"; -- Id: A.13 function "-" (L: SIGNED; R: INTEGER) return SIGNED is begin return L - TO_SIGNED(R, L'LENGTH); end "-"; -- Id: A.14 function "-" (L: INTEGER; R: SIGNED) return SIGNED is begin return TO_SIGNED(L, R'LENGTH) - R; end "-"; --============================================================================ -- Id: A.15 function "*" (L, R: UNSIGNED) return UNSIGNED is constant L_LEFT: INTEGER := L'LENGTH-1; constant R_LEFT: INTEGER := R'LENGTH-1; alias XL: UNSIGNED(L_LEFT downto 0) is L; alias XR: UNSIGNED(R_LEFT downto 0) is R; variable RESULT: UNSIGNED((L'LENGTH+R'LENGTH-1) downto 0) := (others => '0'); variable ADVAL: UNSIGNED((L'LENGTH+R'LENGTH-1) downto 0); begin if ((L'LENGTH < 1) or (R'LENGTH < 1)) then return NAU; end if; ADVAL := RESIZE(XR, RESULT'LENGTH); for I in 0 to L_LEFT loop if XL(I)='1' then RESULT := RESULT + ADVAL; end if; ADVAL := SHIFT_LEFT(ADVAL, 1); end loop; return RESULT; end "*"; -- Id: A.16 function "*" (L, R: SIGNED) return SIGNED is constant L_LEFT: INTEGER := L'LENGTH-1; constant R_LEFT: INTEGER := R'LENGTH-1; variable XL: SIGNED(L_LEFT downto 0); variable XR: SIGNED(R_LEFT downto 0); variable RESULT: SIGNED((L_LEFT+R_LEFT+1) downto 0) := (others => '0'); variable ADVAL: SIGNED((L_LEFT+R_LEFT+1) downto 0); begin if ((L_LEFT < 0) or (R_LEFT < 0)) then return NAS; end if; XL := L; XR := R; ADVAL := RESIZE(XR, RESULT'LENGTH); for I in 0 to L_LEFT-1 loop if XL(I)='1' then RESULT := RESULT + ADVAL; end if; ADVAL := SHIFT_LEFT(ADVAL, 1); end loop; if XL(L_LEFT)='1' then RESULT := RESULT - ADVAL; end if; return RESULT; end "*"; -- Id: A.17 function "*" (L: UNSIGNED; R: NATURAL) return UNSIGNED is begin return L * TO_UNSIGNED(R, L'LENGTH); end "*"; -- Id: A.18 function "*" (L: NATURAL; R: UNSIGNED) return UNSIGNED is begin return TO_UNSIGNED(L, R'LENGTH) * R; end "*"; -- Id: A.19 function "*" (L: SIGNED; R: INTEGER) return SIGNED is begin return L * TO_SIGNED(R, L'LENGTH); end "*"; -- Id: A.20 function "*" (L: INTEGER; R: SIGNED) return SIGNED is begin return TO_SIGNED(L, R'LENGTH) * R; end "*"; --============================================================================ -- Id: A.21 function "/" (L, R: UNSIGNED) return UNSIGNED is variable FQUOT: UNSIGNED(L'LENGTH-1 downto 0); variable FREMAIN: UNSIGNED(R'LENGTH-1 downto 0); begin if ((L'LENGTH < 1) or (R'LENGTH < 1)) then return NAU; end if; DIVMOD(L, R, FQUOT, FREMAIN); return FQUOT; end "/"; -- Id: A.22 function "/" (L, R: SIGNED) return SIGNED is variable FQUOT: UNSIGNED(L'LENGTH-1 downto 0); variable FREMAIN: UNSIGNED(R'LENGTH-1 downto 0); variable XNUM: UNSIGNED(L'LENGTH-1 downto 0); variable XDENOM: UNSIGNED(R'LENGTH-1 downto 0); variable QNEG: BOOLEAN := FALSE; begin if ((L'LENGTH < 1) or (R'LENGTH < 1)) then return NAS; end if; if L(L'LEFT)='1' then XNUM := UNSIGNED(-L); QNEG := TRUE; else XNUM := UNSIGNED(L); end if; if R(R'LEFT)='1' then XDENOM := UNSIGNED(-R); QNEG := not QNEG; else XDENOM := UNSIGNED(R); end if; DIVMOD(XNUM, XDENOM, FQUOT, FREMAIN); if QNEG then FQUOT := "0"-FQUOT; end if; return SIGNED(FQUOT); end "/"; -- Id: A.23 function "/" (L: UNSIGNED; R: NATURAL) return UNSIGNED is constant R_LENGTH: NATURAL := MAX(L'LENGTH, UNSIGNED_NUM_BITS(R)); variable XR, QUOT: UNSIGNED(R_LENGTH-1 downto 0); begin if (L'LENGTH < 1) then return NAU; end if; if (R_LENGTH > L'LENGTH) then QUOT := (others => '0'); return RESIZE(QUOT, L'LENGTH); end if; XR := TO_UNSIGNED(R, R_LENGTH); QUOT := RESIZE((L / XR), QUOT'LENGTH); return RESIZE(QUOT, L'LENGTH); end "/"; -- Id: A.24 function "/" (L: NATURAL; R: UNSIGNED) return UNSIGNED is constant L_LENGTH: NATURAL := MAX(UNSIGNED_NUM_BITS(L), R'LENGTH); variable XL, QUOT: UNSIGNED(L_LENGTH-1 downto 0); begin if (R'LENGTH < 1) then return NAU; end if; XL := TO_UNSIGNED(L, L_LENGTH); QUOT := RESIZE((XL / R), QUOT'LENGTH); if L_LENGTH > R'LENGTH and QUOT(L_LENGTH-1 downto R'LENGTH) /= (L_LENGTH-1 downto R'LENGTH => '0') then assert NO_WARNING report "NUMERIC_BIT.""/"": Quotient Truncated" severity WARNING; end if; return RESIZE(QUOT, R'LENGTH); end "/"; -- Id: A.25 function "/" (L: SIGNED; R: INTEGER) return SIGNED is constant R_LENGTH: NATURAL := MAX(L'LENGTH, SIGNED_NUM_BITS(R)); variable XR, QUOT: SIGNED(R_LENGTH-1 downto 0); begin if (L'LENGTH < 1) then return NAS; end if; if (R_LENGTH > L'LENGTH) then QUOT := (others => '0'); return RESIZE(QUOT, L'LENGTH); end if; XR := TO_SIGNED(R, R_LENGTH); QUOT := RESIZE((L / XR), QUOT'LENGTH); return RESIZE(QUOT, L'LENGTH); end "/"; -- Id: A.26 function "/" (L: INTEGER; R: SIGNED) return SIGNED is constant L_LENGTH: NATURAL := MAX(SIGNED_NUM_BITS(L), R'LENGTH); variable XL, QUOT: SIGNED(L_LENGTH-1 downto 0); begin if (R'LENGTH < 1) then return NAS; end if; XL := TO_SIGNED(L, L_LENGTH); QUOT := RESIZE((XL / R), QUOT'LENGTH); if L_LENGTH > R'LENGTH and QUOT(L_LENGTH-1 downto R'LENGTH) /= (L_LENGTH-1 downto R'LENGTH => QUOT(R'LENGTH-1)) then assert NO_WARNING report "NUMERIC_BIT.""/"": Quotient Truncated" severity WARNING; end if; return RESIZE(QUOT, R'LENGTH); end "/"; --============================================================================ -- Id: A.27 function "rem" (L, R: UNSIGNED) return UNSIGNED is variable FQUOT: UNSIGNED(L'LENGTH-1 downto 0); variable FREMAIN: UNSIGNED(R'LENGTH-1 downto 0); begin if ((L'LENGTH < 1) or (R'LENGTH < 1)) then return NAU; end if; DIVMOD(L, R, FQUOT, FREMAIN); return FREMAIN; end "rem"; -- Id: A.28 function "rem" (L, R: SIGNED) return SIGNED is variable FQUOT: UNSIGNED(L'LENGTH-1 downto 0); variable FREMAIN: UNSIGNED(R'LENGTH-1 downto 0); variable XNUM: UNSIGNED(L'LENGTH-1 downto 0); variable XDENOM: UNSIGNED(R'LENGTH-1 downto 0); variable RNEG: BOOLEAN := FALSE; begin if ((L'LENGTH < 1) or (R'LENGTH < 1)) then return NAS; end if; if L(L'LEFT)='1' then XNUM := UNSIGNED(-L); RNEG := TRUE; else XNUM := UNSIGNED(L); end if; if R(R'LEFT)='1' then XDENOM := UNSIGNED(-R); else XDENOM := UNSIGNED(R); end if; DIVMOD(XNUM, XDENOM, FQUOT, FREMAIN); if RNEG then FREMAIN := "0"-FREMAIN; end if; return SIGNED(FREMAIN); end "rem"; -- Id: A.29 function "rem" (L: UNSIGNED; R: NATURAL) return UNSIGNED is constant R_LENGTH: NATURAL := MAX(L'LENGTH, UNSIGNED_NUM_BITS(R)); variable XR, XREM: UNSIGNED(R_LENGTH-1 downto 0); begin if (L'LENGTH < 1) then return NAU; end if; XR := TO_UNSIGNED(R, R_LENGTH); XREM := RESIZE((L rem XR), XREM'LENGTH); if R_LENGTH > L'LENGTH and XREM(R_LENGTH-1 downto L'LENGTH) /= (R_LENGTH-1 downto L'LENGTH => '0') then assert NO_WARNING report "NUMERIC_BIT.""rem"": Remainder Truncated" severity WARNING; end if; return RESIZE(XREM, L'LENGTH); end "rem"; -- Id: A.30 function "rem" (L: NATURAL; R: UNSIGNED) return UNSIGNED is constant L_LENGTH: NATURAL := MAX(UNSIGNED_NUM_BITS(L), R'LENGTH); variable XL, XREM: UNSIGNED(L_LENGTH-1 downto 0); begin if (R'LENGTH < 1) then return NAU; end if; XL := TO_UNSIGNED(L, L_LENGTH); XREM := RESIZE((XL rem R), XREM'LENGTH); if L_LENGTH > R'LENGTH and XREM(L_LENGTH-1 downto R'LENGTH) /= (L_LENGTH-1 downto R'LENGTH => '0') then assert NO_WARNING report "NUMERIC_BIT.""rem"": Remainder Truncated" severity WARNING; end if; return RESIZE(XREM, R'LENGTH); end "rem"; -- Id: A.31 function "rem" (L: SIGNED; R: INTEGER) return SIGNED is constant R_LENGTH: NATURAL := MAX(L'LENGTH, SIGNED_NUM_BITS(R)); variable XR, XREM: SIGNED(R_LENGTH-1 downto 0); begin if (L'LENGTH < 1) then return NAS; end if; XR := TO_SIGNED(R, R_LENGTH); XREM := RESIZE((L rem XR), XREM'LENGTH); if R_LENGTH > L'LENGTH and XREM(R_LENGTH-1 downto L'LENGTH) /= (R_LENGTH-1 downto L'LENGTH => XREM(L'LENGTH-1)) then assert NO_WARNING report "NUMERIC_BIT.""rem"": Remainder Truncated" severity WARNING; end if; return RESIZE(XREM, L'LENGTH); end "rem"; -- Id: A.32 function "rem" (L: INTEGER; R: SIGNED) return SIGNED is constant L_LENGTH: NATURAL := MAX(SIGNED_NUM_BITS(L), R'LENGTH); variable XL, XREM: SIGNED(L_LENGTH-1 downto 0); begin if (R'LENGTH < 1) then return NAS; end if; XL := TO_SIGNED(L, L_LENGTH); XREM := RESIZE((XL rem R), XREM'LENGTH); if L_LENGTH > R'LENGTH and XREM(L_LENGTH-1 downto R'LENGTH) /= (L_LENGTH-1 downto R'LENGTH => XREM(R'LENGTH-1)) then assert NO_WARNING report "NUMERIC_BIT.""rem"": Remainder Truncated" severity WARNING; end if; return RESIZE(XREM, R'LENGTH); end "rem"; --============================================================================ -- Id: A.33 function "mod" (L, R: UNSIGNED) return UNSIGNED is variable FQUOT: UNSIGNED(L'LENGTH-1 downto 0); variable FREMAIN: UNSIGNED(R'LENGTH-1 downto 0); begin if ((L'LENGTH < 1) or (R'LENGTH < 1)) then return NAU; end if; DIVMOD(L, R, FQUOT, FREMAIN); return FREMAIN; end "mod"; -- Id: A.34 function "mod" (L, R: SIGNED) return SIGNED is variable FQUOT: UNSIGNED(L'LENGTH-1 downto 0); variable FREMAIN: UNSIGNED(R'LENGTH-1 downto 0); variable XNUM: UNSIGNED(L'LENGTH-1 downto 0); variable XDENOM: UNSIGNED(R'LENGTH-1 downto 0); variable RNEG: BOOLEAN := FALSE; begin if ((L'LENGTH < 1) or (R'LENGTH < 1)) then return NAS; end if; if L(L'LEFT)='1' then XNUM := UNSIGNED(-L); else XNUM := UNSIGNED(L); end if; if R(R'LEFT)='1' then XDENOM := UNSIGNED(-R); RNEG := TRUE; else XDENOM := UNSIGNED(R); end if; DIVMOD(XNUM, XDENOM, FQUOT, FREMAIN); if RNEG and L(L'LEFT)='1' then FREMAIN := "0"-FREMAIN; elsif RNEG and FREMAIN/="0" then FREMAIN := FREMAIN-XDENOM; elsif L(L'LEFT)='1' and FREMAIN/="0" then FREMAIN := XDENOM-FREMAIN; end if; return SIGNED(FREMAIN); end "mod"; -- Id: A.35 function "mod" (L: UNSIGNED; R: NATURAL) return UNSIGNED is constant R_LENGTH: NATURAL := MAX(L'LENGTH, UNSIGNED_NUM_BITS(R)); variable XR, XREM: UNSIGNED(R_LENGTH-1 downto 0); begin if (L'LENGTH < 1) then return NAU; end if; XR := TO_UNSIGNED(R, R_LENGTH); XREM := RESIZE((L mod XR), XREM'LENGTH); if R_LENGTH > L'LENGTH and XREM(R_LENGTH-1 downto L'LENGTH) /= (R_LENGTH-1 downto L'LENGTH => '0') then assert NO_WARNING report "NUMERIC_BIT.""mod"": modulus Truncated" severity WARNING; end if; return RESIZE(XREM, L'LENGTH); end "mod"; -- Id: A.36 function "mod" (L: NATURAL; R: UNSIGNED) return UNSIGNED is constant L_LENGTH: NATURAL := MAX(UNSIGNED_NUM_BITS(L), R'LENGTH); variable XL, XREM: UNSIGNED(L_LENGTH-1 downto 0); begin if (R'LENGTH < 1) then return NAU; end if; XL := TO_UNSIGNED(L, L_LENGTH); XREM := RESIZE((XL mod R), XREM'LENGTH); if L_LENGTH > R'LENGTH and XREM(L_LENGTH-1 downto R'LENGTH) /= (L_LENGTH-1 downto R'LENGTH => '0') then assert NO_WARNING report "NUMERIC_BIT.""mod"": modulus Truncated" severity WARNING; end if; return RESIZE(XREM, R'LENGTH); end "mod"; -- Id: A.37 function "mod" (L: SIGNED; R: INTEGER) return SIGNED is constant R_LENGTH: NATURAL := MAX(L'LENGTH, SIGNED_NUM_BITS(R)); variable XR, XREM: SIGNED(R_LENGTH-1 downto 0); begin if (L'LENGTH < 1) then return NAS; end if; XR := TO_SIGNED(R, R_LENGTH); XREM := RESIZE((L mod XR), XREM'LENGTH); if R_LENGTH > L'LENGTH and XREM(R_LENGTH-1 downto L'LENGTH) /= (R_LENGTH-1 downto L'LENGTH => XREM(L'LENGTH-1)) then assert NO_WARNING report "NUMERIC_BIT.""mod"": modulus Truncated" severity WARNING; end if; return RESIZE(XREM, L'LENGTH); end "mod"; -- Id: A.38 function "mod" (L: INTEGER; R: SIGNED) return SIGNED is constant L_LENGTH: NATURAL := MAX(SIGNED_NUM_BITS(L), R'LENGTH); variable XL, XREM: SIGNED(L_LENGTH-1 downto 0); begin if (R'LENGTH < 1) then return NAS; end if; XL := TO_SIGNED(L, L_LENGTH); XREM := RESIZE((XL mod R), XREM'LENGTH); if L_LENGTH > R'LENGTH and XREM(L_LENGTH-1 downto R'LENGTH) /= (L_LENGTH-1 downto R'LENGTH => XREM(R'LENGTH-1)) then assert NO_WARNING report "NUMERIC_BIT.""mod"": modulus Truncated" severity WARNING; end if; return RESIZE(XREM, R'LENGTH); end "mod"; --============================================================================ -- Id: C.1 function ">" (L, R: UNSIGNED) return BOOLEAN is variable SIZE: NATURAL := MAX(L'LENGTH, R'LENGTH); begin if ((L'LENGTH < 1) or (R'LENGTH < 1)) then assert NO_WARNING report "NUMERIC_BIT."">"": null argument detected, returning FALSE" severity WARNING; return FALSE; end if; return not UNSIGNED_LESS_OR_EQUAL(RESIZE(L, SIZE), RESIZE(R, SIZE)); end ">"; -- Id: C.2 function ">" (L, R: SIGNED) return BOOLEAN is variable SIZE: NATURAL := MAX(L'LENGTH, R'LENGTH); begin if ((L'LENGTH < 1) or (R'LENGTH < 1)) then assert NO_WARNING report "NUMERIC_BIT."">"": null argument detected, returning FALSE" severity WARNING; return FALSE; end if; return not SIGNED_LESS_OR_EQUAL(RESIZE(L, SIZE), RESIZE(R, SIZE)); end ">"; -- Id: C.3 function ">" (L: NATURAL; R: UNSIGNED) return BOOLEAN is begin if (R'LENGTH < 1) then assert NO_WARNING report "NUMERIC_BIT."">"": null argument detected, returning FALSE" severity WARNING; return FALSE; end if; if UNSIGNED_NUM_BITS(L) > R'LENGTH then return TRUE; end if; return not UNSIGNED_LESS_OR_EQUAL(TO_UNSIGNED(L, R'LENGTH), R); end ">"; -- Id: C.4 function ">" (L: INTEGER; R: SIGNED) return BOOLEAN is begin if (R'LENGTH < 1) then assert NO_WARNING report "NUMERIC_BIT."">"": null argument detected, returning FALSE" severity WARNING; return FALSE; end if; if SIGNED_NUM_BITS(L) > R'LENGTH then return L > 0; end if; return not SIGNED_LESS_OR_EQUAL(TO_SIGNED(L, R'LENGTH), R); end ">"; -- Id: C.5 function ">" (L: UNSIGNED; R: NATURAL) return BOOLEAN is begin if (L'LENGTH < 1) then assert NO_WARNING report "NUMERIC_BIT."">"": null argument detected, returning FALSE" severity WARNING; return FALSE; end if; if UNSIGNED_NUM_BITS(R) > L'LENGTH then return FALSE; end if; return not UNSIGNED_LESS_OR_EQUAL(L, TO_UNSIGNED(R, L'LENGTH)); end ">"; -- Id: C.6 function ">" (L: SIGNED; R: INTEGER) return BOOLEAN is begin if (L'LENGTH < 1) then assert NO_WARNING report "NUMERIC_BIT."">"": null argument detected, returning FALSE" severity WARNING; return FALSE; end if; if SIGNED_NUM_BITS(R) > L'LENGTH then return 0 > R; end if; return not SIGNED_LESS_OR_EQUAL(L, TO_SIGNED(R, L'LENGTH)); end ">"; --============================================================================ -- Id: C.7 function "<" (L, R: UNSIGNED) return BOOLEAN is variable SIZE: NATURAL := MAX(L'LENGTH, R'LENGTH); begin if ((L'LENGTH < 1) or (R'LENGTH < 1)) then assert NO_WARNING report "NUMERIC_BIT.""<"": null argument detected, returning FALSE" severity WARNING; return FALSE; end if; return UNSIGNED_LESS(RESIZE(L, SIZE), RESIZE(R, SIZE)); end "<"; -- Id: C.8 function "<" (L, R: SIGNED) return BOOLEAN is variable SIZE: NATURAL := MAX(L'LENGTH, R'LENGTH); begin if ((L'LENGTH < 1) or (R'LENGTH < 1)) then assert NO_WARNING report "NUMERIC_BIT.""<"": null argument detected, returning FALSE" severity WARNING; return FALSE; end if; return SIGNED_LESS(RESIZE(L, SIZE), RESIZE(R, SIZE)); end "<"; -- Id: C.9 function "<" (L: NATURAL; R: UNSIGNED) return BOOLEAN is begin if (R'LENGTH < 1) then assert NO_WARNING report "NUMERIC_BIT.""<"": null argument detected, returning FALSE" severity WARNING; return FALSE; end if; if UNSIGNED_NUM_BITS(L) > R'LENGTH then return L < 0; end if; return UNSIGNED_LESS(TO_UNSIGNED(L, R'LENGTH), R); end "<"; -- Id: C.10 function "<" (L: INTEGER; R: SIGNED) return BOOLEAN is begin if (R'LENGTH < 1) then assert NO_WARNING report "NUMERIC_BIT.""<"": null argument detected, returning FALSE" severity WARNING; return FALSE; end if; if SIGNED_NUM_BITS(L) > R'LENGTH then return L < 0; end if; return SIGNED_LESS(TO_SIGNED(L, R'LENGTH), R); end "<"; -- Id: C.11 function "<" (L: UNSIGNED; R: NATURAL) return BOOLEAN is begin if (L'LENGTH < 1) then assert NO_WARNING report "NUMERIC_BIT.""<"": null argument detected, returning FALSE" severity WARNING; return FALSE; end if; if UNSIGNED_NUM_BITS(R) > L'LENGTH then return 0 < R; end if; return UNSIGNED_LESS(L, TO_UNSIGNED(R, L'LENGTH)); end "<"; -- Id: C.12 function "<" (L: SIGNED; R: INTEGER) return BOOLEAN is begin if (L'LENGTH < 1) then assert NO_WARNING report "NUMERIC_BIT.""<"": null argument detected, returning FALSE" severity WARNING; return FALSE; end if; if SIGNED_NUM_BITS(R) > L'LENGTH then return 0 < R; end if; return SIGNED_LESS(L, TO_SIGNED(R, L'LENGTH)); end "<"; --============================================================================ -- Id: C.13 function "<=" (L, R: UNSIGNED) return BOOLEAN is variable SIZE: NATURAL := MAX(L'LENGTH, R'LENGTH); begin if ((L'LENGTH < 1) or (R'LENGTH < 1)) then assert NO_WARNING report "NUMERIC_BIT.""<="": null argument detected, returning FALSE" severity WARNING; return FALSE; end if; return UNSIGNED_LESS_OR_EQUAL(RESIZE(L, SIZE), RESIZE(R, SIZE)); end "<="; -- Id: C.14 function "<=" (L, R: SIGNED) return BOOLEAN is variable SIZE: NATURAL := MAX(L'LENGTH, R'LENGTH); begin if ((L'LENGTH < 1) or (R'LENGTH < 1)) then assert NO_WARNING report "NUMERIC_BIT.""<="": null argument detected, returning FALSE" severity WARNING; return FALSE; end if; return SIGNED_LESS_OR_EQUAL(RESIZE(L, SIZE), RESIZE(R, SIZE)); end "<="; -- Id: C.15 function "<=" (L: NATURAL; R: UNSIGNED) return BOOLEAN is begin if (R'LENGTH < 1) then assert NO_WARNING report "NUMERIC_BIT.""<="": null argument detected, returning FALSE" severity WARNING; return FALSE; end if; if UNSIGNED_NUM_BITS(L) > R'LENGTH then return L < 0; end if; return UNSIGNED_LESS_OR_EQUAL(TO_UNSIGNED(L, R'LENGTH), R); end "<="; -- Id: C.16 function "<=" (L: INTEGER; R: SIGNED) return BOOLEAN is begin if (R'LENGTH < 1) then assert NO_WARNING report "NUMERIC_BIT.""<="": null argument detected, returning FALSE" severity WARNING; return FALSE; end if; if SIGNED_NUM_BITS(L) > R'LENGTH then return L < 0; end if; return SIGNED_LESS_OR_EQUAL(TO_SIGNED(L, R'LENGTH), R); end "<="; -- Id: C.17 function "<=" (L: UNSIGNED; R: NATURAL) return BOOLEAN is begin if (L'LENGTH < 1) then assert NO_WARNING report "NUMERIC_BIT.""<="": null argument detected, returning FALSE" severity WARNING; return FALSE; end if; if UNSIGNED_NUM_BITS(R) > L'LENGTH then return 0 < R; end if; return UNSIGNED_LESS_OR_EQUAL(L, TO_UNSIGNED(R, L'LENGTH)); end "<="; -- Id: C.18 function "<=" (L: SIGNED; R: INTEGER) return BOOLEAN is begin if (L'LENGTH < 1) then assert NO_WARNING report "NUMERIC_BIT.""<="": null argument detected, returning FALSE" severity WARNING; return FALSE; end if; if SIGNED_NUM_BITS(R) > L'LENGTH then return 0 < R; end if; return SIGNED_LESS_OR_EQUAL(L, TO_SIGNED(R, L'LENGTH)); end "<="; --============================================================================ -- Id: C.19 function ">=" (L, R: UNSIGNED) return BOOLEAN is variable SIZE: NATURAL := MAX(L'LENGTH, R'LENGTH); begin if ((L'LENGTH < 1) or (R'LENGTH < 1)) then assert NO_WARNING report "NUMERIC_BIT."">="": null argument detected, returning FALSE" severity WARNING; return FALSE; end if; return not UNSIGNED_LESS(RESIZE(L, SIZE), RESIZE(R, SIZE)); end ">="; -- Id: C.20 function ">=" (L, R: SIGNED) return BOOLEAN is variable SIZE: NATURAL := MAX(L'LENGTH, R'LENGTH); begin if ((L'LENGTH < 1) or (R'LENGTH < 1)) then assert NO_WARNING report "NUMERIC_BIT."">="": null argument detected, returning FALSE" severity WARNING; return FALSE; end if; return not SIGNED_LESS(RESIZE(L, SIZE), RESIZE(R, SIZE)); end ">="; -- Id: C.21 function ">=" (L: NATURAL; R: UNSIGNED) return BOOLEAN is begin if (R'LENGTH < 1) then assert NO_WARNING report "NUMERIC_BIT."">="": null argument detected, returning FALSE" severity WARNING; return FALSE; end if; if UNSIGNED_NUM_BITS(L) > R'LENGTH then return L > 0; end if; return not UNSIGNED_LESS(TO_UNSIGNED(L, R'LENGTH), R); end ">="; -- Id: C.22 function ">=" (L: INTEGER; R: SIGNED) return BOOLEAN is begin if (R'LENGTH < 1) then assert NO_WARNING report "NUMERIC_BIT."">="": null argument detected, returning FALSE" severity WARNING; return FALSE; end if; if SIGNED_NUM_BITS(L) > R'LENGTH then return L > 0; end if; return not SIGNED_LESS(TO_SIGNED(L, R'LENGTH), R); end ">="; -- Id: C.23 function ">=" (L: UNSIGNED; R: NATURAL) return BOOLEAN is begin if (L'LENGTH < 1) then assert NO_WARNING report "NUMERIC_BIT."">="": null argument detected, returning FALSE" severity WARNING; return FALSE; end if; if UNSIGNED_NUM_BITS(R) > L'LENGTH then return 0 > R; end if; return not UNSIGNED_LESS(L, TO_UNSIGNED(R, L'LENGTH)); end ">="; -- Id: C.24 function ">=" (L: SIGNED; R: INTEGER) return BOOLEAN is begin if (L'LENGTH < 1) then assert NO_WARNING report "NUMERIC_BIT."">="": null argument detected, returning FALSE" severity WARNING; return FALSE; end if; if SIGNED_NUM_BITS(R) > L'LENGTH then return 0 > R; end if; return not SIGNED_LESS(L, TO_SIGNED(R, L'LENGTH)); end ">="; --============================================================================ -- Id: C.25 function "=" (L, R: UNSIGNED) return BOOLEAN is variable SIZE: NATURAL := MAX(L'LENGTH, R'LENGTH); begin if ((L'LENGTH < 1) or (R'LENGTH < 1)) then assert NO_WARNING report "NUMERIC_BIT.""="": null argument detected, returning FALSE" severity WARNING; return FALSE; end if; return UNSIGNED_EQUAL(RESIZE(L, SIZE), RESIZE(R, SIZE)); end "="; -- Id: C.26 function "=" (L, R: SIGNED) return BOOLEAN is variable SIZE: NATURAL := MAX(L'LENGTH, R'LENGTH); begin if ((L'LENGTH < 1) or (R'LENGTH < 1)) then assert NO_WARNING report "NUMERIC_BIT.""="": null argument detected, returning FALSE" severity WARNING; return FALSE; end if; return SIGNED_EQUAL(RESIZE(L, SIZE), RESIZE(R, SIZE)); end "="; -- Id: C.27 function "=" (L: NATURAL; R: UNSIGNED) return BOOLEAN is begin if (R'LENGTH < 1) then assert NO_WARNING report "NUMERIC_BIT.""="": null argument detected, returning FALSE" severity WARNING; return FALSE; end if; if UNSIGNED_NUM_BITS(L) > R'LENGTH then return FALSE; end if; return UNSIGNED_EQUAL(TO_UNSIGNED(L, R'LENGTH), R); end "="; -- Id: C.28 function "=" (L: INTEGER; R: SIGNED) return BOOLEAN is begin if (R'LENGTH < 1) then assert NO_WARNING report "NUMERIC_BIT.""="": null argument detected, returning FALSE" severity WARNING; return FALSE; end if; if SIGNED_NUM_BITS(L) > R'LENGTH then return FALSE; end if; return SIGNED_EQUAL(TO_SIGNED(L, R'LENGTH), R); end "="; -- Id: C.29 function "=" (L: UNSIGNED; R: NATURAL) return BOOLEAN is begin if (L'LENGTH < 1) then assert NO_WARNING report "NUMERIC_BIT.""="": null argument detected, returning FALSE" severity WARNING; return FALSE; end if; if UNSIGNED_NUM_BITS(R) > L'LENGTH then return FALSE; end if; return UNSIGNED_EQUAL(L, TO_UNSIGNED(R, L'LENGTH)); end "="; -- Id: C.30 function "=" (L: SIGNED; R: INTEGER) return BOOLEAN is begin if (L'LENGTH < 1) then assert NO_WARNING report "NUMERIC_BIT.""="": null argument detected, returning FALSE" severity WARNING; return FALSE; end if; if SIGNED_NUM_BITS(R) > L'LENGTH then return FALSE; end if; return SIGNED_EQUAL(L, TO_SIGNED(R, L'LENGTH)); end "="; --============================================================================ -- Id: C.31 function "/=" (L, R: UNSIGNED) return BOOLEAN is variable SIZE: NATURAL := MAX(L'LENGTH, R'LENGTH); begin if ((L'LENGTH < 1) or (R'LENGTH < 1)) then assert NO_WARNING report "NUMERIC_BIT.""/="": null argument detected, returning TRUE" severity WARNING; return TRUE; end if; return not(UNSIGNED_EQUAL(RESIZE(L, SIZE), RESIZE(R, SIZE))); end "/="; -- Id: C.32 function "/=" (L, R: SIGNED) return BOOLEAN is variable SIZE: NATURAL := MAX(L'LENGTH, R'LENGTH); begin if ((L'LENGTH < 1) or (R'LENGTH < 1)) then assert NO_WARNING report "NUMERIC_BIT.""/="": null argument detected, returning TRUE" severity WARNING; return TRUE; end if; return not(SIGNED_EQUAL(RESIZE(L, SIZE), RESIZE(R, SIZE))); end "/="; -- Id: C.33 function "/=" (L: NATURAL; R: UNSIGNED) return BOOLEAN is begin if (R'LENGTH < 1) then assert NO_WARNING report "NUMERIC_BIT.""/="": null argument detected, returning TRUE" severity WARNING; return TRUE; end if; if UNSIGNED_NUM_BITS(L) > R'LENGTH then return TRUE; end if; return not(UNSIGNED_EQUAL(TO_UNSIGNED(L, R'LENGTH), R)); end "/="; -- Id: C.34 function "/=" (L: INTEGER; R: SIGNED) return BOOLEAN is begin if (R'LENGTH < 1) then assert NO_WARNING report "NUMERIC_BIT.""/="": null argument detected, returning TRUE" severity WARNING; return TRUE; end if; if SIGNED_NUM_BITS(L) > R'LENGTH then return TRUE; end if; return not(SIGNED_EQUAL(TO_SIGNED(L, R'LENGTH), R)); end "/="; -- Id: C.35 function "/=" (L: UNSIGNED; R: NATURAL) return BOOLEAN is begin if (L'LENGTH < 1) then assert NO_WARNING report "NUMERIC_BIT.""/="": null argument detected, returning TRUE" severity WARNING; return TRUE; end if; if UNSIGNED_NUM_BITS(R) > L'LENGTH then return TRUE; end if; return not(UNSIGNED_EQUAL(L, TO_UNSIGNED(R, L'LENGTH))); end "/="; -- Id: C.36 function "/=" (L: SIGNED; R: INTEGER) return BOOLEAN is begin if (L'LENGTH < 1) then assert NO_WARNING report "NUMERIC_BIT.""/="": null argument detected, returning TRUE" severity WARNING; return TRUE; end if; if SIGNED_NUM_BITS(R) > L'LENGTH then return TRUE; end if; return not(SIGNED_EQUAL(L, TO_SIGNED(R, L'LENGTH))); end "/="; --============================================================================ -- Id: S.1 function SHIFT_LEFT (ARG: UNSIGNED; COUNT: NATURAL) return UNSIGNED is begin if (ARG'LENGTH < 1) then return NAU; end if; return UNSIGNED(XSLL(BIT_VECTOR(ARG), COUNT)); end SHIFT_LEFT; -- Id: S.2 function SHIFT_RIGHT (ARG: UNSIGNED; COUNT: NATURAL) return UNSIGNED is begin if (ARG'LENGTH < 1) then return NAU; end if; return UNSIGNED(XSRL(BIT_VECTOR(ARG), COUNT)); end SHIFT_RIGHT; -- Id: S.3 function SHIFT_LEFT (ARG: SIGNED; COUNT: NATURAL) return SIGNED is begin if (ARG'LENGTH < 1) then return NAS; end if; return SIGNED(XSLL(BIT_VECTOR(ARG), COUNT)); end SHIFT_LEFT; -- Id: S.4 function SHIFT_RIGHT (ARG: SIGNED; COUNT: NATURAL) return SIGNED is begin if (ARG'LENGTH < 1) then return NAS; end if; return SIGNED(XSRA(BIT_VECTOR(ARG), COUNT)); end SHIFT_RIGHT; --============================================================================ -- Id: S.5 function ROTATE_LEFT (ARG: UNSIGNED; COUNT: NATURAL) return UNSIGNED is begin if (ARG'LENGTH < 1) then return NAU; end if; return UNSIGNED(XROL(BIT_VECTOR(ARG), COUNT)); end ROTATE_LEFT; -- Id: S.6 function ROTATE_RIGHT (ARG: UNSIGNED; COUNT: NATURAL) return UNSIGNED is begin if (ARG'LENGTH < 1) then return NAU; end if; return UNSIGNED(XROR(BIT_VECTOR(ARG), COUNT)); end ROTATE_RIGHT; -- Id: S.7 function ROTATE_LEFT (ARG: SIGNED; COUNT: NATURAL) return SIGNED is begin if (ARG'LENGTH < 1) then return NAS; end if; return SIGNED(XROL(BIT_VECTOR(ARG), COUNT)); end ROTATE_LEFT; -- Id: S.8 function ROTATE_RIGHT (ARG: SIGNED; COUNT: NATURAL) return SIGNED is begin if (ARG'LENGTH < 1) then return NAS; end if; return SIGNED(XROR(BIT_VECTOR(ARG), COUNT)); end ROTATE_RIGHT; --============================================================================ --START-V93 ------------------------------------------------------------------------------ -- Note : Function S.9 is not compatible with VHDL 1076-1987. Comment -- out the function (declaration and body) for VHDL 1076-1987 compatibility. ------------------------------------------------------------------------------ -- Id: S.9 function "sll" (ARG: UNSIGNED; COUNT: INTEGER) return UNSIGNED is begin if (COUNT >= 0) then return SHIFT_LEFT(ARG, COUNT); else return SHIFT_RIGHT(ARG, -COUNT); end if; end "sll"; ------------------------------------------------------------------------------ -- Note : Function S.10 is not compatible with VHDL 1076-1987. Comment -- out the function (declaration and body) for VHDL 1076-1987 compatibility. ------------------------------------------------------------------------------ -- Id: S.10 function "sll" (ARG: SIGNED; COUNT: INTEGER) return SIGNED is begin if (COUNT >= 0) then return SHIFT_LEFT(ARG, COUNT); else return SIGNED(SHIFT_RIGHT(UNSIGNED(ARG), -COUNT)); end if; end "sll"; ------------------------------------------------------------------------------ -- Note : Function S.11 is not compatible with VHDL 1076-1987. Comment -- out the function (declaration and body) for VHDL 1076-1987 compatibility. ------------------------------------------------------------------------------ -- Id: S.11 function "srl" (ARG: UNSIGNED; COUNT: INTEGER) return UNSIGNED is begin if (COUNT >= 0) then return SHIFT_RIGHT(ARG, COUNT); else return SHIFT_LEFT(ARG, -COUNT); end if; end "srl"; ------------------------------------------------------------------------------ -- Note : Function S.12 is not compatible with VHDL 1076-1987. Comment -- out the function (declaration and body) for VHDL 1076-1987 compatibility. ------------------------------------------------------------------------------ -- Id: S.12 function "srl" (ARG: SIGNED; COUNT: INTEGER) return SIGNED is begin if (COUNT >= 0) then return SIGNED(SHIFT_RIGHT(UNSIGNED(ARG), COUNT)); else return SHIFT_LEFT(ARG, -COUNT); end if; end "srl"; ------------------------------------------------------------------------------ -- Note : Function S.13 is not compatible with VHDL 1076-1987. Comment -- out the function (declaration and body) for VHDL 1076-1987 compatibility. ------------------------------------------------------------------------------ -- Id: S.13 function "rol" (ARG: UNSIGNED; COUNT: INTEGER) return UNSIGNED is begin if (COUNT >= 0) then return ROTATE_LEFT(ARG, COUNT); else return ROTATE_RIGHT(ARG, -COUNT); end if; end "rol"; ------------------------------------------------------------------------------ -- Note : Function S.14 is not compatible with VHDL 1076-1987. Comment -- out the function (declaration and body) for VHDL 1076-1987 compatibility. ------------------------------------------------------------------------------ -- Id: S.14 function "rol" (ARG: SIGNED; COUNT: INTEGER) return SIGNED is begin if (COUNT >= 0) then return ROTATE_LEFT(ARG, COUNT); else return ROTATE_RIGHT(ARG, -COUNT); end if; end "rol"; ------------------------------------------------------------------------------ -- Note : Function S.15 is not compatible with VHDL 1076-1987. Comment -- out the function (declaration and body) for VHDL 1076-1987 compatibility. ------------------------------------------------------------------------------ -- Id: S.15 function "ror" (ARG: UNSIGNED; COUNT: INTEGER) return UNSIGNED is begin if (COUNT >= 0) then return ROTATE_RIGHT(ARG, COUNT); else return ROTATE_LEFT(ARG, -COUNT); end if; end "ror"; ------------------------------------------------------------------------------ -- Note : Function S.16 is not compatible with VHDL 1076-1987. Comment -- out the function (declaration and body) for VHDL 1076-1987 compatibility. ------------------------------------------------------------------------------ -- Id: S.16 function "ror" (ARG: SIGNED; COUNT: INTEGER) return SIGNED is begin if (COUNT >= 0) then return ROTATE_RIGHT(ARG, COUNT); else return ROTATE_LEFT(ARG, -COUNT); end if; end "ror"; --END-V93 --============================================================================ -- Id: D.1 function TO_INTEGER (ARG: UNSIGNED) return NATURAL is constant ARG_LEFT: INTEGER := ARG'LENGTH-1; alias XARG: UNSIGNED(ARG_LEFT downto 0) is ARG; variable RESULT: NATURAL := 0; begin if (ARG'LENGTH < 1) then assert NO_WARNING report "NUMERIC_BIT.TO_INTEGER: null detected, returning 0" severity WARNING; return 0; end if; for I in XARG'RANGE loop RESULT := RESULT+RESULT; if XARG(I) = '1' then RESULT := RESULT + 1; end if; end loop; return RESULT; end TO_INTEGER; -- Id: D.2 function TO_INTEGER (ARG: SIGNED) return INTEGER is begin if (ARG'LENGTH < 1) then assert NO_WARNING report "NUMERIC_BIT.TO_INTEGER: null detected, returning 0" severity WARNING; return 0; end if; if ARG(ARG'LEFT) = '0' then return TO_INTEGER(UNSIGNED(ARG)); else return (- (TO_INTEGER(UNSIGNED(- (ARG + 1)))) -1); end if; end TO_INTEGER; -- Id: D.3 function TO_UNSIGNED (ARG, SIZE: NATURAL) return UNSIGNED is variable RESULT: UNSIGNED(SIZE-1 downto 0); variable I_VAL: NATURAL := ARG; begin if (SIZE < 1) then return NAU; end if; for I in 0 to RESULT'LEFT loop if (I_VAL mod 2) = 0 then RESULT(I) := '0'; else RESULT(I) := '1'; end if; I_VAL := I_VAL/2; end loop; if not(I_VAL =0) then assert NO_WARNING report "NUMERIC_BIT.TO_UNSIGNED: vector truncated" severity WARNING; end if; return RESULT; end TO_UNSIGNED; -- Id: D.4 function TO_SIGNED (ARG: INTEGER; SIZE: NATURAL) return SIGNED is variable RESULT: SIGNED(SIZE-1 downto 0); variable B_VAL: BIT := '0'; variable I_VAL: INTEGER := ARG; begin if (SIZE < 1) then return NAS; end if; if (ARG < 0) then B_VAL := '1'; I_VAL := -(ARG+1); end if; for I in 0 to RESULT'LEFT loop if (I_VAL mod 2) = 0 then RESULT(I) := B_VAL; else RESULT(I) := not B_VAL; end if; I_VAL := I_VAL/2; end loop; if ((I_VAL/=0) or (B_VAL/=RESULT(RESULT'LEFT))) then assert NO_WARNING report "NUMERIC_BIT.TO_SIGNED: vector truncated" severity WARNING; end if; return RESULT; end TO_SIGNED; --============================================================================ -- Id: R.1 function RESIZE (ARG: SIGNED; NEW_SIZE: NATURAL) return SIGNED is alias INVEC: SIGNED(ARG'LENGTH-1 downto 0) is ARG; variable RESULT: SIGNED(NEW_SIZE-1 downto 0) := (others => '0'); constant BOUND: INTEGER := MIN(ARG'LENGTH, RESULT'LENGTH)-2; begin if (NEW_SIZE < 1) then return NAS; end if; if (ARG'LENGTH = 0) then return RESULT; end if; RESULT := (others => ARG(ARG'LEFT)); if BOUND >= 0 then RESULT(BOUND downto 0) := INVEC(BOUND downto 0); end if; return RESULT; end RESIZE; -- Id: R.2 function RESIZE (ARG: UNSIGNED; NEW_SIZE: NATURAL) return UNSIGNED is constant ARG_LEFT: INTEGER := ARG'LENGTH-1; alias XARG: UNSIGNED(ARG_LEFT downto 0) is ARG; variable RESULT: UNSIGNED(NEW_SIZE-1 downto 0) := (others => '0'); begin if (NEW_SIZE < 1) then return NAU; end if; if XARG'LENGTH =0 then return RESULT; end if; if (RESULT'LENGTH < ARG'LENGTH) then RESULT(RESULT'LEFT downto 0) := XARG(RESULT'LEFT downto 0); else RESULT(RESULT'LEFT downto XARG'LEFT+1) := (others => '0'); RESULT(XARG'LEFT downto 0) := XARG; end if; return RESULT; end RESIZE; --============================================================================ -- Id: L.1 function "not" (L: UNSIGNED) return UNSIGNED is variable RESULT: UNSIGNED(L'LENGTH-1 downto 0); begin RESULT := UNSIGNED(not(BIT_VECTOR(L))); return RESULT; end "not"; -- Id: L.2 function "and" (L, R: UNSIGNED) return UNSIGNED is variable RESULT: UNSIGNED(L'LENGTH-1 downto 0); begin RESULT := UNSIGNED(BIT_VECTOR(L) and BIT_VECTOR(R)); return RESULT; end "and"; -- Id: L.3 function "or" (L, R: UNSIGNED) return UNSIGNED is variable RESULT: UNSIGNED(L'LENGTH-1 downto 0); begin RESULT := UNSIGNED(BIT_VECTOR(L) or BIT_VECTOR(R)); return RESULT; end "or"; -- Id: L.4 function "nand" (L, R: UNSIGNED) return UNSIGNED is variable RESULT: UNSIGNED(L'LENGTH-1 downto 0); begin RESULT := UNSIGNED(BIT_VECTOR(L) nand BIT_VECTOR(R)); return RESULT; end "nand"; -- Id: L.5 function "nor" (L, R: UNSIGNED) return UNSIGNED is variable RESULT: UNSIGNED(L'LENGTH-1 downto 0); begin RESULT := UNSIGNED(BIT_VECTOR(L) nor BIT_VECTOR(R)); return RESULT; end "nor"; -- Id: L.6 function "xor" (L, R: UNSIGNED) return UNSIGNED is variable RESULT: UNSIGNED(L'LENGTH-1 downto 0); begin RESULT := UNSIGNED(BIT_VECTOR(L) xor BIT_VECTOR(R)); return RESULT; end "xor"; --START-V93 ------------------------------------------------------------------------------ -- Note : Function L.7 is not compatible with VHDL 1076-1987. Comment -- out the function (declaration and body) for VHDL 1076-1987 compatibility. ------------------------------------------------------------------------------ -- Id: L.7 function "xnor" (L, R: UNSIGNED) return UNSIGNED is variable RESULT: UNSIGNED(L'LENGTH-1 downto 0); begin RESULT := UNSIGNED(BIT_VECTOR(L) xnor BIT_VECTOR(R)); return RESULT; end "xnor"; --END-V93 -- Id: L.8 function "not" (L: SIGNED) return SIGNED is variable RESULT: SIGNED(L'LENGTH-1 downto 0); begin RESULT := SIGNED(not(BIT_VECTOR(L))); return RESULT; end "not"; -- Id: L.9 function "and" (L, R: SIGNED) return SIGNED is variable RESULT: SIGNED(L'LENGTH-1 downto 0); begin RESULT := SIGNED(BIT_VECTOR(L) and BIT_VECTOR(R)); return RESULT; end "and"; -- Id: L.10 function "or" (L, R: SIGNED) return SIGNED is variable RESULT: SIGNED(L'LENGTH-1 downto 0); begin RESULT := SIGNED(BIT_VECTOR(L) or BIT_VECTOR(R)); return RESULT; end "or"; -- Id: L.11 function "nand" (L, R: SIGNED) return SIGNED is variable RESULT: SIGNED(L'LENGTH-1 downto 0); begin RESULT := SIGNED(BIT_VECTOR(L) nand BIT_VECTOR(R)); return RESULT; end "nand"; -- Id: L.12 function "nor" (L, R: SIGNED) return SIGNED is variable RESULT: SIGNED(L'LENGTH-1 downto 0); begin RESULT := SIGNED(BIT_VECTOR(L) nor BIT_VECTOR(R)); return RESULT; end "nor"; -- Id: L.13 function "xor" (L, R: SIGNED) return SIGNED is variable RESULT: SIGNED(L'LENGTH-1 downto 0); begin RESULT := SIGNED(BIT_VECTOR(L) xor BIT_VECTOR(R)); return RESULT; end "xor"; --START-V93 ------------------------------------------------------------------------------ -- Note : Function L.14 is not compatible with VHDL 1076-1987. Comment -- out the function (declaration and body) for VHDL 1076-1987 compatibility. ------------------------------------------------------------------------------ -- Id: L.14 function "xnor" (L, R: SIGNED) return SIGNED is variable RESULT: SIGNED(L'LENGTH-1 downto 0); begin RESULT := SIGNED(BIT_VECTOR(L) xnor BIT_VECTOR(R)); return RESULT; end "xnor"; --END-V93 --============================================================================ -- Id: E.1 function RISING_EDGE (signal S: BIT) return BOOLEAN is begin return S'EVENT and S = '1'; end RISING_EDGE; -- Id: E.2 function FALLING_EDGE (signal S: BIT) return BOOLEAN is begin return S'EVENT and S = '0'; end FALLING_EDGE; --============================================================================ end NUMERIC_BIT;
-- ----------------------------------------------------------------------------- -- -- Copyright 1995 by IEEE. All rights reserved. -- -- This source file is considered by the IEEE to be an essential part of the use -- of the standard 1076.3 and as such may be distributed without change, except -- as permitted by the standard. This source file may not be sold or distributed -- for profit. This package may be modified to include additional data required -- by tools, but must in no way change the external interfaces or simulation -- behaviour of the description. It is permissible to add comments and/or -- attributes to the package declarations, but not to change or delete any -- original lines of the approved package declaration. The package body may be -- changed only in accordance with the terms of clauses 7.1 and 7.2 of the -- standard. -- -- Title : Standard VHDL Synthesis Package (1076.3, NUMERIC_BIT) -- -- Library : This package shall be compiled into a library symbolically -- : named IEEE. -- -- Developers : IEEE DASC Synthesis Working Group, PAR 1076.3 -- -- Purpose : This package defines numeric types and arithmetic functions -- : for use with synthesis tools. Two numeric types are defined: -- : -- > UNSIGNED: represents an UNSIGNED number in vector form -- : -- > SIGNED: represents a SIGNED number in vector form -- : The base element type is type BIT. -- : The leftmost bit is treated as the most significant bit. -- : Signed vectors are represented in two's complement form. -- : This package contains overloaded arithmetic operators on -- : the SIGNED and UNSIGNED types. The package also contains -- : useful type conversions functions, clock detection -- : functions, and other utility functions. -- : -- : If any argument to a function is a null array, a null array is -- : returned (exceptions, if any, are noted individually). -- -- Limitation : -- -- Note : No declarations or definitions shall be included in, -- : or excluded from this package. The "package declaration" -- : defines the types, subtypes and declarations of -- : NUMERIC_BIT. The NUMERIC_BIT package body shall be -- : considered the formal definition of the semantics of -- : this package. Tool developers may choose to implement -- : the package body in the most efficient manner available -- : to them. -- : -- ----------------------------------------------------------------------------- -- Version : 2.4 -- Date : 12 April 1995 -- ----------------------------------------------------------------------------- --============================================================================== --======================= Package Body ========================================= --============================================================================== package body NUMERIC_BIT is -- null range array constants constant NAU: UNSIGNED(0 downto 1) := (others => '0'); constant NAS: SIGNED(0 downto 1) := (others => '0'); -- implementation controls constant NO_WARNING: BOOLEAN := FALSE; -- default to emit warnings --=========================Local Subprograms ================================= function MAX (LEFT, RIGHT: INTEGER) return INTEGER is begin if LEFT > RIGHT then return LEFT; else return RIGHT; end if; end MAX; function MIN (LEFT, RIGHT: INTEGER) return INTEGER is begin if LEFT < RIGHT then return LEFT; else return RIGHT; end if; end MIN; function SIGNED_NUM_BITS (ARG: INTEGER) return NATURAL is variable NBITS: NATURAL; variable N: NATURAL; begin if ARG >= 0 then N := ARG; else N := -(ARG+1); end if; NBITS := 1; while N > 0 loop NBITS := NBITS+1; N := N / 2; end loop; return NBITS; end SIGNED_NUM_BITS; function UNSIGNED_NUM_BITS (ARG: NATURAL) return NATURAL is variable NBITS: NATURAL; variable N: NATURAL; begin N := ARG; NBITS := 1; while N > 1 loop NBITS := NBITS+1; N := N / 2; end loop; return NBITS; end UNSIGNED_NUM_BITS; ------------------------------------------------------------------------------ -- this internal function computes the addition of two UNSIGNED -- with input carry -- * the two arguments are of the same length function ADD_UNSIGNED (L, R: UNSIGNED; C: BIT) return UNSIGNED is constant L_LEFT: INTEGER := L'LENGTH-1; alias XL: UNSIGNED(L_LEFT downto 0) is L; alias XR: UNSIGNED(L_LEFT downto 0) is R; variable RESULT: UNSIGNED(L_LEFT downto 0); variable CBIT: BIT := C; begin for I in 0 to L_LEFT loop RESULT(I) := CBIT xor XL(I) xor XR(I); CBIT := (CBIT and XL(I)) or (CBIT and XR(I)) or (XL(I) and XR(I)); end loop; return RESULT; end ADD_UNSIGNED; -- this internal function computes the addition of two SIGNED -- with input carry -- * the two arguments are of the same length function ADD_SIGNED (L, R: SIGNED; C: BIT) return SIGNED is constant L_LEFT: INTEGER := L'LENGTH-1; alias XL: SIGNED(L_LEFT downto 0) is L; alias XR: SIGNED(L_LEFT downto 0) is R; variable RESULT: SIGNED(L_LEFT downto 0); variable CBIT: BIT := C; begin for I in 0 to L_LEFT loop RESULT(I) := CBIT xor XL(I) xor XR(I); CBIT := (CBIT and XL(I)) or (CBIT and XR(I)) or (XL(I) and XR(I)); end loop; return RESULT; end ADD_SIGNED; ------------------------------------------------------------------------------ -- this internal procedure computes UNSIGNED division -- giving the quotient and remainder. procedure DIVMOD (NUM, XDENOM: UNSIGNED; XQUOT, XREMAIN: out UNSIGNED) is variable TEMP: UNSIGNED(NUM'LENGTH downto 0); variable QUOT: UNSIGNED(MAX(NUM'LENGTH, XDENOM'LENGTH)-1 downto 0); alias DENOM: UNSIGNED(XDENOM'LENGTH-1 downto 0) is XDENOM; variable TOPBIT: INTEGER; begin TEMP := "0"&NUM; QUOT := (others => '0'); TOPBIT := -1; for J in DENOM'RANGE loop if DENOM(J)='1' then TOPBIT := J; exit; end if; end loop; assert TOPBIT >= 0 report "DIV, MOD, or REM by zero" severity ERROR; for J in NUM'LENGTH-(TOPBIT+1) downto 0 loop if TEMP(TOPBIT+J+1 downto J) >= "0"&DENOM(TOPBIT downto 0) then TEMP(TOPBIT+J+1 downto J) := (TEMP(TOPBIT+J+1 downto J)) -("0"&DENOM(TOPBIT downto 0)); QUOT(J) := '1'; end if; assert TEMP(TOPBIT+J+1)='0' report "internal error in the division algorithm" severity ERROR; end loop; XQUOT := RESIZE(QUOT, XQUOT'LENGTH); XREMAIN := RESIZE(TEMP, XREMAIN'LENGTH); end DIVMOD; -----------------Local Subprograms - shift/rotate ops------------------------- function XSLL (ARG: BIT_VECTOR; COUNT: NATURAL) return BIT_VECTOR is constant ARG_L: INTEGER := ARG'LENGTH-1; alias XARG: BIT_VECTOR(ARG_L downto 0) is ARG; variable RESULT: BIT_VECTOR(ARG_L downto 0) := (others => '0'); begin if COUNT <= ARG_L then RESULT(ARG_L downto COUNT) := XARG(ARG_L-COUNT downto 0); end if; return RESULT; end XSLL; function XSRL (ARG: BIT_VECTOR; COUNT: NATURAL) return BIT_VECTOR is constant ARG_L: INTEGER := ARG'LENGTH-1; alias XARG: BIT_VECTOR(ARG_L downto 0) is ARG; variable RESULT: BIT_VECTOR(ARG_L downto 0) := (others => '0'); begin if COUNT <= ARG_L then RESULT(ARG_L-COUNT downto 0) := XARG(ARG_L downto COUNT); end if; return RESULT; end XSRL; function XSRA (ARG: BIT_VECTOR; COUNT: NATURAL) return BIT_VECTOR is constant ARG_L: INTEGER := ARG'LENGTH-1; alias XARG: BIT_VECTOR(ARG_L downto 0) is ARG; variable RESULT: BIT_VECTOR(ARG_L downto 0); variable XCOUNT: NATURAL := COUNT; begin if ((ARG'LENGTH <= 1) or (XCOUNT = 0)) then return ARG; else if (XCOUNT > ARG_L) then XCOUNT := ARG_L; end if; RESULT(ARG_L-XCOUNT downto 0) := XARG(ARG_L downto XCOUNT); RESULT(ARG_L downto (ARG_L - XCOUNT + 1)) := (others => XARG(ARG_L)); end if; return RESULT; end XSRA; function XROL (ARG: BIT_VECTOR; COUNT: NATURAL) return BIT_VECTOR is constant ARG_L: INTEGER := ARG'LENGTH-1; alias XARG: BIT_VECTOR(ARG_L downto 0) is ARG; variable RESULT: BIT_VECTOR(ARG_L downto 0) := XARG; variable COUNTM: INTEGER; begin COUNTM := COUNT mod (ARG_L + 1); if COUNTM /= 0 then RESULT(ARG_L downto COUNTM) := XARG(ARG_L-COUNTM downto 0); RESULT(COUNTM-1 downto 0) := XARG(ARG_L downto ARG_L-COUNTM+1); end if; return RESULT; end XROL; function XROR (ARG: BIT_VECTOR; COUNT: NATURAL) return BIT_VECTOR is constant ARG_L: INTEGER := ARG'LENGTH-1; alias XARG: BIT_VECTOR(ARG_L downto 0) is ARG; variable RESULT: BIT_VECTOR(ARG_L downto 0) := XARG; variable COUNTM: INTEGER; begin COUNTM := COUNT mod (ARG_L + 1); if COUNTM /= 0 then RESULT(ARG_L-COUNTM downto 0) := XARG(ARG_L downto COUNTM); RESULT(ARG_L downto ARG_L-COUNTM+1) := XARG(COUNTM-1 downto 0); end if; return RESULT; end XROR; ---------------- Local Subprograms - Relational Operators -------------------- -- General "=" for UNSIGNED vectors, same length -- function UNSIGNED_EQUAL (L, R: UNSIGNED) return BOOLEAN is begin return BIT_VECTOR(L) = BIT_VECTOR(R); end UNSIGNED_EQUAL; -- -- General "=" for SIGNED vectors, same length -- function SIGNED_EQUAL (L, R: SIGNED) return BOOLEAN is begin return BIT_VECTOR(L) = BIT_VECTOR(R); end SIGNED_EQUAL; -- -- General "<" for UNSIGNED vectors, same length -- function UNSIGNED_LESS (L, R: UNSIGNED) return BOOLEAN is begin return BIT_VECTOR(L) < BIT_VECTOR(R); end UNSIGNED_LESS; -- -- General "<" function for SIGNED vectors, same length -- function SIGNED_LESS (L, R: SIGNED) return BOOLEAN is -- Need aliases to assure index direction variable INTERN_L: SIGNED(0 to L'LENGTH-1); variable INTERN_R: SIGNED(0 to R'LENGTH-1); begin INTERN_L := L; INTERN_R := R; INTERN_L(0) := not INTERN_L(0); INTERN_R(0) := not INTERN_R(0); return BIT_VECTOR(INTERN_L) < BIT_VECTOR(INTERN_R); end SIGNED_LESS; -- -- General "<=" function for UNSIGNED vectors, same length -- function UNSIGNED_LESS_OR_EQUAL (L, R: UNSIGNED) return BOOLEAN is begin return BIT_VECTOR(L) <= BIT_VECTOR(R); end UNSIGNED_LESS_OR_EQUAL; -- -- General "<=" function for SIGNED vectors, same length -- function SIGNED_LESS_OR_EQUAL (L, R: SIGNED) return BOOLEAN is -- Need aliases to assure index direction variable INTERN_L: SIGNED(0 to L'LENGTH-1); variable INTERN_R: SIGNED(0 to R'LENGTH-1); begin INTERN_L := L; INTERN_R := R; INTERN_L(0) := not INTERN_L(0); INTERN_R(0) := not INTERN_R(0); return BIT_VECTOR(INTERN_L) <= BIT_VECTOR(INTERN_R); end SIGNED_LESS_OR_EQUAL; --====================== Exported Functions ================================== -- Id: A.1 function "abs" (ARG: SIGNED) return SIGNED is constant ARG_LEFT: INTEGER := ARG'LENGTH-1; variable RESULT: SIGNED(ARG_LEFT downto 0); begin if ARG'LENGTH < 1 then return NAS; end if; RESULT := ARG; if RESULT(RESULT'LEFT) = '1' then RESULT := -RESULT; end if; return RESULT; end "abs"; -- Id: A.2 function "-" (ARG: SIGNED) return SIGNED is constant ARG_LEFT: INTEGER := ARG'LENGTH-1; alias XARG: SIGNED(ARG_LEFT downto 0) is ARG; variable RESULT: SIGNED(ARG_LEFT downto 0); variable CBIT: BIT := '1'; begin if ARG'LENGTH < 1 then return NAS; end if; for I in 0 to RESULT'LEFT loop RESULT(I) := not(XARG(I)) xor CBIT; CBIT := CBIT and not(XARG(I)); end loop; return RESULT; end "-"; --============================================================================ -- Id: A.3 function "+" (L, R: UNSIGNED) return UNSIGNED is constant L_LEFT: INTEGER := L'LENGTH-1; constant R_LEFT: INTEGER := R'LENGTH-1; constant SIZE: NATURAL := MAX(L'LENGTH, R'LENGTH); begin if ((L'LENGTH < 1) or (R'LENGTH < 1)) then return NAU; end if; return ADD_UNSIGNED(RESIZE(L, SIZE), RESIZE(R, SIZE), '0'); end "+"; -- Id: A.4 function "+" (L, R: SIGNED) return SIGNED is constant L_LEFT: INTEGER := L'LENGTH-1; constant R_LEFT: INTEGER := R'LENGTH-1; constant SIZE: NATURAL := MAX(L'LENGTH, R'LENGTH); begin if ((L'LENGTH < 1) or (R'LENGTH < 1)) then return NAS; end if; return ADD_SIGNED(RESIZE(L, SIZE), RESIZE(R, SIZE), '0'); end "+"; -- Id: A.5 function "+" (L: UNSIGNED; R: NATURAL) return UNSIGNED is begin return L + TO_UNSIGNED(R, L'LENGTH); end "+"; -- Id: A.6 function "+" (L: NATURAL; R: UNSIGNED) return UNSIGNED is begin return TO_UNSIGNED(L, R'LENGTH) + R; end "+"; -- Id: A.7 function "+" (L: SIGNED; R: INTEGER) return SIGNED is begin return L + TO_SIGNED(R, L'LENGTH); end "+"; -- Id: A.8 function "+" (L: INTEGER; R: SIGNED) return SIGNED is begin return TO_SIGNED(L, R'LENGTH) + R; end "+"; --============================================================================ -- Id: A.9 function "-" (L, R: UNSIGNED) return UNSIGNED is constant L_LEFT: INTEGER := L'LENGTH-1; constant R_LEFT: INTEGER := R'LENGTH-1; constant SIZE: NATURAL := MAX(L'LENGTH, R'LENGTH); begin if ((L'LENGTH < 1) or (R'LENGTH < 1)) then return NAU; end if; return ADD_UNSIGNED(RESIZE(L, SIZE), not(RESIZE(R, SIZE)), '1'); end "-"; -- Id: A.10 function "-" (L, R: SIGNED) return SIGNED is constant L_LEFT: INTEGER := L'LENGTH-1; constant R_LEFT: INTEGER := R'LENGTH-1; constant SIZE: NATURAL := MAX(L'LENGTH, R'LENGTH); begin if ((L'LENGTH < 1) or (R'LENGTH < 1)) then return NAS; end if; return ADD_SIGNED(RESIZE(L, SIZE), not(RESIZE(R, SIZE)), '1'); end "-"; -- Id: A.11 function "-" (L: UNSIGNED; R: NATURAL) return UNSIGNED is begin return L - TO_UNSIGNED(R, L'LENGTH); end "-"; -- Id: A.12 function "-" (L: NATURAL; R: UNSIGNED) return UNSIGNED is begin return TO_UNSIGNED(L, R'LENGTH) - R; end "-"; -- Id: A.13 function "-" (L: SIGNED; R: INTEGER) return SIGNED is begin return L - TO_SIGNED(R, L'LENGTH); end "-"; -- Id: A.14 function "-" (L: INTEGER; R: SIGNED) return SIGNED is begin return TO_SIGNED(L, R'LENGTH) - R; end "-"; --============================================================================ -- Id: A.15 function "*" (L, R: UNSIGNED) return UNSIGNED is constant L_LEFT: INTEGER := L'LENGTH-1; constant R_LEFT: INTEGER := R'LENGTH-1; alias XL: UNSIGNED(L_LEFT downto 0) is L; alias XR: UNSIGNED(R_LEFT downto 0) is R; variable RESULT: UNSIGNED((L'LENGTH+R'LENGTH-1) downto 0) := (others => '0'); variable ADVAL: UNSIGNED((L'LENGTH+R'LENGTH-1) downto 0); begin if ((L'LENGTH < 1) or (R'LENGTH < 1)) then return NAU; end if; ADVAL := RESIZE(XR, RESULT'LENGTH); for I in 0 to L_LEFT loop if XL(I)='1' then RESULT := RESULT + ADVAL; end if; ADVAL := SHIFT_LEFT(ADVAL, 1); end loop; return RESULT; end "*"; -- Id: A.16 function "*" (L, R: SIGNED) return SIGNED is constant L_LEFT: INTEGER := L'LENGTH-1; constant R_LEFT: INTEGER := R'LENGTH-1; variable XL: SIGNED(L_LEFT downto 0); variable XR: SIGNED(R_LEFT downto 0); variable RESULT: SIGNED((L_LEFT+R_LEFT+1) downto 0) := (others => '0'); variable ADVAL: SIGNED((L_LEFT+R_LEFT+1) downto 0); begin if ((L_LEFT < 0) or (R_LEFT < 0)) then return NAS; end if; XL := L; XR := R; ADVAL := RESIZE(XR, RESULT'LENGTH); for I in 0 to L_LEFT-1 loop if XL(I)='1' then RESULT := RESULT + ADVAL; end if; ADVAL := SHIFT_LEFT(ADVAL, 1); end loop; if XL(L_LEFT)='1' then RESULT := RESULT - ADVAL; end if; return RESULT; end "*"; -- Id: A.17 function "*" (L: UNSIGNED; R: NATURAL) return UNSIGNED is begin return L * TO_UNSIGNED(R, L'LENGTH); end "*"; -- Id: A.18 function "*" (L: NATURAL; R: UNSIGNED) return UNSIGNED is begin return TO_UNSIGNED(L, R'LENGTH) * R; end "*"; -- Id: A.19 function "*" (L: SIGNED; R: INTEGER) return SIGNED is begin return L * TO_SIGNED(R, L'LENGTH); end "*"; -- Id: A.20 function "*" (L: INTEGER; R: SIGNED) return SIGNED is begin return TO_SIGNED(L, R'LENGTH) * R; end "*"; --============================================================================ -- Id: A.21 function "/" (L, R: UNSIGNED) return UNSIGNED is variable FQUOT: UNSIGNED(L'LENGTH-1 downto 0); variable FREMAIN: UNSIGNED(R'LENGTH-1 downto 0); begin if ((L'LENGTH < 1) or (R'LENGTH < 1)) then return NAU; end if; DIVMOD(L, R, FQUOT, FREMAIN); return FQUOT; end "/"; -- Id: A.22 function "/" (L, R: SIGNED) return SIGNED is variable FQUOT: UNSIGNED(L'LENGTH-1 downto 0); variable FREMAIN: UNSIGNED(R'LENGTH-1 downto 0); variable XNUM: UNSIGNED(L'LENGTH-1 downto 0); variable XDENOM: UNSIGNED(R'LENGTH-1 downto 0); variable QNEG: BOOLEAN := FALSE; begin if ((L'LENGTH < 1) or (R'LENGTH < 1)) then return NAS; end if; if L(L'LEFT)='1' then XNUM := UNSIGNED(-L); QNEG := TRUE; else XNUM := UNSIGNED(L); end if; if R(R'LEFT)='1' then XDENOM := UNSIGNED(-R); QNEG := not QNEG; else XDENOM := UNSIGNED(R); end if; DIVMOD(XNUM, XDENOM, FQUOT, FREMAIN); if QNEG then FQUOT := "0"-FQUOT; end if; return SIGNED(FQUOT); end "/"; -- Id: A.23 function "/" (L: UNSIGNED; R: NATURAL) return UNSIGNED is constant R_LENGTH: NATURAL := MAX(L'LENGTH, UNSIGNED_NUM_BITS(R)); variable XR, QUOT: UNSIGNED(R_LENGTH-1 downto 0); begin if (L'LENGTH < 1) then return NAU; end if; if (R_LENGTH > L'LENGTH) then QUOT := (others => '0'); return RESIZE(QUOT, L'LENGTH); end if; XR := TO_UNSIGNED(R, R_LENGTH); QUOT := RESIZE((L / XR), QUOT'LENGTH); return RESIZE(QUOT, L'LENGTH); end "/"; -- Id: A.24 function "/" (L: NATURAL; R: UNSIGNED) return UNSIGNED is constant L_LENGTH: NATURAL := MAX(UNSIGNED_NUM_BITS(L), R'LENGTH); variable XL, QUOT: UNSIGNED(L_LENGTH-1 downto 0); begin if (R'LENGTH < 1) then return NAU; end if; XL := TO_UNSIGNED(L, L_LENGTH); QUOT := RESIZE((XL / R), QUOT'LENGTH); if L_LENGTH > R'LENGTH and QUOT(L_LENGTH-1 downto R'LENGTH) /= (L_LENGTH-1 downto R'LENGTH => '0') then assert NO_WARNING report "NUMERIC_BIT.""/"": Quotient Truncated" severity WARNING; end if; return RESIZE(QUOT, R'LENGTH); end "/"; -- Id: A.25 function "/" (L: SIGNED; R: INTEGER) return SIGNED is constant R_LENGTH: NATURAL := MAX(L'LENGTH, SIGNED_NUM_BITS(R)); variable XR, QUOT: SIGNED(R_LENGTH-1 downto 0); begin if (L'LENGTH < 1) then return NAS; end if; if (R_LENGTH > L'LENGTH) then QUOT := (others => '0'); return RESIZE(QUOT, L'LENGTH); end if; XR := TO_SIGNED(R, R_LENGTH); QUOT := RESIZE((L / XR), QUOT'LENGTH); return RESIZE(QUOT, L'LENGTH); end "/"; -- Id: A.26 function "/" (L: INTEGER; R: SIGNED) return SIGNED is constant L_LENGTH: NATURAL := MAX(SIGNED_NUM_BITS(L), R'LENGTH); variable XL, QUOT: SIGNED(L_LENGTH-1 downto 0); begin if (R'LENGTH < 1) then return NAS; end if; XL := TO_SIGNED(L, L_LENGTH); QUOT := RESIZE((XL / R), QUOT'LENGTH); if L_LENGTH > R'LENGTH and QUOT(L_LENGTH-1 downto R'LENGTH) /= (L_LENGTH-1 downto R'LENGTH => QUOT(R'LENGTH-1)) then assert NO_WARNING report "NUMERIC_BIT.""/"": Quotient Truncated" severity WARNING; end if; return RESIZE(QUOT, R'LENGTH); end "/"; --============================================================================ -- Id: A.27 function "rem" (L, R: UNSIGNED) return UNSIGNED is variable FQUOT: UNSIGNED(L'LENGTH-1 downto 0); variable FREMAIN: UNSIGNED(R'LENGTH-1 downto 0); begin if ((L'LENGTH < 1) or (R'LENGTH < 1)) then return NAU; end if; DIVMOD(L, R, FQUOT, FREMAIN); return FREMAIN; end "rem"; -- Id: A.28 function "rem" (L, R: SIGNED) return SIGNED is variable FQUOT: UNSIGNED(L'LENGTH-1 downto 0); variable FREMAIN: UNSIGNED(R'LENGTH-1 downto 0); variable XNUM: UNSIGNED(L'LENGTH-1 downto 0); variable XDENOM: UNSIGNED(R'LENGTH-1 downto 0); variable RNEG: BOOLEAN := FALSE; begin if ((L'LENGTH < 1) or (R'LENGTH < 1)) then return NAS; end if; if L(L'LEFT)='1' then XNUM := UNSIGNED(-L); RNEG := TRUE; else XNUM := UNSIGNED(L); end if; if R(R'LEFT)='1' then XDENOM := UNSIGNED(-R); else XDENOM := UNSIGNED(R); end if; DIVMOD(XNUM, XDENOM, FQUOT, FREMAIN); if RNEG then FREMAIN := "0"-FREMAIN; end if; return SIGNED(FREMAIN); end "rem"; -- Id: A.29 function "rem" (L: UNSIGNED; R: NATURAL) return UNSIGNED is constant R_LENGTH: NATURAL := MAX(L'LENGTH, UNSIGNED_NUM_BITS(R)); variable XR, XREM: UNSIGNED(R_LENGTH-1 downto 0); begin if (L'LENGTH < 1) then return NAU; end if; XR := TO_UNSIGNED(R, R_LENGTH); XREM := RESIZE((L rem XR), XREM'LENGTH); if R_LENGTH > L'LENGTH and XREM(R_LENGTH-1 downto L'LENGTH) /= (R_LENGTH-1 downto L'LENGTH => '0') then assert NO_WARNING report "NUMERIC_BIT.""rem"": Remainder Truncated" severity WARNING; end if; return RESIZE(XREM, L'LENGTH); end "rem"; -- Id: A.30 function "rem" (L: NATURAL; R: UNSIGNED) return UNSIGNED is constant L_LENGTH: NATURAL := MAX(UNSIGNED_NUM_BITS(L), R'LENGTH); variable XL, XREM: UNSIGNED(L_LENGTH-1 downto 0); begin if (R'LENGTH < 1) then return NAU; end if; XL := TO_UNSIGNED(L, L_LENGTH); XREM := RESIZE((XL rem R), XREM'LENGTH); if L_LENGTH > R'LENGTH and XREM(L_LENGTH-1 downto R'LENGTH) /= (L_LENGTH-1 downto R'LENGTH => '0') then assert NO_WARNING report "NUMERIC_BIT.""rem"": Remainder Truncated" severity WARNING; end if; return RESIZE(XREM, R'LENGTH); end "rem"; -- Id: A.31 function "rem" (L: SIGNED; R: INTEGER) return SIGNED is constant R_LENGTH: NATURAL := MAX(L'LENGTH, SIGNED_NUM_BITS(R)); variable XR, XREM: SIGNED(R_LENGTH-1 downto 0); begin if (L'LENGTH < 1) then return NAS; end if; XR := TO_SIGNED(R, R_LENGTH); XREM := RESIZE((L rem XR), XREM'LENGTH); if R_LENGTH > L'LENGTH and XREM(R_LENGTH-1 downto L'LENGTH) /= (R_LENGTH-1 downto L'LENGTH => XREM(L'LENGTH-1)) then assert NO_WARNING report "NUMERIC_BIT.""rem"": Remainder Truncated" severity WARNING; end if; return RESIZE(XREM, L'LENGTH); end "rem"; -- Id: A.32 function "rem" (L: INTEGER; R: SIGNED) return SIGNED is constant L_LENGTH: NATURAL := MAX(SIGNED_NUM_BITS(L), R'LENGTH); variable XL, XREM: SIGNED(L_LENGTH-1 downto 0); begin if (R'LENGTH < 1) then return NAS; end if; XL := TO_SIGNED(L, L_LENGTH); XREM := RESIZE((XL rem R), XREM'LENGTH); if L_LENGTH > R'LENGTH and XREM(L_LENGTH-1 downto R'LENGTH) /= (L_LENGTH-1 downto R'LENGTH => XREM(R'LENGTH-1)) then assert NO_WARNING report "NUMERIC_BIT.""rem"": Remainder Truncated" severity WARNING; end if; return RESIZE(XREM, R'LENGTH); end "rem"; --============================================================================ -- Id: A.33 function "mod" (L, R: UNSIGNED) return UNSIGNED is variable FQUOT: UNSIGNED(L'LENGTH-1 downto 0); variable FREMAIN: UNSIGNED(R'LENGTH-1 downto 0); begin if ((L'LENGTH < 1) or (R'LENGTH < 1)) then return NAU; end if; DIVMOD(L, R, FQUOT, FREMAIN); return FREMAIN; end "mod"; -- Id: A.34 function "mod" (L, R: SIGNED) return SIGNED is variable FQUOT: UNSIGNED(L'LENGTH-1 downto 0); variable FREMAIN: UNSIGNED(R'LENGTH-1 downto 0); variable XNUM: UNSIGNED(L'LENGTH-1 downto 0); variable XDENOM: UNSIGNED(R'LENGTH-1 downto 0); variable RNEG: BOOLEAN := FALSE; begin if ((L'LENGTH < 1) or (R'LENGTH < 1)) then return NAS; end if; if L(L'LEFT)='1' then XNUM := UNSIGNED(-L); else XNUM := UNSIGNED(L); end if; if R(R'LEFT)='1' then XDENOM := UNSIGNED(-R); RNEG := TRUE; else XDENOM := UNSIGNED(R); end if; DIVMOD(XNUM, XDENOM, FQUOT, FREMAIN); if RNEG and L(L'LEFT)='1' then FREMAIN := "0"-FREMAIN; elsif RNEG and FREMAIN/="0" then FREMAIN := FREMAIN-XDENOM; elsif L(L'LEFT)='1' and FREMAIN/="0" then FREMAIN := XDENOM-FREMAIN; end if; return SIGNED(FREMAIN); end "mod"; -- Id: A.35 function "mod" (L: UNSIGNED; R: NATURAL) return UNSIGNED is constant R_LENGTH: NATURAL := MAX(L'LENGTH, UNSIGNED_NUM_BITS(R)); variable XR, XREM: UNSIGNED(R_LENGTH-1 downto 0); begin if (L'LENGTH < 1) then return NAU; end if; XR := TO_UNSIGNED(R, R_LENGTH); XREM := RESIZE((L mod XR), XREM'LENGTH); if R_LENGTH > L'LENGTH and XREM(R_LENGTH-1 downto L'LENGTH) /= (R_LENGTH-1 downto L'LENGTH => '0') then assert NO_WARNING report "NUMERIC_BIT.""mod"": modulus Truncated" severity WARNING; end if; return RESIZE(XREM, L'LENGTH); end "mod"; -- Id: A.36 function "mod" (L: NATURAL; R: UNSIGNED) return UNSIGNED is constant L_LENGTH: NATURAL := MAX(UNSIGNED_NUM_BITS(L), R'LENGTH); variable XL, XREM: UNSIGNED(L_LENGTH-1 downto 0); begin if (R'LENGTH < 1) then return NAU; end if; XL := TO_UNSIGNED(L, L_LENGTH); XREM := RESIZE((XL mod R), XREM'LENGTH); if L_LENGTH > R'LENGTH and XREM(L_LENGTH-1 downto R'LENGTH) /= (L_LENGTH-1 downto R'LENGTH => '0') then assert NO_WARNING report "NUMERIC_BIT.""mod"": modulus Truncated" severity WARNING; end if; return RESIZE(XREM, R'LENGTH); end "mod"; -- Id: A.37 function "mod" (L: SIGNED; R: INTEGER) return SIGNED is constant R_LENGTH: NATURAL := MAX(L'LENGTH, SIGNED_NUM_BITS(R)); variable XR, XREM: SIGNED(R_LENGTH-1 downto 0); begin if (L'LENGTH < 1) then return NAS; end if; XR := TO_SIGNED(R, R_LENGTH); XREM := RESIZE((L mod XR), XREM'LENGTH); if R_LENGTH > L'LENGTH and XREM(R_LENGTH-1 downto L'LENGTH) /= (R_LENGTH-1 downto L'LENGTH => XREM(L'LENGTH-1)) then assert NO_WARNING report "NUMERIC_BIT.""mod"": modulus Truncated" severity WARNING; end if; return RESIZE(XREM, L'LENGTH); end "mod"; -- Id: A.38 function "mod" (L: INTEGER; R: SIGNED) return SIGNED is constant L_LENGTH: NATURAL := MAX(SIGNED_NUM_BITS(L), R'LENGTH); variable XL, XREM: SIGNED(L_LENGTH-1 downto 0); begin if (R'LENGTH < 1) then return NAS; end if; XL := TO_SIGNED(L, L_LENGTH); XREM := RESIZE((XL mod R), XREM'LENGTH); if L_LENGTH > R'LENGTH and XREM(L_LENGTH-1 downto R'LENGTH) /= (L_LENGTH-1 downto R'LENGTH => XREM(R'LENGTH-1)) then assert NO_WARNING report "NUMERIC_BIT.""mod"": modulus Truncated" severity WARNING; end if; return RESIZE(XREM, R'LENGTH); end "mod"; --============================================================================ -- Id: C.1 function ">" (L, R: UNSIGNED) return BOOLEAN is variable SIZE: NATURAL := MAX(L'LENGTH, R'LENGTH); begin if ((L'LENGTH < 1) or (R'LENGTH < 1)) then assert NO_WARNING report "NUMERIC_BIT."">"": null argument detected, returning FALSE" severity WARNING; return FALSE; end if; return not UNSIGNED_LESS_OR_EQUAL(RESIZE(L, SIZE), RESIZE(R, SIZE)); end ">"; -- Id: C.2 function ">" (L, R: SIGNED) return BOOLEAN is variable SIZE: NATURAL := MAX(L'LENGTH, R'LENGTH); begin if ((L'LENGTH < 1) or (R'LENGTH < 1)) then assert NO_WARNING report "NUMERIC_BIT."">"": null argument detected, returning FALSE" severity WARNING; return FALSE; end if; return not SIGNED_LESS_OR_EQUAL(RESIZE(L, SIZE), RESIZE(R, SIZE)); end ">"; -- Id: C.3 function ">" (L: NATURAL; R: UNSIGNED) return BOOLEAN is begin if (R'LENGTH < 1) then assert NO_WARNING report "NUMERIC_BIT."">"": null argument detected, returning FALSE" severity WARNING; return FALSE; end if; if UNSIGNED_NUM_BITS(L) > R'LENGTH then return TRUE; end if; return not UNSIGNED_LESS_OR_EQUAL(TO_UNSIGNED(L, R'LENGTH), R); end ">"; -- Id: C.4 function ">" (L: INTEGER; R: SIGNED) return BOOLEAN is begin if (R'LENGTH < 1) then assert NO_WARNING report "NUMERIC_BIT."">"": null argument detected, returning FALSE" severity WARNING; return FALSE; end if; if SIGNED_NUM_BITS(L) > R'LENGTH then return L > 0; end if; return not SIGNED_LESS_OR_EQUAL(TO_SIGNED(L, R'LENGTH), R); end ">"; -- Id: C.5 function ">" (L: UNSIGNED; R: NATURAL) return BOOLEAN is begin if (L'LENGTH < 1) then assert NO_WARNING report "NUMERIC_BIT."">"": null argument detected, returning FALSE" severity WARNING; return FALSE; end if; if UNSIGNED_NUM_BITS(R) > L'LENGTH then return FALSE; end if; return not UNSIGNED_LESS_OR_EQUAL(L, TO_UNSIGNED(R, L'LENGTH)); end ">"; -- Id: C.6 function ">" (L: SIGNED; R: INTEGER) return BOOLEAN is begin if (L'LENGTH < 1) then assert NO_WARNING report "NUMERIC_BIT."">"": null argument detected, returning FALSE" severity WARNING; return FALSE; end if; if SIGNED_NUM_BITS(R) > L'LENGTH then return 0 > R; end if; return not SIGNED_LESS_OR_EQUAL(L, TO_SIGNED(R, L'LENGTH)); end ">"; --============================================================================ -- Id: C.7 function "<" (L, R: UNSIGNED) return BOOLEAN is variable SIZE: NATURAL := MAX(L'LENGTH, R'LENGTH); begin if ((L'LENGTH < 1) or (R'LENGTH < 1)) then assert NO_WARNING report "NUMERIC_BIT.""<"": null argument detected, returning FALSE" severity WARNING; return FALSE; end if; return UNSIGNED_LESS(RESIZE(L, SIZE), RESIZE(R, SIZE)); end "<"; -- Id: C.8 function "<" (L, R: SIGNED) return BOOLEAN is variable SIZE: NATURAL := MAX(L'LENGTH, R'LENGTH); begin if ((L'LENGTH < 1) or (R'LENGTH < 1)) then assert NO_WARNING report "NUMERIC_BIT.""<"": null argument detected, returning FALSE" severity WARNING; return FALSE; end if; return SIGNED_LESS(RESIZE(L, SIZE), RESIZE(R, SIZE)); end "<"; -- Id: C.9 function "<" (L: NATURAL; R: UNSIGNED) return BOOLEAN is begin if (R'LENGTH < 1) then assert NO_WARNING report "NUMERIC_BIT.""<"": null argument detected, returning FALSE" severity WARNING; return FALSE; end if; if UNSIGNED_NUM_BITS(L) > R'LENGTH then return L < 0; end if; return UNSIGNED_LESS(TO_UNSIGNED(L, R'LENGTH), R); end "<"; -- Id: C.10 function "<" (L: INTEGER; R: SIGNED) return BOOLEAN is begin if (R'LENGTH < 1) then assert NO_WARNING report "NUMERIC_BIT.""<"": null argument detected, returning FALSE" severity WARNING; return FALSE; end if; if SIGNED_NUM_BITS(L) > R'LENGTH then return L < 0; end if; return SIGNED_LESS(TO_SIGNED(L, R'LENGTH), R); end "<"; -- Id: C.11 function "<" (L: UNSIGNED; R: NATURAL) return BOOLEAN is begin if (L'LENGTH < 1) then assert NO_WARNING report "NUMERIC_BIT.""<"": null argument detected, returning FALSE" severity WARNING; return FALSE; end if; if UNSIGNED_NUM_BITS(R) > L'LENGTH then return 0 < R; end if; return UNSIGNED_LESS(L, TO_UNSIGNED(R, L'LENGTH)); end "<"; -- Id: C.12 function "<" (L: SIGNED; R: INTEGER) return BOOLEAN is begin if (L'LENGTH < 1) then assert NO_WARNING report "NUMERIC_BIT.""<"": null argument detected, returning FALSE" severity WARNING; return FALSE; end if; if SIGNED_NUM_BITS(R) > L'LENGTH then return 0 < R; end if; return SIGNED_LESS(L, TO_SIGNED(R, L'LENGTH)); end "<"; --============================================================================ -- Id: C.13 function "<=" (L, R: UNSIGNED) return BOOLEAN is variable SIZE: NATURAL := MAX(L'LENGTH, R'LENGTH); begin if ((L'LENGTH < 1) or (R'LENGTH < 1)) then assert NO_WARNING report "NUMERIC_BIT.""<="": null argument detected, returning FALSE" severity WARNING; return FALSE; end if; return UNSIGNED_LESS_OR_EQUAL(RESIZE(L, SIZE), RESIZE(R, SIZE)); end "<="; -- Id: C.14 function "<=" (L, R: SIGNED) return BOOLEAN is variable SIZE: NATURAL := MAX(L'LENGTH, R'LENGTH); begin if ((L'LENGTH < 1) or (R'LENGTH < 1)) then assert NO_WARNING report "NUMERIC_BIT.""<="": null argument detected, returning FALSE" severity WARNING; return FALSE; end if; return SIGNED_LESS_OR_EQUAL(RESIZE(L, SIZE), RESIZE(R, SIZE)); end "<="; -- Id: C.15 function "<=" (L: NATURAL; R: UNSIGNED) return BOOLEAN is begin if (R'LENGTH < 1) then assert NO_WARNING report "NUMERIC_BIT.""<="": null argument detected, returning FALSE" severity WARNING; return FALSE; end if; if UNSIGNED_NUM_BITS(L) > R'LENGTH then return L < 0; end if; return UNSIGNED_LESS_OR_EQUAL(TO_UNSIGNED(L, R'LENGTH), R); end "<="; -- Id: C.16 function "<=" (L: INTEGER; R: SIGNED) return BOOLEAN is begin if (R'LENGTH < 1) then assert NO_WARNING report "NUMERIC_BIT.""<="": null argument detected, returning FALSE" severity WARNING; return FALSE; end if; if SIGNED_NUM_BITS(L) > R'LENGTH then return L < 0; end if; return SIGNED_LESS_OR_EQUAL(TO_SIGNED(L, R'LENGTH), R); end "<="; -- Id: C.17 function "<=" (L: UNSIGNED; R: NATURAL) return BOOLEAN is begin if (L'LENGTH < 1) then assert NO_WARNING report "NUMERIC_BIT.""<="": null argument detected, returning FALSE" severity WARNING; return FALSE; end if; if UNSIGNED_NUM_BITS(R) > L'LENGTH then return 0 < R; end if; return UNSIGNED_LESS_OR_EQUAL(L, TO_UNSIGNED(R, L'LENGTH)); end "<="; -- Id: C.18 function "<=" (L: SIGNED; R: INTEGER) return BOOLEAN is begin if (L'LENGTH < 1) then assert NO_WARNING report "NUMERIC_BIT.""<="": null argument detected, returning FALSE" severity WARNING; return FALSE; end if; if SIGNED_NUM_BITS(R) > L'LENGTH then return 0 < R; end if; return SIGNED_LESS_OR_EQUAL(L, TO_SIGNED(R, L'LENGTH)); end "<="; --============================================================================ -- Id: C.19 function ">=" (L, R: UNSIGNED) return BOOLEAN is variable SIZE: NATURAL := MAX(L'LENGTH, R'LENGTH); begin if ((L'LENGTH < 1) or (R'LENGTH < 1)) then assert NO_WARNING report "NUMERIC_BIT."">="": null argument detected, returning FALSE" severity WARNING; return FALSE; end if; return not UNSIGNED_LESS(RESIZE(L, SIZE), RESIZE(R, SIZE)); end ">="; -- Id: C.20 function ">=" (L, R: SIGNED) return BOOLEAN is variable SIZE: NATURAL := MAX(L'LENGTH, R'LENGTH); begin if ((L'LENGTH < 1) or (R'LENGTH < 1)) then assert NO_WARNING report "NUMERIC_BIT."">="": null argument detected, returning FALSE" severity WARNING; return FALSE; end if; return not SIGNED_LESS(RESIZE(L, SIZE), RESIZE(R, SIZE)); end ">="; -- Id: C.21 function ">=" (L: NATURAL; R: UNSIGNED) return BOOLEAN is begin if (R'LENGTH < 1) then assert NO_WARNING report "NUMERIC_BIT."">="": null argument detected, returning FALSE" severity WARNING; return FALSE; end if; if UNSIGNED_NUM_BITS(L) > R'LENGTH then return L > 0; end if; return not UNSIGNED_LESS(TO_UNSIGNED(L, R'LENGTH), R); end ">="; -- Id: C.22 function ">=" (L: INTEGER; R: SIGNED) return BOOLEAN is begin if (R'LENGTH < 1) then assert NO_WARNING report "NUMERIC_BIT."">="": null argument detected, returning FALSE" severity WARNING; return FALSE; end if; if SIGNED_NUM_BITS(L) > R'LENGTH then return L > 0; end if; return not SIGNED_LESS(TO_SIGNED(L, R'LENGTH), R); end ">="; -- Id: C.23 function ">=" (L: UNSIGNED; R: NATURAL) return BOOLEAN is begin if (L'LENGTH < 1) then assert NO_WARNING report "NUMERIC_BIT."">="": null argument detected, returning FALSE" severity WARNING; return FALSE; end if; if UNSIGNED_NUM_BITS(R) > L'LENGTH then return 0 > R; end if; return not UNSIGNED_LESS(L, TO_UNSIGNED(R, L'LENGTH)); end ">="; -- Id: C.24 function ">=" (L: SIGNED; R: INTEGER) return BOOLEAN is begin if (L'LENGTH < 1) then assert NO_WARNING report "NUMERIC_BIT."">="": null argument detected, returning FALSE" severity WARNING; return FALSE; end if; if SIGNED_NUM_BITS(R) > L'LENGTH then return 0 > R; end if; return not SIGNED_LESS(L, TO_SIGNED(R, L'LENGTH)); end ">="; --============================================================================ -- Id: C.25 function "=" (L, R: UNSIGNED) return BOOLEAN is variable SIZE: NATURAL := MAX(L'LENGTH, R'LENGTH); begin if ((L'LENGTH < 1) or (R'LENGTH < 1)) then assert NO_WARNING report "NUMERIC_BIT.""="": null argument detected, returning FALSE" severity WARNING; return FALSE; end if; return UNSIGNED_EQUAL(RESIZE(L, SIZE), RESIZE(R, SIZE)); end "="; -- Id: C.26 function "=" (L, R: SIGNED) return BOOLEAN is variable SIZE: NATURAL := MAX(L'LENGTH, R'LENGTH); begin if ((L'LENGTH < 1) or (R'LENGTH < 1)) then assert NO_WARNING report "NUMERIC_BIT.""="": null argument detected, returning FALSE" severity WARNING; return FALSE; end if; return SIGNED_EQUAL(RESIZE(L, SIZE), RESIZE(R, SIZE)); end "="; -- Id: C.27 function "=" (L: NATURAL; R: UNSIGNED) return BOOLEAN is begin if (R'LENGTH < 1) then assert NO_WARNING report "NUMERIC_BIT.""="": null argument detected, returning FALSE" severity WARNING; return FALSE; end if; if UNSIGNED_NUM_BITS(L) > R'LENGTH then return FALSE; end if; return UNSIGNED_EQUAL(TO_UNSIGNED(L, R'LENGTH), R); end "="; -- Id: C.28 function "=" (L: INTEGER; R: SIGNED) return BOOLEAN is begin if (R'LENGTH < 1) then assert NO_WARNING report "NUMERIC_BIT.""="": null argument detected, returning FALSE" severity WARNING; return FALSE; end if; if SIGNED_NUM_BITS(L) > R'LENGTH then return FALSE; end if; return SIGNED_EQUAL(TO_SIGNED(L, R'LENGTH), R); end "="; -- Id: C.29 function "=" (L: UNSIGNED; R: NATURAL) return BOOLEAN is begin if (L'LENGTH < 1) then assert NO_WARNING report "NUMERIC_BIT.""="": null argument detected, returning FALSE" severity WARNING; return FALSE; end if; if UNSIGNED_NUM_BITS(R) > L'LENGTH then return FALSE; end if; return UNSIGNED_EQUAL(L, TO_UNSIGNED(R, L'LENGTH)); end "="; -- Id: C.30 function "=" (L: SIGNED; R: INTEGER) return BOOLEAN is begin if (L'LENGTH < 1) then assert NO_WARNING report "NUMERIC_BIT.""="": null argument detected, returning FALSE" severity WARNING; return FALSE; end if; if SIGNED_NUM_BITS(R) > L'LENGTH then return FALSE; end if; return SIGNED_EQUAL(L, TO_SIGNED(R, L'LENGTH)); end "="; --============================================================================ -- Id: C.31 function "/=" (L, R: UNSIGNED) return BOOLEAN is variable SIZE: NATURAL := MAX(L'LENGTH, R'LENGTH); begin if ((L'LENGTH < 1) or (R'LENGTH < 1)) then assert NO_WARNING report "NUMERIC_BIT.""/="": null argument detected, returning TRUE" severity WARNING; return TRUE; end if; return not(UNSIGNED_EQUAL(RESIZE(L, SIZE), RESIZE(R, SIZE))); end "/="; -- Id: C.32 function "/=" (L, R: SIGNED) return BOOLEAN is variable SIZE: NATURAL := MAX(L'LENGTH, R'LENGTH); begin if ((L'LENGTH < 1) or (R'LENGTH < 1)) then assert NO_WARNING report "NUMERIC_BIT.""/="": null argument detected, returning TRUE" severity WARNING; return TRUE; end if; return not(SIGNED_EQUAL(RESIZE(L, SIZE), RESIZE(R, SIZE))); end "/="; -- Id: C.33 function "/=" (L: NATURAL; R: UNSIGNED) return BOOLEAN is begin if (R'LENGTH < 1) then assert NO_WARNING report "NUMERIC_BIT.""/="": null argument detected, returning TRUE" severity WARNING; return TRUE; end if; if UNSIGNED_NUM_BITS(L) > R'LENGTH then return TRUE; end if; return not(UNSIGNED_EQUAL(TO_UNSIGNED(L, R'LENGTH), R)); end "/="; -- Id: C.34 function "/=" (L: INTEGER; R: SIGNED) return BOOLEAN is begin if (R'LENGTH < 1) then assert NO_WARNING report "NUMERIC_BIT.""/="": null argument detected, returning TRUE" severity WARNING; return TRUE; end if; if SIGNED_NUM_BITS(L) > R'LENGTH then return TRUE; end if; return not(SIGNED_EQUAL(TO_SIGNED(L, R'LENGTH), R)); end "/="; -- Id: C.35 function "/=" (L: UNSIGNED; R: NATURAL) return BOOLEAN is begin if (L'LENGTH < 1) then assert NO_WARNING report "NUMERIC_BIT.""/="": null argument detected, returning TRUE" severity WARNING; return TRUE; end if; if UNSIGNED_NUM_BITS(R) > L'LENGTH then return TRUE; end if; return not(UNSIGNED_EQUAL(L, TO_UNSIGNED(R, L'LENGTH))); end "/="; -- Id: C.36 function "/=" (L: SIGNED; R: INTEGER) return BOOLEAN is begin if (L'LENGTH < 1) then assert NO_WARNING report "NUMERIC_BIT.""/="": null argument detected, returning TRUE" severity WARNING; return TRUE; end if; if SIGNED_NUM_BITS(R) > L'LENGTH then return TRUE; end if; return not(SIGNED_EQUAL(L, TO_SIGNED(R, L'LENGTH))); end "/="; --============================================================================ -- Id: S.1 function SHIFT_LEFT (ARG: UNSIGNED; COUNT: NATURAL) return UNSIGNED is begin if (ARG'LENGTH < 1) then return NAU; end if; return UNSIGNED(XSLL(BIT_VECTOR(ARG), COUNT)); end SHIFT_LEFT; -- Id: S.2 function SHIFT_RIGHT (ARG: UNSIGNED; COUNT: NATURAL) return UNSIGNED is begin if (ARG'LENGTH < 1) then return NAU; end if; return UNSIGNED(XSRL(BIT_VECTOR(ARG), COUNT)); end SHIFT_RIGHT; -- Id: S.3 function SHIFT_LEFT (ARG: SIGNED; COUNT: NATURAL) return SIGNED is begin if (ARG'LENGTH < 1) then return NAS; end if; return SIGNED(XSLL(BIT_VECTOR(ARG), COUNT)); end SHIFT_LEFT; -- Id: S.4 function SHIFT_RIGHT (ARG: SIGNED; COUNT: NATURAL) return SIGNED is begin if (ARG'LENGTH < 1) then return NAS; end if; return SIGNED(XSRA(BIT_VECTOR(ARG), COUNT)); end SHIFT_RIGHT; --============================================================================ -- Id: S.5 function ROTATE_LEFT (ARG: UNSIGNED; COUNT: NATURAL) return UNSIGNED is begin if (ARG'LENGTH < 1) then return NAU; end if; return UNSIGNED(XROL(BIT_VECTOR(ARG), COUNT)); end ROTATE_LEFT; -- Id: S.6 function ROTATE_RIGHT (ARG: UNSIGNED; COUNT: NATURAL) return UNSIGNED is begin if (ARG'LENGTH < 1) then return NAU; end if; return UNSIGNED(XROR(BIT_VECTOR(ARG), COUNT)); end ROTATE_RIGHT; -- Id: S.7 function ROTATE_LEFT (ARG: SIGNED; COUNT: NATURAL) return SIGNED is begin if (ARG'LENGTH < 1) then return NAS; end if; return SIGNED(XROL(BIT_VECTOR(ARG), COUNT)); end ROTATE_LEFT; -- Id: S.8 function ROTATE_RIGHT (ARG: SIGNED; COUNT: NATURAL) return SIGNED is begin if (ARG'LENGTH < 1) then return NAS; end if; return SIGNED(XROR(BIT_VECTOR(ARG), COUNT)); end ROTATE_RIGHT; --============================================================================ --START-V93 ------------------------------------------------------------------------------ -- Note : Function S.9 is not compatible with VHDL 1076-1987. Comment -- out the function (declaration and body) for VHDL 1076-1987 compatibility. ------------------------------------------------------------------------------ -- Id: S.9 function "sll" (ARG: UNSIGNED; COUNT: INTEGER) return UNSIGNED is begin if (COUNT >= 0) then return SHIFT_LEFT(ARG, COUNT); else return SHIFT_RIGHT(ARG, -COUNT); end if; end "sll"; ------------------------------------------------------------------------------ -- Note : Function S.10 is not compatible with VHDL 1076-1987. Comment -- out the function (declaration and body) for VHDL 1076-1987 compatibility. ------------------------------------------------------------------------------ -- Id: S.10 function "sll" (ARG: SIGNED; COUNT: INTEGER) return SIGNED is begin if (COUNT >= 0) then return SHIFT_LEFT(ARG, COUNT); else return SIGNED(SHIFT_RIGHT(UNSIGNED(ARG), -COUNT)); end if; end "sll"; ------------------------------------------------------------------------------ -- Note : Function S.11 is not compatible with VHDL 1076-1987. Comment -- out the function (declaration and body) for VHDL 1076-1987 compatibility. ------------------------------------------------------------------------------ -- Id: S.11 function "srl" (ARG: UNSIGNED; COUNT: INTEGER) return UNSIGNED is begin if (COUNT >= 0) then return SHIFT_RIGHT(ARG, COUNT); else return SHIFT_LEFT(ARG, -COUNT); end if; end "srl"; ------------------------------------------------------------------------------ -- Note : Function S.12 is not compatible with VHDL 1076-1987. Comment -- out the function (declaration and body) for VHDL 1076-1987 compatibility. ------------------------------------------------------------------------------ -- Id: S.12 function "srl" (ARG: SIGNED; COUNT: INTEGER) return SIGNED is begin if (COUNT >= 0) then return SIGNED(SHIFT_RIGHT(UNSIGNED(ARG), COUNT)); else return SHIFT_LEFT(ARG, -COUNT); end if; end "srl"; ------------------------------------------------------------------------------ -- Note : Function S.13 is not compatible with VHDL 1076-1987. Comment -- out the function (declaration and body) for VHDL 1076-1987 compatibility. ------------------------------------------------------------------------------ -- Id: S.13 function "rol" (ARG: UNSIGNED; COUNT: INTEGER) return UNSIGNED is begin if (COUNT >= 0) then return ROTATE_LEFT(ARG, COUNT); else return ROTATE_RIGHT(ARG, -COUNT); end if; end "rol"; ------------------------------------------------------------------------------ -- Note : Function S.14 is not compatible with VHDL 1076-1987. Comment -- out the function (declaration and body) for VHDL 1076-1987 compatibility. ------------------------------------------------------------------------------ -- Id: S.14 function "rol" (ARG: SIGNED; COUNT: INTEGER) return SIGNED is begin if (COUNT >= 0) then return ROTATE_LEFT(ARG, COUNT); else return ROTATE_RIGHT(ARG, -COUNT); end if; end "rol"; ------------------------------------------------------------------------------ -- Note : Function S.15 is not compatible with VHDL 1076-1987. Comment -- out the function (declaration and body) for VHDL 1076-1987 compatibility. ------------------------------------------------------------------------------ -- Id: S.15 function "ror" (ARG: UNSIGNED; COUNT: INTEGER) return UNSIGNED is begin if (COUNT >= 0) then return ROTATE_RIGHT(ARG, COUNT); else return ROTATE_LEFT(ARG, -COUNT); end if; end "ror"; ------------------------------------------------------------------------------ -- Note : Function S.16 is not compatible with VHDL 1076-1987. Comment -- out the function (declaration and body) for VHDL 1076-1987 compatibility. ------------------------------------------------------------------------------ -- Id: S.16 function "ror" (ARG: SIGNED; COUNT: INTEGER) return SIGNED is begin if (COUNT >= 0) then return ROTATE_RIGHT(ARG, COUNT); else return ROTATE_LEFT(ARG, -COUNT); end if; end "ror"; --END-V93 --============================================================================ -- Id: D.1 function TO_INTEGER (ARG: UNSIGNED) return NATURAL is constant ARG_LEFT: INTEGER := ARG'LENGTH-1; alias XARG: UNSIGNED(ARG_LEFT downto 0) is ARG; variable RESULT: NATURAL := 0; begin if (ARG'LENGTH < 1) then assert NO_WARNING report "NUMERIC_BIT.TO_INTEGER: null detected, returning 0" severity WARNING; return 0; end if; for I in XARG'RANGE loop RESULT := RESULT+RESULT; if XARG(I) = '1' then RESULT := RESULT + 1; end if; end loop; return RESULT; end TO_INTEGER; -- Id: D.2 function TO_INTEGER (ARG: SIGNED) return INTEGER is begin if (ARG'LENGTH < 1) then assert NO_WARNING report "NUMERIC_BIT.TO_INTEGER: null detected, returning 0" severity WARNING; return 0; end if; if ARG(ARG'LEFT) = '0' then return TO_INTEGER(UNSIGNED(ARG)); else return (- (TO_INTEGER(UNSIGNED(- (ARG + 1)))) -1); end if; end TO_INTEGER; -- Id: D.3 function TO_UNSIGNED (ARG, SIZE: NATURAL) return UNSIGNED is variable RESULT: UNSIGNED(SIZE-1 downto 0); variable I_VAL: NATURAL := ARG; begin if (SIZE < 1) then return NAU; end if; for I in 0 to RESULT'LEFT loop if (I_VAL mod 2) = 0 then RESULT(I) := '0'; else RESULT(I) := '1'; end if; I_VAL := I_VAL/2; end loop; if not(I_VAL =0) then assert NO_WARNING report "NUMERIC_BIT.TO_UNSIGNED: vector truncated" severity WARNING; end if; return RESULT; end TO_UNSIGNED; -- Id: D.4 function TO_SIGNED (ARG: INTEGER; SIZE: NATURAL) return SIGNED is variable RESULT: SIGNED(SIZE-1 downto 0); variable B_VAL: BIT := '0'; variable I_VAL: INTEGER := ARG; begin if (SIZE < 1) then return NAS; end if; if (ARG < 0) then B_VAL := '1'; I_VAL := -(ARG+1); end if; for I in 0 to RESULT'LEFT loop if (I_VAL mod 2) = 0 then RESULT(I) := B_VAL; else RESULT(I) := not B_VAL; end if; I_VAL := I_VAL/2; end loop; if ((I_VAL/=0) or (B_VAL/=RESULT(RESULT'LEFT))) then assert NO_WARNING report "NUMERIC_BIT.TO_SIGNED: vector truncated" severity WARNING; end if; return RESULT; end TO_SIGNED; --============================================================================ -- Id: R.1 function RESIZE (ARG: SIGNED; NEW_SIZE: NATURAL) return SIGNED is alias INVEC: SIGNED(ARG'LENGTH-1 downto 0) is ARG; variable RESULT: SIGNED(NEW_SIZE-1 downto 0) := (others => '0'); constant BOUND: INTEGER := MIN(ARG'LENGTH, RESULT'LENGTH)-2; begin if (NEW_SIZE < 1) then return NAS; end if; if (ARG'LENGTH = 0) then return RESULT; end if; RESULT := (others => ARG(ARG'LEFT)); if BOUND >= 0 then RESULT(BOUND downto 0) := INVEC(BOUND downto 0); end if; return RESULT; end RESIZE; -- Id: R.2 function RESIZE (ARG: UNSIGNED; NEW_SIZE: NATURAL) return UNSIGNED is constant ARG_LEFT: INTEGER := ARG'LENGTH-1; alias XARG: UNSIGNED(ARG_LEFT downto 0) is ARG; variable RESULT: UNSIGNED(NEW_SIZE-1 downto 0) := (others => '0'); begin if (NEW_SIZE < 1) then return NAU; end if; if XARG'LENGTH =0 then return RESULT; end if; if (RESULT'LENGTH < ARG'LENGTH) then RESULT(RESULT'LEFT downto 0) := XARG(RESULT'LEFT downto 0); else RESULT(RESULT'LEFT downto XARG'LEFT+1) := (others => '0'); RESULT(XARG'LEFT downto 0) := XARG; end if; return RESULT; end RESIZE; --============================================================================ -- Id: L.1 function "not" (L: UNSIGNED) return UNSIGNED is variable RESULT: UNSIGNED(L'LENGTH-1 downto 0); begin RESULT := UNSIGNED(not(BIT_VECTOR(L))); return RESULT; end "not"; -- Id: L.2 function "and" (L, R: UNSIGNED) return UNSIGNED is variable RESULT: UNSIGNED(L'LENGTH-1 downto 0); begin RESULT := UNSIGNED(BIT_VECTOR(L) and BIT_VECTOR(R)); return RESULT; end "and"; -- Id: L.3 function "or" (L, R: UNSIGNED) return UNSIGNED is variable RESULT: UNSIGNED(L'LENGTH-1 downto 0); begin RESULT := UNSIGNED(BIT_VECTOR(L) or BIT_VECTOR(R)); return RESULT; end "or"; -- Id: L.4 function "nand" (L, R: UNSIGNED) return UNSIGNED is variable RESULT: UNSIGNED(L'LENGTH-1 downto 0); begin RESULT := UNSIGNED(BIT_VECTOR(L) nand BIT_VECTOR(R)); return RESULT; end "nand"; -- Id: L.5 function "nor" (L, R: UNSIGNED) return UNSIGNED is variable RESULT: UNSIGNED(L'LENGTH-1 downto 0); begin RESULT := UNSIGNED(BIT_VECTOR(L) nor BIT_VECTOR(R)); return RESULT; end "nor"; -- Id: L.6 function "xor" (L, R: UNSIGNED) return UNSIGNED is variable RESULT: UNSIGNED(L'LENGTH-1 downto 0); begin RESULT := UNSIGNED(BIT_VECTOR(L) xor BIT_VECTOR(R)); return RESULT; end "xor"; --START-V93 ------------------------------------------------------------------------------ -- Note : Function L.7 is not compatible with VHDL 1076-1987. Comment -- out the function (declaration and body) for VHDL 1076-1987 compatibility. ------------------------------------------------------------------------------ -- Id: L.7 function "xnor" (L, R: UNSIGNED) return UNSIGNED is variable RESULT: UNSIGNED(L'LENGTH-1 downto 0); begin RESULT := UNSIGNED(BIT_VECTOR(L) xnor BIT_VECTOR(R)); return RESULT; end "xnor"; --END-V93 -- Id: L.8 function "not" (L: SIGNED) return SIGNED is variable RESULT: SIGNED(L'LENGTH-1 downto 0); begin RESULT := SIGNED(not(BIT_VECTOR(L))); return RESULT; end "not"; -- Id: L.9 function "and" (L, R: SIGNED) return SIGNED is variable RESULT: SIGNED(L'LENGTH-1 downto 0); begin RESULT := SIGNED(BIT_VECTOR(L) and BIT_VECTOR(R)); return RESULT; end "and"; -- Id: L.10 function "or" (L, R: SIGNED) return SIGNED is variable RESULT: SIGNED(L'LENGTH-1 downto 0); begin RESULT := SIGNED(BIT_VECTOR(L) or BIT_VECTOR(R)); return RESULT; end "or"; -- Id: L.11 function "nand" (L, R: SIGNED) return SIGNED is variable RESULT: SIGNED(L'LENGTH-1 downto 0); begin RESULT := SIGNED(BIT_VECTOR(L) nand BIT_VECTOR(R)); return RESULT; end "nand"; -- Id: L.12 function "nor" (L, R: SIGNED) return SIGNED is variable RESULT: SIGNED(L'LENGTH-1 downto 0); begin RESULT := SIGNED(BIT_VECTOR(L) nor BIT_VECTOR(R)); return RESULT; end "nor"; -- Id: L.13 function "xor" (L, R: SIGNED) return SIGNED is variable RESULT: SIGNED(L'LENGTH-1 downto 0); begin RESULT := SIGNED(BIT_VECTOR(L) xor BIT_VECTOR(R)); return RESULT; end "xor"; --START-V93 ------------------------------------------------------------------------------ -- Note : Function L.14 is not compatible with VHDL 1076-1987. Comment -- out the function (declaration and body) for VHDL 1076-1987 compatibility. ------------------------------------------------------------------------------ -- Id: L.14 function "xnor" (L, R: SIGNED) return SIGNED is variable RESULT: SIGNED(L'LENGTH-1 downto 0); begin RESULT := SIGNED(BIT_VECTOR(L) xnor BIT_VECTOR(R)); return RESULT; end "xnor"; --END-V93 --============================================================================ -- Id: E.1 function RISING_EDGE (signal S: BIT) return BOOLEAN is begin return S'EVENT and S = '1'; end RISING_EDGE; -- Id: E.2 function FALLING_EDGE (signal S: BIT) return BOOLEAN is begin return S'EVENT and S = '0'; end FALLING_EDGE; --============================================================================ end NUMERIC_BIT;
-- ----------------------------------------------------------------------------- -- -- Copyright 1995 by IEEE. All rights reserved. -- -- This source file is considered by the IEEE to be an essential part of the use -- of the standard 1076.3 and as such may be distributed without change, except -- as permitted by the standard. This source file may not be sold or distributed -- for profit. This package may be modified to include additional data required -- by tools, but must in no way change the external interfaces or simulation -- behaviour of the description. It is permissible to add comments and/or -- attributes to the package declarations, but not to change or delete any -- original lines of the approved package declaration. The package body may be -- changed only in accordance with the terms of clauses 7.1 and 7.2 of the -- standard. -- -- Title : Standard VHDL Synthesis Package (1076.3, NUMERIC_BIT) -- -- Library : This package shall be compiled into a library symbolically -- : named IEEE. -- -- Developers : IEEE DASC Synthesis Working Group, PAR 1076.3 -- -- Purpose : This package defines numeric types and arithmetic functions -- : for use with synthesis tools. Two numeric types are defined: -- : -- > UNSIGNED: represents an UNSIGNED number in vector form -- : -- > SIGNED: represents a SIGNED number in vector form -- : The base element type is type BIT. -- : The leftmost bit is treated as the most significant bit. -- : Signed vectors are represented in two's complement form. -- : This package contains overloaded arithmetic operators on -- : the SIGNED and UNSIGNED types. The package also contains -- : useful type conversions functions, clock detection -- : functions, and other utility functions. -- : -- : If any argument to a function is a null array, a null array is -- : returned (exceptions, if any, are noted individually). -- -- Limitation : -- -- Note : No declarations or definitions shall be included in, -- : or excluded from this package. The "package declaration" -- : defines the types, subtypes and declarations of -- : NUMERIC_BIT. The NUMERIC_BIT package body shall be -- : considered the formal definition of the semantics of -- : this package. Tool developers may choose to implement -- : the package body in the most efficient manner available -- : to them. -- : -- ----------------------------------------------------------------------------- -- Version : 2.4 -- Date : 12 April 1995 -- ----------------------------------------------------------------------------- --============================================================================== --======================= Package Body ========================================= --============================================================================== package body NUMERIC_BIT is -- null range array constants constant NAU: UNSIGNED(0 downto 1) := (others => '0'); constant NAS: SIGNED(0 downto 1) := (others => '0'); -- implementation controls constant NO_WARNING: BOOLEAN := FALSE; -- default to emit warnings --=========================Local Subprograms ================================= function MAX (LEFT, RIGHT: INTEGER) return INTEGER is begin if LEFT > RIGHT then return LEFT; else return RIGHT; end if; end MAX; function MIN (LEFT, RIGHT: INTEGER) return INTEGER is begin if LEFT < RIGHT then return LEFT; else return RIGHT; end if; end MIN; function SIGNED_NUM_BITS (ARG: INTEGER) return NATURAL is variable NBITS: NATURAL; variable N: NATURAL; begin if ARG >= 0 then N := ARG; else N := -(ARG+1); end if; NBITS := 1; while N > 0 loop NBITS := NBITS+1; N := N / 2; end loop; return NBITS; end SIGNED_NUM_BITS; function UNSIGNED_NUM_BITS (ARG: NATURAL) return NATURAL is variable NBITS: NATURAL; variable N: NATURAL; begin N := ARG; NBITS := 1; while N > 1 loop NBITS := NBITS+1; N := N / 2; end loop; return NBITS; end UNSIGNED_NUM_BITS; ------------------------------------------------------------------------------ -- this internal function computes the addition of two UNSIGNED -- with input carry -- * the two arguments are of the same length function ADD_UNSIGNED (L, R: UNSIGNED; C: BIT) return UNSIGNED is constant L_LEFT: INTEGER := L'LENGTH-1; alias XL: UNSIGNED(L_LEFT downto 0) is L; alias XR: UNSIGNED(L_LEFT downto 0) is R; variable RESULT: UNSIGNED(L_LEFT downto 0); variable CBIT: BIT := C; begin for I in 0 to L_LEFT loop RESULT(I) := CBIT xor XL(I) xor XR(I); CBIT := (CBIT and XL(I)) or (CBIT and XR(I)) or (XL(I) and XR(I)); end loop; return RESULT; end ADD_UNSIGNED; -- this internal function computes the addition of two SIGNED -- with input carry -- * the two arguments are of the same length function ADD_SIGNED (L, R: SIGNED; C: BIT) return SIGNED is constant L_LEFT: INTEGER := L'LENGTH-1; alias XL: SIGNED(L_LEFT downto 0) is L; alias XR: SIGNED(L_LEFT downto 0) is R; variable RESULT: SIGNED(L_LEFT downto 0); variable CBIT: BIT := C; begin for I in 0 to L_LEFT loop RESULT(I) := CBIT xor XL(I) xor XR(I); CBIT := (CBIT and XL(I)) or (CBIT and XR(I)) or (XL(I) and XR(I)); end loop; return RESULT; end ADD_SIGNED; ------------------------------------------------------------------------------ -- this internal procedure computes UNSIGNED division -- giving the quotient and remainder. procedure DIVMOD (NUM, XDENOM: UNSIGNED; XQUOT, XREMAIN: out UNSIGNED) is variable TEMP: UNSIGNED(NUM'LENGTH downto 0); variable QUOT: UNSIGNED(MAX(NUM'LENGTH, XDENOM'LENGTH)-1 downto 0); alias DENOM: UNSIGNED(XDENOM'LENGTH-1 downto 0) is XDENOM; variable TOPBIT: INTEGER; begin TEMP := "0"&NUM; QUOT := (others => '0'); TOPBIT := -1; for J in DENOM'RANGE loop if DENOM(J)='1' then TOPBIT := J; exit; end if; end loop; assert TOPBIT >= 0 report "DIV, MOD, or REM by zero" severity ERROR; for J in NUM'LENGTH-(TOPBIT+1) downto 0 loop if TEMP(TOPBIT+J+1 downto J) >= "0"&DENOM(TOPBIT downto 0) then TEMP(TOPBIT+J+1 downto J) := (TEMP(TOPBIT+J+1 downto J)) -("0"&DENOM(TOPBIT downto 0)); QUOT(J) := '1'; end if; assert TEMP(TOPBIT+J+1)='0' report "internal error in the division algorithm" severity ERROR; end loop; XQUOT := RESIZE(QUOT, XQUOT'LENGTH); XREMAIN := RESIZE(TEMP, XREMAIN'LENGTH); end DIVMOD; -----------------Local Subprograms - shift/rotate ops------------------------- function XSLL (ARG: BIT_VECTOR; COUNT: NATURAL) return BIT_VECTOR is constant ARG_L: INTEGER := ARG'LENGTH-1; alias XARG: BIT_VECTOR(ARG_L downto 0) is ARG; variable RESULT: BIT_VECTOR(ARG_L downto 0) := (others => '0'); begin if COUNT <= ARG_L then RESULT(ARG_L downto COUNT) := XARG(ARG_L-COUNT downto 0); end if; return RESULT; end XSLL; function XSRL (ARG: BIT_VECTOR; COUNT: NATURAL) return BIT_VECTOR is constant ARG_L: INTEGER := ARG'LENGTH-1; alias XARG: BIT_VECTOR(ARG_L downto 0) is ARG; variable RESULT: BIT_VECTOR(ARG_L downto 0) := (others => '0'); begin if COUNT <= ARG_L then RESULT(ARG_L-COUNT downto 0) := XARG(ARG_L downto COUNT); end if; return RESULT; end XSRL; function XSRA (ARG: BIT_VECTOR; COUNT: NATURAL) return BIT_VECTOR is constant ARG_L: INTEGER := ARG'LENGTH-1; alias XARG: BIT_VECTOR(ARG_L downto 0) is ARG; variable RESULT: BIT_VECTOR(ARG_L downto 0); variable XCOUNT: NATURAL := COUNT; begin if ((ARG'LENGTH <= 1) or (XCOUNT = 0)) then return ARG; else if (XCOUNT > ARG_L) then XCOUNT := ARG_L; end if; RESULT(ARG_L-XCOUNT downto 0) := XARG(ARG_L downto XCOUNT); RESULT(ARG_L downto (ARG_L - XCOUNT + 1)) := (others => XARG(ARG_L)); end if; return RESULT; end XSRA; function XROL (ARG: BIT_VECTOR; COUNT: NATURAL) return BIT_VECTOR is constant ARG_L: INTEGER := ARG'LENGTH-1; alias XARG: BIT_VECTOR(ARG_L downto 0) is ARG; variable RESULT: BIT_VECTOR(ARG_L downto 0) := XARG; variable COUNTM: INTEGER; begin COUNTM := COUNT mod (ARG_L + 1); if COUNTM /= 0 then RESULT(ARG_L downto COUNTM) := XARG(ARG_L-COUNTM downto 0); RESULT(COUNTM-1 downto 0) := XARG(ARG_L downto ARG_L-COUNTM+1); end if; return RESULT; end XROL; function XROR (ARG: BIT_VECTOR; COUNT: NATURAL) return BIT_VECTOR is constant ARG_L: INTEGER := ARG'LENGTH-1; alias XARG: BIT_VECTOR(ARG_L downto 0) is ARG; variable RESULT: BIT_VECTOR(ARG_L downto 0) := XARG; variable COUNTM: INTEGER; begin COUNTM := COUNT mod (ARG_L + 1); if COUNTM /= 0 then RESULT(ARG_L-COUNTM downto 0) := XARG(ARG_L downto COUNTM); RESULT(ARG_L downto ARG_L-COUNTM+1) := XARG(COUNTM-1 downto 0); end if; return RESULT; end XROR; ---------------- Local Subprograms - Relational Operators -------------------- -- General "=" for UNSIGNED vectors, same length -- function UNSIGNED_EQUAL (L, R: UNSIGNED) return BOOLEAN is begin return BIT_VECTOR(L) = BIT_VECTOR(R); end UNSIGNED_EQUAL; -- -- General "=" for SIGNED vectors, same length -- function SIGNED_EQUAL (L, R: SIGNED) return BOOLEAN is begin return BIT_VECTOR(L) = BIT_VECTOR(R); end SIGNED_EQUAL; -- -- General "<" for UNSIGNED vectors, same length -- function UNSIGNED_LESS (L, R: UNSIGNED) return BOOLEAN is begin return BIT_VECTOR(L) < BIT_VECTOR(R); end UNSIGNED_LESS; -- -- General "<" function for SIGNED vectors, same length -- function SIGNED_LESS (L, R: SIGNED) return BOOLEAN is -- Need aliases to assure index direction variable INTERN_L: SIGNED(0 to L'LENGTH-1); variable INTERN_R: SIGNED(0 to R'LENGTH-1); begin INTERN_L := L; INTERN_R := R; INTERN_L(0) := not INTERN_L(0); INTERN_R(0) := not INTERN_R(0); return BIT_VECTOR(INTERN_L) < BIT_VECTOR(INTERN_R); end SIGNED_LESS; -- -- General "<=" function for UNSIGNED vectors, same length -- function UNSIGNED_LESS_OR_EQUAL (L, R: UNSIGNED) return BOOLEAN is begin return BIT_VECTOR(L) <= BIT_VECTOR(R); end UNSIGNED_LESS_OR_EQUAL; -- -- General "<=" function for SIGNED vectors, same length -- function SIGNED_LESS_OR_EQUAL (L, R: SIGNED) return BOOLEAN is -- Need aliases to assure index direction variable INTERN_L: SIGNED(0 to L'LENGTH-1); variable INTERN_R: SIGNED(0 to R'LENGTH-1); begin INTERN_L := L; INTERN_R := R; INTERN_L(0) := not INTERN_L(0); INTERN_R(0) := not INTERN_R(0); return BIT_VECTOR(INTERN_L) <= BIT_VECTOR(INTERN_R); end SIGNED_LESS_OR_EQUAL; --====================== Exported Functions ================================== -- Id: A.1 function "abs" (ARG: SIGNED) return SIGNED is constant ARG_LEFT: INTEGER := ARG'LENGTH-1; variable RESULT: SIGNED(ARG_LEFT downto 0); begin if ARG'LENGTH < 1 then return NAS; end if; RESULT := ARG; if RESULT(RESULT'LEFT) = '1' then RESULT := -RESULT; end if; return RESULT; end "abs"; -- Id: A.2 function "-" (ARG: SIGNED) return SIGNED is constant ARG_LEFT: INTEGER := ARG'LENGTH-1; alias XARG: SIGNED(ARG_LEFT downto 0) is ARG; variable RESULT: SIGNED(ARG_LEFT downto 0); variable CBIT: BIT := '1'; begin if ARG'LENGTH < 1 then return NAS; end if; for I in 0 to RESULT'LEFT loop RESULT(I) := not(XARG(I)) xor CBIT; CBIT := CBIT and not(XARG(I)); end loop; return RESULT; end "-"; --============================================================================ -- Id: A.3 function "+" (L, R: UNSIGNED) return UNSIGNED is constant L_LEFT: INTEGER := L'LENGTH-1; constant R_LEFT: INTEGER := R'LENGTH-1; constant SIZE: NATURAL := MAX(L'LENGTH, R'LENGTH); begin if ((L'LENGTH < 1) or (R'LENGTH < 1)) then return NAU; end if; return ADD_UNSIGNED(RESIZE(L, SIZE), RESIZE(R, SIZE), '0'); end "+"; -- Id: A.4 function "+" (L, R: SIGNED) return SIGNED is constant L_LEFT: INTEGER := L'LENGTH-1; constant R_LEFT: INTEGER := R'LENGTH-1; constant SIZE: NATURAL := MAX(L'LENGTH, R'LENGTH); begin if ((L'LENGTH < 1) or (R'LENGTH < 1)) then return NAS; end if; return ADD_SIGNED(RESIZE(L, SIZE), RESIZE(R, SIZE), '0'); end "+"; -- Id: A.5 function "+" (L: UNSIGNED; R: NATURAL) return UNSIGNED is begin return L + TO_UNSIGNED(R, L'LENGTH); end "+"; -- Id: A.6 function "+" (L: NATURAL; R: UNSIGNED) return UNSIGNED is begin return TO_UNSIGNED(L, R'LENGTH) + R; end "+"; -- Id: A.7 function "+" (L: SIGNED; R: INTEGER) return SIGNED is begin return L + TO_SIGNED(R, L'LENGTH); end "+"; -- Id: A.8 function "+" (L: INTEGER; R: SIGNED) return SIGNED is begin return TO_SIGNED(L, R'LENGTH) + R; end "+"; --============================================================================ -- Id: A.9 function "-" (L, R: UNSIGNED) return UNSIGNED is constant L_LEFT: INTEGER := L'LENGTH-1; constant R_LEFT: INTEGER := R'LENGTH-1; constant SIZE: NATURAL := MAX(L'LENGTH, R'LENGTH); begin if ((L'LENGTH < 1) or (R'LENGTH < 1)) then return NAU; end if; return ADD_UNSIGNED(RESIZE(L, SIZE), not(RESIZE(R, SIZE)), '1'); end "-"; -- Id: A.10 function "-" (L, R: SIGNED) return SIGNED is constant L_LEFT: INTEGER := L'LENGTH-1; constant R_LEFT: INTEGER := R'LENGTH-1; constant SIZE: NATURAL := MAX(L'LENGTH, R'LENGTH); begin if ((L'LENGTH < 1) or (R'LENGTH < 1)) then return NAS; end if; return ADD_SIGNED(RESIZE(L, SIZE), not(RESIZE(R, SIZE)), '1'); end "-"; -- Id: A.11 function "-" (L: UNSIGNED; R: NATURAL) return UNSIGNED is begin return L - TO_UNSIGNED(R, L'LENGTH); end "-"; -- Id: A.12 function "-" (L: NATURAL; R: UNSIGNED) return UNSIGNED is begin return TO_UNSIGNED(L, R'LENGTH) - R; end "-"; -- Id: A.13 function "-" (L: SIGNED; R: INTEGER) return SIGNED is begin return L - TO_SIGNED(R, L'LENGTH); end "-"; -- Id: A.14 function "-" (L: INTEGER; R: SIGNED) return SIGNED is begin return TO_SIGNED(L, R'LENGTH) - R; end "-"; --============================================================================ -- Id: A.15 function "*" (L, R: UNSIGNED) return UNSIGNED is constant L_LEFT: INTEGER := L'LENGTH-1; constant R_LEFT: INTEGER := R'LENGTH-1; alias XL: UNSIGNED(L_LEFT downto 0) is L; alias XR: UNSIGNED(R_LEFT downto 0) is R; variable RESULT: UNSIGNED((L'LENGTH+R'LENGTH-1) downto 0) := (others => '0'); variable ADVAL: UNSIGNED((L'LENGTH+R'LENGTH-1) downto 0); begin if ((L'LENGTH < 1) or (R'LENGTH < 1)) then return NAU; end if; ADVAL := RESIZE(XR, RESULT'LENGTH); for I in 0 to L_LEFT loop if XL(I)='1' then RESULT := RESULT + ADVAL; end if; ADVAL := SHIFT_LEFT(ADVAL, 1); end loop; return RESULT; end "*"; -- Id: A.16 function "*" (L, R: SIGNED) return SIGNED is constant L_LEFT: INTEGER := L'LENGTH-1; constant R_LEFT: INTEGER := R'LENGTH-1; variable XL: SIGNED(L_LEFT downto 0); variable XR: SIGNED(R_LEFT downto 0); variable RESULT: SIGNED((L_LEFT+R_LEFT+1) downto 0) := (others => '0'); variable ADVAL: SIGNED((L_LEFT+R_LEFT+1) downto 0); begin if ((L_LEFT < 0) or (R_LEFT < 0)) then return NAS; end if; XL := L; XR := R; ADVAL := RESIZE(XR, RESULT'LENGTH); for I in 0 to L_LEFT-1 loop if XL(I)='1' then RESULT := RESULT + ADVAL; end if; ADVAL := SHIFT_LEFT(ADVAL, 1); end loop; if XL(L_LEFT)='1' then RESULT := RESULT - ADVAL; end if; return RESULT; end "*"; -- Id: A.17 function "*" (L: UNSIGNED; R: NATURAL) return UNSIGNED is begin return L * TO_UNSIGNED(R, L'LENGTH); end "*"; -- Id: A.18 function "*" (L: NATURAL; R: UNSIGNED) return UNSIGNED is begin return TO_UNSIGNED(L, R'LENGTH) * R; end "*"; -- Id: A.19 function "*" (L: SIGNED; R: INTEGER) return SIGNED is begin return L * TO_SIGNED(R, L'LENGTH); end "*"; -- Id: A.20 function "*" (L: INTEGER; R: SIGNED) return SIGNED is begin return TO_SIGNED(L, R'LENGTH) * R; end "*"; --============================================================================ -- Id: A.21 function "/" (L, R: UNSIGNED) return UNSIGNED is variable FQUOT: UNSIGNED(L'LENGTH-1 downto 0); variable FREMAIN: UNSIGNED(R'LENGTH-1 downto 0); begin if ((L'LENGTH < 1) or (R'LENGTH < 1)) then return NAU; end if; DIVMOD(L, R, FQUOT, FREMAIN); return FQUOT; end "/"; -- Id: A.22 function "/" (L, R: SIGNED) return SIGNED is variable FQUOT: UNSIGNED(L'LENGTH-1 downto 0); variable FREMAIN: UNSIGNED(R'LENGTH-1 downto 0); variable XNUM: UNSIGNED(L'LENGTH-1 downto 0); variable XDENOM: UNSIGNED(R'LENGTH-1 downto 0); variable QNEG: BOOLEAN := FALSE; begin if ((L'LENGTH < 1) or (R'LENGTH < 1)) then return NAS; end if; if L(L'LEFT)='1' then XNUM := UNSIGNED(-L); QNEG := TRUE; else XNUM := UNSIGNED(L); end if; if R(R'LEFT)='1' then XDENOM := UNSIGNED(-R); QNEG := not QNEG; else XDENOM := UNSIGNED(R); end if; DIVMOD(XNUM, XDENOM, FQUOT, FREMAIN); if QNEG then FQUOT := "0"-FQUOT; end if; return SIGNED(FQUOT); end "/"; -- Id: A.23 function "/" (L: UNSIGNED; R: NATURAL) return UNSIGNED is constant R_LENGTH: NATURAL := MAX(L'LENGTH, UNSIGNED_NUM_BITS(R)); variable XR, QUOT: UNSIGNED(R_LENGTH-1 downto 0); begin if (L'LENGTH < 1) then return NAU; end if; if (R_LENGTH > L'LENGTH) then QUOT := (others => '0'); return RESIZE(QUOT, L'LENGTH); end if; XR := TO_UNSIGNED(R, R_LENGTH); QUOT := RESIZE((L / XR), QUOT'LENGTH); return RESIZE(QUOT, L'LENGTH); end "/"; -- Id: A.24 function "/" (L: NATURAL; R: UNSIGNED) return UNSIGNED is constant L_LENGTH: NATURAL := MAX(UNSIGNED_NUM_BITS(L), R'LENGTH); variable XL, QUOT: UNSIGNED(L_LENGTH-1 downto 0); begin if (R'LENGTH < 1) then return NAU; end if; XL := TO_UNSIGNED(L, L_LENGTH); QUOT := RESIZE((XL / R), QUOT'LENGTH); if L_LENGTH > R'LENGTH and QUOT(L_LENGTH-1 downto R'LENGTH) /= (L_LENGTH-1 downto R'LENGTH => '0') then assert NO_WARNING report "NUMERIC_BIT.""/"": Quotient Truncated" severity WARNING; end if; return RESIZE(QUOT, R'LENGTH); end "/"; -- Id: A.25 function "/" (L: SIGNED; R: INTEGER) return SIGNED is constant R_LENGTH: NATURAL := MAX(L'LENGTH, SIGNED_NUM_BITS(R)); variable XR, QUOT: SIGNED(R_LENGTH-1 downto 0); begin if (L'LENGTH < 1) then return NAS; end if; if (R_LENGTH > L'LENGTH) then QUOT := (others => '0'); return RESIZE(QUOT, L'LENGTH); end if; XR := TO_SIGNED(R, R_LENGTH); QUOT := RESIZE((L / XR), QUOT'LENGTH); return RESIZE(QUOT, L'LENGTH); end "/"; -- Id: A.26 function "/" (L: INTEGER; R: SIGNED) return SIGNED is constant L_LENGTH: NATURAL := MAX(SIGNED_NUM_BITS(L), R'LENGTH); variable XL, QUOT: SIGNED(L_LENGTH-1 downto 0); begin if (R'LENGTH < 1) then return NAS; end if; XL := TO_SIGNED(L, L_LENGTH); QUOT := RESIZE((XL / R), QUOT'LENGTH); if L_LENGTH > R'LENGTH and QUOT(L_LENGTH-1 downto R'LENGTH) /= (L_LENGTH-1 downto R'LENGTH => QUOT(R'LENGTH-1)) then assert NO_WARNING report "NUMERIC_BIT.""/"": Quotient Truncated" severity WARNING; end if; return RESIZE(QUOT, R'LENGTH); end "/"; --============================================================================ -- Id: A.27 function "rem" (L, R: UNSIGNED) return UNSIGNED is variable FQUOT: UNSIGNED(L'LENGTH-1 downto 0); variable FREMAIN: UNSIGNED(R'LENGTH-1 downto 0); begin if ((L'LENGTH < 1) or (R'LENGTH < 1)) then return NAU; end if; DIVMOD(L, R, FQUOT, FREMAIN); return FREMAIN; end "rem"; -- Id: A.28 function "rem" (L, R: SIGNED) return SIGNED is variable FQUOT: UNSIGNED(L'LENGTH-1 downto 0); variable FREMAIN: UNSIGNED(R'LENGTH-1 downto 0); variable XNUM: UNSIGNED(L'LENGTH-1 downto 0); variable XDENOM: UNSIGNED(R'LENGTH-1 downto 0); variable RNEG: BOOLEAN := FALSE; begin if ((L'LENGTH < 1) or (R'LENGTH < 1)) then return NAS; end if; if L(L'LEFT)='1' then XNUM := UNSIGNED(-L); RNEG := TRUE; else XNUM := UNSIGNED(L); end if; if R(R'LEFT)='1' then XDENOM := UNSIGNED(-R); else XDENOM := UNSIGNED(R); end if; DIVMOD(XNUM, XDENOM, FQUOT, FREMAIN); if RNEG then FREMAIN := "0"-FREMAIN; end if; return SIGNED(FREMAIN); end "rem"; -- Id: A.29 function "rem" (L: UNSIGNED; R: NATURAL) return UNSIGNED is constant R_LENGTH: NATURAL := MAX(L'LENGTH, UNSIGNED_NUM_BITS(R)); variable XR, XREM: UNSIGNED(R_LENGTH-1 downto 0); begin if (L'LENGTH < 1) then return NAU; end if; XR := TO_UNSIGNED(R, R_LENGTH); XREM := RESIZE((L rem XR), XREM'LENGTH); if R_LENGTH > L'LENGTH and XREM(R_LENGTH-1 downto L'LENGTH) /= (R_LENGTH-1 downto L'LENGTH => '0') then assert NO_WARNING report "NUMERIC_BIT.""rem"": Remainder Truncated" severity WARNING; end if; return RESIZE(XREM, L'LENGTH); end "rem"; -- Id: A.30 function "rem" (L: NATURAL; R: UNSIGNED) return UNSIGNED is constant L_LENGTH: NATURAL := MAX(UNSIGNED_NUM_BITS(L), R'LENGTH); variable XL, XREM: UNSIGNED(L_LENGTH-1 downto 0); begin if (R'LENGTH < 1) then return NAU; end if; XL := TO_UNSIGNED(L, L_LENGTH); XREM := RESIZE((XL rem R), XREM'LENGTH); if L_LENGTH > R'LENGTH and XREM(L_LENGTH-1 downto R'LENGTH) /= (L_LENGTH-1 downto R'LENGTH => '0') then assert NO_WARNING report "NUMERIC_BIT.""rem"": Remainder Truncated" severity WARNING; end if; return RESIZE(XREM, R'LENGTH); end "rem"; -- Id: A.31 function "rem" (L: SIGNED; R: INTEGER) return SIGNED is constant R_LENGTH: NATURAL := MAX(L'LENGTH, SIGNED_NUM_BITS(R)); variable XR, XREM: SIGNED(R_LENGTH-1 downto 0); begin if (L'LENGTH < 1) then return NAS; end if; XR := TO_SIGNED(R, R_LENGTH); XREM := RESIZE((L rem XR), XREM'LENGTH); if R_LENGTH > L'LENGTH and XREM(R_LENGTH-1 downto L'LENGTH) /= (R_LENGTH-1 downto L'LENGTH => XREM(L'LENGTH-1)) then assert NO_WARNING report "NUMERIC_BIT.""rem"": Remainder Truncated" severity WARNING; end if; return RESIZE(XREM, L'LENGTH); end "rem"; -- Id: A.32 function "rem" (L: INTEGER; R: SIGNED) return SIGNED is constant L_LENGTH: NATURAL := MAX(SIGNED_NUM_BITS(L), R'LENGTH); variable XL, XREM: SIGNED(L_LENGTH-1 downto 0); begin if (R'LENGTH < 1) then return NAS; end if; XL := TO_SIGNED(L, L_LENGTH); XREM := RESIZE((XL rem R), XREM'LENGTH); if L_LENGTH > R'LENGTH and XREM(L_LENGTH-1 downto R'LENGTH) /= (L_LENGTH-1 downto R'LENGTH => XREM(R'LENGTH-1)) then assert NO_WARNING report "NUMERIC_BIT.""rem"": Remainder Truncated" severity WARNING; end if; return RESIZE(XREM, R'LENGTH); end "rem"; --============================================================================ -- Id: A.33 function "mod" (L, R: UNSIGNED) return UNSIGNED is variable FQUOT: UNSIGNED(L'LENGTH-1 downto 0); variable FREMAIN: UNSIGNED(R'LENGTH-1 downto 0); begin if ((L'LENGTH < 1) or (R'LENGTH < 1)) then return NAU; end if; DIVMOD(L, R, FQUOT, FREMAIN); return FREMAIN; end "mod"; -- Id: A.34 function "mod" (L, R: SIGNED) return SIGNED is variable FQUOT: UNSIGNED(L'LENGTH-1 downto 0); variable FREMAIN: UNSIGNED(R'LENGTH-1 downto 0); variable XNUM: UNSIGNED(L'LENGTH-1 downto 0); variable XDENOM: UNSIGNED(R'LENGTH-1 downto 0); variable RNEG: BOOLEAN := FALSE; begin if ((L'LENGTH < 1) or (R'LENGTH < 1)) then return NAS; end if; if L(L'LEFT)='1' then XNUM := UNSIGNED(-L); else XNUM := UNSIGNED(L); end if; if R(R'LEFT)='1' then XDENOM := UNSIGNED(-R); RNEG := TRUE; else XDENOM := UNSIGNED(R); end if; DIVMOD(XNUM, XDENOM, FQUOT, FREMAIN); if RNEG and L(L'LEFT)='1' then FREMAIN := "0"-FREMAIN; elsif RNEG and FREMAIN/="0" then FREMAIN := FREMAIN-XDENOM; elsif L(L'LEFT)='1' and FREMAIN/="0" then FREMAIN := XDENOM-FREMAIN; end if; return SIGNED(FREMAIN); end "mod"; -- Id: A.35 function "mod" (L: UNSIGNED; R: NATURAL) return UNSIGNED is constant R_LENGTH: NATURAL := MAX(L'LENGTH, UNSIGNED_NUM_BITS(R)); variable XR, XREM: UNSIGNED(R_LENGTH-1 downto 0); begin if (L'LENGTH < 1) then return NAU; end if; XR := TO_UNSIGNED(R, R_LENGTH); XREM := RESIZE((L mod XR), XREM'LENGTH); if R_LENGTH > L'LENGTH and XREM(R_LENGTH-1 downto L'LENGTH) /= (R_LENGTH-1 downto L'LENGTH => '0') then assert NO_WARNING report "NUMERIC_BIT.""mod"": modulus Truncated" severity WARNING; end if; return RESIZE(XREM, L'LENGTH); end "mod"; -- Id: A.36 function "mod" (L: NATURAL; R: UNSIGNED) return UNSIGNED is constant L_LENGTH: NATURAL := MAX(UNSIGNED_NUM_BITS(L), R'LENGTH); variable XL, XREM: UNSIGNED(L_LENGTH-1 downto 0); begin if (R'LENGTH < 1) then return NAU; end if; XL := TO_UNSIGNED(L, L_LENGTH); XREM := RESIZE((XL mod R), XREM'LENGTH); if L_LENGTH > R'LENGTH and XREM(L_LENGTH-1 downto R'LENGTH) /= (L_LENGTH-1 downto R'LENGTH => '0') then assert NO_WARNING report "NUMERIC_BIT.""mod"": modulus Truncated" severity WARNING; end if; return RESIZE(XREM, R'LENGTH); end "mod"; -- Id: A.37 function "mod" (L: SIGNED; R: INTEGER) return SIGNED is constant R_LENGTH: NATURAL := MAX(L'LENGTH, SIGNED_NUM_BITS(R)); variable XR, XREM: SIGNED(R_LENGTH-1 downto 0); begin if (L'LENGTH < 1) then return NAS; end if; XR := TO_SIGNED(R, R_LENGTH); XREM := RESIZE((L mod XR), XREM'LENGTH); if R_LENGTH > L'LENGTH and XREM(R_LENGTH-1 downto L'LENGTH) /= (R_LENGTH-1 downto L'LENGTH => XREM(L'LENGTH-1)) then assert NO_WARNING report "NUMERIC_BIT.""mod"": modulus Truncated" severity WARNING; end if; return RESIZE(XREM, L'LENGTH); end "mod"; -- Id: A.38 function "mod" (L: INTEGER; R: SIGNED) return SIGNED is constant L_LENGTH: NATURAL := MAX(SIGNED_NUM_BITS(L), R'LENGTH); variable XL, XREM: SIGNED(L_LENGTH-1 downto 0); begin if (R'LENGTH < 1) then return NAS; end if; XL := TO_SIGNED(L, L_LENGTH); XREM := RESIZE((XL mod R), XREM'LENGTH); if L_LENGTH > R'LENGTH and XREM(L_LENGTH-1 downto R'LENGTH) /= (L_LENGTH-1 downto R'LENGTH => XREM(R'LENGTH-1)) then assert NO_WARNING report "NUMERIC_BIT.""mod"": modulus Truncated" severity WARNING; end if; return RESIZE(XREM, R'LENGTH); end "mod"; --============================================================================ -- Id: C.1 function ">" (L, R: UNSIGNED) return BOOLEAN is variable SIZE: NATURAL := MAX(L'LENGTH, R'LENGTH); begin if ((L'LENGTH < 1) or (R'LENGTH < 1)) then assert NO_WARNING report "NUMERIC_BIT."">"": null argument detected, returning FALSE" severity WARNING; return FALSE; end if; return not UNSIGNED_LESS_OR_EQUAL(RESIZE(L, SIZE), RESIZE(R, SIZE)); end ">"; -- Id: C.2 function ">" (L, R: SIGNED) return BOOLEAN is variable SIZE: NATURAL := MAX(L'LENGTH, R'LENGTH); begin if ((L'LENGTH < 1) or (R'LENGTH < 1)) then assert NO_WARNING report "NUMERIC_BIT."">"": null argument detected, returning FALSE" severity WARNING; return FALSE; end if; return not SIGNED_LESS_OR_EQUAL(RESIZE(L, SIZE), RESIZE(R, SIZE)); end ">"; -- Id: C.3 function ">" (L: NATURAL; R: UNSIGNED) return BOOLEAN is begin if (R'LENGTH < 1) then assert NO_WARNING report "NUMERIC_BIT."">"": null argument detected, returning FALSE" severity WARNING; return FALSE; end if; if UNSIGNED_NUM_BITS(L) > R'LENGTH then return TRUE; end if; return not UNSIGNED_LESS_OR_EQUAL(TO_UNSIGNED(L, R'LENGTH), R); end ">"; -- Id: C.4 function ">" (L: INTEGER; R: SIGNED) return BOOLEAN is begin if (R'LENGTH < 1) then assert NO_WARNING report "NUMERIC_BIT."">"": null argument detected, returning FALSE" severity WARNING; return FALSE; end if; if SIGNED_NUM_BITS(L) > R'LENGTH then return L > 0; end if; return not SIGNED_LESS_OR_EQUAL(TO_SIGNED(L, R'LENGTH), R); end ">"; -- Id: C.5 function ">" (L: UNSIGNED; R: NATURAL) return BOOLEAN is begin if (L'LENGTH < 1) then assert NO_WARNING report "NUMERIC_BIT."">"": null argument detected, returning FALSE" severity WARNING; return FALSE; end if; if UNSIGNED_NUM_BITS(R) > L'LENGTH then return FALSE; end if; return not UNSIGNED_LESS_OR_EQUAL(L, TO_UNSIGNED(R, L'LENGTH)); end ">"; -- Id: C.6 function ">" (L: SIGNED; R: INTEGER) return BOOLEAN is begin if (L'LENGTH < 1) then assert NO_WARNING report "NUMERIC_BIT."">"": null argument detected, returning FALSE" severity WARNING; return FALSE; end if; if SIGNED_NUM_BITS(R) > L'LENGTH then return 0 > R; end if; return not SIGNED_LESS_OR_EQUAL(L, TO_SIGNED(R, L'LENGTH)); end ">"; --============================================================================ -- Id: C.7 function "<" (L, R: UNSIGNED) return BOOLEAN is variable SIZE: NATURAL := MAX(L'LENGTH, R'LENGTH); begin if ((L'LENGTH < 1) or (R'LENGTH < 1)) then assert NO_WARNING report "NUMERIC_BIT.""<"": null argument detected, returning FALSE" severity WARNING; return FALSE; end if; return UNSIGNED_LESS(RESIZE(L, SIZE), RESIZE(R, SIZE)); end "<"; -- Id: C.8 function "<" (L, R: SIGNED) return BOOLEAN is variable SIZE: NATURAL := MAX(L'LENGTH, R'LENGTH); begin if ((L'LENGTH < 1) or (R'LENGTH < 1)) then assert NO_WARNING report "NUMERIC_BIT.""<"": null argument detected, returning FALSE" severity WARNING; return FALSE; end if; return SIGNED_LESS(RESIZE(L, SIZE), RESIZE(R, SIZE)); end "<"; -- Id: C.9 function "<" (L: NATURAL; R: UNSIGNED) return BOOLEAN is begin if (R'LENGTH < 1) then assert NO_WARNING report "NUMERIC_BIT.""<"": null argument detected, returning FALSE" severity WARNING; return FALSE; end if; if UNSIGNED_NUM_BITS(L) > R'LENGTH then return L < 0; end if; return UNSIGNED_LESS(TO_UNSIGNED(L, R'LENGTH), R); end "<"; -- Id: C.10 function "<" (L: INTEGER; R: SIGNED) return BOOLEAN is begin if (R'LENGTH < 1) then assert NO_WARNING report "NUMERIC_BIT.""<"": null argument detected, returning FALSE" severity WARNING; return FALSE; end if; if SIGNED_NUM_BITS(L) > R'LENGTH then return L < 0; end if; return SIGNED_LESS(TO_SIGNED(L, R'LENGTH), R); end "<"; -- Id: C.11 function "<" (L: UNSIGNED; R: NATURAL) return BOOLEAN is begin if (L'LENGTH < 1) then assert NO_WARNING report "NUMERIC_BIT.""<"": null argument detected, returning FALSE" severity WARNING; return FALSE; end if; if UNSIGNED_NUM_BITS(R) > L'LENGTH then return 0 < R; end if; return UNSIGNED_LESS(L, TO_UNSIGNED(R, L'LENGTH)); end "<"; -- Id: C.12 function "<" (L: SIGNED; R: INTEGER) return BOOLEAN is begin if (L'LENGTH < 1) then assert NO_WARNING report "NUMERIC_BIT.""<"": null argument detected, returning FALSE" severity WARNING; return FALSE; end if; if SIGNED_NUM_BITS(R) > L'LENGTH then return 0 < R; end if; return SIGNED_LESS(L, TO_SIGNED(R, L'LENGTH)); end "<"; --============================================================================ -- Id: C.13 function "<=" (L, R: UNSIGNED) return BOOLEAN is variable SIZE: NATURAL := MAX(L'LENGTH, R'LENGTH); begin if ((L'LENGTH < 1) or (R'LENGTH < 1)) then assert NO_WARNING report "NUMERIC_BIT.""<="": null argument detected, returning FALSE" severity WARNING; return FALSE; end if; return UNSIGNED_LESS_OR_EQUAL(RESIZE(L, SIZE), RESIZE(R, SIZE)); end "<="; -- Id: C.14 function "<=" (L, R: SIGNED) return BOOLEAN is variable SIZE: NATURAL := MAX(L'LENGTH, R'LENGTH); begin if ((L'LENGTH < 1) or (R'LENGTH < 1)) then assert NO_WARNING report "NUMERIC_BIT.""<="": null argument detected, returning FALSE" severity WARNING; return FALSE; end if; return SIGNED_LESS_OR_EQUAL(RESIZE(L, SIZE), RESIZE(R, SIZE)); end "<="; -- Id: C.15 function "<=" (L: NATURAL; R: UNSIGNED) return BOOLEAN is begin if (R'LENGTH < 1) then assert NO_WARNING report "NUMERIC_BIT.""<="": null argument detected, returning FALSE" severity WARNING; return FALSE; end if; if UNSIGNED_NUM_BITS(L) > R'LENGTH then return L < 0; end if; return UNSIGNED_LESS_OR_EQUAL(TO_UNSIGNED(L, R'LENGTH), R); end "<="; -- Id: C.16 function "<=" (L: INTEGER; R: SIGNED) return BOOLEAN is begin if (R'LENGTH < 1) then assert NO_WARNING report "NUMERIC_BIT.""<="": null argument detected, returning FALSE" severity WARNING; return FALSE; end if; if SIGNED_NUM_BITS(L) > R'LENGTH then return L < 0; end if; return SIGNED_LESS_OR_EQUAL(TO_SIGNED(L, R'LENGTH), R); end "<="; -- Id: C.17 function "<=" (L: UNSIGNED; R: NATURAL) return BOOLEAN is begin if (L'LENGTH < 1) then assert NO_WARNING report "NUMERIC_BIT.""<="": null argument detected, returning FALSE" severity WARNING; return FALSE; end if; if UNSIGNED_NUM_BITS(R) > L'LENGTH then return 0 < R; end if; return UNSIGNED_LESS_OR_EQUAL(L, TO_UNSIGNED(R, L'LENGTH)); end "<="; -- Id: C.18 function "<=" (L: SIGNED; R: INTEGER) return BOOLEAN is begin if (L'LENGTH < 1) then assert NO_WARNING report "NUMERIC_BIT.""<="": null argument detected, returning FALSE" severity WARNING; return FALSE; end if; if SIGNED_NUM_BITS(R) > L'LENGTH then return 0 < R; end if; return SIGNED_LESS_OR_EQUAL(L, TO_SIGNED(R, L'LENGTH)); end "<="; --============================================================================ -- Id: C.19 function ">=" (L, R: UNSIGNED) return BOOLEAN is variable SIZE: NATURAL := MAX(L'LENGTH, R'LENGTH); begin if ((L'LENGTH < 1) or (R'LENGTH < 1)) then assert NO_WARNING report "NUMERIC_BIT."">="": null argument detected, returning FALSE" severity WARNING; return FALSE; end if; return not UNSIGNED_LESS(RESIZE(L, SIZE), RESIZE(R, SIZE)); end ">="; -- Id: C.20 function ">=" (L, R: SIGNED) return BOOLEAN is variable SIZE: NATURAL := MAX(L'LENGTH, R'LENGTH); begin if ((L'LENGTH < 1) or (R'LENGTH < 1)) then assert NO_WARNING report "NUMERIC_BIT."">="": null argument detected, returning FALSE" severity WARNING; return FALSE; end if; return not SIGNED_LESS(RESIZE(L, SIZE), RESIZE(R, SIZE)); end ">="; -- Id: C.21 function ">=" (L: NATURAL; R: UNSIGNED) return BOOLEAN is begin if (R'LENGTH < 1) then assert NO_WARNING report "NUMERIC_BIT."">="": null argument detected, returning FALSE" severity WARNING; return FALSE; end if; if UNSIGNED_NUM_BITS(L) > R'LENGTH then return L > 0; end if; return not UNSIGNED_LESS(TO_UNSIGNED(L, R'LENGTH), R); end ">="; -- Id: C.22 function ">=" (L: INTEGER; R: SIGNED) return BOOLEAN is begin if (R'LENGTH < 1) then assert NO_WARNING report "NUMERIC_BIT."">="": null argument detected, returning FALSE" severity WARNING; return FALSE; end if; if SIGNED_NUM_BITS(L) > R'LENGTH then return L > 0; end if; return not SIGNED_LESS(TO_SIGNED(L, R'LENGTH), R); end ">="; -- Id: C.23 function ">=" (L: UNSIGNED; R: NATURAL) return BOOLEAN is begin if (L'LENGTH < 1) then assert NO_WARNING report "NUMERIC_BIT."">="": null argument detected, returning FALSE" severity WARNING; return FALSE; end if; if UNSIGNED_NUM_BITS(R) > L'LENGTH then return 0 > R; end if; return not UNSIGNED_LESS(L, TO_UNSIGNED(R, L'LENGTH)); end ">="; -- Id: C.24 function ">=" (L: SIGNED; R: INTEGER) return BOOLEAN is begin if (L'LENGTH < 1) then assert NO_WARNING report "NUMERIC_BIT."">="": null argument detected, returning FALSE" severity WARNING; return FALSE; end if; if SIGNED_NUM_BITS(R) > L'LENGTH then return 0 > R; end if; return not SIGNED_LESS(L, TO_SIGNED(R, L'LENGTH)); end ">="; --============================================================================ -- Id: C.25 function "=" (L, R: UNSIGNED) return BOOLEAN is variable SIZE: NATURAL := MAX(L'LENGTH, R'LENGTH); begin if ((L'LENGTH < 1) or (R'LENGTH < 1)) then assert NO_WARNING report "NUMERIC_BIT.""="": null argument detected, returning FALSE" severity WARNING; return FALSE; end if; return UNSIGNED_EQUAL(RESIZE(L, SIZE), RESIZE(R, SIZE)); end "="; -- Id: C.26 function "=" (L, R: SIGNED) return BOOLEAN is variable SIZE: NATURAL := MAX(L'LENGTH, R'LENGTH); begin if ((L'LENGTH < 1) or (R'LENGTH < 1)) then assert NO_WARNING report "NUMERIC_BIT.""="": null argument detected, returning FALSE" severity WARNING; return FALSE; end if; return SIGNED_EQUAL(RESIZE(L, SIZE), RESIZE(R, SIZE)); end "="; -- Id: C.27 function "=" (L: NATURAL; R: UNSIGNED) return BOOLEAN is begin if (R'LENGTH < 1) then assert NO_WARNING report "NUMERIC_BIT.""="": null argument detected, returning FALSE" severity WARNING; return FALSE; end if; if UNSIGNED_NUM_BITS(L) > R'LENGTH then return FALSE; end if; return UNSIGNED_EQUAL(TO_UNSIGNED(L, R'LENGTH), R); end "="; -- Id: C.28 function "=" (L: INTEGER; R: SIGNED) return BOOLEAN is begin if (R'LENGTH < 1) then assert NO_WARNING report "NUMERIC_BIT.""="": null argument detected, returning FALSE" severity WARNING; return FALSE; end if; if SIGNED_NUM_BITS(L) > R'LENGTH then return FALSE; end if; return SIGNED_EQUAL(TO_SIGNED(L, R'LENGTH), R); end "="; -- Id: C.29 function "=" (L: UNSIGNED; R: NATURAL) return BOOLEAN is begin if (L'LENGTH < 1) then assert NO_WARNING report "NUMERIC_BIT.""="": null argument detected, returning FALSE" severity WARNING; return FALSE; end if; if UNSIGNED_NUM_BITS(R) > L'LENGTH then return FALSE; end if; return UNSIGNED_EQUAL(L, TO_UNSIGNED(R, L'LENGTH)); end "="; -- Id: C.30 function "=" (L: SIGNED; R: INTEGER) return BOOLEAN is begin if (L'LENGTH < 1) then assert NO_WARNING report "NUMERIC_BIT.""="": null argument detected, returning FALSE" severity WARNING; return FALSE; end if; if SIGNED_NUM_BITS(R) > L'LENGTH then return FALSE; end if; return SIGNED_EQUAL(L, TO_SIGNED(R, L'LENGTH)); end "="; --============================================================================ -- Id: C.31 function "/=" (L, R: UNSIGNED) return BOOLEAN is variable SIZE: NATURAL := MAX(L'LENGTH, R'LENGTH); begin if ((L'LENGTH < 1) or (R'LENGTH < 1)) then assert NO_WARNING report "NUMERIC_BIT.""/="": null argument detected, returning TRUE" severity WARNING; return TRUE; end if; return not(UNSIGNED_EQUAL(RESIZE(L, SIZE), RESIZE(R, SIZE))); end "/="; -- Id: C.32 function "/=" (L, R: SIGNED) return BOOLEAN is variable SIZE: NATURAL := MAX(L'LENGTH, R'LENGTH); begin if ((L'LENGTH < 1) or (R'LENGTH < 1)) then assert NO_WARNING report "NUMERIC_BIT.""/="": null argument detected, returning TRUE" severity WARNING; return TRUE; end if; return not(SIGNED_EQUAL(RESIZE(L, SIZE), RESIZE(R, SIZE))); end "/="; -- Id: C.33 function "/=" (L: NATURAL; R: UNSIGNED) return BOOLEAN is begin if (R'LENGTH < 1) then assert NO_WARNING report "NUMERIC_BIT.""/="": null argument detected, returning TRUE" severity WARNING; return TRUE; end if; if UNSIGNED_NUM_BITS(L) > R'LENGTH then return TRUE; end if; return not(UNSIGNED_EQUAL(TO_UNSIGNED(L, R'LENGTH), R)); end "/="; -- Id: C.34 function "/=" (L: INTEGER; R: SIGNED) return BOOLEAN is begin if (R'LENGTH < 1) then assert NO_WARNING report "NUMERIC_BIT.""/="": null argument detected, returning TRUE" severity WARNING; return TRUE; end if; if SIGNED_NUM_BITS(L) > R'LENGTH then return TRUE; end if; return not(SIGNED_EQUAL(TO_SIGNED(L, R'LENGTH), R)); end "/="; -- Id: C.35 function "/=" (L: UNSIGNED; R: NATURAL) return BOOLEAN is begin if (L'LENGTH < 1) then assert NO_WARNING report "NUMERIC_BIT.""/="": null argument detected, returning TRUE" severity WARNING; return TRUE; end if; if UNSIGNED_NUM_BITS(R) > L'LENGTH then return TRUE; end if; return not(UNSIGNED_EQUAL(L, TO_UNSIGNED(R, L'LENGTH))); end "/="; -- Id: C.36 function "/=" (L: SIGNED; R: INTEGER) return BOOLEAN is begin if (L'LENGTH < 1) then assert NO_WARNING report "NUMERIC_BIT.""/="": null argument detected, returning TRUE" severity WARNING; return TRUE; end if; if SIGNED_NUM_BITS(R) > L'LENGTH then return TRUE; end if; return not(SIGNED_EQUAL(L, TO_SIGNED(R, L'LENGTH))); end "/="; --============================================================================ -- Id: S.1 function SHIFT_LEFT (ARG: UNSIGNED; COUNT: NATURAL) return UNSIGNED is begin if (ARG'LENGTH < 1) then return NAU; end if; return UNSIGNED(XSLL(BIT_VECTOR(ARG), COUNT)); end SHIFT_LEFT; -- Id: S.2 function SHIFT_RIGHT (ARG: UNSIGNED; COUNT: NATURAL) return UNSIGNED is begin if (ARG'LENGTH < 1) then return NAU; end if; return UNSIGNED(XSRL(BIT_VECTOR(ARG), COUNT)); end SHIFT_RIGHT; -- Id: S.3 function SHIFT_LEFT (ARG: SIGNED; COUNT: NATURAL) return SIGNED is begin if (ARG'LENGTH < 1) then return NAS; end if; return SIGNED(XSLL(BIT_VECTOR(ARG), COUNT)); end SHIFT_LEFT; -- Id: S.4 function SHIFT_RIGHT (ARG: SIGNED; COUNT: NATURAL) return SIGNED is begin if (ARG'LENGTH < 1) then return NAS; end if; return SIGNED(XSRA(BIT_VECTOR(ARG), COUNT)); end SHIFT_RIGHT; --============================================================================ -- Id: S.5 function ROTATE_LEFT (ARG: UNSIGNED; COUNT: NATURAL) return UNSIGNED is begin if (ARG'LENGTH < 1) then return NAU; end if; return UNSIGNED(XROL(BIT_VECTOR(ARG), COUNT)); end ROTATE_LEFT; -- Id: S.6 function ROTATE_RIGHT (ARG: UNSIGNED; COUNT: NATURAL) return UNSIGNED is begin if (ARG'LENGTH < 1) then return NAU; end if; return UNSIGNED(XROR(BIT_VECTOR(ARG), COUNT)); end ROTATE_RIGHT; -- Id: S.7 function ROTATE_LEFT (ARG: SIGNED; COUNT: NATURAL) return SIGNED is begin if (ARG'LENGTH < 1) then return NAS; end if; return SIGNED(XROL(BIT_VECTOR(ARG), COUNT)); end ROTATE_LEFT; -- Id: S.8 function ROTATE_RIGHT (ARG: SIGNED; COUNT: NATURAL) return SIGNED is begin if (ARG'LENGTH < 1) then return NAS; end if; return SIGNED(XROR(BIT_VECTOR(ARG), COUNT)); end ROTATE_RIGHT; --============================================================================ --START-V93 ------------------------------------------------------------------------------ -- Note : Function S.9 is not compatible with VHDL 1076-1987. Comment -- out the function (declaration and body) for VHDL 1076-1987 compatibility. ------------------------------------------------------------------------------ -- Id: S.9 function "sll" (ARG: UNSIGNED; COUNT: INTEGER) return UNSIGNED is begin if (COUNT >= 0) then return SHIFT_LEFT(ARG, COUNT); else return SHIFT_RIGHT(ARG, -COUNT); end if; end "sll"; ------------------------------------------------------------------------------ -- Note : Function S.10 is not compatible with VHDL 1076-1987. Comment -- out the function (declaration and body) for VHDL 1076-1987 compatibility. ------------------------------------------------------------------------------ -- Id: S.10 function "sll" (ARG: SIGNED; COUNT: INTEGER) return SIGNED is begin if (COUNT >= 0) then return SHIFT_LEFT(ARG, COUNT); else return SIGNED(SHIFT_RIGHT(UNSIGNED(ARG), -COUNT)); end if; end "sll"; ------------------------------------------------------------------------------ -- Note : Function S.11 is not compatible with VHDL 1076-1987. Comment -- out the function (declaration and body) for VHDL 1076-1987 compatibility. ------------------------------------------------------------------------------ -- Id: S.11 function "srl" (ARG: UNSIGNED; COUNT: INTEGER) return UNSIGNED is begin if (COUNT >= 0) then return SHIFT_RIGHT(ARG, COUNT); else return SHIFT_LEFT(ARG, -COUNT); end if; end "srl"; ------------------------------------------------------------------------------ -- Note : Function S.12 is not compatible with VHDL 1076-1987. Comment -- out the function (declaration and body) for VHDL 1076-1987 compatibility. ------------------------------------------------------------------------------ -- Id: S.12 function "srl" (ARG: SIGNED; COUNT: INTEGER) return SIGNED is begin if (COUNT >= 0) then return SIGNED(SHIFT_RIGHT(UNSIGNED(ARG), COUNT)); else return SHIFT_LEFT(ARG, -COUNT); end if; end "srl"; ------------------------------------------------------------------------------ -- Note : Function S.13 is not compatible with VHDL 1076-1987. Comment -- out the function (declaration and body) for VHDL 1076-1987 compatibility. ------------------------------------------------------------------------------ -- Id: S.13 function "rol" (ARG: UNSIGNED; COUNT: INTEGER) return UNSIGNED is begin if (COUNT >= 0) then return ROTATE_LEFT(ARG, COUNT); else return ROTATE_RIGHT(ARG, -COUNT); end if; end "rol"; ------------------------------------------------------------------------------ -- Note : Function S.14 is not compatible with VHDL 1076-1987. Comment -- out the function (declaration and body) for VHDL 1076-1987 compatibility. ------------------------------------------------------------------------------ -- Id: S.14 function "rol" (ARG: SIGNED; COUNT: INTEGER) return SIGNED is begin if (COUNT >= 0) then return ROTATE_LEFT(ARG, COUNT); else return ROTATE_RIGHT(ARG, -COUNT); end if; end "rol"; ------------------------------------------------------------------------------ -- Note : Function S.15 is not compatible with VHDL 1076-1987. Comment -- out the function (declaration and body) for VHDL 1076-1987 compatibility. ------------------------------------------------------------------------------ -- Id: S.15 function "ror" (ARG: UNSIGNED; COUNT: INTEGER) return UNSIGNED is begin if (COUNT >= 0) then return ROTATE_RIGHT(ARG, COUNT); else return ROTATE_LEFT(ARG, -COUNT); end if; end "ror"; ------------------------------------------------------------------------------ -- Note : Function S.16 is not compatible with VHDL 1076-1987. Comment -- out the function (declaration and body) for VHDL 1076-1987 compatibility. ------------------------------------------------------------------------------ -- Id: S.16 function "ror" (ARG: SIGNED; COUNT: INTEGER) return SIGNED is begin if (COUNT >= 0) then return ROTATE_RIGHT(ARG, COUNT); else return ROTATE_LEFT(ARG, -COUNT); end if; end "ror"; --END-V93 --============================================================================ -- Id: D.1 function TO_INTEGER (ARG: UNSIGNED) return NATURAL is constant ARG_LEFT: INTEGER := ARG'LENGTH-1; alias XARG: UNSIGNED(ARG_LEFT downto 0) is ARG; variable RESULT: NATURAL := 0; begin if (ARG'LENGTH < 1) then assert NO_WARNING report "NUMERIC_BIT.TO_INTEGER: null detected, returning 0" severity WARNING; return 0; end if; for I in XARG'RANGE loop RESULT := RESULT+RESULT; if XARG(I) = '1' then RESULT := RESULT + 1; end if; end loop; return RESULT; end TO_INTEGER; -- Id: D.2 function TO_INTEGER (ARG: SIGNED) return INTEGER is begin if (ARG'LENGTH < 1) then assert NO_WARNING report "NUMERIC_BIT.TO_INTEGER: null detected, returning 0" severity WARNING; return 0; end if; if ARG(ARG'LEFT) = '0' then return TO_INTEGER(UNSIGNED(ARG)); else return (- (TO_INTEGER(UNSIGNED(- (ARG + 1)))) -1); end if; end TO_INTEGER; -- Id: D.3 function TO_UNSIGNED (ARG, SIZE: NATURAL) return UNSIGNED is variable RESULT: UNSIGNED(SIZE-1 downto 0); variable I_VAL: NATURAL := ARG; begin if (SIZE < 1) then return NAU; end if; for I in 0 to RESULT'LEFT loop if (I_VAL mod 2) = 0 then RESULT(I) := '0'; else RESULT(I) := '1'; end if; I_VAL := I_VAL/2; end loop; if not(I_VAL =0) then assert NO_WARNING report "NUMERIC_BIT.TO_UNSIGNED: vector truncated" severity WARNING; end if; return RESULT; end TO_UNSIGNED; -- Id: D.4 function TO_SIGNED (ARG: INTEGER; SIZE: NATURAL) return SIGNED is variable RESULT: SIGNED(SIZE-1 downto 0); variable B_VAL: BIT := '0'; variable I_VAL: INTEGER := ARG; begin if (SIZE < 1) then return NAS; end if; if (ARG < 0) then B_VAL := '1'; I_VAL := -(ARG+1); end if; for I in 0 to RESULT'LEFT loop if (I_VAL mod 2) = 0 then RESULT(I) := B_VAL; else RESULT(I) := not B_VAL; end if; I_VAL := I_VAL/2; end loop; if ((I_VAL/=0) or (B_VAL/=RESULT(RESULT'LEFT))) then assert NO_WARNING report "NUMERIC_BIT.TO_SIGNED: vector truncated" severity WARNING; end if; return RESULT; end TO_SIGNED; --============================================================================ -- Id: R.1 function RESIZE (ARG: SIGNED; NEW_SIZE: NATURAL) return SIGNED is alias INVEC: SIGNED(ARG'LENGTH-1 downto 0) is ARG; variable RESULT: SIGNED(NEW_SIZE-1 downto 0) := (others => '0'); constant BOUND: INTEGER := MIN(ARG'LENGTH, RESULT'LENGTH)-2; begin if (NEW_SIZE < 1) then return NAS; end if; if (ARG'LENGTH = 0) then return RESULT; end if; RESULT := (others => ARG(ARG'LEFT)); if BOUND >= 0 then RESULT(BOUND downto 0) := INVEC(BOUND downto 0); end if; return RESULT; end RESIZE; -- Id: R.2 function RESIZE (ARG: UNSIGNED; NEW_SIZE: NATURAL) return UNSIGNED is constant ARG_LEFT: INTEGER := ARG'LENGTH-1; alias XARG: UNSIGNED(ARG_LEFT downto 0) is ARG; variable RESULT: UNSIGNED(NEW_SIZE-1 downto 0) := (others => '0'); begin if (NEW_SIZE < 1) then return NAU; end if; if XARG'LENGTH =0 then return RESULT; end if; if (RESULT'LENGTH < ARG'LENGTH) then RESULT(RESULT'LEFT downto 0) := XARG(RESULT'LEFT downto 0); else RESULT(RESULT'LEFT downto XARG'LEFT+1) := (others => '0'); RESULT(XARG'LEFT downto 0) := XARG; end if; return RESULT; end RESIZE; --============================================================================ -- Id: L.1 function "not" (L: UNSIGNED) return UNSIGNED is variable RESULT: UNSIGNED(L'LENGTH-1 downto 0); begin RESULT := UNSIGNED(not(BIT_VECTOR(L))); return RESULT; end "not"; -- Id: L.2 function "and" (L, R: UNSIGNED) return UNSIGNED is variable RESULT: UNSIGNED(L'LENGTH-1 downto 0); begin RESULT := UNSIGNED(BIT_VECTOR(L) and BIT_VECTOR(R)); return RESULT; end "and"; -- Id: L.3 function "or" (L, R: UNSIGNED) return UNSIGNED is variable RESULT: UNSIGNED(L'LENGTH-1 downto 0); begin RESULT := UNSIGNED(BIT_VECTOR(L) or BIT_VECTOR(R)); return RESULT; end "or"; -- Id: L.4 function "nand" (L, R: UNSIGNED) return UNSIGNED is variable RESULT: UNSIGNED(L'LENGTH-1 downto 0); begin RESULT := UNSIGNED(BIT_VECTOR(L) nand BIT_VECTOR(R)); return RESULT; end "nand"; -- Id: L.5 function "nor" (L, R: UNSIGNED) return UNSIGNED is variable RESULT: UNSIGNED(L'LENGTH-1 downto 0); begin RESULT := UNSIGNED(BIT_VECTOR(L) nor BIT_VECTOR(R)); return RESULT; end "nor"; -- Id: L.6 function "xor" (L, R: UNSIGNED) return UNSIGNED is variable RESULT: UNSIGNED(L'LENGTH-1 downto 0); begin RESULT := UNSIGNED(BIT_VECTOR(L) xor BIT_VECTOR(R)); return RESULT; end "xor"; --START-V93 ------------------------------------------------------------------------------ -- Note : Function L.7 is not compatible with VHDL 1076-1987. Comment -- out the function (declaration and body) for VHDL 1076-1987 compatibility. ------------------------------------------------------------------------------ -- Id: L.7 function "xnor" (L, R: UNSIGNED) return UNSIGNED is variable RESULT: UNSIGNED(L'LENGTH-1 downto 0); begin RESULT := UNSIGNED(BIT_VECTOR(L) xnor BIT_VECTOR(R)); return RESULT; end "xnor"; --END-V93 -- Id: L.8 function "not" (L: SIGNED) return SIGNED is variable RESULT: SIGNED(L'LENGTH-1 downto 0); begin RESULT := SIGNED(not(BIT_VECTOR(L))); return RESULT; end "not"; -- Id: L.9 function "and" (L, R: SIGNED) return SIGNED is variable RESULT: SIGNED(L'LENGTH-1 downto 0); begin RESULT := SIGNED(BIT_VECTOR(L) and BIT_VECTOR(R)); return RESULT; end "and"; -- Id: L.10 function "or" (L, R: SIGNED) return SIGNED is variable RESULT: SIGNED(L'LENGTH-1 downto 0); begin RESULT := SIGNED(BIT_VECTOR(L) or BIT_VECTOR(R)); return RESULT; end "or"; -- Id: L.11 function "nand" (L, R: SIGNED) return SIGNED is variable RESULT: SIGNED(L'LENGTH-1 downto 0); begin RESULT := SIGNED(BIT_VECTOR(L) nand BIT_VECTOR(R)); return RESULT; end "nand"; -- Id: L.12 function "nor" (L, R: SIGNED) return SIGNED is variable RESULT: SIGNED(L'LENGTH-1 downto 0); begin RESULT := SIGNED(BIT_VECTOR(L) nor BIT_VECTOR(R)); return RESULT; end "nor"; -- Id: L.13 function "xor" (L, R: SIGNED) return SIGNED is variable RESULT: SIGNED(L'LENGTH-1 downto 0); begin RESULT := SIGNED(BIT_VECTOR(L) xor BIT_VECTOR(R)); return RESULT; end "xor"; --START-V93 ------------------------------------------------------------------------------ -- Note : Function L.14 is not compatible with VHDL 1076-1987. Comment -- out the function (declaration and body) for VHDL 1076-1987 compatibility. ------------------------------------------------------------------------------ -- Id: L.14 function "xnor" (L, R: SIGNED) return SIGNED is variable RESULT: SIGNED(L'LENGTH-1 downto 0); begin RESULT := SIGNED(BIT_VECTOR(L) xnor BIT_VECTOR(R)); return RESULT; end "xnor"; --END-V93 --============================================================================ -- Id: E.1 function RISING_EDGE (signal S: BIT) return BOOLEAN is begin return S'EVENT and S = '1'; end RISING_EDGE; -- Id: E.2 function FALLING_EDGE (signal S: BIT) return BOOLEAN is begin return S'EVENT and S = '0'; end FALLING_EDGE; --============================================================================ end NUMERIC_BIT;
-- ----------------------------------------------------------------------------- -- -- Copyright 1995 by IEEE. All rights reserved. -- -- This source file is considered by the IEEE to be an essential part of the use -- of the standard 1076.3 and as such may be distributed without change, except -- as permitted by the standard. This source file may not be sold or distributed -- for profit. This package may be modified to include additional data required -- by tools, but must in no way change the external interfaces or simulation -- behaviour of the description. It is permissible to add comments and/or -- attributes to the package declarations, but not to change or delete any -- original lines of the approved package declaration. The package body may be -- changed only in accordance with the terms of clauses 7.1 and 7.2 of the -- standard. -- -- Title : Standard VHDL Synthesis Package (1076.3, NUMERIC_BIT) -- -- Library : This package shall be compiled into a library symbolically -- : named IEEE. -- -- Developers : IEEE DASC Synthesis Working Group, PAR 1076.3 -- -- Purpose : This package defines numeric types and arithmetic functions -- : for use with synthesis tools. Two numeric types are defined: -- : -- > UNSIGNED: represents an UNSIGNED number in vector form -- : -- > SIGNED: represents a SIGNED number in vector form -- : The base element type is type BIT. -- : The leftmost bit is treated as the most significant bit. -- : Signed vectors are represented in two's complement form. -- : This package contains overloaded arithmetic operators on -- : the SIGNED and UNSIGNED types. The package also contains -- : useful type conversions functions, clock detection -- : functions, and other utility functions. -- : -- : If any argument to a function is a null array, a null array is -- : returned (exceptions, if any, are noted individually). -- -- Limitation : -- -- Note : No declarations or definitions shall be included in, -- : or excluded from this package. The "package declaration" -- : defines the types, subtypes and declarations of -- : NUMERIC_BIT. The NUMERIC_BIT package body shall be -- : considered the formal definition of the semantics of -- : this package. Tool developers may choose to implement -- : the package body in the most efficient manner available -- : to them. -- : -- ----------------------------------------------------------------------------- -- Version : 2.4 -- Date : 12 April 1995 -- ----------------------------------------------------------------------------- --============================================================================== --======================= Package Body ========================================= --============================================================================== package body NUMERIC_BIT is -- null range array constants constant NAU: UNSIGNED(0 downto 1) := (others => '0'); constant NAS: SIGNED(0 downto 1) := (others => '0'); -- implementation controls constant NO_WARNING: BOOLEAN := FALSE; -- default to emit warnings --=========================Local Subprograms ================================= function MAX (LEFT, RIGHT: INTEGER) return INTEGER is begin if LEFT > RIGHT then return LEFT; else return RIGHT; end if; end MAX; function MIN (LEFT, RIGHT: INTEGER) return INTEGER is begin if LEFT < RIGHT then return LEFT; else return RIGHT; end if; end MIN; function SIGNED_NUM_BITS (ARG: INTEGER) return NATURAL is variable NBITS: NATURAL; variable N: NATURAL; begin if ARG >= 0 then N := ARG; else N := -(ARG+1); end if; NBITS := 1; while N > 0 loop NBITS := NBITS+1; N := N / 2; end loop; return NBITS; end SIGNED_NUM_BITS; function UNSIGNED_NUM_BITS (ARG: NATURAL) return NATURAL is variable NBITS: NATURAL; variable N: NATURAL; begin N := ARG; NBITS := 1; while N > 1 loop NBITS := NBITS+1; N := N / 2; end loop; return NBITS; end UNSIGNED_NUM_BITS; ------------------------------------------------------------------------------ -- this internal function computes the addition of two UNSIGNED -- with input carry -- * the two arguments are of the same length function ADD_UNSIGNED (L, R: UNSIGNED; C: BIT) return UNSIGNED is constant L_LEFT: INTEGER := L'LENGTH-1; alias XL: UNSIGNED(L_LEFT downto 0) is L; alias XR: UNSIGNED(L_LEFT downto 0) is R; variable RESULT: UNSIGNED(L_LEFT downto 0); variable CBIT: BIT := C; begin for I in 0 to L_LEFT loop RESULT(I) := CBIT xor XL(I) xor XR(I); CBIT := (CBIT and XL(I)) or (CBIT and XR(I)) or (XL(I) and XR(I)); end loop; return RESULT; end ADD_UNSIGNED; -- this internal function computes the addition of two SIGNED -- with input carry -- * the two arguments are of the same length function ADD_SIGNED (L, R: SIGNED; C: BIT) return SIGNED is constant L_LEFT: INTEGER := L'LENGTH-1; alias XL: SIGNED(L_LEFT downto 0) is L; alias XR: SIGNED(L_LEFT downto 0) is R; variable RESULT: SIGNED(L_LEFT downto 0); variable CBIT: BIT := C; begin for I in 0 to L_LEFT loop RESULT(I) := CBIT xor XL(I) xor XR(I); CBIT := (CBIT and XL(I)) or (CBIT and XR(I)) or (XL(I) and XR(I)); end loop; return RESULT; end ADD_SIGNED; ------------------------------------------------------------------------------ -- this internal procedure computes UNSIGNED division -- giving the quotient and remainder. procedure DIVMOD (NUM, XDENOM: UNSIGNED; XQUOT, XREMAIN: out UNSIGNED) is variable TEMP: UNSIGNED(NUM'LENGTH downto 0); variable QUOT: UNSIGNED(MAX(NUM'LENGTH, XDENOM'LENGTH)-1 downto 0); alias DENOM: UNSIGNED(XDENOM'LENGTH-1 downto 0) is XDENOM; variable TOPBIT: INTEGER; begin TEMP := "0"&NUM; QUOT := (others => '0'); TOPBIT := -1; for J in DENOM'RANGE loop if DENOM(J)='1' then TOPBIT := J; exit; end if; end loop; assert TOPBIT >= 0 report "DIV, MOD, or REM by zero" severity ERROR; for J in NUM'LENGTH-(TOPBIT+1) downto 0 loop if TEMP(TOPBIT+J+1 downto J) >= "0"&DENOM(TOPBIT downto 0) then TEMP(TOPBIT+J+1 downto J) := (TEMP(TOPBIT+J+1 downto J)) -("0"&DENOM(TOPBIT downto 0)); QUOT(J) := '1'; end if; assert TEMP(TOPBIT+J+1)='0' report "internal error in the division algorithm" severity ERROR; end loop; XQUOT := RESIZE(QUOT, XQUOT'LENGTH); XREMAIN := RESIZE(TEMP, XREMAIN'LENGTH); end DIVMOD; -----------------Local Subprograms - shift/rotate ops------------------------- function XSLL (ARG: BIT_VECTOR; COUNT: NATURAL) return BIT_VECTOR is constant ARG_L: INTEGER := ARG'LENGTH-1; alias XARG: BIT_VECTOR(ARG_L downto 0) is ARG; variable RESULT: BIT_VECTOR(ARG_L downto 0) := (others => '0'); begin if COUNT <= ARG_L then RESULT(ARG_L downto COUNT) := XARG(ARG_L-COUNT downto 0); end if; return RESULT; end XSLL; function XSRL (ARG: BIT_VECTOR; COUNT: NATURAL) return BIT_VECTOR is constant ARG_L: INTEGER := ARG'LENGTH-1; alias XARG: BIT_VECTOR(ARG_L downto 0) is ARG; variable RESULT: BIT_VECTOR(ARG_L downto 0) := (others => '0'); begin if COUNT <= ARG_L then RESULT(ARG_L-COUNT downto 0) := XARG(ARG_L downto COUNT); end if; return RESULT; end XSRL; function XSRA (ARG: BIT_VECTOR; COUNT: NATURAL) return BIT_VECTOR is constant ARG_L: INTEGER := ARG'LENGTH-1; alias XARG: BIT_VECTOR(ARG_L downto 0) is ARG; variable RESULT: BIT_VECTOR(ARG_L downto 0); variable XCOUNT: NATURAL := COUNT; begin if ((ARG'LENGTH <= 1) or (XCOUNT = 0)) then return ARG; else if (XCOUNT > ARG_L) then XCOUNT := ARG_L; end if; RESULT(ARG_L-XCOUNT downto 0) := XARG(ARG_L downto XCOUNT); RESULT(ARG_L downto (ARG_L - XCOUNT + 1)) := (others => XARG(ARG_L)); end if; return RESULT; end XSRA; function XROL (ARG: BIT_VECTOR; COUNT: NATURAL) return BIT_VECTOR is constant ARG_L: INTEGER := ARG'LENGTH-1; alias XARG: BIT_VECTOR(ARG_L downto 0) is ARG; variable RESULT: BIT_VECTOR(ARG_L downto 0) := XARG; variable COUNTM: INTEGER; begin COUNTM := COUNT mod (ARG_L + 1); if COUNTM /= 0 then RESULT(ARG_L downto COUNTM) := XARG(ARG_L-COUNTM downto 0); RESULT(COUNTM-1 downto 0) := XARG(ARG_L downto ARG_L-COUNTM+1); end if; return RESULT; end XROL; function XROR (ARG: BIT_VECTOR; COUNT: NATURAL) return BIT_VECTOR is constant ARG_L: INTEGER := ARG'LENGTH-1; alias XARG: BIT_VECTOR(ARG_L downto 0) is ARG; variable RESULT: BIT_VECTOR(ARG_L downto 0) := XARG; variable COUNTM: INTEGER; begin COUNTM := COUNT mod (ARG_L + 1); if COUNTM /= 0 then RESULT(ARG_L-COUNTM downto 0) := XARG(ARG_L downto COUNTM); RESULT(ARG_L downto ARG_L-COUNTM+1) := XARG(COUNTM-1 downto 0); end if; return RESULT; end XROR; ---------------- Local Subprograms - Relational Operators -------------------- -- General "=" for UNSIGNED vectors, same length -- function UNSIGNED_EQUAL (L, R: UNSIGNED) return BOOLEAN is begin return BIT_VECTOR(L) = BIT_VECTOR(R); end UNSIGNED_EQUAL; -- -- General "=" for SIGNED vectors, same length -- function SIGNED_EQUAL (L, R: SIGNED) return BOOLEAN is begin return BIT_VECTOR(L) = BIT_VECTOR(R); end SIGNED_EQUAL; -- -- General "<" for UNSIGNED vectors, same length -- function UNSIGNED_LESS (L, R: UNSIGNED) return BOOLEAN is begin return BIT_VECTOR(L) < BIT_VECTOR(R); end UNSIGNED_LESS; -- -- General "<" function for SIGNED vectors, same length -- function SIGNED_LESS (L, R: SIGNED) return BOOLEAN is -- Need aliases to assure index direction variable INTERN_L: SIGNED(0 to L'LENGTH-1); variable INTERN_R: SIGNED(0 to R'LENGTH-1); begin INTERN_L := L; INTERN_R := R; INTERN_L(0) := not INTERN_L(0); INTERN_R(0) := not INTERN_R(0); return BIT_VECTOR(INTERN_L) < BIT_VECTOR(INTERN_R); end SIGNED_LESS; -- -- General "<=" function for UNSIGNED vectors, same length -- function UNSIGNED_LESS_OR_EQUAL (L, R: UNSIGNED) return BOOLEAN is begin return BIT_VECTOR(L) <= BIT_VECTOR(R); end UNSIGNED_LESS_OR_EQUAL; -- -- General "<=" function for SIGNED vectors, same length -- function SIGNED_LESS_OR_EQUAL (L, R: SIGNED) return BOOLEAN is -- Need aliases to assure index direction variable INTERN_L: SIGNED(0 to L'LENGTH-1); variable INTERN_R: SIGNED(0 to R'LENGTH-1); begin INTERN_L := L; INTERN_R := R; INTERN_L(0) := not INTERN_L(0); INTERN_R(0) := not INTERN_R(0); return BIT_VECTOR(INTERN_L) <= BIT_VECTOR(INTERN_R); end SIGNED_LESS_OR_EQUAL; --====================== Exported Functions ================================== -- Id: A.1 function "abs" (ARG: SIGNED) return SIGNED is constant ARG_LEFT: INTEGER := ARG'LENGTH-1; variable RESULT: SIGNED(ARG_LEFT downto 0); begin if ARG'LENGTH < 1 then return NAS; end if; RESULT := ARG; if RESULT(RESULT'LEFT) = '1' then RESULT := -RESULT; end if; return RESULT; end "abs"; -- Id: A.2 function "-" (ARG: SIGNED) return SIGNED is constant ARG_LEFT: INTEGER := ARG'LENGTH-1; alias XARG: SIGNED(ARG_LEFT downto 0) is ARG; variable RESULT: SIGNED(ARG_LEFT downto 0); variable CBIT: BIT := '1'; begin if ARG'LENGTH < 1 then return NAS; end if; for I in 0 to RESULT'LEFT loop RESULT(I) := not(XARG(I)) xor CBIT; CBIT := CBIT and not(XARG(I)); end loop; return RESULT; end "-"; --============================================================================ -- Id: A.3 function "+" (L, R: UNSIGNED) return UNSIGNED is constant L_LEFT: INTEGER := L'LENGTH-1; constant R_LEFT: INTEGER := R'LENGTH-1; constant SIZE: NATURAL := MAX(L'LENGTH, R'LENGTH); begin if ((L'LENGTH < 1) or (R'LENGTH < 1)) then return NAU; end if; return ADD_UNSIGNED(RESIZE(L, SIZE), RESIZE(R, SIZE), '0'); end "+"; -- Id: A.4 function "+" (L, R: SIGNED) return SIGNED is constant L_LEFT: INTEGER := L'LENGTH-1; constant R_LEFT: INTEGER := R'LENGTH-1; constant SIZE: NATURAL := MAX(L'LENGTH, R'LENGTH); begin if ((L'LENGTH < 1) or (R'LENGTH < 1)) then return NAS; end if; return ADD_SIGNED(RESIZE(L, SIZE), RESIZE(R, SIZE), '0'); end "+"; -- Id: A.5 function "+" (L: UNSIGNED; R: NATURAL) return UNSIGNED is begin return L + TO_UNSIGNED(R, L'LENGTH); end "+"; -- Id: A.6 function "+" (L: NATURAL; R: UNSIGNED) return UNSIGNED is begin return TO_UNSIGNED(L, R'LENGTH) + R; end "+"; -- Id: A.7 function "+" (L: SIGNED; R: INTEGER) return SIGNED is begin return L + TO_SIGNED(R, L'LENGTH); end "+"; -- Id: A.8 function "+" (L: INTEGER; R: SIGNED) return SIGNED is begin return TO_SIGNED(L, R'LENGTH) + R; end "+"; --============================================================================ -- Id: A.9 function "-" (L, R: UNSIGNED) return UNSIGNED is constant L_LEFT: INTEGER := L'LENGTH-1; constant R_LEFT: INTEGER := R'LENGTH-1; constant SIZE: NATURAL := MAX(L'LENGTH, R'LENGTH); begin if ((L'LENGTH < 1) or (R'LENGTH < 1)) then return NAU; end if; return ADD_UNSIGNED(RESIZE(L, SIZE), not(RESIZE(R, SIZE)), '1'); end "-"; -- Id: A.10 function "-" (L, R: SIGNED) return SIGNED is constant L_LEFT: INTEGER := L'LENGTH-1; constant R_LEFT: INTEGER := R'LENGTH-1; constant SIZE: NATURAL := MAX(L'LENGTH, R'LENGTH); begin if ((L'LENGTH < 1) or (R'LENGTH < 1)) then return NAS; end if; return ADD_SIGNED(RESIZE(L, SIZE), not(RESIZE(R, SIZE)), '1'); end "-"; -- Id: A.11 function "-" (L: UNSIGNED; R: NATURAL) return UNSIGNED is begin return L - TO_UNSIGNED(R, L'LENGTH); end "-"; -- Id: A.12 function "-" (L: NATURAL; R: UNSIGNED) return UNSIGNED is begin return TO_UNSIGNED(L, R'LENGTH) - R; end "-"; -- Id: A.13 function "-" (L: SIGNED; R: INTEGER) return SIGNED is begin return L - TO_SIGNED(R, L'LENGTH); end "-"; -- Id: A.14 function "-" (L: INTEGER; R: SIGNED) return SIGNED is begin return TO_SIGNED(L, R'LENGTH) - R; end "-"; --============================================================================ -- Id: A.15 function "*" (L, R: UNSIGNED) return UNSIGNED is constant L_LEFT: INTEGER := L'LENGTH-1; constant R_LEFT: INTEGER := R'LENGTH-1; alias XL: UNSIGNED(L_LEFT downto 0) is L; alias XR: UNSIGNED(R_LEFT downto 0) is R; variable RESULT: UNSIGNED((L'LENGTH+R'LENGTH-1) downto 0) := (others => '0'); variable ADVAL: UNSIGNED((L'LENGTH+R'LENGTH-1) downto 0); begin if ((L'LENGTH < 1) or (R'LENGTH < 1)) then return NAU; end if; ADVAL := RESIZE(XR, RESULT'LENGTH); for I in 0 to L_LEFT loop if XL(I)='1' then RESULT := RESULT + ADVAL; end if; ADVAL := SHIFT_LEFT(ADVAL, 1); end loop; return RESULT; end "*"; -- Id: A.16 function "*" (L, R: SIGNED) return SIGNED is constant L_LEFT: INTEGER := L'LENGTH-1; constant R_LEFT: INTEGER := R'LENGTH-1; variable XL: SIGNED(L_LEFT downto 0); variable XR: SIGNED(R_LEFT downto 0); variable RESULT: SIGNED((L_LEFT+R_LEFT+1) downto 0) := (others => '0'); variable ADVAL: SIGNED((L_LEFT+R_LEFT+1) downto 0); begin if ((L_LEFT < 0) or (R_LEFT < 0)) then return NAS; end if; XL := L; XR := R; ADVAL := RESIZE(XR, RESULT'LENGTH); for I in 0 to L_LEFT-1 loop if XL(I)='1' then RESULT := RESULT + ADVAL; end if; ADVAL := SHIFT_LEFT(ADVAL, 1); end loop; if XL(L_LEFT)='1' then RESULT := RESULT - ADVAL; end if; return RESULT; end "*"; -- Id: A.17 function "*" (L: UNSIGNED; R: NATURAL) return UNSIGNED is begin return L * TO_UNSIGNED(R, L'LENGTH); end "*"; -- Id: A.18 function "*" (L: NATURAL; R: UNSIGNED) return UNSIGNED is begin return TO_UNSIGNED(L, R'LENGTH) * R; end "*"; -- Id: A.19 function "*" (L: SIGNED; R: INTEGER) return SIGNED is begin return L * TO_SIGNED(R, L'LENGTH); end "*"; -- Id: A.20 function "*" (L: INTEGER; R: SIGNED) return SIGNED is begin return TO_SIGNED(L, R'LENGTH) * R; end "*"; --============================================================================ -- Id: A.21 function "/" (L, R: UNSIGNED) return UNSIGNED is variable FQUOT: UNSIGNED(L'LENGTH-1 downto 0); variable FREMAIN: UNSIGNED(R'LENGTH-1 downto 0); begin if ((L'LENGTH < 1) or (R'LENGTH < 1)) then return NAU; end if; DIVMOD(L, R, FQUOT, FREMAIN); return FQUOT; end "/"; -- Id: A.22 function "/" (L, R: SIGNED) return SIGNED is variable FQUOT: UNSIGNED(L'LENGTH-1 downto 0); variable FREMAIN: UNSIGNED(R'LENGTH-1 downto 0); variable XNUM: UNSIGNED(L'LENGTH-1 downto 0); variable XDENOM: UNSIGNED(R'LENGTH-1 downto 0); variable QNEG: BOOLEAN := FALSE; begin if ((L'LENGTH < 1) or (R'LENGTH < 1)) then return NAS; end if; if L(L'LEFT)='1' then XNUM := UNSIGNED(-L); QNEG := TRUE; else XNUM := UNSIGNED(L); end if; if R(R'LEFT)='1' then XDENOM := UNSIGNED(-R); QNEG := not QNEG; else XDENOM := UNSIGNED(R); end if; DIVMOD(XNUM, XDENOM, FQUOT, FREMAIN); if QNEG then FQUOT := "0"-FQUOT; end if; return SIGNED(FQUOT); end "/"; -- Id: A.23 function "/" (L: UNSIGNED; R: NATURAL) return UNSIGNED is constant R_LENGTH: NATURAL := MAX(L'LENGTH, UNSIGNED_NUM_BITS(R)); variable XR, QUOT: UNSIGNED(R_LENGTH-1 downto 0); begin if (L'LENGTH < 1) then return NAU; end if; if (R_LENGTH > L'LENGTH) then QUOT := (others => '0'); return RESIZE(QUOT, L'LENGTH); end if; XR := TO_UNSIGNED(R, R_LENGTH); QUOT := RESIZE((L / XR), QUOT'LENGTH); return RESIZE(QUOT, L'LENGTH); end "/"; -- Id: A.24 function "/" (L: NATURAL; R: UNSIGNED) return UNSIGNED is constant L_LENGTH: NATURAL := MAX(UNSIGNED_NUM_BITS(L), R'LENGTH); variable XL, QUOT: UNSIGNED(L_LENGTH-1 downto 0); begin if (R'LENGTH < 1) then return NAU; end if; XL := TO_UNSIGNED(L, L_LENGTH); QUOT := RESIZE((XL / R), QUOT'LENGTH); if L_LENGTH > R'LENGTH and QUOT(L_LENGTH-1 downto R'LENGTH) /= (L_LENGTH-1 downto R'LENGTH => '0') then assert NO_WARNING report "NUMERIC_BIT.""/"": Quotient Truncated" severity WARNING; end if; return RESIZE(QUOT, R'LENGTH); end "/"; -- Id: A.25 function "/" (L: SIGNED; R: INTEGER) return SIGNED is constant R_LENGTH: NATURAL := MAX(L'LENGTH, SIGNED_NUM_BITS(R)); variable XR, QUOT: SIGNED(R_LENGTH-1 downto 0); begin if (L'LENGTH < 1) then return NAS; end if; if (R_LENGTH > L'LENGTH) then QUOT := (others => '0'); return RESIZE(QUOT, L'LENGTH); end if; XR := TO_SIGNED(R, R_LENGTH); QUOT := RESIZE((L / XR), QUOT'LENGTH); return RESIZE(QUOT, L'LENGTH); end "/"; -- Id: A.26 function "/" (L: INTEGER; R: SIGNED) return SIGNED is constant L_LENGTH: NATURAL := MAX(SIGNED_NUM_BITS(L), R'LENGTH); variable XL, QUOT: SIGNED(L_LENGTH-1 downto 0); begin if (R'LENGTH < 1) then return NAS; end if; XL := TO_SIGNED(L, L_LENGTH); QUOT := RESIZE((XL / R), QUOT'LENGTH); if L_LENGTH > R'LENGTH and QUOT(L_LENGTH-1 downto R'LENGTH) /= (L_LENGTH-1 downto R'LENGTH => QUOT(R'LENGTH-1)) then assert NO_WARNING report "NUMERIC_BIT.""/"": Quotient Truncated" severity WARNING; end if; return RESIZE(QUOT, R'LENGTH); end "/"; --============================================================================ -- Id: A.27 function "rem" (L, R: UNSIGNED) return UNSIGNED is variable FQUOT: UNSIGNED(L'LENGTH-1 downto 0); variable FREMAIN: UNSIGNED(R'LENGTH-1 downto 0); begin if ((L'LENGTH < 1) or (R'LENGTH < 1)) then return NAU; end if; DIVMOD(L, R, FQUOT, FREMAIN); return FREMAIN; end "rem"; -- Id: A.28 function "rem" (L, R: SIGNED) return SIGNED is variable FQUOT: UNSIGNED(L'LENGTH-1 downto 0); variable FREMAIN: UNSIGNED(R'LENGTH-1 downto 0); variable XNUM: UNSIGNED(L'LENGTH-1 downto 0); variable XDENOM: UNSIGNED(R'LENGTH-1 downto 0); variable RNEG: BOOLEAN := FALSE; begin if ((L'LENGTH < 1) or (R'LENGTH < 1)) then return NAS; end if; if L(L'LEFT)='1' then XNUM := UNSIGNED(-L); RNEG := TRUE; else XNUM := UNSIGNED(L); end if; if R(R'LEFT)='1' then XDENOM := UNSIGNED(-R); else XDENOM := UNSIGNED(R); end if; DIVMOD(XNUM, XDENOM, FQUOT, FREMAIN); if RNEG then FREMAIN := "0"-FREMAIN; end if; return SIGNED(FREMAIN); end "rem"; -- Id: A.29 function "rem" (L: UNSIGNED; R: NATURAL) return UNSIGNED is constant R_LENGTH: NATURAL := MAX(L'LENGTH, UNSIGNED_NUM_BITS(R)); variable XR, XREM: UNSIGNED(R_LENGTH-1 downto 0); begin if (L'LENGTH < 1) then return NAU; end if; XR := TO_UNSIGNED(R, R_LENGTH); XREM := RESIZE((L rem XR), XREM'LENGTH); if R_LENGTH > L'LENGTH and XREM(R_LENGTH-1 downto L'LENGTH) /= (R_LENGTH-1 downto L'LENGTH => '0') then assert NO_WARNING report "NUMERIC_BIT.""rem"": Remainder Truncated" severity WARNING; end if; return RESIZE(XREM, L'LENGTH); end "rem"; -- Id: A.30 function "rem" (L: NATURAL; R: UNSIGNED) return UNSIGNED is constant L_LENGTH: NATURAL := MAX(UNSIGNED_NUM_BITS(L), R'LENGTH); variable XL, XREM: UNSIGNED(L_LENGTH-1 downto 0); begin if (R'LENGTH < 1) then return NAU; end if; XL := TO_UNSIGNED(L, L_LENGTH); XREM := RESIZE((XL rem R), XREM'LENGTH); if L_LENGTH > R'LENGTH and XREM(L_LENGTH-1 downto R'LENGTH) /= (L_LENGTH-1 downto R'LENGTH => '0') then assert NO_WARNING report "NUMERIC_BIT.""rem"": Remainder Truncated" severity WARNING; end if; return RESIZE(XREM, R'LENGTH); end "rem"; -- Id: A.31 function "rem" (L: SIGNED; R: INTEGER) return SIGNED is constant R_LENGTH: NATURAL := MAX(L'LENGTH, SIGNED_NUM_BITS(R)); variable XR, XREM: SIGNED(R_LENGTH-1 downto 0); begin if (L'LENGTH < 1) then return NAS; end if; XR := TO_SIGNED(R, R_LENGTH); XREM := RESIZE((L rem XR), XREM'LENGTH); if R_LENGTH > L'LENGTH and XREM(R_LENGTH-1 downto L'LENGTH) /= (R_LENGTH-1 downto L'LENGTH => XREM(L'LENGTH-1)) then assert NO_WARNING report "NUMERIC_BIT.""rem"": Remainder Truncated" severity WARNING; end if; return RESIZE(XREM, L'LENGTH); end "rem"; -- Id: A.32 function "rem" (L: INTEGER; R: SIGNED) return SIGNED is constant L_LENGTH: NATURAL := MAX(SIGNED_NUM_BITS(L), R'LENGTH); variable XL, XREM: SIGNED(L_LENGTH-1 downto 0); begin if (R'LENGTH < 1) then return NAS; end if; XL := TO_SIGNED(L, L_LENGTH); XREM := RESIZE((XL rem R), XREM'LENGTH); if L_LENGTH > R'LENGTH and XREM(L_LENGTH-1 downto R'LENGTH) /= (L_LENGTH-1 downto R'LENGTH => XREM(R'LENGTH-1)) then assert NO_WARNING report "NUMERIC_BIT.""rem"": Remainder Truncated" severity WARNING; end if; return RESIZE(XREM, R'LENGTH); end "rem"; --============================================================================ -- Id: A.33 function "mod" (L, R: UNSIGNED) return UNSIGNED is variable FQUOT: UNSIGNED(L'LENGTH-1 downto 0); variable FREMAIN: UNSIGNED(R'LENGTH-1 downto 0); begin if ((L'LENGTH < 1) or (R'LENGTH < 1)) then return NAU; end if; DIVMOD(L, R, FQUOT, FREMAIN); return FREMAIN; end "mod"; -- Id: A.34 function "mod" (L, R: SIGNED) return SIGNED is variable FQUOT: UNSIGNED(L'LENGTH-1 downto 0); variable FREMAIN: UNSIGNED(R'LENGTH-1 downto 0); variable XNUM: UNSIGNED(L'LENGTH-1 downto 0); variable XDENOM: UNSIGNED(R'LENGTH-1 downto 0); variable RNEG: BOOLEAN := FALSE; begin if ((L'LENGTH < 1) or (R'LENGTH < 1)) then return NAS; end if; if L(L'LEFT)='1' then XNUM := UNSIGNED(-L); else XNUM := UNSIGNED(L); end if; if R(R'LEFT)='1' then XDENOM := UNSIGNED(-R); RNEG := TRUE; else XDENOM := UNSIGNED(R); end if; DIVMOD(XNUM, XDENOM, FQUOT, FREMAIN); if RNEG and L(L'LEFT)='1' then FREMAIN := "0"-FREMAIN; elsif RNEG and FREMAIN/="0" then FREMAIN := FREMAIN-XDENOM; elsif L(L'LEFT)='1' and FREMAIN/="0" then FREMAIN := XDENOM-FREMAIN; end if; return SIGNED(FREMAIN); end "mod"; -- Id: A.35 function "mod" (L: UNSIGNED; R: NATURAL) return UNSIGNED is constant R_LENGTH: NATURAL := MAX(L'LENGTH, UNSIGNED_NUM_BITS(R)); variable XR, XREM: UNSIGNED(R_LENGTH-1 downto 0); begin if (L'LENGTH < 1) then return NAU; end if; XR := TO_UNSIGNED(R, R_LENGTH); XREM := RESIZE((L mod XR), XREM'LENGTH); if R_LENGTH > L'LENGTH and XREM(R_LENGTH-1 downto L'LENGTH) /= (R_LENGTH-1 downto L'LENGTH => '0') then assert NO_WARNING report "NUMERIC_BIT.""mod"": modulus Truncated" severity WARNING; end if; return RESIZE(XREM, L'LENGTH); end "mod"; -- Id: A.36 function "mod" (L: NATURAL; R: UNSIGNED) return UNSIGNED is constant L_LENGTH: NATURAL := MAX(UNSIGNED_NUM_BITS(L), R'LENGTH); variable XL, XREM: UNSIGNED(L_LENGTH-1 downto 0); begin if (R'LENGTH < 1) then return NAU; end if; XL := TO_UNSIGNED(L, L_LENGTH); XREM := RESIZE((XL mod R), XREM'LENGTH); if L_LENGTH > R'LENGTH and XREM(L_LENGTH-1 downto R'LENGTH) /= (L_LENGTH-1 downto R'LENGTH => '0') then assert NO_WARNING report "NUMERIC_BIT.""mod"": modulus Truncated" severity WARNING; end if; return RESIZE(XREM, R'LENGTH); end "mod"; -- Id: A.37 function "mod" (L: SIGNED; R: INTEGER) return SIGNED is constant R_LENGTH: NATURAL := MAX(L'LENGTH, SIGNED_NUM_BITS(R)); variable XR, XREM: SIGNED(R_LENGTH-1 downto 0); begin if (L'LENGTH < 1) then return NAS; end if; XR := TO_SIGNED(R, R_LENGTH); XREM := RESIZE((L mod XR), XREM'LENGTH); if R_LENGTH > L'LENGTH and XREM(R_LENGTH-1 downto L'LENGTH) /= (R_LENGTH-1 downto L'LENGTH => XREM(L'LENGTH-1)) then assert NO_WARNING report "NUMERIC_BIT.""mod"": modulus Truncated" severity WARNING; end if; return RESIZE(XREM, L'LENGTH); end "mod"; -- Id: A.38 function "mod" (L: INTEGER; R: SIGNED) return SIGNED is constant L_LENGTH: NATURAL := MAX(SIGNED_NUM_BITS(L), R'LENGTH); variable XL, XREM: SIGNED(L_LENGTH-1 downto 0); begin if (R'LENGTH < 1) then return NAS; end if; XL := TO_SIGNED(L, L_LENGTH); XREM := RESIZE((XL mod R), XREM'LENGTH); if L_LENGTH > R'LENGTH and XREM(L_LENGTH-1 downto R'LENGTH) /= (L_LENGTH-1 downto R'LENGTH => XREM(R'LENGTH-1)) then assert NO_WARNING report "NUMERIC_BIT.""mod"": modulus Truncated" severity WARNING; end if; return RESIZE(XREM, R'LENGTH); end "mod"; --============================================================================ -- Id: C.1 function ">" (L, R: UNSIGNED) return BOOLEAN is variable SIZE: NATURAL := MAX(L'LENGTH, R'LENGTH); begin if ((L'LENGTH < 1) or (R'LENGTH < 1)) then assert NO_WARNING report "NUMERIC_BIT."">"": null argument detected, returning FALSE" severity WARNING; return FALSE; end if; return not UNSIGNED_LESS_OR_EQUAL(RESIZE(L, SIZE), RESIZE(R, SIZE)); end ">"; -- Id: C.2 function ">" (L, R: SIGNED) return BOOLEAN is variable SIZE: NATURAL := MAX(L'LENGTH, R'LENGTH); begin if ((L'LENGTH < 1) or (R'LENGTH < 1)) then assert NO_WARNING report "NUMERIC_BIT."">"": null argument detected, returning FALSE" severity WARNING; return FALSE; end if; return not SIGNED_LESS_OR_EQUAL(RESIZE(L, SIZE), RESIZE(R, SIZE)); end ">"; -- Id: C.3 function ">" (L: NATURAL; R: UNSIGNED) return BOOLEAN is begin if (R'LENGTH < 1) then assert NO_WARNING report "NUMERIC_BIT."">"": null argument detected, returning FALSE" severity WARNING; return FALSE; end if; if UNSIGNED_NUM_BITS(L) > R'LENGTH then return TRUE; end if; return not UNSIGNED_LESS_OR_EQUAL(TO_UNSIGNED(L, R'LENGTH), R); end ">"; -- Id: C.4 function ">" (L: INTEGER; R: SIGNED) return BOOLEAN is begin if (R'LENGTH < 1) then assert NO_WARNING report "NUMERIC_BIT."">"": null argument detected, returning FALSE" severity WARNING; return FALSE; end if; if SIGNED_NUM_BITS(L) > R'LENGTH then return L > 0; end if; return not SIGNED_LESS_OR_EQUAL(TO_SIGNED(L, R'LENGTH), R); end ">"; -- Id: C.5 function ">" (L: UNSIGNED; R: NATURAL) return BOOLEAN is begin if (L'LENGTH < 1) then assert NO_WARNING report "NUMERIC_BIT."">"": null argument detected, returning FALSE" severity WARNING; return FALSE; end if; if UNSIGNED_NUM_BITS(R) > L'LENGTH then return FALSE; end if; return not UNSIGNED_LESS_OR_EQUAL(L, TO_UNSIGNED(R, L'LENGTH)); end ">"; -- Id: C.6 function ">" (L: SIGNED; R: INTEGER) return BOOLEAN is begin if (L'LENGTH < 1) then assert NO_WARNING report "NUMERIC_BIT."">"": null argument detected, returning FALSE" severity WARNING; return FALSE; end if; if SIGNED_NUM_BITS(R) > L'LENGTH then return 0 > R; end if; return not SIGNED_LESS_OR_EQUAL(L, TO_SIGNED(R, L'LENGTH)); end ">"; --============================================================================ -- Id: C.7 function "<" (L, R: UNSIGNED) return BOOLEAN is variable SIZE: NATURAL := MAX(L'LENGTH, R'LENGTH); begin if ((L'LENGTH < 1) or (R'LENGTH < 1)) then assert NO_WARNING report "NUMERIC_BIT.""<"": null argument detected, returning FALSE" severity WARNING; return FALSE; end if; return UNSIGNED_LESS(RESIZE(L, SIZE), RESIZE(R, SIZE)); end "<"; -- Id: C.8 function "<" (L, R: SIGNED) return BOOLEAN is variable SIZE: NATURAL := MAX(L'LENGTH, R'LENGTH); begin if ((L'LENGTH < 1) or (R'LENGTH < 1)) then assert NO_WARNING report "NUMERIC_BIT.""<"": null argument detected, returning FALSE" severity WARNING; return FALSE; end if; return SIGNED_LESS(RESIZE(L, SIZE), RESIZE(R, SIZE)); end "<"; -- Id: C.9 function "<" (L: NATURAL; R: UNSIGNED) return BOOLEAN is begin if (R'LENGTH < 1) then assert NO_WARNING report "NUMERIC_BIT.""<"": null argument detected, returning FALSE" severity WARNING; return FALSE; end if; if UNSIGNED_NUM_BITS(L) > R'LENGTH then return L < 0; end if; return UNSIGNED_LESS(TO_UNSIGNED(L, R'LENGTH), R); end "<"; -- Id: C.10 function "<" (L: INTEGER; R: SIGNED) return BOOLEAN is begin if (R'LENGTH < 1) then assert NO_WARNING report "NUMERIC_BIT.""<"": null argument detected, returning FALSE" severity WARNING; return FALSE; end if; if SIGNED_NUM_BITS(L) > R'LENGTH then return L < 0; end if; return SIGNED_LESS(TO_SIGNED(L, R'LENGTH), R); end "<"; -- Id: C.11 function "<" (L: UNSIGNED; R: NATURAL) return BOOLEAN is begin if (L'LENGTH < 1) then assert NO_WARNING report "NUMERIC_BIT.""<"": null argument detected, returning FALSE" severity WARNING; return FALSE; end if; if UNSIGNED_NUM_BITS(R) > L'LENGTH then return 0 < R; end if; return UNSIGNED_LESS(L, TO_UNSIGNED(R, L'LENGTH)); end "<"; -- Id: C.12 function "<" (L: SIGNED; R: INTEGER) return BOOLEAN is begin if (L'LENGTH < 1) then assert NO_WARNING report "NUMERIC_BIT.""<"": null argument detected, returning FALSE" severity WARNING; return FALSE; end if; if SIGNED_NUM_BITS(R) > L'LENGTH then return 0 < R; end if; return SIGNED_LESS(L, TO_SIGNED(R, L'LENGTH)); end "<"; --============================================================================ -- Id: C.13 function "<=" (L, R: UNSIGNED) return BOOLEAN is variable SIZE: NATURAL := MAX(L'LENGTH, R'LENGTH); begin if ((L'LENGTH < 1) or (R'LENGTH < 1)) then assert NO_WARNING report "NUMERIC_BIT.""<="": null argument detected, returning FALSE" severity WARNING; return FALSE; end if; return UNSIGNED_LESS_OR_EQUAL(RESIZE(L, SIZE), RESIZE(R, SIZE)); end "<="; -- Id: C.14 function "<=" (L, R: SIGNED) return BOOLEAN is variable SIZE: NATURAL := MAX(L'LENGTH, R'LENGTH); begin if ((L'LENGTH < 1) or (R'LENGTH < 1)) then assert NO_WARNING report "NUMERIC_BIT.""<="": null argument detected, returning FALSE" severity WARNING; return FALSE; end if; return SIGNED_LESS_OR_EQUAL(RESIZE(L, SIZE), RESIZE(R, SIZE)); end "<="; -- Id: C.15 function "<=" (L: NATURAL; R: UNSIGNED) return BOOLEAN is begin if (R'LENGTH < 1) then assert NO_WARNING report "NUMERIC_BIT.""<="": null argument detected, returning FALSE" severity WARNING; return FALSE; end if; if UNSIGNED_NUM_BITS(L) > R'LENGTH then return L < 0; end if; return UNSIGNED_LESS_OR_EQUAL(TO_UNSIGNED(L, R'LENGTH), R); end "<="; -- Id: C.16 function "<=" (L: INTEGER; R: SIGNED) return BOOLEAN is begin if (R'LENGTH < 1) then assert NO_WARNING report "NUMERIC_BIT.""<="": null argument detected, returning FALSE" severity WARNING; return FALSE; end if; if SIGNED_NUM_BITS(L) > R'LENGTH then return L < 0; end if; return SIGNED_LESS_OR_EQUAL(TO_SIGNED(L, R'LENGTH), R); end "<="; -- Id: C.17 function "<=" (L: UNSIGNED; R: NATURAL) return BOOLEAN is begin if (L'LENGTH < 1) then assert NO_WARNING report "NUMERIC_BIT.""<="": null argument detected, returning FALSE" severity WARNING; return FALSE; end if; if UNSIGNED_NUM_BITS(R) > L'LENGTH then return 0 < R; end if; return UNSIGNED_LESS_OR_EQUAL(L, TO_UNSIGNED(R, L'LENGTH)); end "<="; -- Id: C.18 function "<=" (L: SIGNED; R: INTEGER) return BOOLEAN is begin if (L'LENGTH < 1) then assert NO_WARNING report "NUMERIC_BIT.""<="": null argument detected, returning FALSE" severity WARNING; return FALSE; end if; if SIGNED_NUM_BITS(R) > L'LENGTH then return 0 < R; end if; return SIGNED_LESS_OR_EQUAL(L, TO_SIGNED(R, L'LENGTH)); end "<="; --============================================================================ -- Id: C.19 function ">=" (L, R: UNSIGNED) return BOOLEAN is variable SIZE: NATURAL := MAX(L'LENGTH, R'LENGTH); begin if ((L'LENGTH < 1) or (R'LENGTH < 1)) then assert NO_WARNING report "NUMERIC_BIT."">="": null argument detected, returning FALSE" severity WARNING; return FALSE; end if; return not UNSIGNED_LESS(RESIZE(L, SIZE), RESIZE(R, SIZE)); end ">="; -- Id: C.20 function ">=" (L, R: SIGNED) return BOOLEAN is variable SIZE: NATURAL := MAX(L'LENGTH, R'LENGTH); begin if ((L'LENGTH < 1) or (R'LENGTH < 1)) then assert NO_WARNING report "NUMERIC_BIT."">="": null argument detected, returning FALSE" severity WARNING; return FALSE; end if; return not SIGNED_LESS(RESIZE(L, SIZE), RESIZE(R, SIZE)); end ">="; -- Id: C.21 function ">=" (L: NATURAL; R: UNSIGNED) return BOOLEAN is begin if (R'LENGTH < 1) then assert NO_WARNING report "NUMERIC_BIT."">="": null argument detected, returning FALSE" severity WARNING; return FALSE; end if; if UNSIGNED_NUM_BITS(L) > R'LENGTH then return L > 0; end if; return not UNSIGNED_LESS(TO_UNSIGNED(L, R'LENGTH), R); end ">="; -- Id: C.22 function ">=" (L: INTEGER; R: SIGNED) return BOOLEAN is begin if (R'LENGTH < 1) then assert NO_WARNING report "NUMERIC_BIT."">="": null argument detected, returning FALSE" severity WARNING; return FALSE; end if; if SIGNED_NUM_BITS(L) > R'LENGTH then return L > 0; end if; return not SIGNED_LESS(TO_SIGNED(L, R'LENGTH), R); end ">="; -- Id: C.23 function ">=" (L: UNSIGNED; R: NATURAL) return BOOLEAN is begin if (L'LENGTH < 1) then assert NO_WARNING report "NUMERIC_BIT."">="": null argument detected, returning FALSE" severity WARNING; return FALSE; end if; if UNSIGNED_NUM_BITS(R) > L'LENGTH then return 0 > R; end if; return not UNSIGNED_LESS(L, TO_UNSIGNED(R, L'LENGTH)); end ">="; -- Id: C.24 function ">=" (L: SIGNED; R: INTEGER) return BOOLEAN is begin if (L'LENGTH < 1) then assert NO_WARNING report "NUMERIC_BIT."">="": null argument detected, returning FALSE" severity WARNING; return FALSE; end if; if SIGNED_NUM_BITS(R) > L'LENGTH then return 0 > R; end if; return not SIGNED_LESS(L, TO_SIGNED(R, L'LENGTH)); end ">="; --============================================================================ -- Id: C.25 function "=" (L, R: UNSIGNED) return BOOLEAN is variable SIZE: NATURAL := MAX(L'LENGTH, R'LENGTH); begin if ((L'LENGTH < 1) or (R'LENGTH < 1)) then assert NO_WARNING report "NUMERIC_BIT.""="": null argument detected, returning FALSE" severity WARNING; return FALSE; end if; return UNSIGNED_EQUAL(RESIZE(L, SIZE), RESIZE(R, SIZE)); end "="; -- Id: C.26 function "=" (L, R: SIGNED) return BOOLEAN is variable SIZE: NATURAL := MAX(L'LENGTH, R'LENGTH); begin if ((L'LENGTH < 1) or (R'LENGTH < 1)) then assert NO_WARNING report "NUMERIC_BIT.""="": null argument detected, returning FALSE" severity WARNING; return FALSE; end if; return SIGNED_EQUAL(RESIZE(L, SIZE), RESIZE(R, SIZE)); end "="; -- Id: C.27 function "=" (L: NATURAL; R: UNSIGNED) return BOOLEAN is begin if (R'LENGTH < 1) then assert NO_WARNING report "NUMERIC_BIT.""="": null argument detected, returning FALSE" severity WARNING; return FALSE; end if; if UNSIGNED_NUM_BITS(L) > R'LENGTH then return FALSE; end if; return UNSIGNED_EQUAL(TO_UNSIGNED(L, R'LENGTH), R); end "="; -- Id: C.28 function "=" (L: INTEGER; R: SIGNED) return BOOLEAN is begin if (R'LENGTH < 1) then assert NO_WARNING report "NUMERIC_BIT.""="": null argument detected, returning FALSE" severity WARNING; return FALSE; end if; if SIGNED_NUM_BITS(L) > R'LENGTH then return FALSE; end if; return SIGNED_EQUAL(TO_SIGNED(L, R'LENGTH), R); end "="; -- Id: C.29 function "=" (L: UNSIGNED; R: NATURAL) return BOOLEAN is begin if (L'LENGTH < 1) then assert NO_WARNING report "NUMERIC_BIT.""="": null argument detected, returning FALSE" severity WARNING; return FALSE; end if; if UNSIGNED_NUM_BITS(R) > L'LENGTH then return FALSE; end if; return UNSIGNED_EQUAL(L, TO_UNSIGNED(R, L'LENGTH)); end "="; -- Id: C.30 function "=" (L: SIGNED; R: INTEGER) return BOOLEAN is begin if (L'LENGTH < 1) then assert NO_WARNING report "NUMERIC_BIT.""="": null argument detected, returning FALSE" severity WARNING; return FALSE; end if; if SIGNED_NUM_BITS(R) > L'LENGTH then return FALSE; end if; return SIGNED_EQUAL(L, TO_SIGNED(R, L'LENGTH)); end "="; --============================================================================ -- Id: C.31 function "/=" (L, R: UNSIGNED) return BOOLEAN is variable SIZE: NATURAL := MAX(L'LENGTH, R'LENGTH); begin if ((L'LENGTH < 1) or (R'LENGTH < 1)) then assert NO_WARNING report "NUMERIC_BIT.""/="": null argument detected, returning TRUE" severity WARNING; return TRUE; end if; return not(UNSIGNED_EQUAL(RESIZE(L, SIZE), RESIZE(R, SIZE))); end "/="; -- Id: C.32 function "/=" (L, R: SIGNED) return BOOLEAN is variable SIZE: NATURAL := MAX(L'LENGTH, R'LENGTH); begin if ((L'LENGTH < 1) or (R'LENGTH < 1)) then assert NO_WARNING report "NUMERIC_BIT.""/="": null argument detected, returning TRUE" severity WARNING; return TRUE; end if; return not(SIGNED_EQUAL(RESIZE(L, SIZE), RESIZE(R, SIZE))); end "/="; -- Id: C.33 function "/=" (L: NATURAL; R: UNSIGNED) return BOOLEAN is begin if (R'LENGTH < 1) then assert NO_WARNING report "NUMERIC_BIT.""/="": null argument detected, returning TRUE" severity WARNING; return TRUE; end if; if UNSIGNED_NUM_BITS(L) > R'LENGTH then return TRUE; end if; return not(UNSIGNED_EQUAL(TO_UNSIGNED(L, R'LENGTH), R)); end "/="; -- Id: C.34 function "/=" (L: INTEGER; R: SIGNED) return BOOLEAN is begin if (R'LENGTH < 1) then assert NO_WARNING report "NUMERIC_BIT.""/="": null argument detected, returning TRUE" severity WARNING; return TRUE; end if; if SIGNED_NUM_BITS(L) > R'LENGTH then return TRUE; end if; return not(SIGNED_EQUAL(TO_SIGNED(L, R'LENGTH), R)); end "/="; -- Id: C.35 function "/=" (L: UNSIGNED; R: NATURAL) return BOOLEAN is begin if (L'LENGTH < 1) then assert NO_WARNING report "NUMERIC_BIT.""/="": null argument detected, returning TRUE" severity WARNING; return TRUE; end if; if UNSIGNED_NUM_BITS(R) > L'LENGTH then return TRUE; end if; return not(UNSIGNED_EQUAL(L, TO_UNSIGNED(R, L'LENGTH))); end "/="; -- Id: C.36 function "/=" (L: SIGNED; R: INTEGER) return BOOLEAN is begin if (L'LENGTH < 1) then assert NO_WARNING report "NUMERIC_BIT.""/="": null argument detected, returning TRUE" severity WARNING; return TRUE; end if; if SIGNED_NUM_BITS(R) > L'LENGTH then return TRUE; end if; return not(SIGNED_EQUAL(L, TO_SIGNED(R, L'LENGTH))); end "/="; --============================================================================ -- Id: S.1 function SHIFT_LEFT (ARG: UNSIGNED; COUNT: NATURAL) return UNSIGNED is begin if (ARG'LENGTH < 1) then return NAU; end if; return UNSIGNED(XSLL(BIT_VECTOR(ARG), COUNT)); end SHIFT_LEFT; -- Id: S.2 function SHIFT_RIGHT (ARG: UNSIGNED; COUNT: NATURAL) return UNSIGNED is begin if (ARG'LENGTH < 1) then return NAU; end if; return UNSIGNED(XSRL(BIT_VECTOR(ARG), COUNT)); end SHIFT_RIGHT; -- Id: S.3 function SHIFT_LEFT (ARG: SIGNED; COUNT: NATURAL) return SIGNED is begin if (ARG'LENGTH < 1) then return NAS; end if; return SIGNED(XSLL(BIT_VECTOR(ARG), COUNT)); end SHIFT_LEFT; -- Id: S.4 function SHIFT_RIGHT (ARG: SIGNED; COUNT: NATURAL) return SIGNED is begin if (ARG'LENGTH < 1) then return NAS; end if; return SIGNED(XSRA(BIT_VECTOR(ARG), COUNT)); end SHIFT_RIGHT; --============================================================================ -- Id: S.5 function ROTATE_LEFT (ARG: UNSIGNED; COUNT: NATURAL) return UNSIGNED is begin if (ARG'LENGTH < 1) then return NAU; end if; return UNSIGNED(XROL(BIT_VECTOR(ARG), COUNT)); end ROTATE_LEFT; -- Id: S.6 function ROTATE_RIGHT (ARG: UNSIGNED; COUNT: NATURAL) return UNSIGNED is begin if (ARG'LENGTH < 1) then return NAU; end if; return UNSIGNED(XROR(BIT_VECTOR(ARG), COUNT)); end ROTATE_RIGHT; -- Id: S.7 function ROTATE_LEFT (ARG: SIGNED; COUNT: NATURAL) return SIGNED is begin if (ARG'LENGTH < 1) then return NAS; end if; return SIGNED(XROL(BIT_VECTOR(ARG), COUNT)); end ROTATE_LEFT; -- Id: S.8 function ROTATE_RIGHT (ARG: SIGNED; COUNT: NATURAL) return SIGNED is begin if (ARG'LENGTH < 1) then return NAS; end if; return SIGNED(XROR(BIT_VECTOR(ARG), COUNT)); end ROTATE_RIGHT; --============================================================================ --START-V93 ------------------------------------------------------------------------------ -- Note : Function S.9 is not compatible with VHDL 1076-1987. Comment -- out the function (declaration and body) for VHDL 1076-1987 compatibility. ------------------------------------------------------------------------------ -- Id: S.9 function "sll" (ARG: UNSIGNED; COUNT: INTEGER) return UNSIGNED is begin if (COUNT >= 0) then return SHIFT_LEFT(ARG, COUNT); else return SHIFT_RIGHT(ARG, -COUNT); end if; end "sll"; ------------------------------------------------------------------------------ -- Note : Function S.10 is not compatible with VHDL 1076-1987. Comment -- out the function (declaration and body) for VHDL 1076-1987 compatibility. ------------------------------------------------------------------------------ -- Id: S.10 function "sll" (ARG: SIGNED; COUNT: INTEGER) return SIGNED is begin if (COUNT >= 0) then return SHIFT_LEFT(ARG, COUNT); else return SIGNED(SHIFT_RIGHT(UNSIGNED(ARG), -COUNT)); end if; end "sll"; ------------------------------------------------------------------------------ -- Note : Function S.11 is not compatible with VHDL 1076-1987. Comment -- out the function (declaration and body) for VHDL 1076-1987 compatibility. ------------------------------------------------------------------------------ -- Id: S.11 function "srl" (ARG: UNSIGNED; COUNT: INTEGER) return UNSIGNED is begin if (COUNT >= 0) then return SHIFT_RIGHT(ARG, COUNT); else return SHIFT_LEFT(ARG, -COUNT); end if; end "srl"; ------------------------------------------------------------------------------ -- Note : Function S.12 is not compatible with VHDL 1076-1987. Comment -- out the function (declaration and body) for VHDL 1076-1987 compatibility. ------------------------------------------------------------------------------ -- Id: S.12 function "srl" (ARG: SIGNED; COUNT: INTEGER) return SIGNED is begin if (COUNT >= 0) then return SIGNED(SHIFT_RIGHT(UNSIGNED(ARG), COUNT)); else return SHIFT_LEFT(ARG, -COUNT); end if; end "srl"; ------------------------------------------------------------------------------ -- Note : Function S.13 is not compatible with VHDL 1076-1987. Comment -- out the function (declaration and body) for VHDL 1076-1987 compatibility. ------------------------------------------------------------------------------ -- Id: S.13 function "rol" (ARG: UNSIGNED; COUNT: INTEGER) return UNSIGNED is begin if (COUNT >= 0) then return ROTATE_LEFT(ARG, COUNT); else return ROTATE_RIGHT(ARG, -COUNT); end if; end "rol"; ------------------------------------------------------------------------------ -- Note : Function S.14 is not compatible with VHDL 1076-1987. Comment -- out the function (declaration and body) for VHDL 1076-1987 compatibility. ------------------------------------------------------------------------------ -- Id: S.14 function "rol" (ARG: SIGNED; COUNT: INTEGER) return SIGNED is begin if (COUNT >= 0) then return ROTATE_LEFT(ARG, COUNT); else return ROTATE_RIGHT(ARG, -COUNT); end if; end "rol"; ------------------------------------------------------------------------------ -- Note : Function S.15 is not compatible with VHDL 1076-1987. Comment -- out the function (declaration and body) for VHDL 1076-1987 compatibility. ------------------------------------------------------------------------------ -- Id: S.15 function "ror" (ARG: UNSIGNED; COUNT: INTEGER) return UNSIGNED is begin if (COUNT >= 0) then return ROTATE_RIGHT(ARG, COUNT); else return ROTATE_LEFT(ARG, -COUNT); end if; end "ror"; ------------------------------------------------------------------------------ -- Note : Function S.16 is not compatible with VHDL 1076-1987. Comment -- out the function (declaration and body) for VHDL 1076-1987 compatibility. ------------------------------------------------------------------------------ -- Id: S.16 function "ror" (ARG: SIGNED; COUNT: INTEGER) return SIGNED is begin if (COUNT >= 0) then return ROTATE_RIGHT(ARG, COUNT); else return ROTATE_LEFT(ARG, -COUNT); end if; end "ror"; --END-V93 --============================================================================ -- Id: D.1 function TO_INTEGER (ARG: UNSIGNED) return NATURAL is constant ARG_LEFT: INTEGER := ARG'LENGTH-1; alias XARG: UNSIGNED(ARG_LEFT downto 0) is ARG; variable RESULT: NATURAL := 0; begin if (ARG'LENGTH < 1) then assert NO_WARNING report "NUMERIC_BIT.TO_INTEGER: null detected, returning 0" severity WARNING; return 0; end if; for I in XARG'RANGE loop RESULT := RESULT+RESULT; if XARG(I) = '1' then RESULT := RESULT + 1; end if; end loop; return RESULT; end TO_INTEGER; -- Id: D.2 function TO_INTEGER (ARG: SIGNED) return INTEGER is begin if (ARG'LENGTH < 1) then assert NO_WARNING report "NUMERIC_BIT.TO_INTEGER: null detected, returning 0" severity WARNING; return 0; end if; if ARG(ARG'LEFT) = '0' then return TO_INTEGER(UNSIGNED(ARG)); else return (- (TO_INTEGER(UNSIGNED(- (ARG + 1)))) -1); end if; end TO_INTEGER; -- Id: D.3 function TO_UNSIGNED (ARG, SIZE: NATURAL) return UNSIGNED is variable RESULT: UNSIGNED(SIZE-1 downto 0); variable I_VAL: NATURAL := ARG; begin if (SIZE < 1) then return NAU; end if; for I in 0 to RESULT'LEFT loop if (I_VAL mod 2) = 0 then RESULT(I) := '0'; else RESULT(I) := '1'; end if; I_VAL := I_VAL/2; end loop; if not(I_VAL =0) then assert NO_WARNING report "NUMERIC_BIT.TO_UNSIGNED: vector truncated" severity WARNING; end if; return RESULT; end TO_UNSIGNED; -- Id: D.4 function TO_SIGNED (ARG: INTEGER; SIZE: NATURAL) return SIGNED is variable RESULT: SIGNED(SIZE-1 downto 0); variable B_VAL: BIT := '0'; variable I_VAL: INTEGER := ARG; begin if (SIZE < 1) then return NAS; end if; if (ARG < 0) then B_VAL := '1'; I_VAL := -(ARG+1); end if; for I in 0 to RESULT'LEFT loop if (I_VAL mod 2) = 0 then RESULT(I) := B_VAL; else RESULT(I) := not B_VAL; end if; I_VAL := I_VAL/2; end loop; if ((I_VAL/=0) or (B_VAL/=RESULT(RESULT'LEFT))) then assert NO_WARNING report "NUMERIC_BIT.TO_SIGNED: vector truncated" severity WARNING; end if; return RESULT; end TO_SIGNED; --============================================================================ -- Id: R.1 function RESIZE (ARG: SIGNED; NEW_SIZE: NATURAL) return SIGNED is alias INVEC: SIGNED(ARG'LENGTH-1 downto 0) is ARG; variable RESULT: SIGNED(NEW_SIZE-1 downto 0) := (others => '0'); constant BOUND: INTEGER := MIN(ARG'LENGTH, RESULT'LENGTH)-2; begin if (NEW_SIZE < 1) then return NAS; end if; if (ARG'LENGTH = 0) then return RESULT; end if; RESULT := (others => ARG(ARG'LEFT)); if BOUND >= 0 then RESULT(BOUND downto 0) := INVEC(BOUND downto 0); end if; return RESULT; end RESIZE; -- Id: R.2 function RESIZE (ARG: UNSIGNED; NEW_SIZE: NATURAL) return UNSIGNED is constant ARG_LEFT: INTEGER := ARG'LENGTH-1; alias XARG: UNSIGNED(ARG_LEFT downto 0) is ARG; variable RESULT: UNSIGNED(NEW_SIZE-1 downto 0) := (others => '0'); begin if (NEW_SIZE < 1) then return NAU; end if; if XARG'LENGTH =0 then return RESULT; end if; if (RESULT'LENGTH < ARG'LENGTH) then RESULT(RESULT'LEFT downto 0) := XARG(RESULT'LEFT downto 0); else RESULT(RESULT'LEFT downto XARG'LEFT+1) := (others => '0'); RESULT(XARG'LEFT downto 0) := XARG; end if; return RESULT; end RESIZE; --============================================================================ -- Id: L.1 function "not" (L: UNSIGNED) return UNSIGNED is variable RESULT: UNSIGNED(L'LENGTH-1 downto 0); begin RESULT := UNSIGNED(not(BIT_VECTOR(L))); return RESULT; end "not"; -- Id: L.2 function "and" (L, R: UNSIGNED) return UNSIGNED is variable RESULT: UNSIGNED(L'LENGTH-1 downto 0); begin RESULT := UNSIGNED(BIT_VECTOR(L) and BIT_VECTOR(R)); return RESULT; end "and"; -- Id: L.3 function "or" (L, R: UNSIGNED) return UNSIGNED is variable RESULT: UNSIGNED(L'LENGTH-1 downto 0); begin RESULT := UNSIGNED(BIT_VECTOR(L) or BIT_VECTOR(R)); return RESULT; end "or"; -- Id: L.4 function "nand" (L, R: UNSIGNED) return UNSIGNED is variable RESULT: UNSIGNED(L'LENGTH-1 downto 0); begin RESULT := UNSIGNED(BIT_VECTOR(L) nand BIT_VECTOR(R)); return RESULT; end "nand"; -- Id: L.5 function "nor" (L, R: UNSIGNED) return UNSIGNED is variable RESULT: UNSIGNED(L'LENGTH-1 downto 0); begin RESULT := UNSIGNED(BIT_VECTOR(L) nor BIT_VECTOR(R)); return RESULT; end "nor"; -- Id: L.6 function "xor" (L, R: UNSIGNED) return UNSIGNED is variable RESULT: UNSIGNED(L'LENGTH-1 downto 0); begin RESULT := UNSIGNED(BIT_VECTOR(L) xor BIT_VECTOR(R)); return RESULT; end "xor"; --START-V93 ------------------------------------------------------------------------------ -- Note : Function L.7 is not compatible with VHDL 1076-1987. Comment -- out the function (declaration and body) for VHDL 1076-1987 compatibility. ------------------------------------------------------------------------------ -- Id: L.7 function "xnor" (L, R: UNSIGNED) return UNSIGNED is variable RESULT: UNSIGNED(L'LENGTH-1 downto 0); begin RESULT := UNSIGNED(BIT_VECTOR(L) xnor BIT_VECTOR(R)); return RESULT; end "xnor"; --END-V93 -- Id: L.8 function "not" (L: SIGNED) return SIGNED is variable RESULT: SIGNED(L'LENGTH-1 downto 0); begin RESULT := SIGNED(not(BIT_VECTOR(L))); return RESULT; end "not"; -- Id: L.9 function "and" (L, R: SIGNED) return SIGNED is variable RESULT: SIGNED(L'LENGTH-1 downto 0); begin RESULT := SIGNED(BIT_VECTOR(L) and BIT_VECTOR(R)); return RESULT; end "and"; -- Id: L.10 function "or" (L, R: SIGNED) return SIGNED is variable RESULT: SIGNED(L'LENGTH-1 downto 0); begin RESULT := SIGNED(BIT_VECTOR(L) or BIT_VECTOR(R)); return RESULT; end "or"; -- Id: L.11 function "nand" (L, R: SIGNED) return SIGNED is variable RESULT: SIGNED(L'LENGTH-1 downto 0); begin RESULT := SIGNED(BIT_VECTOR(L) nand BIT_VECTOR(R)); return RESULT; end "nand"; -- Id: L.12 function "nor" (L, R: SIGNED) return SIGNED is variable RESULT: SIGNED(L'LENGTH-1 downto 0); begin RESULT := SIGNED(BIT_VECTOR(L) nor BIT_VECTOR(R)); return RESULT; end "nor"; -- Id: L.13 function "xor" (L, R: SIGNED) return SIGNED is variable RESULT: SIGNED(L'LENGTH-1 downto 0); begin RESULT := SIGNED(BIT_VECTOR(L) xor BIT_VECTOR(R)); return RESULT; end "xor"; --START-V93 ------------------------------------------------------------------------------ -- Note : Function L.14 is not compatible with VHDL 1076-1987. Comment -- out the function (declaration and body) for VHDL 1076-1987 compatibility. ------------------------------------------------------------------------------ -- Id: L.14 function "xnor" (L, R: SIGNED) return SIGNED is variable RESULT: SIGNED(L'LENGTH-1 downto 0); begin RESULT := SIGNED(BIT_VECTOR(L) xnor BIT_VECTOR(R)); return RESULT; end "xnor"; --END-V93 --============================================================================ -- Id: E.1 function RISING_EDGE (signal S: BIT) return BOOLEAN is begin return S'EVENT and S = '1'; end RISING_EDGE; -- Id: E.2 function FALLING_EDGE (signal S: BIT) return BOOLEAN is begin return S'EVENT and S = '0'; end FALLING_EDGE; --============================================================================ end NUMERIC_BIT;
-- ----------------------------------------------------------------------------- -- -- Copyright 1995 by IEEE. All rights reserved. -- -- This source file is considered by the IEEE to be an essential part of the use -- of the standard 1076.3 and as such may be distributed without change, except -- as permitted by the standard. This source file may not be sold or distributed -- for profit. This package may be modified to include additional data required -- by tools, but must in no way change the external interfaces or simulation -- behaviour of the description. It is permissible to add comments and/or -- attributes to the package declarations, but not to change or delete any -- original lines of the approved package declaration. The package body may be -- changed only in accordance with the terms of clauses 7.1 and 7.2 of the -- standard. -- -- Title : Standard VHDL Synthesis Package (1076.3, NUMERIC_BIT) -- -- Library : This package shall be compiled into a library symbolically -- : named IEEE. -- -- Developers : IEEE DASC Synthesis Working Group, PAR 1076.3 -- -- Purpose : This package defines numeric types and arithmetic functions -- : for use with synthesis tools. Two numeric types are defined: -- : -- > UNSIGNED: represents an UNSIGNED number in vector form -- : -- > SIGNED: represents a SIGNED number in vector form -- : The base element type is type BIT. -- : The leftmost bit is treated as the most significant bit. -- : Signed vectors are represented in two's complement form. -- : This package contains overloaded arithmetic operators on -- : the SIGNED and UNSIGNED types. The package also contains -- : useful type conversions functions, clock detection -- : functions, and other utility functions. -- : -- : If any argument to a function is a null array, a null array is -- : returned (exceptions, if any, are noted individually). -- -- Limitation : -- -- Note : No declarations or definitions shall be included in, -- : or excluded from this package. The "package declaration" -- : defines the types, subtypes and declarations of -- : NUMERIC_BIT. The NUMERIC_BIT package body shall be -- : considered the formal definition of the semantics of -- : this package. Tool developers may choose to implement -- : the package body in the most efficient manner available -- : to them. -- : -- ----------------------------------------------------------------------------- -- Version : 2.4 -- Date : 12 April 1995 -- ----------------------------------------------------------------------------- --============================================================================== --======================= Package Body ========================================= --============================================================================== package body NUMERIC_BIT is -- null range array constants constant NAU: UNSIGNED(0 downto 1) := (others => '0'); constant NAS: SIGNED(0 downto 1) := (others => '0'); -- implementation controls constant NO_WARNING: BOOLEAN := FALSE; -- default to emit warnings --=========================Local Subprograms ================================= function MAX (LEFT, RIGHT: INTEGER) return INTEGER is begin if LEFT > RIGHT then return LEFT; else return RIGHT; end if; end MAX; function MIN (LEFT, RIGHT: INTEGER) return INTEGER is begin if LEFT < RIGHT then return LEFT; else return RIGHT; end if; end MIN; function SIGNED_NUM_BITS (ARG: INTEGER) return NATURAL is variable NBITS: NATURAL; variable N: NATURAL; begin if ARG >= 0 then N := ARG; else N := -(ARG+1); end if; NBITS := 1; while N > 0 loop NBITS := NBITS+1; N := N / 2; end loop; return NBITS; end SIGNED_NUM_BITS; function UNSIGNED_NUM_BITS (ARG: NATURAL) return NATURAL is variable NBITS: NATURAL; variable N: NATURAL; begin N := ARG; NBITS := 1; while N > 1 loop NBITS := NBITS+1; N := N / 2; end loop; return NBITS; end UNSIGNED_NUM_BITS; ------------------------------------------------------------------------------ -- this internal function computes the addition of two UNSIGNED -- with input carry -- * the two arguments are of the same length function ADD_UNSIGNED (L, R: UNSIGNED; C: BIT) return UNSIGNED is constant L_LEFT: INTEGER := L'LENGTH-1; alias XL: UNSIGNED(L_LEFT downto 0) is L; alias XR: UNSIGNED(L_LEFT downto 0) is R; variable RESULT: UNSIGNED(L_LEFT downto 0); variable CBIT: BIT := C; begin for I in 0 to L_LEFT loop RESULT(I) := CBIT xor XL(I) xor XR(I); CBIT := (CBIT and XL(I)) or (CBIT and XR(I)) or (XL(I) and XR(I)); end loop; return RESULT; end ADD_UNSIGNED; -- this internal function computes the addition of two SIGNED -- with input carry -- * the two arguments are of the same length function ADD_SIGNED (L, R: SIGNED; C: BIT) return SIGNED is constant L_LEFT: INTEGER := L'LENGTH-1; alias XL: SIGNED(L_LEFT downto 0) is L; alias XR: SIGNED(L_LEFT downto 0) is R; variable RESULT: SIGNED(L_LEFT downto 0); variable CBIT: BIT := C; begin for I in 0 to L_LEFT loop RESULT(I) := CBIT xor XL(I) xor XR(I); CBIT := (CBIT and XL(I)) or (CBIT and XR(I)) or (XL(I) and XR(I)); end loop; return RESULT; end ADD_SIGNED; ------------------------------------------------------------------------------ -- this internal procedure computes UNSIGNED division -- giving the quotient and remainder. procedure DIVMOD (NUM, XDENOM: UNSIGNED; XQUOT, XREMAIN: out UNSIGNED) is variable TEMP: UNSIGNED(NUM'LENGTH downto 0); variable QUOT: UNSIGNED(MAX(NUM'LENGTH, XDENOM'LENGTH)-1 downto 0); alias DENOM: UNSIGNED(XDENOM'LENGTH-1 downto 0) is XDENOM; variable TOPBIT: INTEGER; begin TEMP := "0"&NUM; QUOT := (others => '0'); TOPBIT := -1; for J in DENOM'RANGE loop if DENOM(J)='1' then TOPBIT := J; exit; end if; end loop; assert TOPBIT >= 0 report "DIV, MOD, or REM by zero" severity ERROR; for J in NUM'LENGTH-(TOPBIT+1) downto 0 loop if TEMP(TOPBIT+J+1 downto J) >= "0"&DENOM(TOPBIT downto 0) then TEMP(TOPBIT+J+1 downto J) := (TEMP(TOPBIT+J+1 downto J)) -("0"&DENOM(TOPBIT downto 0)); QUOT(J) := '1'; end if; assert TEMP(TOPBIT+J+1)='0' report "internal error in the division algorithm" severity ERROR; end loop; XQUOT := RESIZE(QUOT, XQUOT'LENGTH); XREMAIN := RESIZE(TEMP, XREMAIN'LENGTH); end DIVMOD; -----------------Local Subprograms - shift/rotate ops------------------------- function XSLL (ARG: BIT_VECTOR; COUNT: NATURAL) return BIT_VECTOR is constant ARG_L: INTEGER := ARG'LENGTH-1; alias XARG: BIT_VECTOR(ARG_L downto 0) is ARG; variable RESULT: BIT_VECTOR(ARG_L downto 0) := (others => '0'); begin if COUNT <= ARG_L then RESULT(ARG_L downto COUNT) := XARG(ARG_L-COUNT downto 0); end if; return RESULT; end XSLL; function XSRL (ARG: BIT_VECTOR; COUNT: NATURAL) return BIT_VECTOR is constant ARG_L: INTEGER := ARG'LENGTH-1; alias XARG: BIT_VECTOR(ARG_L downto 0) is ARG; variable RESULT: BIT_VECTOR(ARG_L downto 0) := (others => '0'); begin if COUNT <= ARG_L then RESULT(ARG_L-COUNT downto 0) := XARG(ARG_L downto COUNT); end if; return RESULT; end XSRL; function XSRA (ARG: BIT_VECTOR; COUNT: NATURAL) return BIT_VECTOR is constant ARG_L: INTEGER := ARG'LENGTH-1; alias XARG: BIT_VECTOR(ARG_L downto 0) is ARG; variable RESULT: BIT_VECTOR(ARG_L downto 0); variable XCOUNT: NATURAL := COUNT; begin if ((ARG'LENGTH <= 1) or (XCOUNT = 0)) then return ARG; else if (XCOUNT > ARG_L) then XCOUNT := ARG_L; end if; RESULT(ARG_L-XCOUNT downto 0) := XARG(ARG_L downto XCOUNT); RESULT(ARG_L downto (ARG_L - XCOUNT + 1)) := (others => XARG(ARG_L)); end if; return RESULT; end XSRA; function XROL (ARG: BIT_VECTOR; COUNT: NATURAL) return BIT_VECTOR is constant ARG_L: INTEGER := ARG'LENGTH-1; alias XARG: BIT_VECTOR(ARG_L downto 0) is ARG; variable RESULT: BIT_VECTOR(ARG_L downto 0) := XARG; variable COUNTM: INTEGER; begin COUNTM := COUNT mod (ARG_L + 1); if COUNTM /= 0 then RESULT(ARG_L downto COUNTM) := XARG(ARG_L-COUNTM downto 0); RESULT(COUNTM-1 downto 0) := XARG(ARG_L downto ARG_L-COUNTM+1); end if; return RESULT; end XROL; function XROR (ARG: BIT_VECTOR; COUNT: NATURAL) return BIT_VECTOR is constant ARG_L: INTEGER := ARG'LENGTH-1; alias XARG: BIT_VECTOR(ARG_L downto 0) is ARG; variable RESULT: BIT_VECTOR(ARG_L downto 0) := XARG; variable COUNTM: INTEGER; begin COUNTM := COUNT mod (ARG_L + 1); if COUNTM /= 0 then RESULT(ARG_L-COUNTM downto 0) := XARG(ARG_L downto COUNTM); RESULT(ARG_L downto ARG_L-COUNTM+1) := XARG(COUNTM-1 downto 0); end if; return RESULT; end XROR; ---------------- Local Subprograms - Relational Operators -------------------- -- General "=" for UNSIGNED vectors, same length -- function UNSIGNED_EQUAL (L, R: UNSIGNED) return BOOLEAN is begin return BIT_VECTOR(L) = BIT_VECTOR(R); end UNSIGNED_EQUAL; -- -- General "=" for SIGNED vectors, same length -- function SIGNED_EQUAL (L, R: SIGNED) return BOOLEAN is begin return BIT_VECTOR(L) = BIT_VECTOR(R); end SIGNED_EQUAL; -- -- General "<" for UNSIGNED vectors, same length -- function UNSIGNED_LESS (L, R: UNSIGNED) return BOOLEAN is begin return BIT_VECTOR(L) < BIT_VECTOR(R); end UNSIGNED_LESS; -- -- General "<" function for SIGNED vectors, same length -- function SIGNED_LESS (L, R: SIGNED) return BOOLEAN is -- Need aliases to assure index direction variable INTERN_L: SIGNED(0 to L'LENGTH-1); variable INTERN_R: SIGNED(0 to R'LENGTH-1); begin INTERN_L := L; INTERN_R := R; INTERN_L(0) := not INTERN_L(0); INTERN_R(0) := not INTERN_R(0); return BIT_VECTOR(INTERN_L) < BIT_VECTOR(INTERN_R); end SIGNED_LESS; -- -- General "<=" function for UNSIGNED vectors, same length -- function UNSIGNED_LESS_OR_EQUAL (L, R: UNSIGNED) return BOOLEAN is begin return BIT_VECTOR(L) <= BIT_VECTOR(R); end UNSIGNED_LESS_OR_EQUAL; -- -- General "<=" function for SIGNED vectors, same length -- function SIGNED_LESS_OR_EQUAL (L, R: SIGNED) return BOOLEAN is -- Need aliases to assure index direction variable INTERN_L: SIGNED(0 to L'LENGTH-1); variable INTERN_R: SIGNED(0 to R'LENGTH-1); begin INTERN_L := L; INTERN_R := R; INTERN_L(0) := not INTERN_L(0); INTERN_R(0) := not INTERN_R(0); return BIT_VECTOR(INTERN_L) <= BIT_VECTOR(INTERN_R); end SIGNED_LESS_OR_EQUAL; --====================== Exported Functions ================================== -- Id: A.1 function "abs" (ARG: SIGNED) return SIGNED is constant ARG_LEFT: INTEGER := ARG'LENGTH-1; variable RESULT: SIGNED(ARG_LEFT downto 0); begin if ARG'LENGTH < 1 then return NAS; end if; RESULT := ARG; if RESULT(RESULT'LEFT) = '1' then RESULT := -RESULT; end if; return RESULT; end "abs"; -- Id: A.2 function "-" (ARG: SIGNED) return SIGNED is constant ARG_LEFT: INTEGER := ARG'LENGTH-1; alias XARG: SIGNED(ARG_LEFT downto 0) is ARG; variable RESULT: SIGNED(ARG_LEFT downto 0); variable CBIT: BIT := '1'; begin if ARG'LENGTH < 1 then return NAS; end if; for I in 0 to RESULT'LEFT loop RESULT(I) := not(XARG(I)) xor CBIT; CBIT := CBIT and not(XARG(I)); end loop; return RESULT; end "-"; --============================================================================ -- Id: A.3 function "+" (L, R: UNSIGNED) return UNSIGNED is constant L_LEFT: INTEGER := L'LENGTH-1; constant R_LEFT: INTEGER := R'LENGTH-1; constant SIZE: NATURAL := MAX(L'LENGTH, R'LENGTH); begin if ((L'LENGTH < 1) or (R'LENGTH < 1)) then return NAU; end if; return ADD_UNSIGNED(RESIZE(L, SIZE), RESIZE(R, SIZE), '0'); end "+"; -- Id: A.4 function "+" (L, R: SIGNED) return SIGNED is constant L_LEFT: INTEGER := L'LENGTH-1; constant R_LEFT: INTEGER := R'LENGTH-1; constant SIZE: NATURAL := MAX(L'LENGTH, R'LENGTH); begin if ((L'LENGTH < 1) or (R'LENGTH < 1)) then return NAS; end if; return ADD_SIGNED(RESIZE(L, SIZE), RESIZE(R, SIZE), '0'); end "+"; -- Id: A.5 function "+" (L: UNSIGNED; R: NATURAL) return UNSIGNED is begin return L + TO_UNSIGNED(R, L'LENGTH); end "+"; -- Id: A.6 function "+" (L: NATURAL; R: UNSIGNED) return UNSIGNED is begin return TO_UNSIGNED(L, R'LENGTH) + R; end "+"; -- Id: A.7 function "+" (L: SIGNED; R: INTEGER) return SIGNED is begin return L + TO_SIGNED(R, L'LENGTH); end "+"; -- Id: A.8 function "+" (L: INTEGER; R: SIGNED) return SIGNED is begin return TO_SIGNED(L, R'LENGTH) + R; end "+"; --============================================================================ -- Id: A.9 function "-" (L, R: UNSIGNED) return UNSIGNED is constant L_LEFT: INTEGER := L'LENGTH-1; constant R_LEFT: INTEGER := R'LENGTH-1; constant SIZE: NATURAL := MAX(L'LENGTH, R'LENGTH); begin if ((L'LENGTH < 1) or (R'LENGTH < 1)) then return NAU; end if; return ADD_UNSIGNED(RESIZE(L, SIZE), not(RESIZE(R, SIZE)), '1'); end "-"; -- Id: A.10 function "-" (L, R: SIGNED) return SIGNED is constant L_LEFT: INTEGER := L'LENGTH-1; constant R_LEFT: INTEGER := R'LENGTH-1; constant SIZE: NATURAL := MAX(L'LENGTH, R'LENGTH); begin if ((L'LENGTH < 1) or (R'LENGTH < 1)) then return NAS; end if; return ADD_SIGNED(RESIZE(L, SIZE), not(RESIZE(R, SIZE)), '1'); end "-"; -- Id: A.11 function "-" (L: UNSIGNED; R: NATURAL) return UNSIGNED is begin return L - TO_UNSIGNED(R, L'LENGTH); end "-"; -- Id: A.12 function "-" (L: NATURAL; R: UNSIGNED) return UNSIGNED is begin return TO_UNSIGNED(L, R'LENGTH) - R; end "-"; -- Id: A.13 function "-" (L: SIGNED; R: INTEGER) return SIGNED is begin return L - TO_SIGNED(R, L'LENGTH); end "-"; -- Id: A.14 function "-" (L: INTEGER; R: SIGNED) return SIGNED is begin return TO_SIGNED(L, R'LENGTH) - R; end "-"; --============================================================================ -- Id: A.15 function "*" (L, R: UNSIGNED) return UNSIGNED is constant L_LEFT: INTEGER := L'LENGTH-1; constant R_LEFT: INTEGER := R'LENGTH-1; alias XL: UNSIGNED(L_LEFT downto 0) is L; alias XR: UNSIGNED(R_LEFT downto 0) is R; variable RESULT: UNSIGNED((L'LENGTH+R'LENGTH-1) downto 0) := (others => '0'); variable ADVAL: UNSIGNED((L'LENGTH+R'LENGTH-1) downto 0); begin if ((L'LENGTH < 1) or (R'LENGTH < 1)) then return NAU; end if; ADVAL := RESIZE(XR, RESULT'LENGTH); for I in 0 to L_LEFT loop if XL(I)='1' then RESULT := RESULT + ADVAL; end if; ADVAL := SHIFT_LEFT(ADVAL, 1); end loop; return RESULT; end "*"; -- Id: A.16 function "*" (L, R: SIGNED) return SIGNED is constant L_LEFT: INTEGER := L'LENGTH-1; constant R_LEFT: INTEGER := R'LENGTH-1; variable XL: SIGNED(L_LEFT downto 0); variable XR: SIGNED(R_LEFT downto 0); variable RESULT: SIGNED((L_LEFT+R_LEFT+1) downto 0) := (others => '0'); variable ADVAL: SIGNED((L_LEFT+R_LEFT+1) downto 0); begin if ((L_LEFT < 0) or (R_LEFT < 0)) then return NAS; end if; XL := L; XR := R; ADVAL := RESIZE(XR, RESULT'LENGTH); for I in 0 to L_LEFT-1 loop if XL(I)='1' then RESULT := RESULT + ADVAL; end if; ADVAL := SHIFT_LEFT(ADVAL, 1); end loop; if XL(L_LEFT)='1' then RESULT := RESULT - ADVAL; end if; return RESULT; end "*"; -- Id: A.17 function "*" (L: UNSIGNED; R: NATURAL) return UNSIGNED is begin return L * TO_UNSIGNED(R, L'LENGTH); end "*"; -- Id: A.18 function "*" (L: NATURAL; R: UNSIGNED) return UNSIGNED is begin return TO_UNSIGNED(L, R'LENGTH) * R; end "*"; -- Id: A.19 function "*" (L: SIGNED; R: INTEGER) return SIGNED is begin return L * TO_SIGNED(R, L'LENGTH); end "*"; -- Id: A.20 function "*" (L: INTEGER; R: SIGNED) return SIGNED is begin return TO_SIGNED(L, R'LENGTH) * R; end "*"; --============================================================================ -- Id: A.21 function "/" (L, R: UNSIGNED) return UNSIGNED is variable FQUOT: UNSIGNED(L'LENGTH-1 downto 0); variable FREMAIN: UNSIGNED(R'LENGTH-1 downto 0); begin if ((L'LENGTH < 1) or (R'LENGTH < 1)) then return NAU; end if; DIVMOD(L, R, FQUOT, FREMAIN); return FQUOT; end "/"; -- Id: A.22 function "/" (L, R: SIGNED) return SIGNED is variable FQUOT: UNSIGNED(L'LENGTH-1 downto 0); variable FREMAIN: UNSIGNED(R'LENGTH-1 downto 0); variable XNUM: UNSIGNED(L'LENGTH-1 downto 0); variable XDENOM: UNSIGNED(R'LENGTH-1 downto 0); variable QNEG: BOOLEAN := FALSE; begin if ((L'LENGTH < 1) or (R'LENGTH < 1)) then return NAS; end if; if L(L'LEFT)='1' then XNUM := UNSIGNED(-L); QNEG := TRUE; else XNUM := UNSIGNED(L); end if; if R(R'LEFT)='1' then XDENOM := UNSIGNED(-R); QNEG := not QNEG; else XDENOM := UNSIGNED(R); end if; DIVMOD(XNUM, XDENOM, FQUOT, FREMAIN); if QNEG then FQUOT := "0"-FQUOT; end if; return SIGNED(FQUOT); end "/"; -- Id: A.23 function "/" (L: UNSIGNED; R: NATURAL) return UNSIGNED is constant R_LENGTH: NATURAL := MAX(L'LENGTH, UNSIGNED_NUM_BITS(R)); variable XR, QUOT: UNSIGNED(R_LENGTH-1 downto 0); begin if (L'LENGTH < 1) then return NAU; end if; if (R_LENGTH > L'LENGTH) then QUOT := (others => '0'); return RESIZE(QUOT, L'LENGTH); end if; XR := TO_UNSIGNED(R, R_LENGTH); QUOT := RESIZE((L / XR), QUOT'LENGTH); return RESIZE(QUOT, L'LENGTH); end "/"; -- Id: A.24 function "/" (L: NATURAL; R: UNSIGNED) return UNSIGNED is constant L_LENGTH: NATURAL := MAX(UNSIGNED_NUM_BITS(L), R'LENGTH); variable XL, QUOT: UNSIGNED(L_LENGTH-1 downto 0); begin if (R'LENGTH < 1) then return NAU; end if; XL := TO_UNSIGNED(L, L_LENGTH); QUOT := RESIZE((XL / R), QUOT'LENGTH); if L_LENGTH > R'LENGTH and QUOT(L_LENGTH-1 downto R'LENGTH) /= (L_LENGTH-1 downto R'LENGTH => '0') then assert NO_WARNING report "NUMERIC_BIT.""/"": Quotient Truncated" severity WARNING; end if; return RESIZE(QUOT, R'LENGTH); end "/"; -- Id: A.25 function "/" (L: SIGNED; R: INTEGER) return SIGNED is constant R_LENGTH: NATURAL := MAX(L'LENGTH, SIGNED_NUM_BITS(R)); variable XR, QUOT: SIGNED(R_LENGTH-1 downto 0); begin if (L'LENGTH < 1) then return NAS; end if; if (R_LENGTH > L'LENGTH) then QUOT := (others => '0'); return RESIZE(QUOT, L'LENGTH); end if; XR := TO_SIGNED(R, R_LENGTH); QUOT := RESIZE((L / XR), QUOT'LENGTH); return RESIZE(QUOT, L'LENGTH); end "/"; -- Id: A.26 function "/" (L: INTEGER; R: SIGNED) return SIGNED is constant L_LENGTH: NATURAL := MAX(SIGNED_NUM_BITS(L), R'LENGTH); variable XL, QUOT: SIGNED(L_LENGTH-1 downto 0); begin if (R'LENGTH < 1) then return NAS; end if; XL := TO_SIGNED(L, L_LENGTH); QUOT := RESIZE((XL / R), QUOT'LENGTH); if L_LENGTH > R'LENGTH and QUOT(L_LENGTH-1 downto R'LENGTH) /= (L_LENGTH-1 downto R'LENGTH => QUOT(R'LENGTH-1)) then assert NO_WARNING report "NUMERIC_BIT.""/"": Quotient Truncated" severity WARNING; end if; return RESIZE(QUOT, R'LENGTH); end "/"; --============================================================================ -- Id: A.27 function "rem" (L, R: UNSIGNED) return UNSIGNED is variable FQUOT: UNSIGNED(L'LENGTH-1 downto 0); variable FREMAIN: UNSIGNED(R'LENGTH-1 downto 0); begin if ((L'LENGTH < 1) or (R'LENGTH < 1)) then return NAU; end if; DIVMOD(L, R, FQUOT, FREMAIN); return FREMAIN; end "rem"; -- Id: A.28 function "rem" (L, R: SIGNED) return SIGNED is variable FQUOT: UNSIGNED(L'LENGTH-1 downto 0); variable FREMAIN: UNSIGNED(R'LENGTH-1 downto 0); variable XNUM: UNSIGNED(L'LENGTH-1 downto 0); variable XDENOM: UNSIGNED(R'LENGTH-1 downto 0); variable RNEG: BOOLEAN := FALSE; begin if ((L'LENGTH < 1) or (R'LENGTH < 1)) then return NAS; end if; if L(L'LEFT)='1' then XNUM := UNSIGNED(-L); RNEG := TRUE; else XNUM := UNSIGNED(L); end if; if R(R'LEFT)='1' then XDENOM := UNSIGNED(-R); else XDENOM := UNSIGNED(R); end if; DIVMOD(XNUM, XDENOM, FQUOT, FREMAIN); if RNEG then FREMAIN := "0"-FREMAIN; end if; return SIGNED(FREMAIN); end "rem"; -- Id: A.29 function "rem" (L: UNSIGNED; R: NATURAL) return UNSIGNED is constant R_LENGTH: NATURAL := MAX(L'LENGTH, UNSIGNED_NUM_BITS(R)); variable XR, XREM: UNSIGNED(R_LENGTH-1 downto 0); begin if (L'LENGTH < 1) then return NAU; end if; XR := TO_UNSIGNED(R, R_LENGTH); XREM := RESIZE((L rem XR), XREM'LENGTH); if R_LENGTH > L'LENGTH and XREM(R_LENGTH-1 downto L'LENGTH) /= (R_LENGTH-1 downto L'LENGTH => '0') then assert NO_WARNING report "NUMERIC_BIT.""rem"": Remainder Truncated" severity WARNING; end if; return RESIZE(XREM, L'LENGTH); end "rem"; -- Id: A.30 function "rem" (L: NATURAL; R: UNSIGNED) return UNSIGNED is constant L_LENGTH: NATURAL := MAX(UNSIGNED_NUM_BITS(L), R'LENGTH); variable XL, XREM: UNSIGNED(L_LENGTH-1 downto 0); begin if (R'LENGTH < 1) then return NAU; end if; XL := TO_UNSIGNED(L, L_LENGTH); XREM := RESIZE((XL rem R), XREM'LENGTH); if L_LENGTH > R'LENGTH and XREM(L_LENGTH-1 downto R'LENGTH) /= (L_LENGTH-1 downto R'LENGTH => '0') then assert NO_WARNING report "NUMERIC_BIT.""rem"": Remainder Truncated" severity WARNING; end if; return RESIZE(XREM, R'LENGTH); end "rem"; -- Id: A.31 function "rem" (L: SIGNED; R: INTEGER) return SIGNED is constant R_LENGTH: NATURAL := MAX(L'LENGTH, SIGNED_NUM_BITS(R)); variable XR, XREM: SIGNED(R_LENGTH-1 downto 0); begin if (L'LENGTH < 1) then return NAS; end if; XR := TO_SIGNED(R, R_LENGTH); XREM := RESIZE((L rem XR), XREM'LENGTH); if R_LENGTH > L'LENGTH and XREM(R_LENGTH-1 downto L'LENGTH) /= (R_LENGTH-1 downto L'LENGTH => XREM(L'LENGTH-1)) then assert NO_WARNING report "NUMERIC_BIT.""rem"": Remainder Truncated" severity WARNING; end if; return RESIZE(XREM, L'LENGTH); end "rem"; -- Id: A.32 function "rem" (L: INTEGER; R: SIGNED) return SIGNED is constant L_LENGTH: NATURAL := MAX(SIGNED_NUM_BITS(L), R'LENGTH); variable XL, XREM: SIGNED(L_LENGTH-1 downto 0); begin if (R'LENGTH < 1) then return NAS; end if; XL := TO_SIGNED(L, L_LENGTH); XREM := RESIZE((XL rem R), XREM'LENGTH); if L_LENGTH > R'LENGTH and XREM(L_LENGTH-1 downto R'LENGTH) /= (L_LENGTH-1 downto R'LENGTH => XREM(R'LENGTH-1)) then assert NO_WARNING report "NUMERIC_BIT.""rem"": Remainder Truncated" severity WARNING; end if; return RESIZE(XREM, R'LENGTH); end "rem"; --============================================================================ -- Id: A.33 function "mod" (L, R: UNSIGNED) return UNSIGNED is variable FQUOT: UNSIGNED(L'LENGTH-1 downto 0); variable FREMAIN: UNSIGNED(R'LENGTH-1 downto 0); begin if ((L'LENGTH < 1) or (R'LENGTH < 1)) then return NAU; end if; DIVMOD(L, R, FQUOT, FREMAIN); return FREMAIN; end "mod"; -- Id: A.34 function "mod" (L, R: SIGNED) return SIGNED is variable FQUOT: UNSIGNED(L'LENGTH-1 downto 0); variable FREMAIN: UNSIGNED(R'LENGTH-1 downto 0); variable XNUM: UNSIGNED(L'LENGTH-1 downto 0); variable XDENOM: UNSIGNED(R'LENGTH-1 downto 0); variable RNEG: BOOLEAN := FALSE; begin if ((L'LENGTH < 1) or (R'LENGTH < 1)) then return NAS; end if; if L(L'LEFT)='1' then XNUM := UNSIGNED(-L); else XNUM := UNSIGNED(L); end if; if R(R'LEFT)='1' then XDENOM := UNSIGNED(-R); RNEG := TRUE; else XDENOM := UNSIGNED(R); end if; DIVMOD(XNUM, XDENOM, FQUOT, FREMAIN); if RNEG and L(L'LEFT)='1' then FREMAIN := "0"-FREMAIN; elsif RNEG and FREMAIN/="0" then FREMAIN := FREMAIN-XDENOM; elsif L(L'LEFT)='1' and FREMAIN/="0" then FREMAIN := XDENOM-FREMAIN; end if; return SIGNED(FREMAIN); end "mod"; -- Id: A.35 function "mod" (L: UNSIGNED; R: NATURAL) return UNSIGNED is constant R_LENGTH: NATURAL := MAX(L'LENGTH, UNSIGNED_NUM_BITS(R)); variable XR, XREM: UNSIGNED(R_LENGTH-1 downto 0); begin if (L'LENGTH < 1) then return NAU; end if; XR := TO_UNSIGNED(R, R_LENGTH); XREM := RESIZE((L mod XR), XREM'LENGTH); if R_LENGTH > L'LENGTH and XREM(R_LENGTH-1 downto L'LENGTH) /= (R_LENGTH-1 downto L'LENGTH => '0') then assert NO_WARNING report "NUMERIC_BIT.""mod"": modulus Truncated" severity WARNING; end if; return RESIZE(XREM, L'LENGTH); end "mod"; -- Id: A.36 function "mod" (L: NATURAL; R: UNSIGNED) return UNSIGNED is constant L_LENGTH: NATURAL := MAX(UNSIGNED_NUM_BITS(L), R'LENGTH); variable XL, XREM: UNSIGNED(L_LENGTH-1 downto 0); begin if (R'LENGTH < 1) then return NAU; end if; XL := TO_UNSIGNED(L, L_LENGTH); XREM := RESIZE((XL mod R), XREM'LENGTH); if L_LENGTH > R'LENGTH and XREM(L_LENGTH-1 downto R'LENGTH) /= (L_LENGTH-1 downto R'LENGTH => '0') then assert NO_WARNING report "NUMERIC_BIT.""mod"": modulus Truncated" severity WARNING; end if; return RESIZE(XREM, R'LENGTH); end "mod"; -- Id: A.37 function "mod" (L: SIGNED; R: INTEGER) return SIGNED is constant R_LENGTH: NATURAL := MAX(L'LENGTH, SIGNED_NUM_BITS(R)); variable XR, XREM: SIGNED(R_LENGTH-1 downto 0); begin if (L'LENGTH < 1) then return NAS; end if; XR := TO_SIGNED(R, R_LENGTH); XREM := RESIZE((L mod XR), XREM'LENGTH); if R_LENGTH > L'LENGTH and XREM(R_LENGTH-1 downto L'LENGTH) /= (R_LENGTH-1 downto L'LENGTH => XREM(L'LENGTH-1)) then assert NO_WARNING report "NUMERIC_BIT.""mod"": modulus Truncated" severity WARNING; end if; return RESIZE(XREM, L'LENGTH); end "mod"; -- Id: A.38 function "mod" (L: INTEGER; R: SIGNED) return SIGNED is constant L_LENGTH: NATURAL := MAX(SIGNED_NUM_BITS(L), R'LENGTH); variable XL, XREM: SIGNED(L_LENGTH-1 downto 0); begin if (R'LENGTH < 1) then return NAS; end if; XL := TO_SIGNED(L, L_LENGTH); XREM := RESIZE((XL mod R), XREM'LENGTH); if L_LENGTH > R'LENGTH and XREM(L_LENGTH-1 downto R'LENGTH) /= (L_LENGTH-1 downto R'LENGTH => XREM(R'LENGTH-1)) then assert NO_WARNING report "NUMERIC_BIT.""mod"": modulus Truncated" severity WARNING; end if; return RESIZE(XREM, R'LENGTH); end "mod"; --============================================================================ -- Id: C.1 function ">" (L, R: UNSIGNED) return BOOLEAN is variable SIZE: NATURAL := MAX(L'LENGTH, R'LENGTH); begin if ((L'LENGTH < 1) or (R'LENGTH < 1)) then assert NO_WARNING report "NUMERIC_BIT."">"": null argument detected, returning FALSE" severity WARNING; return FALSE; end if; return not UNSIGNED_LESS_OR_EQUAL(RESIZE(L, SIZE), RESIZE(R, SIZE)); end ">"; -- Id: C.2 function ">" (L, R: SIGNED) return BOOLEAN is variable SIZE: NATURAL := MAX(L'LENGTH, R'LENGTH); begin if ((L'LENGTH < 1) or (R'LENGTH < 1)) then assert NO_WARNING report "NUMERIC_BIT."">"": null argument detected, returning FALSE" severity WARNING; return FALSE; end if; return not SIGNED_LESS_OR_EQUAL(RESIZE(L, SIZE), RESIZE(R, SIZE)); end ">"; -- Id: C.3 function ">" (L: NATURAL; R: UNSIGNED) return BOOLEAN is begin if (R'LENGTH < 1) then assert NO_WARNING report "NUMERIC_BIT."">"": null argument detected, returning FALSE" severity WARNING; return FALSE; end if; if UNSIGNED_NUM_BITS(L) > R'LENGTH then return TRUE; end if; return not UNSIGNED_LESS_OR_EQUAL(TO_UNSIGNED(L, R'LENGTH), R); end ">"; -- Id: C.4 function ">" (L: INTEGER; R: SIGNED) return BOOLEAN is begin if (R'LENGTH < 1) then assert NO_WARNING report "NUMERIC_BIT."">"": null argument detected, returning FALSE" severity WARNING; return FALSE; end if; if SIGNED_NUM_BITS(L) > R'LENGTH then return L > 0; end if; return not SIGNED_LESS_OR_EQUAL(TO_SIGNED(L, R'LENGTH), R); end ">"; -- Id: C.5 function ">" (L: UNSIGNED; R: NATURAL) return BOOLEAN is begin if (L'LENGTH < 1) then assert NO_WARNING report "NUMERIC_BIT."">"": null argument detected, returning FALSE" severity WARNING; return FALSE; end if; if UNSIGNED_NUM_BITS(R) > L'LENGTH then return FALSE; end if; return not UNSIGNED_LESS_OR_EQUAL(L, TO_UNSIGNED(R, L'LENGTH)); end ">"; -- Id: C.6 function ">" (L: SIGNED; R: INTEGER) return BOOLEAN is begin if (L'LENGTH < 1) then assert NO_WARNING report "NUMERIC_BIT."">"": null argument detected, returning FALSE" severity WARNING; return FALSE; end if; if SIGNED_NUM_BITS(R) > L'LENGTH then return 0 > R; end if; return not SIGNED_LESS_OR_EQUAL(L, TO_SIGNED(R, L'LENGTH)); end ">"; --============================================================================ -- Id: C.7 function "<" (L, R: UNSIGNED) return BOOLEAN is variable SIZE: NATURAL := MAX(L'LENGTH, R'LENGTH); begin if ((L'LENGTH < 1) or (R'LENGTH < 1)) then assert NO_WARNING report "NUMERIC_BIT.""<"": null argument detected, returning FALSE" severity WARNING; return FALSE; end if; return UNSIGNED_LESS(RESIZE(L, SIZE), RESIZE(R, SIZE)); end "<"; -- Id: C.8 function "<" (L, R: SIGNED) return BOOLEAN is variable SIZE: NATURAL := MAX(L'LENGTH, R'LENGTH); begin if ((L'LENGTH < 1) or (R'LENGTH < 1)) then assert NO_WARNING report "NUMERIC_BIT.""<"": null argument detected, returning FALSE" severity WARNING; return FALSE; end if; return SIGNED_LESS(RESIZE(L, SIZE), RESIZE(R, SIZE)); end "<"; -- Id: C.9 function "<" (L: NATURAL; R: UNSIGNED) return BOOLEAN is begin if (R'LENGTH < 1) then assert NO_WARNING report "NUMERIC_BIT.""<"": null argument detected, returning FALSE" severity WARNING; return FALSE; end if; if UNSIGNED_NUM_BITS(L) > R'LENGTH then return L < 0; end if; return UNSIGNED_LESS(TO_UNSIGNED(L, R'LENGTH), R); end "<"; -- Id: C.10 function "<" (L: INTEGER; R: SIGNED) return BOOLEAN is begin if (R'LENGTH < 1) then assert NO_WARNING report "NUMERIC_BIT.""<"": null argument detected, returning FALSE" severity WARNING; return FALSE; end if; if SIGNED_NUM_BITS(L) > R'LENGTH then return L < 0; end if; return SIGNED_LESS(TO_SIGNED(L, R'LENGTH), R); end "<"; -- Id: C.11 function "<" (L: UNSIGNED; R: NATURAL) return BOOLEAN is begin if (L'LENGTH < 1) then assert NO_WARNING report "NUMERIC_BIT.""<"": null argument detected, returning FALSE" severity WARNING; return FALSE; end if; if UNSIGNED_NUM_BITS(R) > L'LENGTH then return 0 < R; end if; return UNSIGNED_LESS(L, TO_UNSIGNED(R, L'LENGTH)); end "<"; -- Id: C.12 function "<" (L: SIGNED; R: INTEGER) return BOOLEAN is begin if (L'LENGTH < 1) then assert NO_WARNING report "NUMERIC_BIT.""<"": null argument detected, returning FALSE" severity WARNING; return FALSE; end if; if SIGNED_NUM_BITS(R) > L'LENGTH then return 0 < R; end if; return SIGNED_LESS(L, TO_SIGNED(R, L'LENGTH)); end "<"; --============================================================================ -- Id: C.13 function "<=" (L, R: UNSIGNED) return BOOLEAN is variable SIZE: NATURAL := MAX(L'LENGTH, R'LENGTH); begin if ((L'LENGTH < 1) or (R'LENGTH < 1)) then assert NO_WARNING report "NUMERIC_BIT.""<="": null argument detected, returning FALSE" severity WARNING; return FALSE; end if; return UNSIGNED_LESS_OR_EQUAL(RESIZE(L, SIZE), RESIZE(R, SIZE)); end "<="; -- Id: C.14 function "<=" (L, R: SIGNED) return BOOLEAN is variable SIZE: NATURAL := MAX(L'LENGTH, R'LENGTH); begin if ((L'LENGTH < 1) or (R'LENGTH < 1)) then assert NO_WARNING report "NUMERIC_BIT.""<="": null argument detected, returning FALSE" severity WARNING; return FALSE; end if; return SIGNED_LESS_OR_EQUAL(RESIZE(L, SIZE), RESIZE(R, SIZE)); end "<="; -- Id: C.15 function "<=" (L: NATURAL; R: UNSIGNED) return BOOLEAN is begin if (R'LENGTH < 1) then assert NO_WARNING report "NUMERIC_BIT.""<="": null argument detected, returning FALSE" severity WARNING; return FALSE; end if; if UNSIGNED_NUM_BITS(L) > R'LENGTH then return L < 0; end if; return UNSIGNED_LESS_OR_EQUAL(TO_UNSIGNED(L, R'LENGTH), R); end "<="; -- Id: C.16 function "<=" (L: INTEGER; R: SIGNED) return BOOLEAN is begin if (R'LENGTH < 1) then assert NO_WARNING report "NUMERIC_BIT.""<="": null argument detected, returning FALSE" severity WARNING; return FALSE; end if; if SIGNED_NUM_BITS(L) > R'LENGTH then return L < 0; end if; return SIGNED_LESS_OR_EQUAL(TO_SIGNED(L, R'LENGTH), R); end "<="; -- Id: C.17 function "<=" (L: UNSIGNED; R: NATURAL) return BOOLEAN is begin if (L'LENGTH < 1) then assert NO_WARNING report "NUMERIC_BIT.""<="": null argument detected, returning FALSE" severity WARNING; return FALSE; end if; if UNSIGNED_NUM_BITS(R) > L'LENGTH then return 0 < R; end if; return UNSIGNED_LESS_OR_EQUAL(L, TO_UNSIGNED(R, L'LENGTH)); end "<="; -- Id: C.18 function "<=" (L: SIGNED; R: INTEGER) return BOOLEAN is begin if (L'LENGTH < 1) then assert NO_WARNING report "NUMERIC_BIT.""<="": null argument detected, returning FALSE" severity WARNING; return FALSE; end if; if SIGNED_NUM_BITS(R) > L'LENGTH then return 0 < R; end if; return SIGNED_LESS_OR_EQUAL(L, TO_SIGNED(R, L'LENGTH)); end "<="; --============================================================================ -- Id: C.19 function ">=" (L, R: UNSIGNED) return BOOLEAN is variable SIZE: NATURAL := MAX(L'LENGTH, R'LENGTH); begin if ((L'LENGTH < 1) or (R'LENGTH < 1)) then assert NO_WARNING report "NUMERIC_BIT."">="": null argument detected, returning FALSE" severity WARNING; return FALSE; end if; return not UNSIGNED_LESS(RESIZE(L, SIZE), RESIZE(R, SIZE)); end ">="; -- Id: C.20 function ">=" (L, R: SIGNED) return BOOLEAN is variable SIZE: NATURAL := MAX(L'LENGTH, R'LENGTH); begin if ((L'LENGTH < 1) or (R'LENGTH < 1)) then assert NO_WARNING report "NUMERIC_BIT."">="": null argument detected, returning FALSE" severity WARNING; return FALSE; end if; return not SIGNED_LESS(RESIZE(L, SIZE), RESIZE(R, SIZE)); end ">="; -- Id: C.21 function ">=" (L: NATURAL; R: UNSIGNED) return BOOLEAN is begin if (R'LENGTH < 1) then assert NO_WARNING report "NUMERIC_BIT."">="": null argument detected, returning FALSE" severity WARNING; return FALSE; end if; if UNSIGNED_NUM_BITS(L) > R'LENGTH then return L > 0; end if; return not UNSIGNED_LESS(TO_UNSIGNED(L, R'LENGTH), R); end ">="; -- Id: C.22 function ">=" (L: INTEGER; R: SIGNED) return BOOLEAN is begin if (R'LENGTH < 1) then assert NO_WARNING report "NUMERIC_BIT."">="": null argument detected, returning FALSE" severity WARNING; return FALSE; end if; if SIGNED_NUM_BITS(L) > R'LENGTH then return L > 0; end if; return not SIGNED_LESS(TO_SIGNED(L, R'LENGTH), R); end ">="; -- Id: C.23 function ">=" (L: UNSIGNED; R: NATURAL) return BOOLEAN is begin if (L'LENGTH < 1) then assert NO_WARNING report "NUMERIC_BIT."">="": null argument detected, returning FALSE" severity WARNING; return FALSE; end if; if UNSIGNED_NUM_BITS(R) > L'LENGTH then return 0 > R; end if; return not UNSIGNED_LESS(L, TO_UNSIGNED(R, L'LENGTH)); end ">="; -- Id: C.24 function ">=" (L: SIGNED; R: INTEGER) return BOOLEAN is begin if (L'LENGTH < 1) then assert NO_WARNING report "NUMERIC_BIT."">="": null argument detected, returning FALSE" severity WARNING; return FALSE; end if; if SIGNED_NUM_BITS(R) > L'LENGTH then return 0 > R; end if; return not SIGNED_LESS(L, TO_SIGNED(R, L'LENGTH)); end ">="; --============================================================================ -- Id: C.25 function "=" (L, R: UNSIGNED) return BOOLEAN is variable SIZE: NATURAL := MAX(L'LENGTH, R'LENGTH); begin if ((L'LENGTH < 1) or (R'LENGTH < 1)) then assert NO_WARNING report "NUMERIC_BIT.""="": null argument detected, returning FALSE" severity WARNING; return FALSE; end if; return UNSIGNED_EQUAL(RESIZE(L, SIZE), RESIZE(R, SIZE)); end "="; -- Id: C.26 function "=" (L, R: SIGNED) return BOOLEAN is variable SIZE: NATURAL := MAX(L'LENGTH, R'LENGTH); begin if ((L'LENGTH < 1) or (R'LENGTH < 1)) then assert NO_WARNING report "NUMERIC_BIT.""="": null argument detected, returning FALSE" severity WARNING; return FALSE; end if; return SIGNED_EQUAL(RESIZE(L, SIZE), RESIZE(R, SIZE)); end "="; -- Id: C.27 function "=" (L: NATURAL; R: UNSIGNED) return BOOLEAN is begin if (R'LENGTH < 1) then assert NO_WARNING report "NUMERIC_BIT.""="": null argument detected, returning FALSE" severity WARNING; return FALSE; end if; if UNSIGNED_NUM_BITS(L) > R'LENGTH then return FALSE; end if; return UNSIGNED_EQUAL(TO_UNSIGNED(L, R'LENGTH), R); end "="; -- Id: C.28 function "=" (L: INTEGER; R: SIGNED) return BOOLEAN is begin if (R'LENGTH < 1) then assert NO_WARNING report "NUMERIC_BIT.""="": null argument detected, returning FALSE" severity WARNING; return FALSE; end if; if SIGNED_NUM_BITS(L) > R'LENGTH then return FALSE; end if; return SIGNED_EQUAL(TO_SIGNED(L, R'LENGTH), R); end "="; -- Id: C.29 function "=" (L: UNSIGNED; R: NATURAL) return BOOLEAN is begin if (L'LENGTH < 1) then assert NO_WARNING report "NUMERIC_BIT.""="": null argument detected, returning FALSE" severity WARNING; return FALSE; end if; if UNSIGNED_NUM_BITS(R) > L'LENGTH then return FALSE; end if; return UNSIGNED_EQUAL(L, TO_UNSIGNED(R, L'LENGTH)); end "="; -- Id: C.30 function "=" (L: SIGNED; R: INTEGER) return BOOLEAN is begin if (L'LENGTH < 1) then assert NO_WARNING report "NUMERIC_BIT.""="": null argument detected, returning FALSE" severity WARNING; return FALSE; end if; if SIGNED_NUM_BITS(R) > L'LENGTH then return FALSE; end if; return SIGNED_EQUAL(L, TO_SIGNED(R, L'LENGTH)); end "="; --============================================================================ -- Id: C.31 function "/=" (L, R: UNSIGNED) return BOOLEAN is variable SIZE: NATURAL := MAX(L'LENGTH, R'LENGTH); begin if ((L'LENGTH < 1) or (R'LENGTH < 1)) then assert NO_WARNING report "NUMERIC_BIT.""/="": null argument detected, returning TRUE" severity WARNING; return TRUE; end if; return not(UNSIGNED_EQUAL(RESIZE(L, SIZE), RESIZE(R, SIZE))); end "/="; -- Id: C.32 function "/=" (L, R: SIGNED) return BOOLEAN is variable SIZE: NATURAL := MAX(L'LENGTH, R'LENGTH); begin if ((L'LENGTH < 1) or (R'LENGTH < 1)) then assert NO_WARNING report "NUMERIC_BIT.""/="": null argument detected, returning TRUE" severity WARNING; return TRUE; end if; return not(SIGNED_EQUAL(RESIZE(L, SIZE), RESIZE(R, SIZE))); end "/="; -- Id: C.33 function "/=" (L: NATURAL; R: UNSIGNED) return BOOLEAN is begin if (R'LENGTH < 1) then assert NO_WARNING report "NUMERIC_BIT.""/="": null argument detected, returning TRUE" severity WARNING; return TRUE; end if; if UNSIGNED_NUM_BITS(L) > R'LENGTH then return TRUE; end if; return not(UNSIGNED_EQUAL(TO_UNSIGNED(L, R'LENGTH), R)); end "/="; -- Id: C.34 function "/=" (L: INTEGER; R: SIGNED) return BOOLEAN is begin if (R'LENGTH < 1) then assert NO_WARNING report "NUMERIC_BIT.""/="": null argument detected, returning TRUE" severity WARNING; return TRUE; end if; if SIGNED_NUM_BITS(L) > R'LENGTH then return TRUE; end if; return not(SIGNED_EQUAL(TO_SIGNED(L, R'LENGTH), R)); end "/="; -- Id: C.35 function "/=" (L: UNSIGNED; R: NATURAL) return BOOLEAN is begin if (L'LENGTH < 1) then assert NO_WARNING report "NUMERIC_BIT.""/="": null argument detected, returning TRUE" severity WARNING; return TRUE; end if; if UNSIGNED_NUM_BITS(R) > L'LENGTH then return TRUE; end if; return not(UNSIGNED_EQUAL(L, TO_UNSIGNED(R, L'LENGTH))); end "/="; -- Id: C.36 function "/=" (L: SIGNED; R: INTEGER) return BOOLEAN is begin if (L'LENGTH < 1) then assert NO_WARNING report "NUMERIC_BIT.""/="": null argument detected, returning TRUE" severity WARNING; return TRUE; end if; if SIGNED_NUM_BITS(R) > L'LENGTH then return TRUE; end if; return not(SIGNED_EQUAL(L, TO_SIGNED(R, L'LENGTH))); end "/="; --============================================================================ -- Id: S.1 function SHIFT_LEFT (ARG: UNSIGNED; COUNT: NATURAL) return UNSIGNED is begin if (ARG'LENGTH < 1) then return NAU; end if; return UNSIGNED(XSLL(BIT_VECTOR(ARG), COUNT)); end SHIFT_LEFT; -- Id: S.2 function SHIFT_RIGHT (ARG: UNSIGNED; COUNT: NATURAL) return UNSIGNED is begin if (ARG'LENGTH < 1) then return NAU; end if; return UNSIGNED(XSRL(BIT_VECTOR(ARG), COUNT)); end SHIFT_RIGHT; -- Id: S.3 function SHIFT_LEFT (ARG: SIGNED; COUNT: NATURAL) return SIGNED is begin if (ARG'LENGTH < 1) then return NAS; end if; return SIGNED(XSLL(BIT_VECTOR(ARG), COUNT)); end SHIFT_LEFT; -- Id: S.4 function SHIFT_RIGHT (ARG: SIGNED; COUNT: NATURAL) return SIGNED is begin if (ARG'LENGTH < 1) then return NAS; end if; return SIGNED(XSRA(BIT_VECTOR(ARG), COUNT)); end SHIFT_RIGHT; --============================================================================ -- Id: S.5 function ROTATE_LEFT (ARG: UNSIGNED; COUNT: NATURAL) return UNSIGNED is begin if (ARG'LENGTH < 1) then return NAU; end if; return UNSIGNED(XROL(BIT_VECTOR(ARG), COUNT)); end ROTATE_LEFT; -- Id: S.6 function ROTATE_RIGHT (ARG: UNSIGNED; COUNT: NATURAL) return UNSIGNED is begin if (ARG'LENGTH < 1) then return NAU; end if; return UNSIGNED(XROR(BIT_VECTOR(ARG), COUNT)); end ROTATE_RIGHT; -- Id: S.7 function ROTATE_LEFT (ARG: SIGNED; COUNT: NATURAL) return SIGNED is begin if (ARG'LENGTH < 1) then return NAS; end if; return SIGNED(XROL(BIT_VECTOR(ARG), COUNT)); end ROTATE_LEFT; -- Id: S.8 function ROTATE_RIGHT (ARG: SIGNED; COUNT: NATURAL) return SIGNED is begin if (ARG'LENGTH < 1) then return NAS; end if; return SIGNED(XROR(BIT_VECTOR(ARG), COUNT)); end ROTATE_RIGHT; --============================================================================ --START-V93 ------------------------------------------------------------------------------ -- Note : Function S.9 is not compatible with VHDL 1076-1987. Comment -- out the function (declaration and body) for VHDL 1076-1987 compatibility. ------------------------------------------------------------------------------ -- Id: S.9 function "sll" (ARG: UNSIGNED; COUNT: INTEGER) return UNSIGNED is begin if (COUNT >= 0) then return SHIFT_LEFT(ARG, COUNT); else return SHIFT_RIGHT(ARG, -COUNT); end if; end "sll"; ------------------------------------------------------------------------------ -- Note : Function S.10 is not compatible with VHDL 1076-1987. Comment -- out the function (declaration and body) for VHDL 1076-1987 compatibility. ------------------------------------------------------------------------------ -- Id: S.10 function "sll" (ARG: SIGNED; COUNT: INTEGER) return SIGNED is begin if (COUNT >= 0) then return SHIFT_LEFT(ARG, COUNT); else return SIGNED(SHIFT_RIGHT(UNSIGNED(ARG), -COUNT)); end if; end "sll"; ------------------------------------------------------------------------------ -- Note : Function S.11 is not compatible with VHDL 1076-1987. Comment -- out the function (declaration and body) for VHDL 1076-1987 compatibility. ------------------------------------------------------------------------------ -- Id: S.11 function "srl" (ARG: UNSIGNED; COUNT: INTEGER) return UNSIGNED is begin if (COUNT >= 0) then return SHIFT_RIGHT(ARG, COUNT); else return SHIFT_LEFT(ARG, -COUNT); end if; end "srl"; ------------------------------------------------------------------------------ -- Note : Function S.12 is not compatible with VHDL 1076-1987. Comment -- out the function (declaration and body) for VHDL 1076-1987 compatibility. ------------------------------------------------------------------------------ -- Id: S.12 function "srl" (ARG: SIGNED; COUNT: INTEGER) return SIGNED is begin if (COUNT >= 0) then return SIGNED(SHIFT_RIGHT(UNSIGNED(ARG), COUNT)); else return SHIFT_LEFT(ARG, -COUNT); end if; end "srl"; ------------------------------------------------------------------------------ -- Note : Function S.13 is not compatible with VHDL 1076-1987. Comment -- out the function (declaration and body) for VHDL 1076-1987 compatibility. ------------------------------------------------------------------------------ -- Id: S.13 function "rol" (ARG: UNSIGNED; COUNT: INTEGER) return UNSIGNED is begin if (COUNT >= 0) then return ROTATE_LEFT(ARG, COUNT); else return ROTATE_RIGHT(ARG, -COUNT); end if; end "rol"; ------------------------------------------------------------------------------ -- Note : Function S.14 is not compatible with VHDL 1076-1987. Comment -- out the function (declaration and body) for VHDL 1076-1987 compatibility. ------------------------------------------------------------------------------ -- Id: S.14 function "rol" (ARG: SIGNED; COUNT: INTEGER) return SIGNED is begin if (COUNT >= 0) then return ROTATE_LEFT(ARG, COUNT); else return ROTATE_RIGHT(ARG, -COUNT); end if; end "rol"; ------------------------------------------------------------------------------ -- Note : Function S.15 is not compatible with VHDL 1076-1987. Comment -- out the function (declaration and body) for VHDL 1076-1987 compatibility. ------------------------------------------------------------------------------ -- Id: S.15 function "ror" (ARG: UNSIGNED; COUNT: INTEGER) return UNSIGNED is begin if (COUNT >= 0) then return ROTATE_RIGHT(ARG, COUNT); else return ROTATE_LEFT(ARG, -COUNT); end if; end "ror"; ------------------------------------------------------------------------------ -- Note : Function S.16 is not compatible with VHDL 1076-1987. Comment -- out the function (declaration and body) for VHDL 1076-1987 compatibility. ------------------------------------------------------------------------------ -- Id: S.16 function "ror" (ARG: SIGNED; COUNT: INTEGER) return SIGNED is begin if (COUNT >= 0) then return ROTATE_RIGHT(ARG, COUNT); else return ROTATE_LEFT(ARG, -COUNT); end if; end "ror"; --END-V93 --============================================================================ -- Id: D.1 function TO_INTEGER (ARG: UNSIGNED) return NATURAL is constant ARG_LEFT: INTEGER := ARG'LENGTH-1; alias XARG: UNSIGNED(ARG_LEFT downto 0) is ARG; variable RESULT: NATURAL := 0; begin if (ARG'LENGTH < 1) then assert NO_WARNING report "NUMERIC_BIT.TO_INTEGER: null detected, returning 0" severity WARNING; return 0; end if; for I in XARG'RANGE loop RESULT := RESULT+RESULT; if XARG(I) = '1' then RESULT := RESULT + 1; end if; end loop; return RESULT; end TO_INTEGER; -- Id: D.2 function TO_INTEGER (ARG: SIGNED) return INTEGER is begin if (ARG'LENGTH < 1) then assert NO_WARNING report "NUMERIC_BIT.TO_INTEGER: null detected, returning 0" severity WARNING; return 0; end if; if ARG(ARG'LEFT) = '0' then return TO_INTEGER(UNSIGNED(ARG)); else return (- (TO_INTEGER(UNSIGNED(- (ARG + 1)))) -1); end if; end TO_INTEGER; -- Id: D.3 function TO_UNSIGNED (ARG, SIZE: NATURAL) return UNSIGNED is variable RESULT: UNSIGNED(SIZE-1 downto 0); variable I_VAL: NATURAL := ARG; begin if (SIZE < 1) then return NAU; end if; for I in 0 to RESULT'LEFT loop if (I_VAL mod 2) = 0 then RESULT(I) := '0'; else RESULT(I) := '1'; end if; I_VAL := I_VAL/2; end loop; if not(I_VAL =0) then assert NO_WARNING report "NUMERIC_BIT.TO_UNSIGNED: vector truncated" severity WARNING; end if; return RESULT; end TO_UNSIGNED; -- Id: D.4 function TO_SIGNED (ARG: INTEGER; SIZE: NATURAL) return SIGNED is variable RESULT: SIGNED(SIZE-1 downto 0); variable B_VAL: BIT := '0'; variable I_VAL: INTEGER := ARG; begin if (SIZE < 1) then return NAS; end if; if (ARG < 0) then B_VAL := '1'; I_VAL := -(ARG+1); end if; for I in 0 to RESULT'LEFT loop if (I_VAL mod 2) = 0 then RESULT(I) := B_VAL; else RESULT(I) := not B_VAL; end if; I_VAL := I_VAL/2; end loop; if ((I_VAL/=0) or (B_VAL/=RESULT(RESULT'LEFT))) then assert NO_WARNING report "NUMERIC_BIT.TO_SIGNED: vector truncated" severity WARNING; end if; return RESULT; end TO_SIGNED; --============================================================================ -- Id: R.1 function RESIZE (ARG: SIGNED; NEW_SIZE: NATURAL) return SIGNED is alias INVEC: SIGNED(ARG'LENGTH-1 downto 0) is ARG; variable RESULT: SIGNED(NEW_SIZE-1 downto 0) := (others => '0'); constant BOUND: INTEGER := MIN(ARG'LENGTH, RESULT'LENGTH)-2; begin if (NEW_SIZE < 1) then return NAS; end if; if (ARG'LENGTH = 0) then return RESULT; end if; RESULT := (others => ARG(ARG'LEFT)); if BOUND >= 0 then RESULT(BOUND downto 0) := INVEC(BOUND downto 0); end if; return RESULT; end RESIZE; -- Id: R.2 function RESIZE (ARG: UNSIGNED; NEW_SIZE: NATURAL) return UNSIGNED is constant ARG_LEFT: INTEGER := ARG'LENGTH-1; alias XARG: UNSIGNED(ARG_LEFT downto 0) is ARG; variable RESULT: UNSIGNED(NEW_SIZE-1 downto 0) := (others => '0'); begin if (NEW_SIZE < 1) then return NAU; end if; if XARG'LENGTH =0 then return RESULT; end if; if (RESULT'LENGTH < ARG'LENGTH) then RESULT(RESULT'LEFT downto 0) := XARG(RESULT'LEFT downto 0); else RESULT(RESULT'LEFT downto XARG'LEFT+1) := (others => '0'); RESULT(XARG'LEFT downto 0) := XARG; end if; return RESULT; end RESIZE; --============================================================================ -- Id: L.1 function "not" (L: UNSIGNED) return UNSIGNED is variable RESULT: UNSIGNED(L'LENGTH-1 downto 0); begin RESULT := UNSIGNED(not(BIT_VECTOR(L))); return RESULT; end "not"; -- Id: L.2 function "and" (L, R: UNSIGNED) return UNSIGNED is variable RESULT: UNSIGNED(L'LENGTH-1 downto 0); begin RESULT := UNSIGNED(BIT_VECTOR(L) and BIT_VECTOR(R)); return RESULT; end "and"; -- Id: L.3 function "or" (L, R: UNSIGNED) return UNSIGNED is variable RESULT: UNSIGNED(L'LENGTH-1 downto 0); begin RESULT := UNSIGNED(BIT_VECTOR(L) or BIT_VECTOR(R)); return RESULT; end "or"; -- Id: L.4 function "nand" (L, R: UNSIGNED) return UNSIGNED is variable RESULT: UNSIGNED(L'LENGTH-1 downto 0); begin RESULT := UNSIGNED(BIT_VECTOR(L) nand BIT_VECTOR(R)); return RESULT; end "nand"; -- Id: L.5 function "nor" (L, R: UNSIGNED) return UNSIGNED is variable RESULT: UNSIGNED(L'LENGTH-1 downto 0); begin RESULT := UNSIGNED(BIT_VECTOR(L) nor BIT_VECTOR(R)); return RESULT; end "nor"; -- Id: L.6 function "xor" (L, R: UNSIGNED) return UNSIGNED is variable RESULT: UNSIGNED(L'LENGTH-1 downto 0); begin RESULT := UNSIGNED(BIT_VECTOR(L) xor BIT_VECTOR(R)); return RESULT; end "xor"; --START-V93 ------------------------------------------------------------------------------ -- Note : Function L.7 is not compatible with VHDL 1076-1987. Comment -- out the function (declaration and body) for VHDL 1076-1987 compatibility. ------------------------------------------------------------------------------ -- Id: L.7 function "xnor" (L, R: UNSIGNED) return UNSIGNED is variable RESULT: UNSIGNED(L'LENGTH-1 downto 0); begin RESULT := UNSIGNED(BIT_VECTOR(L) xnor BIT_VECTOR(R)); return RESULT; end "xnor"; --END-V93 -- Id: L.8 function "not" (L: SIGNED) return SIGNED is variable RESULT: SIGNED(L'LENGTH-1 downto 0); begin RESULT := SIGNED(not(BIT_VECTOR(L))); return RESULT; end "not"; -- Id: L.9 function "and" (L, R: SIGNED) return SIGNED is variable RESULT: SIGNED(L'LENGTH-1 downto 0); begin RESULT := SIGNED(BIT_VECTOR(L) and BIT_VECTOR(R)); return RESULT; end "and"; -- Id: L.10 function "or" (L, R: SIGNED) return SIGNED is variable RESULT: SIGNED(L'LENGTH-1 downto 0); begin RESULT := SIGNED(BIT_VECTOR(L) or BIT_VECTOR(R)); return RESULT; end "or"; -- Id: L.11 function "nand" (L, R: SIGNED) return SIGNED is variable RESULT: SIGNED(L'LENGTH-1 downto 0); begin RESULT := SIGNED(BIT_VECTOR(L) nand BIT_VECTOR(R)); return RESULT; end "nand"; -- Id: L.12 function "nor" (L, R: SIGNED) return SIGNED is variable RESULT: SIGNED(L'LENGTH-1 downto 0); begin RESULT := SIGNED(BIT_VECTOR(L) nor BIT_VECTOR(R)); return RESULT; end "nor"; -- Id: L.13 function "xor" (L, R: SIGNED) return SIGNED is variable RESULT: SIGNED(L'LENGTH-1 downto 0); begin RESULT := SIGNED(BIT_VECTOR(L) xor BIT_VECTOR(R)); return RESULT; end "xor"; --START-V93 ------------------------------------------------------------------------------ -- Note : Function L.14 is not compatible with VHDL 1076-1987. Comment -- out the function (declaration and body) for VHDL 1076-1987 compatibility. ------------------------------------------------------------------------------ -- Id: L.14 function "xnor" (L, R: SIGNED) return SIGNED is variable RESULT: SIGNED(L'LENGTH-1 downto 0); begin RESULT := SIGNED(BIT_VECTOR(L) xnor BIT_VECTOR(R)); return RESULT; end "xnor"; --END-V93 --============================================================================ -- Id: E.1 function RISING_EDGE (signal S: BIT) return BOOLEAN is begin return S'EVENT and S = '1'; end RISING_EDGE; -- Id: E.2 function FALLING_EDGE (signal S: BIT) return BOOLEAN is begin return S'EVENT and S = '0'; end FALLING_EDGE; --============================================================================ end NUMERIC_BIT;
-- ----------------------------------------------------------------------------- -- -- Copyright 1995 by IEEE. All rights reserved. -- -- This source file is considered by the IEEE to be an essential part of the use -- of the standard 1076.3 and as such may be distributed without change, except -- as permitted by the standard. This source file may not be sold or distributed -- for profit. This package may be modified to include additional data required -- by tools, but must in no way change the external interfaces or simulation -- behaviour of the description. It is permissible to add comments and/or -- attributes to the package declarations, but not to change or delete any -- original lines of the approved package declaration. The package body may be -- changed only in accordance with the terms of clauses 7.1 and 7.2 of the -- standard. -- -- Title : Standard VHDL Synthesis Package (1076.3, NUMERIC_BIT) -- -- Library : This package shall be compiled into a library symbolically -- : named IEEE. -- -- Developers : IEEE DASC Synthesis Working Group, PAR 1076.3 -- -- Purpose : This package defines numeric types and arithmetic functions -- : for use with synthesis tools. Two numeric types are defined: -- : -- > UNSIGNED: represents an UNSIGNED number in vector form -- : -- > SIGNED: represents a SIGNED number in vector form -- : The base element type is type BIT. -- : The leftmost bit is treated as the most significant bit. -- : Signed vectors are represented in two's complement form. -- : This package contains overloaded arithmetic operators on -- : the SIGNED and UNSIGNED types. The package also contains -- : useful type conversions functions, clock detection -- : functions, and other utility functions. -- : -- : If any argument to a function is a null array, a null array is -- : returned (exceptions, if any, are noted individually). -- -- Limitation : -- -- Note : No declarations or definitions shall be included in, -- : or excluded from this package. The "package declaration" -- : defines the types, subtypes and declarations of -- : NUMERIC_BIT. The NUMERIC_BIT package body shall be -- : considered the formal definition of the semantics of -- : this package. Tool developers may choose to implement -- : the package body in the most efficient manner available -- : to them. -- : -- ----------------------------------------------------------------------------- -- Version : 2.4 -- Date : 12 April 1995 -- ----------------------------------------------------------------------------- --============================================================================== --======================= Package Body ========================================= --============================================================================== package body NUMERIC_BIT is -- null range array constants constant NAU: UNSIGNED(0 downto 1) := (others => '0'); constant NAS: SIGNED(0 downto 1) := (others => '0'); -- implementation controls constant NO_WARNING: BOOLEAN := FALSE; -- default to emit warnings --=========================Local Subprograms ================================= function MAX (LEFT, RIGHT: INTEGER) return INTEGER is begin if LEFT > RIGHT then return LEFT; else return RIGHT; end if; end MAX; function MIN (LEFT, RIGHT: INTEGER) return INTEGER is begin if LEFT < RIGHT then return LEFT; else return RIGHT; end if; end MIN; function SIGNED_NUM_BITS (ARG: INTEGER) return NATURAL is variable NBITS: NATURAL; variable N: NATURAL; begin if ARG >= 0 then N := ARG; else N := -(ARG+1); end if; NBITS := 1; while N > 0 loop NBITS := NBITS+1; N := N / 2; end loop; return NBITS; end SIGNED_NUM_BITS; function UNSIGNED_NUM_BITS (ARG: NATURAL) return NATURAL is variable NBITS: NATURAL; variable N: NATURAL; begin N := ARG; NBITS := 1; while N > 1 loop NBITS := NBITS+1; N := N / 2; end loop; return NBITS; end UNSIGNED_NUM_BITS; ------------------------------------------------------------------------------ -- this internal function computes the addition of two UNSIGNED -- with input carry -- * the two arguments are of the same length function ADD_UNSIGNED (L, R: UNSIGNED; C: BIT) return UNSIGNED is constant L_LEFT: INTEGER := L'LENGTH-1; alias XL: UNSIGNED(L_LEFT downto 0) is L; alias XR: UNSIGNED(L_LEFT downto 0) is R; variable RESULT: UNSIGNED(L_LEFT downto 0); variable CBIT: BIT := C; begin for I in 0 to L_LEFT loop RESULT(I) := CBIT xor XL(I) xor XR(I); CBIT := (CBIT and XL(I)) or (CBIT and XR(I)) or (XL(I) and XR(I)); end loop; return RESULT; end ADD_UNSIGNED; -- this internal function computes the addition of two SIGNED -- with input carry -- * the two arguments are of the same length function ADD_SIGNED (L, R: SIGNED; C: BIT) return SIGNED is constant L_LEFT: INTEGER := L'LENGTH-1; alias XL: SIGNED(L_LEFT downto 0) is L; alias XR: SIGNED(L_LEFT downto 0) is R; variable RESULT: SIGNED(L_LEFT downto 0); variable CBIT: BIT := C; begin for I in 0 to L_LEFT loop RESULT(I) := CBIT xor XL(I) xor XR(I); CBIT := (CBIT and XL(I)) or (CBIT and XR(I)) or (XL(I) and XR(I)); end loop; return RESULT; end ADD_SIGNED; ------------------------------------------------------------------------------ -- this internal procedure computes UNSIGNED division -- giving the quotient and remainder. procedure DIVMOD (NUM, XDENOM: UNSIGNED; XQUOT, XREMAIN: out UNSIGNED) is variable TEMP: UNSIGNED(NUM'LENGTH downto 0); variable QUOT: UNSIGNED(MAX(NUM'LENGTH, XDENOM'LENGTH)-1 downto 0); alias DENOM: UNSIGNED(XDENOM'LENGTH-1 downto 0) is XDENOM; variable TOPBIT: INTEGER; begin TEMP := "0"&NUM; QUOT := (others => '0'); TOPBIT := -1; for J in DENOM'RANGE loop if DENOM(J)='1' then TOPBIT := J; exit; end if; end loop; assert TOPBIT >= 0 report "DIV, MOD, or REM by zero" severity ERROR; for J in NUM'LENGTH-(TOPBIT+1) downto 0 loop if TEMP(TOPBIT+J+1 downto J) >= "0"&DENOM(TOPBIT downto 0) then TEMP(TOPBIT+J+1 downto J) := (TEMP(TOPBIT+J+1 downto J)) -("0"&DENOM(TOPBIT downto 0)); QUOT(J) := '1'; end if; assert TEMP(TOPBIT+J+1)='0' report "internal error in the division algorithm" severity ERROR; end loop; XQUOT := RESIZE(QUOT, XQUOT'LENGTH); XREMAIN := RESIZE(TEMP, XREMAIN'LENGTH); end DIVMOD; -----------------Local Subprograms - shift/rotate ops------------------------- function XSLL (ARG: BIT_VECTOR; COUNT: NATURAL) return BIT_VECTOR is constant ARG_L: INTEGER := ARG'LENGTH-1; alias XARG: BIT_VECTOR(ARG_L downto 0) is ARG; variable RESULT: BIT_VECTOR(ARG_L downto 0) := (others => '0'); begin if COUNT <= ARG_L then RESULT(ARG_L downto COUNT) := XARG(ARG_L-COUNT downto 0); end if; return RESULT; end XSLL; function XSRL (ARG: BIT_VECTOR; COUNT: NATURAL) return BIT_VECTOR is constant ARG_L: INTEGER := ARG'LENGTH-1; alias XARG: BIT_VECTOR(ARG_L downto 0) is ARG; variable RESULT: BIT_VECTOR(ARG_L downto 0) := (others => '0'); begin if COUNT <= ARG_L then RESULT(ARG_L-COUNT downto 0) := XARG(ARG_L downto COUNT); end if; return RESULT; end XSRL; function XSRA (ARG: BIT_VECTOR; COUNT: NATURAL) return BIT_VECTOR is constant ARG_L: INTEGER := ARG'LENGTH-1; alias XARG: BIT_VECTOR(ARG_L downto 0) is ARG; variable RESULT: BIT_VECTOR(ARG_L downto 0); variable XCOUNT: NATURAL := COUNT; begin if ((ARG'LENGTH <= 1) or (XCOUNT = 0)) then return ARG; else if (XCOUNT > ARG_L) then XCOUNT := ARG_L; end if; RESULT(ARG_L-XCOUNT downto 0) := XARG(ARG_L downto XCOUNT); RESULT(ARG_L downto (ARG_L - XCOUNT + 1)) := (others => XARG(ARG_L)); end if; return RESULT; end XSRA; function XROL (ARG: BIT_VECTOR; COUNT: NATURAL) return BIT_VECTOR is constant ARG_L: INTEGER := ARG'LENGTH-1; alias XARG: BIT_VECTOR(ARG_L downto 0) is ARG; variable RESULT: BIT_VECTOR(ARG_L downto 0) := XARG; variable COUNTM: INTEGER; begin COUNTM := COUNT mod (ARG_L + 1); if COUNTM /= 0 then RESULT(ARG_L downto COUNTM) := XARG(ARG_L-COUNTM downto 0); RESULT(COUNTM-1 downto 0) := XARG(ARG_L downto ARG_L-COUNTM+1); end if; return RESULT; end XROL; function XROR (ARG: BIT_VECTOR; COUNT: NATURAL) return BIT_VECTOR is constant ARG_L: INTEGER := ARG'LENGTH-1; alias XARG: BIT_VECTOR(ARG_L downto 0) is ARG; variable RESULT: BIT_VECTOR(ARG_L downto 0) := XARG; variable COUNTM: INTEGER; begin COUNTM := COUNT mod (ARG_L + 1); if COUNTM /= 0 then RESULT(ARG_L-COUNTM downto 0) := XARG(ARG_L downto COUNTM); RESULT(ARG_L downto ARG_L-COUNTM+1) := XARG(COUNTM-1 downto 0); end if; return RESULT; end XROR; ---------------- Local Subprograms - Relational Operators -------------------- -- General "=" for UNSIGNED vectors, same length -- function UNSIGNED_EQUAL (L, R: UNSIGNED) return BOOLEAN is begin return BIT_VECTOR(L) = BIT_VECTOR(R); end UNSIGNED_EQUAL; -- -- General "=" for SIGNED vectors, same length -- function SIGNED_EQUAL (L, R: SIGNED) return BOOLEAN is begin return BIT_VECTOR(L) = BIT_VECTOR(R); end SIGNED_EQUAL; -- -- General "<" for UNSIGNED vectors, same length -- function UNSIGNED_LESS (L, R: UNSIGNED) return BOOLEAN is begin return BIT_VECTOR(L) < BIT_VECTOR(R); end UNSIGNED_LESS; -- -- General "<" function for SIGNED vectors, same length -- function SIGNED_LESS (L, R: SIGNED) return BOOLEAN is -- Need aliases to assure index direction variable INTERN_L: SIGNED(0 to L'LENGTH-1); variable INTERN_R: SIGNED(0 to R'LENGTH-1); begin INTERN_L := L; INTERN_R := R; INTERN_L(0) := not INTERN_L(0); INTERN_R(0) := not INTERN_R(0); return BIT_VECTOR(INTERN_L) < BIT_VECTOR(INTERN_R); end SIGNED_LESS; -- -- General "<=" function for UNSIGNED vectors, same length -- function UNSIGNED_LESS_OR_EQUAL (L, R: UNSIGNED) return BOOLEAN is begin return BIT_VECTOR(L) <= BIT_VECTOR(R); end UNSIGNED_LESS_OR_EQUAL; -- -- General "<=" function for SIGNED vectors, same length -- function SIGNED_LESS_OR_EQUAL (L, R: SIGNED) return BOOLEAN is -- Need aliases to assure index direction variable INTERN_L: SIGNED(0 to L'LENGTH-1); variable INTERN_R: SIGNED(0 to R'LENGTH-1); begin INTERN_L := L; INTERN_R := R; INTERN_L(0) := not INTERN_L(0); INTERN_R(0) := not INTERN_R(0); return BIT_VECTOR(INTERN_L) <= BIT_VECTOR(INTERN_R); end SIGNED_LESS_OR_EQUAL; --====================== Exported Functions ================================== -- Id: A.1 function "abs" (ARG: SIGNED) return SIGNED is constant ARG_LEFT: INTEGER := ARG'LENGTH-1; variable RESULT: SIGNED(ARG_LEFT downto 0); begin if ARG'LENGTH < 1 then return NAS; end if; RESULT := ARG; if RESULT(RESULT'LEFT) = '1' then RESULT := -RESULT; end if; return RESULT; end "abs"; -- Id: A.2 function "-" (ARG: SIGNED) return SIGNED is constant ARG_LEFT: INTEGER := ARG'LENGTH-1; alias XARG: SIGNED(ARG_LEFT downto 0) is ARG; variable RESULT: SIGNED(ARG_LEFT downto 0); variable CBIT: BIT := '1'; begin if ARG'LENGTH < 1 then return NAS; end if; for I in 0 to RESULT'LEFT loop RESULT(I) := not(XARG(I)) xor CBIT; CBIT := CBIT and not(XARG(I)); end loop; return RESULT; end "-"; --============================================================================ -- Id: A.3 function "+" (L, R: UNSIGNED) return UNSIGNED is constant L_LEFT: INTEGER := L'LENGTH-1; constant R_LEFT: INTEGER := R'LENGTH-1; constant SIZE: NATURAL := MAX(L'LENGTH, R'LENGTH); begin if ((L'LENGTH < 1) or (R'LENGTH < 1)) then return NAU; end if; return ADD_UNSIGNED(RESIZE(L, SIZE), RESIZE(R, SIZE), '0'); end "+"; -- Id: A.4 function "+" (L, R: SIGNED) return SIGNED is constant L_LEFT: INTEGER := L'LENGTH-1; constant R_LEFT: INTEGER := R'LENGTH-1; constant SIZE: NATURAL := MAX(L'LENGTH, R'LENGTH); begin if ((L'LENGTH < 1) or (R'LENGTH < 1)) then return NAS; end if; return ADD_SIGNED(RESIZE(L, SIZE), RESIZE(R, SIZE), '0'); end "+"; -- Id: A.5 function "+" (L: UNSIGNED; R: NATURAL) return UNSIGNED is begin return L + TO_UNSIGNED(R, L'LENGTH); end "+"; -- Id: A.6 function "+" (L: NATURAL; R: UNSIGNED) return UNSIGNED is begin return TO_UNSIGNED(L, R'LENGTH) + R; end "+"; -- Id: A.7 function "+" (L: SIGNED; R: INTEGER) return SIGNED is begin return L + TO_SIGNED(R, L'LENGTH); end "+"; -- Id: A.8 function "+" (L: INTEGER; R: SIGNED) return SIGNED is begin return TO_SIGNED(L, R'LENGTH) + R; end "+"; --============================================================================ -- Id: A.9 function "-" (L, R: UNSIGNED) return UNSIGNED is constant L_LEFT: INTEGER := L'LENGTH-1; constant R_LEFT: INTEGER := R'LENGTH-1; constant SIZE: NATURAL := MAX(L'LENGTH, R'LENGTH); begin if ((L'LENGTH < 1) or (R'LENGTH < 1)) then return NAU; end if; return ADD_UNSIGNED(RESIZE(L, SIZE), not(RESIZE(R, SIZE)), '1'); end "-"; -- Id: A.10 function "-" (L, R: SIGNED) return SIGNED is constant L_LEFT: INTEGER := L'LENGTH-1; constant R_LEFT: INTEGER := R'LENGTH-1; constant SIZE: NATURAL := MAX(L'LENGTH, R'LENGTH); begin if ((L'LENGTH < 1) or (R'LENGTH < 1)) then return NAS; end if; return ADD_SIGNED(RESIZE(L, SIZE), not(RESIZE(R, SIZE)), '1'); end "-"; -- Id: A.11 function "-" (L: UNSIGNED; R: NATURAL) return UNSIGNED is begin return L - TO_UNSIGNED(R, L'LENGTH); end "-"; -- Id: A.12 function "-" (L: NATURAL; R: UNSIGNED) return UNSIGNED is begin return TO_UNSIGNED(L, R'LENGTH) - R; end "-"; -- Id: A.13 function "-" (L: SIGNED; R: INTEGER) return SIGNED is begin return L - TO_SIGNED(R, L'LENGTH); end "-"; -- Id: A.14 function "-" (L: INTEGER; R: SIGNED) return SIGNED is begin return TO_SIGNED(L, R'LENGTH) - R; end "-"; --============================================================================ -- Id: A.15 function "*" (L, R: UNSIGNED) return UNSIGNED is constant L_LEFT: INTEGER := L'LENGTH-1; constant R_LEFT: INTEGER := R'LENGTH-1; alias XL: UNSIGNED(L_LEFT downto 0) is L; alias XR: UNSIGNED(R_LEFT downto 0) is R; variable RESULT: UNSIGNED((L'LENGTH+R'LENGTH-1) downto 0) := (others => '0'); variable ADVAL: UNSIGNED((L'LENGTH+R'LENGTH-1) downto 0); begin if ((L'LENGTH < 1) or (R'LENGTH < 1)) then return NAU; end if; ADVAL := RESIZE(XR, RESULT'LENGTH); for I in 0 to L_LEFT loop if XL(I)='1' then RESULT := RESULT + ADVAL; end if; ADVAL := SHIFT_LEFT(ADVAL, 1); end loop; return RESULT; end "*"; -- Id: A.16 function "*" (L, R: SIGNED) return SIGNED is constant L_LEFT: INTEGER := L'LENGTH-1; constant R_LEFT: INTEGER := R'LENGTH-1; variable XL: SIGNED(L_LEFT downto 0); variable XR: SIGNED(R_LEFT downto 0); variable RESULT: SIGNED((L_LEFT+R_LEFT+1) downto 0) := (others => '0'); variable ADVAL: SIGNED((L_LEFT+R_LEFT+1) downto 0); begin if ((L_LEFT < 0) or (R_LEFT < 0)) then return NAS; end if; XL := L; XR := R; ADVAL := RESIZE(XR, RESULT'LENGTH); for I in 0 to L_LEFT-1 loop if XL(I)='1' then RESULT := RESULT + ADVAL; end if; ADVAL := SHIFT_LEFT(ADVAL, 1); end loop; if XL(L_LEFT)='1' then RESULT := RESULT - ADVAL; end if; return RESULT; end "*"; -- Id: A.17 function "*" (L: UNSIGNED; R: NATURAL) return UNSIGNED is begin return L * TO_UNSIGNED(R, L'LENGTH); end "*"; -- Id: A.18 function "*" (L: NATURAL; R: UNSIGNED) return UNSIGNED is begin return TO_UNSIGNED(L, R'LENGTH) * R; end "*"; -- Id: A.19 function "*" (L: SIGNED; R: INTEGER) return SIGNED is begin return L * TO_SIGNED(R, L'LENGTH); end "*"; -- Id: A.20 function "*" (L: INTEGER; R: SIGNED) return SIGNED is begin return TO_SIGNED(L, R'LENGTH) * R; end "*"; --============================================================================ -- Id: A.21 function "/" (L, R: UNSIGNED) return UNSIGNED is variable FQUOT: UNSIGNED(L'LENGTH-1 downto 0); variable FREMAIN: UNSIGNED(R'LENGTH-1 downto 0); begin if ((L'LENGTH < 1) or (R'LENGTH < 1)) then return NAU; end if; DIVMOD(L, R, FQUOT, FREMAIN); return FQUOT; end "/"; -- Id: A.22 function "/" (L, R: SIGNED) return SIGNED is variable FQUOT: UNSIGNED(L'LENGTH-1 downto 0); variable FREMAIN: UNSIGNED(R'LENGTH-1 downto 0); variable XNUM: UNSIGNED(L'LENGTH-1 downto 0); variable XDENOM: UNSIGNED(R'LENGTH-1 downto 0); variable QNEG: BOOLEAN := FALSE; begin if ((L'LENGTH < 1) or (R'LENGTH < 1)) then return NAS; end if; if L(L'LEFT)='1' then XNUM := UNSIGNED(-L); QNEG := TRUE; else XNUM := UNSIGNED(L); end if; if R(R'LEFT)='1' then XDENOM := UNSIGNED(-R); QNEG := not QNEG; else XDENOM := UNSIGNED(R); end if; DIVMOD(XNUM, XDENOM, FQUOT, FREMAIN); if QNEG then FQUOT := "0"-FQUOT; end if; return SIGNED(FQUOT); end "/"; -- Id: A.23 function "/" (L: UNSIGNED; R: NATURAL) return UNSIGNED is constant R_LENGTH: NATURAL := MAX(L'LENGTH, UNSIGNED_NUM_BITS(R)); variable XR, QUOT: UNSIGNED(R_LENGTH-1 downto 0); begin if (L'LENGTH < 1) then return NAU; end if; if (R_LENGTH > L'LENGTH) then QUOT := (others => '0'); return RESIZE(QUOT, L'LENGTH); end if; XR := TO_UNSIGNED(R, R_LENGTH); QUOT := RESIZE((L / XR), QUOT'LENGTH); return RESIZE(QUOT, L'LENGTH); end "/"; -- Id: A.24 function "/" (L: NATURAL; R: UNSIGNED) return UNSIGNED is constant L_LENGTH: NATURAL := MAX(UNSIGNED_NUM_BITS(L), R'LENGTH); variable XL, QUOT: UNSIGNED(L_LENGTH-1 downto 0); begin if (R'LENGTH < 1) then return NAU; end if; XL := TO_UNSIGNED(L, L_LENGTH); QUOT := RESIZE((XL / R), QUOT'LENGTH); if L_LENGTH > R'LENGTH and QUOT(L_LENGTH-1 downto R'LENGTH) /= (L_LENGTH-1 downto R'LENGTH => '0') then assert NO_WARNING report "NUMERIC_BIT.""/"": Quotient Truncated" severity WARNING; end if; return RESIZE(QUOT, R'LENGTH); end "/"; -- Id: A.25 function "/" (L: SIGNED; R: INTEGER) return SIGNED is constant R_LENGTH: NATURAL := MAX(L'LENGTH, SIGNED_NUM_BITS(R)); variable XR, QUOT: SIGNED(R_LENGTH-1 downto 0); begin if (L'LENGTH < 1) then return NAS; end if; if (R_LENGTH > L'LENGTH) then QUOT := (others => '0'); return RESIZE(QUOT, L'LENGTH); end if; XR := TO_SIGNED(R, R_LENGTH); QUOT := RESIZE((L / XR), QUOT'LENGTH); return RESIZE(QUOT, L'LENGTH); end "/"; -- Id: A.26 function "/" (L: INTEGER; R: SIGNED) return SIGNED is constant L_LENGTH: NATURAL := MAX(SIGNED_NUM_BITS(L), R'LENGTH); variable XL, QUOT: SIGNED(L_LENGTH-1 downto 0); begin if (R'LENGTH < 1) then return NAS; end if; XL := TO_SIGNED(L, L_LENGTH); QUOT := RESIZE((XL / R), QUOT'LENGTH); if L_LENGTH > R'LENGTH and QUOT(L_LENGTH-1 downto R'LENGTH) /= (L_LENGTH-1 downto R'LENGTH => QUOT(R'LENGTH-1)) then assert NO_WARNING report "NUMERIC_BIT.""/"": Quotient Truncated" severity WARNING; end if; return RESIZE(QUOT, R'LENGTH); end "/"; --============================================================================ -- Id: A.27 function "rem" (L, R: UNSIGNED) return UNSIGNED is variable FQUOT: UNSIGNED(L'LENGTH-1 downto 0); variable FREMAIN: UNSIGNED(R'LENGTH-1 downto 0); begin if ((L'LENGTH < 1) or (R'LENGTH < 1)) then return NAU; end if; DIVMOD(L, R, FQUOT, FREMAIN); return FREMAIN; end "rem"; -- Id: A.28 function "rem" (L, R: SIGNED) return SIGNED is variable FQUOT: UNSIGNED(L'LENGTH-1 downto 0); variable FREMAIN: UNSIGNED(R'LENGTH-1 downto 0); variable XNUM: UNSIGNED(L'LENGTH-1 downto 0); variable XDENOM: UNSIGNED(R'LENGTH-1 downto 0); variable RNEG: BOOLEAN := FALSE; begin if ((L'LENGTH < 1) or (R'LENGTH < 1)) then return NAS; end if; if L(L'LEFT)='1' then XNUM := UNSIGNED(-L); RNEG := TRUE; else XNUM := UNSIGNED(L); end if; if R(R'LEFT)='1' then XDENOM := UNSIGNED(-R); else XDENOM := UNSIGNED(R); end if; DIVMOD(XNUM, XDENOM, FQUOT, FREMAIN); if RNEG then FREMAIN := "0"-FREMAIN; end if; return SIGNED(FREMAIN); end "rem"; -- Id: A.29 function "rem" (L: UNSIGNED; R: NATURAL) return UNSIGNED is constant R_LENGTH: NATURAL := MAX(L'LENGTH, UNSIGNED_NUM_BITS(R)); variable XR, XREM: UNSIGNED(R_LENGTH-1 downto 0); begin if (L'LENGTH < 1) then return NAU; end if; XR := TO_UNSIGNED(R, R_LENGTH); XREM := RESIZE((L rem XR), XREM'LENGTH); if R_LENGTH > L'LENGTH and XREM(R_LENGTH-1 downto L'LENGTH) /= (R_LENGTH-1 downto L'LENGTH => '0') then assert NO_WARNING report "NUMERIC_BIT.""rem"": Remainder Truncated" severity WARNING; end if; return RESIZE(XREM, L'LENGTH); end "rem"; -- Id: A.30 function "rem" (L: NATURAL; R: UNSIGNED) return UNSIGNED is constant L_LENGTH: NATURAL := MAX(UNSIGNED_NUM_BITS(L), R'LENGTH); variable XL, XREM: UNSIGNED(L_LENGTH-1 downto 0); begin if (R'LENGTH < 1) then return NAU; end if; XL := TO_UNSIGNED(L, L_LENGTH); XREM := RESIZE((XL rem R), XREM'LENGTH); if L_LENGTH > R'LENGTH and XREM(L_LENGTH-1 downto R'LENGTH) /= (L_LENGTH-1 downto R'LENGTH => '0') then assert NO_WARNING report "NUMERIC_BIT.""rem"": Remainder Truncated" severity WARNING; end if; return RESIZE(XREM, R'LENGTH); end "rem"; -- Id: A.31 function "rem" (L: SIGNED; R: INTEGER) return SIGNED is constant R_LENGTH: NATURAL := MAX(L'LENGTH, SIGNED_NUM_BITS(R)); variable XR, XREM: SIGNED(R_LENGTH-1 downto 0); begin if (L'LENGTH < 1) then return NAS; end if; XR := TO_SIGNED(R, R_LENGTH); XREM := RESIZE((L rem XR), XREM'LENGTH); if R_LENGTH > L'LENGTH and XREM(R_LENGTH-1 downto L'LENGTH) /= (R_LENGTH-1 downto L'LENGTH => XREM(L'LENGTH-1)) then assert NO_WARNING report "NUMERIC_BIT.""rem"": Remainder Truncated" severity WARNING; end if; return RESIZE(XREM, L'LENGTH); end "rem"; -- Id: A.32 function "rem" (L: INTEGER; R: SIGNED) return SIGNED is constant L_LENGTH: NATURAL := MAX(SIGNED_NUM_BITS(L), R'LENGTH); variable XL, XREM: SIGNED(L_LENGTH-1 downto 0); begin if (R'LENGTH < 1) then return NAS; end if; XL := TO_SIGNED(L, L_LENGTH); XREM := RESIZE((XL rem R), XREM'LENGTH); if L_LENGTH > R'LENGTH and XREM(L_LENGTH-1 downto R'LENGTH) /= (L_LENGTH-1 downto R'LENGTH => XREM(R'LENGTH-1)) then assert NO_WARNING report "NUMERIC_BIT.""rem"": Remainder Truncated" severity WARNING; end if; return RESIZE(XREM, R'LENGTH); end "rem"; --============================================================================ -- Id: A.33 function "mod" (L, R: UNSIGNED) return UNSIGNED is variable FQUOT: UNSIGNED(L'LENGTH-1 downto 0); variable FREMAIN: UNSIGNED(R'LENGTH-1 downto 0); begin if ((L'LENGTH < 1) or (R'LENGTH < 1)) then return NAU; end if; DIVMOD(L, R, FQUOT, FREMAIN); return FREMAIN; end "mod"; -- Id: A.34 function "mod" (L, R: SIGNED) return SIGNED is variable FQUOT: UNSIGNED(L'LENGTH-1 downto 0); variable FREMAIN: UNSIGNED(R'LENGTH-1 downto 0); variable XNUM: UNSIGNED(L'LENGTH-1 downto 0); variable XDENOM: UNSIGNED(R'LENGTH-1 downto 0); variable RNEG: BOOLEAN := FALSE; begin if ((L'LENGTH < 1) or (R'LENGTH < 1)) then return NAS; end if; if L(L'LEFT)='1' then XNUM := UNSIGNED(-L); else XNUM := UNSIGNED(L); end if; if R(R'LEFT)='1' then XDENOM := UNSIGNED(-R); RNEG := TRUE; else XDENOM := UNSIGNED(R); end if; DIVMOD(XNUM, XDENOM, FQUOT, FREMAIN); if RNEG and L(L'LEFT)='1' then FREMAIN := "0"-FREMAIN; elsif RNEG and FREMAIN/="0" then FREMAIN := FREMAIN-XDENOM; elsif L(L'LEFT)='1' and FREMAIN/="0" then FREMAIN := XDENOM-FREMAIN; end if; return SIGNED(FREMAIN); end "mod"; -- Id: A.35 function "mod" (L: UNSIGNED; R: NATURAL) return UNSIGNED is constant R_LENGTH: NATURAL := MAX(L'LENGTH, UNSIGNED_NUM_BITS(R)); variable XR, XREM: UNSIGNED(R_LENGTH-1 downto 0); begin if (L'LENGTH < 1) then return NAU; end if; XR := TO_UNSIGNED(R, R_LENGTH); XREM := RESIZE((L mod XR), XREM'LENGTH); if R_LENGTH > L'LENGTH and XREM(R_LENGTH-1 downto L'LENGTH) /= (R_LENGTH-1 downto L'LENGTH => '0') then assert NO_WARNING report "NUMERIC_BIT.""mod"": modulus Truncated" severity WARNING; end if; return RESIZE(XREM, L'LENGTH); end "mod"; -- Id: A.36 function "mod" (L: NATURAL; R: UNSIGNED) return UNSIGNED is constant L_LENGTH: NATURAL := MAX(UNSIGNED_NUM_BITS(L), R'LENGTH); variable XL, XREM: UNSIGNED(L_LENGTH-1 downto 0); begin if (R'LENGTH < 1) then return NAU; end if; XL := TO_UNSIGNED(L, L_LENGTH); XREM := RESIZE((XL mod R), XREM'LENGTH); if L_LENGTH > R'LENGTH and XREM(L_LENGTH-1 downto R'LENGTH) /= (L_LENGTH-1 downto R'LENGTH => '0') then assert NO_WARNING report "NUMERIC_BIT.""mod"": modulus Truncated" severity WARNING; end if; return RESIZE(XREM, R'LENGTH); end "mod"; -- Id: A.37 function "mod" (L: SIGNED; R: INTEGER) return SIGNED is constant R_LENGTH: NATURAL := MAX(L'LENGTH, SIGNED_NUM_BITS(R)); variable XR, XREM: SIGNED(R_LENGTH-1 downto 0); begin if (L'LENGTH < 1) then return NAS; end if; XR := TO_SIGNED(R, R_LENGTH); XREM := RESIZE((L mod XR), XREM'LENGTH); if R_LENGTH > L'LENGTH and XREM(R_LENGTH-1 downto L'LENGTH) /= (R_LENGTH-1 downto L'LENGTH => XREM(L'LENGTH-1)) then assert NO_WARNING report "NUMERIC_BIT.""mod"": modulus Truncated" severity WARNING; end if; return RESIZE(XREM, L'LENGTH); end "mod"; -- Id: A.38 function "mod" (L: INTEGER; R: SIGNED) return SIGNED is constant L_LENGTH: NATURAL := MAX(SIGNED_NUM_BITS(L), R'LENGTH); variable XL, XREM: SIGNED(L_LENGTH-1 downto 0); begin if (R'LENGTH < 1) then return NAS; end if; XL := TO_SIGNED(L, L_LENGTH); XREM := RESIZE((XL mod R), XREM'LENGTH); if L_LENGTH > R'LENGTH and XREM(L_LENGTH-1 downto R'LENGTH) /= (L_LENGTH-1 downto R'LENGTH => XREM(R'LENGTH-1)) then assert NO_WARNING report "NUMERIC_BIT.""mod"": modulus Truncated" severity WARNING; end if; return RESIZE(XREM, R'LENGTH); end "mod"; --============================================================================ -- Id: C.1 function ">" (L, R: UNSIGNED) return BOOLEAN is variable SIZE: NATURAL := MAX(L'LENGTH, R'LENGTH); begin if ((L'LENGTH < 1) or (R'LENGTH < 1)) then assert NO_WARNING report "NUMERIC_BIT."">"": null argument detected, returning FALSE" severity WARNING; return FALSE; end if; return not UNSIGNED_LESS_OR_EQUAL(RESIZE(L, SIZE), RESIZE(R, SIZE)); end ">"; -- Id: C.2 function ">" (L, R: SIGNED) return BOOLEAN is variable SIZE: NATURAL := MAX(L'LENGTH, R'LENGTH); begin if ((L'LENGTH < 1) or (R'LENGTH < 1)) then assert NO_WARNING report "NUMERIC_BIT."">"": null argument detected, returning FALSE" severity WARNING; return FALSE; end if; return not SIGNED_LESS_OR_EQUAL(RESIZE(L, SIZE), RESIZE(R, SIZE)); end ">"; -- Id: C.3 function ">" (L: NATURAL; R: UNSIGNED) return BOOLEAN is begin if (R'LENGTH < 1) then assert NO_WARNING report "NUMERIC_BIT."">"": null argument detected, returning FALSE" severity WARNING; return FALSE; end if; if UNSIGNED_NUM_BITS(L) > R'LENGTH then return TRUE; end if; return not UNSIGNED_LESS_OR_EQUAL(TO_UNSIGNED(L, R'LENGTH), R); end ">"; -- Id: C.4 function ">" (L: INTEGER; R: SIGNED) return BOOLEAN is begin if (R'LENGTH < 1) then assert NO_WARNING report "NUMERIC_BIT."">"": null argument detected, returning FALSE" severity WARNING; return FALSE; end if; if SIGNED_NUM_BITS(L) > R'LENGTH then return L > 0; end if; return not SIGNED_LESS_OR_EQUAL(TO_SIGNED(L, R'LENGTH), R); end ">"; -- Id: C.5 function ">" (L: UNSIGNED; R: NATURAL) return BOOLEAN is begin if (L'LENGTH < 1) then assert NO_WARNING report "NUMERIC_BIT."">"": null argument detected, returning FALSE" severity WARNING; return FALSE; end if; if UNSIGNED_NUM_BITS(R) > L'LENGTH then return FALSE; end if; return not UNSIGNED_LESS_OR_EQUAL(L, TO_UNSIGNED(R, L'LENGTH)); end ">"; -- Id: C.6 function ">" (L: SIGNED; R: INTEGER) return BOOLEAN is begin if (L'LENGTH < 1) then assert NO_WARNING report "NUMERIC_BIT."">"": null argument detected, returning FALSE" severity WARNING; return FALSE; end if; if SIGNED_NUM_BITS(R) > L'LENGTH then return 0 > R; end if; return not SIGNED_LESS_OR_EQUAL(L, TO_SIGNED(R, L'LENGTH)); end ">"; --============================================================================ -- Id: C.7 function "<" (L, R: UNSIGNED) return BOOLEAN is variable SIZE: NATURAL := MAX(L'LENGTH, R'LENGTH); begin if ((L'LENGTH < 1) or (R'LENGTH < 1)) then assert NO_WARNING report "NUMERIC_BIT.""<"": null argument detected, returning FALSE" severity WARNING; return FALSE; end if; return UNSIGNED_LESS(RESIZE(L, SIZE), RESIZE(R, SIZE)); end "<"; -- Id: C.8 function "<" (L, R: SIGNED) return BOOLEAN is variable SIZE: NATURAL := MAX(L'LENGTH, R'LENGTH); begin if ((L'LENGTH < 1) or (R'LENGTH < 1)) then assert NO_WARNING report "NUMERIC_BIT.""<"": null argument detected, returning FALSE" severity WARNING; return FALSE; end if; return SIGNED_LESS(RESIZE(L, SIZE), RESIZE(R, SIZE)); end "<"; -- Id: C.9 function "<" (L: NATURAL; R: UNSIGNED) return BOOLEAN is begin if (R'LENGTH < 1) then assert NO_WARNING report "NUMERIC_BIT.""<"": null argument detected, returning FALSE" severity WARNING; return FALSE; end if; if UNSIGNED_NUM_BITS(L) > R'LENGTH then return L < 0; end if; return UNSIGNED_LESS(TO_UNSIGNED(L, R'LENGTH), R); end "<"; -- Id: C.10 function "<" (L: INTEGER; R: SIGNED) return BOOLEAN is begin if (R'LENGTH < 1) then assert NO_WARNING report "NUMERIC_BIT.""<"": null argument detected, returning FALSE" severity WARNING; return FALSE; end if; if SIGNED_NUM_BITS(L) > R'LENGTH then return L < 0; end if; return SIGNED_LESS(TO_SIGNED(L, R'LENGTH), R); end "<"; -- Id: C.11 function "<" (L: UNSIGNED; R: NATURAL) return BOOLEAN is begin if (L'LENGTH < 1) then assert NO_WARNING report "NUMERIC_BIT.""<"": null argument detected, returning FALSE" severity WARNING; return FALSE; end if; if UNSIGNED_NUM_BITS(R) > L'LENGTH then return 0 < R; end if; return UNSIGNED_LESS(L, TO_UNSIGNED(R, L'LENGTH)); end "<"; -- Id: C.12 function "<" (L: SIGNED; R: INTEGER) return BOOLEAN is begin if (L'LENGTH < 1) then assert NO_WARNING report "NUMERIC_BIT.""<"": null argument detected, returning FALSE" severity WARNING; return FALSE; end if; if SIGNED_NUM_BITS(R) > L'LENGTH then return 0 < R; end if; return SIGNED_LESS(L, TO_SIGNED(R, L'LENGTH)); end "<"; --============================================================================ -- Id: C.13 function "<=" (L, R: UNSIGNED) return BOOLEAN is variable SIZE: NATURAL := MAX(L'LENGTH, R'LENGTH); begin if ((L'LENGTH < 1) or (R'LENGTH < 1)) then assert NO_WARNING report "NUMERIC_BIT.""<="": null argument detected, returning FALSE" severity WARNING; return FALSE; end if; return UNSIGNED_LESS_OR_EQUAL(RESIZE(L, SIZE), RESIZE(R, SIZE)); end "<="; -- Id: C.14 function "<=" (L, R: SIGNED) return BOOLEAN is variable SIZE: NATURAL := MAX(L'LENGTH, R'LENGTH); begin if ((L'LENGTH < 1) or (R'LENGTH < 1)) then assert NO_WARNING report "NUMERIC_BIT.""<="": null argument detected, returning FALSE" severity WARNING; return FALSE; end if; return SIGNED_LESS_OR_EQUAL(RESIZE(L, SIZE), RESIZE(R, SIZE)); end "<="; -- Id: C.15 function "<=" (L: NATURAL; R: UNSIGNED) return BOOLEAN is begin if (R'LENGTH < 1) then assert NO_WARNING report "NUMERIC_BIT.""<="": null argument detected, returning FALSE" severity WARNING; return FALSE; end if; if UNSIGNED_NUM_BITS(L) > R'LENGTH then return L < 0; end if; return UNSIGNED_LESS_OR_EQUAL(TO_UNSIGNED(L, R'LENGTH), R); end "<="; -- Id: C.16 function "<=" (L: INTEGER; R: SIGNED) return BOOLEAN is begin if (R'LENGTH < 1) then assert NO_WARNING report "NUMERIC_BIT.""<="": null argument detected, returning FALSE" severity WARNING; return FALSE; end if; if SIGNED_NUM_BITS(L) > R'LENGTH then return L < 0; end if; return SIGNED_LESS_OR_EQUAL(TO_SIGNED(L, R'LENGTH), R); end "<="; -- Id: C.17 function "<=" (L: UNSIGNED; R: NATURAL) return BOOLEAN is begin if (L'LENGTH < 1) then assert NO_WARNING report "NUMERIC_BIT.""<="": null argument detected, returning FALSE" severity WARNING; return FALSE; end if; if UNSIGNED_NUM_BITS(R) > L'LENGTH then return 0 < R; end if; return UNSIGNED_LESS_OR_EQUAL(L, TO_UNSIGNED(R, L'LENGTH)); end "<="; -- Id: C.18 function "<=" (L: SIGNED; R: INTEGER) return BOOLEAN is begin if (L'LENGTH < 1) then assert NO_WARNING report "NUMERIC_BIT.""<="": null argument detected, returning FALSE" severity WARNING; return FALSE; end if; if SIGNED_NUM_BITS(R) > L'LENGTH then return 0 < R; end if; return SIGNED_LESS_OR_EQUAL(L, TO_SIGNED(R, L'LENGTH)); end "<="; --============================================================================ -- Id: C.19 function ">=" (L, R: UNSIGNED) return BOOLEAN is variable SIZE: NATURAL := MAX(L'LENGTH, R'LENGTH); begin if ((L'LENGTH < 1) or (R'LENGTH < 1)) then assert NO_WARNING report "NUMERIC_BIT."">="": null argument detected, returning FALSE" severity WARNING; return FALSE; end if; return not UNSIGNED_LESS(RESIZE(L, SIZE), RESIZE(R, SIZE)); end ">="; -- Id: C.20 function ">=" (L, R: SIGNED) return BOOLEAN is variable SIZE: NATURAL := MAX(L'LENGTH, R'LENGTH); begin if ((L'LENGTH < 1) or (R'LENGTH < 1)) then assert NO_WARNING report "NUMERIC_BIT."">="": null argument detected, returning FALSE" severity WARNING; return FALSE; end if; return not SIGNED_LESS(RESIZE(L, SIZE), RESIZE(R, SIZE)); end ">="; -- Id: C.21 function ">=" (L: NATURAL; R: UNSIGNED) return BOOLEAN is begin if (R'LENGTH < 1) then assert NO_WARNING report "NUMERIC_BIT."">="": null argument detected, returning FALSE" severity WARNING; return FALSE; end if; if UNSIGNED_NUM_BITS(L) > R'LENGTH then return L > 0; end if; return not UNSIGNED_LESS(TO_UNSIGNED(L, R'LENGTH), R); end ">="; -- Id: C.22 function ">=" (L: INTEGER; R: SIGNED) return BOOLEAN is begin if (R'LENGTH < 1) then assert NO_WARNING report "NUMERIC_BIT."">="": null argument detected, returning FALSE" severity WARNING; return FALSE; end if; if SIGNED_NUM_BITS(L) > R'LENGTH then return L > 0; end if; return not SIGNED_LESS(TO_SIGNED(L, R'LENGTH), R); end ">="; -- Id: C.23 function ">=" (L: UNSIGNED; R: NATURAL) return BOOLEAN is begin if (L'LENGTH < 1) then assert NO_WARNING report "NUMERIC_BIT."">="": null argument detected, returning FALSE" severity WARNING; return FALSE; end if; if UNSIGNED_NUM_BITS(R) > L'LENGTH then return 0 > R; end if; return not UNSIGNED_LESS(L, TO_UNSIGNED(R, L'LENGTH)); end ">="; -- Id: C.24 function ">=" (L: SIGNED; R: INTEGER) return BOOLEAN is begin if (L'LENGTH < 1) then assert NO_WARNING report "NUMERIC_BIT."">="": null argument detected, returning FALSE" severity WARNING; return FALSE; end if; if SIGNED_NUM_BITS(R) > L'LENGTH then return 0 > R; end if; return not SIGNED_LESS(L, TO_SIGNED(R, L'LENGTH)); end ">="; --============================================================================ -- Id: C.25 function "=" (L, R: UNSIGNED) return BOOLEAN is variable SIZE: NATURAL := MAX(L'LENGTH, R'LENGTH); begin if ((L'LENGTH < 1) or (R'LENGTH < 1)) then assert NO_WARNING report "NUMERIC_BIT.""="": null argument detected, returning FALSE" severity WARNING; return FALSE; end if; return UNSIGNED_EQUAL(RESIZE(L, SIZE), RESIZE(R, SIZE)); end "="; -- Id: C.26 function "=" (L, R: SIGNED) return BOOLEAN is variable SIZE: NATURAL := MAX(L'LENGTH, R'LENGTH); begin if ((L'LENGTH < 1) or (R'LENGTH < 1)) then assert NO_WARNING report "NUMERIC_BIT.""="": null argument detected, returning FALSE" severity WARNING; return FALSE; end if; return SIGNED_EQUAL(RESIZE(L, SIZE), RESIZE(R, SIZE)); end "="; -- Id: C.27 function "=" (L: NATURAL; R: UNSIGNED) return BOOLEAN is begin if (R'LENGTH < 1) then assert NO_WARNING report "NUMERIC_BIT.""="": null argument detected, returning FALSE" severity WARNING; return FALSE; end if; if UNSIGNED_NUM_BITS(L) > R'LENGTH then return FALSE; end if; return UNSIGNED_EQUAL(TO_UNSIGNED(L, R'LENGTH), R); end "="; -- Id: C.28 function "=" (L: INTEGER; R: SIGNED) return BOOLEAN is begin if (R'LENGTH < 1) then assert NO_WARNING report "NUMERIC_BIT.""="": null argument detected, returning FALSE" severity WARNING; return FALSE; end if; if SIGNED_NUM_BITS(L) > R'LENGTH then return FALSE; end if; return SIGNED_EQUAL(TO_SIGNED(L, R'LENGTH), R); end "="; -- Id: C.29 function "=" (L: UNSIGNED; R: NATURAL) return BOOLEAN is begin if (L'LENGTH < 1) then assert NO_WARNING report "NUMERIC_BIT.""="": null argument detected, returning FALSE" severity WARNING; return FALSE; end if; if UNSIGNED_NUM_BITS(R) > L'LENGTH then return FALSE; end if; return UNSIGNED_EQUAL(L, TO_UNSIGNED(R, L'LENGTH)); end "="; -- Id: C.30 function "=" (L: SIGNED; R: INTEGER) return BOOLEAN is begin if (L'LENGTH < 1) then assert NO_WARNING report "NUMERIC_BIT.""="": null argument detected, returning FALSE" severity WARNING; return FALSE; end if; if SIGNED_NUM_BITS(R) > L'LENGTH then return FALSE; end if; return SIGNED_EQUAL(L, TO_SIGNED(R, L'LENGTH)); end "="; --============================================================================ -- Id: C.31 function "/=" (L, R: UNSIGNED) return BOOLEAN is variable SIZE: NATURAL := MAX(L'LENGTH, R'LENGTH); begin if ((L'LENGTH < 1) or (R'LENGTH < 1)) then assert NO_WARNING report "NUMERIC_BIT.""/="": null argument detected, returning TRUE" severity WARNING; return TRUE; end if; return not(UNSIGNED_EQUAL(RESIZE(L, SIZE), RESIZE(R, SIZE))); end "/="; -- Id: C.32 function "/=" (L, R: SIGNED) return BOOLEAN is variable SIZE: NATURAL := MAX(L'LENGTH, R'LENGTH); begin if ((L'LENGTH < 1) or (R'LENGTH < 1)) then assert NO_WARNING report "NUMERIC_BIT.""/="": null argument detected, returning TRUE" severity WARNING; return TRUE; end if; return not(SIGNED_EQUAL(RESIZE(L, SIZE), RESIZE(R, SIZE))); end "/="; -- Id: C.33 function "/=" (L: NATURAL; R: UNSIGNED) return BOOLEAN is begin if (R'LENGTH < 1) then assert NO_WARNING report "NUMERIC_BIT.""/="": null argument detected, returning TRUE" severity WARNING; return TRUE; end if; if UNSIGNED_NUM_BITS(L) > R'LENGTH then return TRUE; end if; return not(UNSIGNED_EQUAL(TO_UNSIGNED(L, R'LENGTH), R)); end "/="; -- Id: C.34 function "/=" (L: INTEGER; R: SIGNED) return BOOLEAN is begin if (R'LENGTH < 1) then assert NO_WARNING report "NUMERIC_BIT.""/="": null argument detected, returning TRUE" severity WARNING; return TRUE; end if; if SIGNED_NUM_BITS(L) > R'LENGTH then return TRUE; end if; return not(SIGNED_EQUAL(TO_SIGNED(L, R'LENGTH), R)); end "/="; -- Id: C.35 function "/=" (L: UNSIGNED; R: NATURAL) return BOOLEAN is begin if (L'LENGTH < 1) then assert NO_WARNING report "NUMERIC_BIT.""/="": null argument detected, returning TRUE" severity WARNING; return TRUE; end if; if UNSIGNED_NUM_BITS(R) > L'LENGTH then return TRUE; end if; return not(UNSIGNED_EQUAL(L, TO_UNSIGNED(R, L'LENGTH))); end "/="; -- Id: C.36 function "/=" (L: SIGNED; R: INTEGER) return BOOLEAN is begin if (L'LENGTH < 1) then assert NO_WARNING report "NUMERIC_BIT.""/="": null argument detected, returning TRUE" severity WARNING; return TRUE; end if; if SIGNED_NUM_BITS(R) > L'LENGTH then return TRUE; end if; return not(SIGNED_EQUAL(L, TO_SIGNED(R, L'LENGTH))); end "/="; --============================================================================ -- Id: S.1 function SHIFT_LEFT (ARG: UNSIGNED; COUNT: NATURAL) return UNSIGNED is begin if (ARG'LENGTH < 1) then return NAU; end if; return UNSIGNED(XSLL(BIT_VECTOR(ARG), COUNT)); end SHIFT_LEFT; -- Id: S.2 function SHIFT_RIGHT (ARG: UNSIGNED; COUNT: NATURAL) return UNSIGNED is begin if (ARG'LENGTH < 1) then return NAU; end if; return UNSIGNED(XSRL(BIT_VECTOR(ARG), COUNT)); end SHIFT_RIGHT; -- Id: S.3 function SHIFT_LEFT (ARG: SIGNED; COUNT: NATURAL) return SIGNED is begin if (ARG'LENGTH < 1) then return NAS; end if; return SIGNED(XSLL(BIT_VECTOR(ARG), COUNT)); end SHIFT_LEFT; -- Id: S.4 function SHIFT_RIGHT (ARG: SIGNED; COUNT: NATURAL) return SIGNED is begin if (ARG'LENGTH < 1) then return NAS; end if; return SIGNED(XSRA(BIT_VECTOR(ARG), COUNT)); end SHIFT_RIGHT; --============================================================================ -- Id: S.5 function ROTATE_LEFT (ARG: UNSIGNED; COUNT: NATURAL) return UNSIGNED is begin if (ARG'LENGTH < 1) then return NAU; end if; return UNSIGNED(XROL(BIT_VECTOR(ARG), COUNT)); end ROTATE_LEFT; -- Id: S.6 function ROTATE_RIGHT (ARG: UNSIGNED; COUNT: NATURAL) return UNSIGNED is begin if (ARG'LENGTH < 1) then return NAU; end if; return UNSIGNED(XROR(BIT_VECTOR(ARG), COUNT)); end ROTATE_RIGHT; -- Id: S.7 function ROTATE_LEFT (ARG: SIGNED; COUNT: NATURAL) return SIGNED is begin if (ARG'LENGTH < 1) then return NAS; end if; return SIGNED(XROL(BIT_VECTOR(ARG), COUNT)); end ROTATE_LEFT; -- Id: S.8 function ROTATE_RIGHT (ARG: SIGNED; COUNT: NATURAL) return SIGNED is begin if (ARG'LENGTH < 1) then return NAS; end if; return SIGNED(XROR(BIT_VECTOR(ARG), COUNT)); end ROTATE_RIGHT; --============================================================================ --START-V93 ------------------------------------------------------------------------------ -- Note : Function S.9 is not compatible with VHDL 1076-1987. Comment -- out the function (declaration and body) for VHDL 1076-1987 compatibility. ------------------------------------------------------------------------------ -- Id: S.9 function "sll" (ARG: UNSIGNED; COUNT: INTEGER) return UNSIGNED is begin if (COUNT >= 0) then return SHIFT_LEFT(ARG, COUNT); else return SHIFT_RIGHT(ARG, -COUNT); end if; end "sll"; ------------------------------------------------------------------------------ -- Note : Function S.10 is not compatible with VHDL 1076-1987. Comment -- out the function (declaration and body) for VHDL 1076-1987 compatibility. ------------------------------------------------------------------------------ -- Id: S.10 function "sll" (ARG: SIGNED; COUNT: INTEGER) return SIGNED is begin if (COUNT >= 0) then return SHIFT_LEFT(ARG, COUNT); else return SIGNED(SHIFT_RIGHT(UNSIGNED(ARG), -COUNT)); end if; end "sll"; ------------------------------------------------------------------------------ -- Note : Function S.11 is not compatible with VHDL 1076-1987. Comment -- out the function (declaration and body) for VHDL 1076-1987 compatibility. ------------------------------------------------------------------------------ -- Id: S.11 function "srl" (ARG: UNSIGNED; COUNT: INTEGER) return UNSIGNED is begin if (COUNT >= 0) then return SHIFT_RIGHT(ARG, COUNT); else return SHIFT_LEFT(ARG, -COUNT); end if; end "srl"; ------------------------------------------------------------------------------ -- Note : Function S.12 is not compatible with VHDL 1076-1987. Comment -- out the function (declaration and body) for VHDL 1076-1987 compatibility. ------------------------------------------------------------------------------ -- Id: S.12 function "srl" (ARG: SIGNED; COUNT: INTEGER) return SIGNED is begin if (COUNT >= 0) then return SIGNED(SHIFT_RIGHT(UNSIGNED(ARG), COUNT)); else return SHIFT_LEFT(ARG, -COUNT); end if; end "srl"; ------------------------------------------------------------------------------ -- Note : Function S.13 is not compatible with VHDL 1076-1987. Comment -- out the function (declaration and body) for VHDL 1076-1987 compatibility. ------------------------------------------------------------------------------ -- Id: S.13 function "rol" (ARG: UNSIGNED; COUNT: INTEGER) return UNSIGNED is begin if (COUNT >= 0) then return ROTATE_LEFT(ARG, COUNT); else return ROTATE_RIGHT(ARG, -COUNT); end if; end "rol"; ------------------------------------------------------------------------------ -- Note : Function S.14 is not compatible with VHDL 1076-1987. Comment -- out the function (declaration and body) for VHDL 1076-1987 compatibility. ------------------------------------------------------------------------------ -- Id: S.14 function "rol" (ARG: SIGNED; COUNT: INTEGER) return SIGNED is begin if (COUNT >= 0) then return ROTATE_LEFT(ARG, COUNT); else return ROTATE_RIGHT(ARG, -COUNT); end if; end "rol"; ------------------------------------------------------------------------------ -- Note : Function S.15 is not compatible with VHDL 1076-1987. Comment -- out the function (declaration and body) for VHDL 1076-1987 compatibility. ------------------------------------------------------------------------------ -- Id: S.15 function "ror" (ARG: UNSIGNED; COUNT: INTEGER) return UNSIGNED is begin if (COUNT >= 0) then return ROTATE_RIGHT(ARG, COUNT); else return ROTATE_LEFT(ARG, -COUNT); end if; end "ror"; ------------------------------------------------------------------------------ -- Note : Function S.16 is not compatible with VHDL 1076-1987. Comment -- out the function (declaration and body) for VHDL 1076-1987 compatibility. ------------------------------------------------------------------------------ -- Id: S.16 function "ror" (ARG: SIGNED; COUNT: INTEGER) return SIGNED is begin if (COUNT >= 0) then return ROTATE_RIGHT(ARG, COUNT); else return ROTATE_LEFT(ARG, -COUNT); end if; end "ror"; --END-V93 --============================================================================ -- Id: D.1 function TO_INTEGER (ARG: UNSIGNED) return NATURAL is constant ARG_LEFT: INTEGER := ARG'LENGTH-1; alias XARG: UNSIGNED(ARG_LEFT downto 0) is ARG; variable RESULT: NATURAL := 0; begin if (ARG'LENGTH < 1) then assert NO_WARNING report "NUMERIC_BIT.TO_INTEGER: null detected, returning 0" severity WARNING; return 0; end if; for I in XARG'RANGE loop RESULT := RESULT+RESULT; if XARG(I) = '1' then RESULT := RESULT + 1; end if; end loop; return RESULT; end TO_INTEGER; -- Id: D.2 function TO_INTEGER (ARG: SIGNED) return INTEGER is begin if (ARG'LENGTH < 1) then assert NO_WARNING report "NUMERIC_BIT.TO_INTEGER: null detected, returning 0" severity WARNING; return 0; end if; if ARG(ARG'LEFT) = '0' then return TO_INTEGER(UNSIGNED(ARG)); else return (- (TO_INTEGER(UNSIGNED(- (ARG + 1)))) -1); end if; end TO_INTEGER; -- Id: D.3 function TO_UNSIGNED (ARG, SIZE: NATURAL) return UNSIGNED is variable RESULT: UNSIGNED(SIZE-1 downto 0); variable I_VAL: NATURAL := ARG; begin if (SIZE < 1) then return NAU; end if; for I in 0 to RESULT'LEFT loop if (I_VAL mod 2) = 0 then RESULT(I) := '0'; else RESULT(I) := '1'; end if; I_VAL := I_VAL/2; end loop; if not(I_VAL =0) then assert NO_WARNING report "NUMERIC_BIT.TO_UNSIGNED: vector truncated" severity WARNING; end if; return RESULT; end TO_UNSIGNED; -- Id: D.4 function TO_SIGNED (ARG: INTEGER; SIZE: NATURAL) return SIGNED is variable RESULT: SIGNED(SIZE-1 downto 0); variable B_VAL: BIT := '0'; variable I_VAL: INTEGER := ARG; begin if (SIZE < 1) then return NAS; end if; if (ARG < 0) then B_VAL := '1'; I_VAL := -(ARG+1); end if; for I in 0 to RESULT'LEFT loop if (I_VAL mod 2) = 0 then RESULT(I) := B_VAL; else RESULT(I) := not B_VAL; end if; I_VAL := I_VAL/2; end loop; if ((I_VAL/=0) or (B_VAL/=RESULT(RESULT'LEFT))) then assert NO_WARNING report "NUMERIC_BIT.TO_SIGNED: vector truncated" severity WARNING; end if; return RESULT; end TO_SIGNED; --============================================================================ -- Id: R.1 function RESIZE (ARG: SIGNED; NEW_SIZE: NATURAL) return SIGNED is alias INVEC: SIGNED(ARG'LENGTH-1 downto 0) is ARG; variable RESULT: SIGNED(NEW_SIZE-1 downto 0) := (others => '0'); constant BOUND: INTEGER := MIN(ARG'LENGTH, RESULT'LENGTH)-2; begin if (NEW_SIZE < 1) then return NAS; end if; if (ARG'LENGTH = 0) then return RESULT; end if; RESULT := (others => ARG(ARG'LEFT)); if BOUND >= 0 then RESULT(BOUND downto 0) := INVEC(BOUND downto 0); end if; return RESULT; end RESIZE; -- Id: R.2 function RESIZE (ARG: UNSIGNED; NEW_SIZE: NATURAL) return UNSIGNED is constant ARG_LEFT: INTEGER := ARG'LENGTH-1; alias XARG: UNSIGNED(ARG_LEFT downto 0) is ARG; variable RESULT: UNSIGNED(NEW_SIZE-1 downto 0) := (others => '0'); begin if (NEW_SIZE < 1) then return NAU; end if; if XARG'LENGTH =0 then return RESULT; end if; if (RESULT'LENGTH < ARG'LENGTH) then RESULT(RESULT'LEFT downto 0) := XARG(RESULT'LEFT downto 0); else RESULT(RESULT'LEFT downto XARG'LEFT+1) := (others => '0'); RESULT(XARG'LEFT downto 0) := XARG; end if; return RESULT; end RESIZE; --============================================================================ -- Id: L.1 function "not" (L: UNSIGNED) return UNSIGNED is variable RESULT: UNSIGNED(L'LENGTH-1 downto 0); begin RESULT := UNSIGNED(not(BIT_VECTOR(L))); return RESULT; end "not"; -- Id: L.2 function "and" (L, R: UNSIGNED) return UNSIGNED is variable RESULT: UNSIGNED(L'LENGTH-1 downto 0); begin RESULT := UNSIGNED(BIT_VECTOR(L) and BIT_VECTOR(R)); return RESULT; end "and"; -- Id: L.3 function "or" (L, R: UNSIGNED) return UNSIGNED is variable RESULT: UNSIGNED(L'LENGTH-1 downto 0); begin RESULT := UNSIGNED(BIT_VECTOR(L) or BIT_VECTOR(R)); return RESULT; end "or"; -- Id: L.4 function "nand" (L, R: UNSIGNED) return UNSIGNED is variable RESULT: UNSIGNED(L'LENGTH-1 downto 0); begin RESULT := UNSIGNED(BIT_VECTOR(L) nand BIT_VECTOR(R)); return RESULT; end "nand"; -- Id: L.5 function "nor" (L, R: UNSIGNED) return UNSIGNED is variable RESULT: UNSIGNED(L'LENGTH-1 downto 0); begin RESULT := UNSIGNED(BIT_VECTOR(L) nor BIT_VECTOR(R)); return RESULT; end "nor"; -- Id: L.6 function "xor" (L, R: UNSIGNED) return UNSIGNED is variable RESULT: UNSIGNED(L'LENGTH-1 downto 0); begin RESULT := UNSIGNED(BIT_VECTOR(L) xor BIT_VECTOR(R)); return RESULT; end "xor"; --START-V93 ------------------------------------------------------------------------------ -- Note : Function L.7 is not compatible with VHDL 1076-1987. Comment -- out the function (declaration and body) for VHDL 1076-1987 compatibility. ------------------------------------------------------------------------------ -- Id: L.7 function "xnor" (L, R: UNSIGNED) return UNSIGNED is variable RESULT: UNSIGNED(L'LENGTH-1 downto 0); begin RESULT := UNSIGNED(BIT_VECTOR(L) xnor BIT_VECTOR(R)); return RESULT; end "xnor"; --END-V93 -- Id: L.8 function "not" (L: SIGNED) return SIGNED is variable RESULT: SIGNED(L'LENGTH-1 downto 0); begin RESULT := SIGNED(not(BIT_VECTOR(L))); return RESULT; end "not"; -- Id: L.9 function "and" (L, R: SIGNED) return SIGNED is variable RESULT: SIGNED(L'LENGTH-1 downto 0); begin RESULT := SIGNED(BIT_VECTOR(L) and BIT_VECTOR(R)); return RESULT; end "and"; -- Id: L.10 function "or" (L, R: SIGNED) return SIGNED is variable RESULT: SIGNED(L'LENGTH-1 downto 0); begin RESULT := SIGNED(BIT_VECTOR(L) or BIT_VECTOR(R)); return RESULT; end "or"; -- Id: L.11 function "nand" (L, R: SIGNED) return SIGNED is variable RESULT: SIGNED(L'LENGTH-1 downto 0); begin RESULT := SIGNED(BIT_VECTOR(L) nand BIT_VECTOR(R)); return RESULT; end "nand"; -- Id: L.12 function "nor" (L, R: SIGNED) return SIGNED is variable RESULT: SIGNED(L'LENGTH-1 downto 0); begin RESULT := SIGNED(BIT_VECTOR(L) nor BIT_VECTOR(R)); return RESULT; end "nor"; -- Id: L.13 function "xor" (L, R: SIGNED) return SIGNED is variable RESULT: SIGNED(L'LENGTH-1 downto 0); begin RESULT := SIGNED(BIT_VECTOR(L) xor BIT_VECTOR(R)); return RESULT; end "xor"; --START-V93 ------------------------------------------------------------------------------ -- Note : Function L.14 is not compatible with VHDL 1076-1987. Comment -- out the function (declaration and body) for VHDL 1076-1987 compatibility. ------------------------------------------------------------------------------ -- Id: L.14 function "xnor" (L, R: SIGNED) return SIGNED is variable RESULT: SIGNED(L'LENGTH-1 downto 0); begin RESULT := SIGNED(BIT_VECTOR(L) xnor BIT_VECTOR(R)); return RESULT; end "xnor"; --END-V93 --============================================================================ -- Id: E.1 function RISING_EDGE (signal S: BIT) return BOOLEAN is begin return S'EVENT and S = '1'; end RISING_EDGE; -- Id: E.2 function FALLING_EDGE (signal S: BIT) return BOOLEAN is begin return S'EVENT and S = '0'; end FALLING_EDGE; --============================================================================ end NUMERIC_BIT;
-- ------------------------------------------------------------- -- -- Generated Architecture Declaration for rtl of inst_b_e -- -- Generated -- by: wig -- on: Mon Jun 26 17:00:36 2006 -- cmd: /cygdrive/h/work/eclipse/MIX/mix_0.pl ../macro.xls -- -- !!! Do not edit this file! Autogenerated by MIX !!! -- $Author: wig $ -- $Id: inst_b_e-rtl-a.vhd,v 1.3 2006/07/04 09:54:10 wig Exp $ -- $Date: 2006/07/04 09:54:10 $ -- $Log: inst_b_e-rtl-a.vhd,v $ -- Revision 1.3 2006/07/04 09:54:10 wig -- Update more testcases, add configuration/cfgfile -- -- -- Based on Mix Architecture Template built into RCSfile: MixWriter.pm,v -- Id: MixWriter.pm,v 1.90 2006/06/22 07:13:21 wig Exp -- -- Generator: mix_0.pl Revision: 1.46 , [email protected] -- (C) 2003,2005 Micronas GmbH -- -- -------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; -- No project specific VHDL libraries/arch -- -- -- Start of Generated Architecture rtl of inst_b_e -- architecture rtl of inst_b_e is -- -- Generated Constant Declarations -- -- -- Generated Components -- -- -- Generated Signal List -- -- -- End of Generated Signal List -- begin -- -- Generated Concurrent Statements -- -- -- Generated Signal Assignments -- -- -- Generated Instances and Port Mappings -- end rtl; -- --!End of Architecture/s -- --------------------------------------------------------------
component unsaved is port ( clk_clk : in std_logic := 'X'; -- clk reset_reset_n : in std_logic := 'X'; -- reset_n pio_0_external_connection_export : out std_logic_vector(7 downto 0) -- export ); end component unsaved; u0 : component unsaved port map ( clk_clk => CONNECTED_TO_clk_clk, -- clk.clk reset_reset_n => CONNECTED_TO_reset_reset_n, -- reset.reset_n pio_0_external_connection_export => CONNECTED_TO_pio_0_external_connection_export -- pio_0_external_connection.export );
library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.NUMERIC_STD.ALL; use work.aconvenientpackage.all; entity sdram_ctrl_de2_tb is generic( DATA_WIDTH : Integer := 32; DQM_WIDTH : Integer := 4; ROW_WIDTH : Integer := 13; COLS_WIDTH : Integer := 10; BANK_WIDTH : Integer := 2; NOP_BOOT_CYCLES : Integer := 10000; --at 50MHz covers 200us REF_PERIOD : Integer := 390; --refresh command every to 7.8125 microseconds REF_COMMAND_COUNT : Integer := 2; --How many refresh commands should be issued during initialization REF_COMMAND_PERIOD : Integer := 8; -- at 50MHz covers 60ns (tRC Command Period) PRECH_COMMAND_PERIOD : Integer := 2; -- tRP Command Period PRECHARGE TO ACTIVATE/REFRESH ACT_TO_RW_CYCLES : Integer := 2; --tRCD Active Command To Read/Write Command Delay Time IN_DATA_TO_PRE : Integer := 2; --tDPL Input Data To Precharge Command Delay CAS_LAT_CYCLES : Integer := 2; --based on CAS Latency setting MODE_REG_CYCLES : Integer := 2; --tMRD (Mode Register Set To Command Delay Time 2 cycle) BURST_LENGTH : Integer := 1; --SEUD implementation requires a single access mode RAM_COLS : Integer := 1024; --A full page is 512 columns RAM_ROWS : Integer := 8192; RAM_BANKS : Integer := 4; REQUEST_DELAY_CYCLES : Integer := 0 --make a request every 0.05 ms @ 50MHz ); PORT ( HEX7,HEX6,HEX5,HEX4,HEX3,HEX2,HEX1,HEX0 : OUT std_logic_vector(6 downto 0); LEDG : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); SW : IN STD_LOGIC_VECTOR(7 DOWNTO 0); KEY : IN STD_LOGIC_VECTOR(0 DOWNTO 0); CLOCK_50 : IN STD_LOGIC; OP_DONE_LED : OUT STD_LOGIC; LEDR : OUT STD_LOGIC_VECTOR(15 DOWNTO 0); FULL_READ_LED : OUT STD_LOGIC; CHECK_DATA_LED : OUT STD_LOGIC; DRAM_CLK, DRAM_CKE : OUT STD_LOGIC; DRAM_ADDR : OUT STD_LOGIC_VECTOR(ROW_WIDTH-1 DOWNTO 0); DRAM_BA_0, DRAM_BA_1 : OUT STD_LOGIC; DRAM_CS_N, DRAM_CAS_N, DRAM_RAS_N, DRAM_WE_N : OUT STD_LOGIC; DRAM_DQ : INOUT STD_LOGIC_VECTOR(DATA_WIDTH-1 downto 0); DRAM_DQM : OUT STD_LOGIC_VECTOR(3 DOWNTO 0) ); end sdram_ctrl_de2_tb; architecture behave of sdram_ctrl_de2_tb is --instantiate components component sdram_ctrl_tmr_top generic( DATA_WIDTH : Integer; DQM_WIDTH : Integer; ROW_WIDTH : Integer; COLS_WIDTH : Integer; BANK_WIDTH : Integer; NOP_BOOT_CYCLES : Integer; --at 50MHz covers 100us REF_PERIOD : Integer; --refresh command every to 7.8125 microseconds REF_COMMAND_COUNT : Integer; --How many refresh commands should be issued during initialization REF_COMMAND_PERIOD : Integer; -- at 50MHz covers 60ns (tRC Command Period) PRECH_COMMAND_PERIOD : Integer; -- tRP Command Period PRECHARGE TO ACTIVATE/REFRESH ACT_TO_RW_CYCLES : Integer; --tRCD Active Command To Read/Write Command Delay Time IN_DATA_TO_PRE : Integer; --tDPL Input Data To Precharge Command Delay CAS_LAT_CYCLES : Integer; --based on CAS Latency setting MODE_REG_CYCLES : Integer; --tMRD (Mode Register Set To Command Delay Time 2 cycle) BURST_LENGTH : Integer; --NOT USED! SEUD implementation requires a single access mode RAM_COLS : Integer; --A full page is 512 columns RAM_ROWS : Integer; RAM_BANKS : Integer ); port( --SDRAM Interface clk_o : out std_logic; cke_o : out std_logic; bank_o : out std_logic_vector(BANK_WIDTH-1 downto 0); addr_o : out std_logic_vector(ROW_WIDTH-1 downto 0); cs_o : out std_logic; ras_o : out std_logic; cas_o : out std_logic; we_o : out std_logic; dqm_o : out std_logic_vector (DQM_WIDTH-1 DOWNTO 0); dataQ_io : inout std_logic_vector(DATA_WIDTH-1 downto 0); --Testing interface en_err_test_i : in std_logic; err_counter_o : out std_logic_vector(DATA_WIDTH-1 downto 0); --Controller Interface hold_i : in std_logic; rst_i : in std_logic; clk_i : in std_logic; wr_req_i : in std_logic; wr_grnt_o : out std_logic; wr_data_i : in std_logic_vector(DATA_WIDTH-1 downto 0); rd_data_o : out std_logic_vector(DATA_WIDTH-1 downto 0); rd_req_i : in std_logic; rd_grnt_o : out std_logic; rd_op_done_o : out std_logic; wr_op_done_o : out std_logic; rw_addr_i : in std_logic_vector((COLS_WIDTH+ROW_WIDTH+BANK_WIDTH)-1 downto 0); mem_ready_o : out std_logic; err_detected_o : out std_logic; ctrl_state_o : out std_logic_vector(20 downto 0) ); end component sdram_ctrl_tmr_top; component sdram_ctrl is generic( DATA_WIDTH : Integer := 32; DQM_WIDTH : Integer := 4; ROW_WIDTH : Integer := 13; COLS_WIDTH : Integer := 10; BANK_WIDTH : Integer := 2; NOP_BOOT_CYCLES : Integer := 10000; --at 50MHz covers 100us REF_PERIOD : Integer := 390; --refresh command every to 7.8125 microseconds REF_COMMAND_COUNT : Integer := 8; --How many refresh commands should be issued during initialization REF_COMMAND_PERIOD : Integer := 8; -- at 50MHz covers 60ns (tRC Command Period) PRECH_COMMAND_PERIOD : Integer := 2; -- tRP Command Period PRECHARGE TO ACTIVATE/REFRESH ACT_TO_RW_CYCLES : Integer := 2; --tRCD Active Command To Read/Write Command Delay Time IN_DATA_TO_PRE : Integer := 2; --tDPL Input Data To Precharge Command Delay CAS_LAT_CYCLES : Integer := 2; --based on CAS Latency setting MODE_REG_CYCLES : Integer := 2; --tMRD (Mode Register Set To Command Delay Time 2 cycle) BURST_LENGTH : Integer := 1; --NOT USED! SEUD implementation requires a single access mode RAM_COLS : Integer := 512; --A full page is 512 columns RAM_ROWS : Integer := 8192; RAM_BANKS : Integer := 4 ); port( --SDRAM Interface clk_o : out std_logic; cke_o : out std_logic; bank_o : out std_logic_vector(BANK_WIDTH-1 downto 0); addr_o : out std_logic_vector(ROW_WIDTH-1 downto 0); cs_o : out std_logic; ras_o : out std_logic; cas_o : out std_logic; we_o : out std_logic; dqm_o : out std_logic_vector (DQM_WIDTH-1 DOWNTO 0); dataQ_io : inout std_logic_vector(DATA_WIDTH-1 downto 0); --Controller Interface hold_i : in std_logic; rst_i : in std_logic; clk_i : in std_logic; wr_req_i : in std_logic; wr_grnt_o : out std_logic; wr_data_i : in std_logic_vector(DATA_WIDTH-1 downto 0); rd_data_o : out std_logic_vector(DATA_WIDTH-1 downto 0) := (others=>'0'); rd_req_i : in std_logic; rd_grnt_o : out std_logic; wr_op_done_o : out std_logic; rd_op_done_o : out std_logic; rw_addr_i : in std_logic_vector((COLS_WIDTH+ROW_WIDTH+BANK_WIDTH)-1 downto 0); mem_ready_o : out std_logic; ctrl_state_o : out std_logic_vector(20 downto 0) ); end component sdram_ctrl; component sdram_pll port( inclk0 : IN STD_LOGIC := '0'; c0 : OUT STD_LOGIC ); end component; component binary_bcd_converter generic(N: positive := 16); port( clk, reset: in std_logic; binary_in: in std_logic_vector(N-1 downto 0); bcd0, bcd1, bcd2, bcd3, bcd4: out std_logic_vector(3 downto 0) ); end component; component sevensegmentdecoder port( bcdin : IN std_logic_vector(3 downto 0); sys_clk : IN std_logic; reset : IN std_logic; output : OUT std_logic_vector(6 downto 0) ); end component; --control the controller signals signal PLLCLOCK : std_logic := '0'; signal hold_int : std_logic := '0'; signal rst_int : std_logic := '0'; signal wr_req_int : std_logic := '0'; signal wr_grnt_int : std_logic := '0'; signal wr_data_int : std_logic_vector(DATA_WIDTH-1 downto 0) := (others=>'0'); signal rd_data_int : std_logic_vector(DATA_WIDTH-1 downto 0) := (others=>'0'); signal rd_req_int : std_logic := '0'; signal rd_grnt_int : std_logic := '0'; signal wr_done_int, rd_done_int : std_logic := '0'; signal rw_addr_int : std_logic_vector((COLS_WIDTH+ROW_WIDTH+BANK_WIDTH)-1 downto 0) := (others=>'Z'); signal mem_ready_int : std_logic := '0'; signal err_detected_int : std_logic := '0'; signal ba : std_logic_vector(BANK_WIDTH-1 downto 0); --SDRAM controller states. type fsm_state_type is (ST_MOVE, ST_REQ_WRITE, ST_WRITE, ST_REQ_READ, ST_READ, ST_WAIT); signal state : fsm_state_type := ST_WAIT; -- Attribute "safe" implements a safe state machine. -- This is a state machine that can recover from an -- illegal state (by returning to the reset state). attribute syn_encoding : string; attribute syn_encoding of fsm_state_type : type is "safe"; --internal logic signal bank_index, rows_index, cols_index : Integer := 0; signal received_data : std_logic_vector(DATA_WIDTH-1 downto 0) := (others=>'0'); signal hex_7_bcd, hex_6_bcd, hex_5_bcd, hex_4_bcd, hex_3_bcd, hex_2_bcd, hex_1_bcd, hex_0_bcd : std_logic_vector(3 downto 0) := (others=>'0'); signal test1_complete, test2_complete, full_read_complete, full_write_complete : std_logic := '0'; signal delay_clock_count : integer := 0; signal delayed_clock : std_logic := '0'; signal data_check : std_logic := '0'; signal error_detected : std_logic := '0'; signal error_count : std_logic_vector(DATA_WIDTH-1 downto 0) := (others=>'0'); signal test_pass : std_logic := '0'; --a 0 represents first pass, 1 represents second pass signal delayed_clock_limit : std_logic_vector(5 downto 0) := (others=>'0'); --various constants constant prescaler_limit : integer := 25000000; constant update_hex_limit : integer := 1000000; constant demo_number : std_logic_vector(31 downto 0) := X"12B9B0A1"; --pi up to 28-bit resolution constant odd_demo_number : std_logic_vector(31 downto 0) := X"AAAAAAAA"; constant even_demo_number : std_logic_vector(31 downto 0) := X"55555555"; begin seq_write_read: process(CLOCK_50, KEY, SW, rd_done_int, wr_done_int, rd_data_int, delayed_clock) variable test_data : std_logic_vector(DATA_WIDTH-1 downto 0) := demo_number; variable i, pos : integer := 0; variable err_var : std_logic_vector(DATA_WIDTH-1 downto 0); begin if KEY(0) = '0' then state <= ST_WAIT; received_data <= (others=>'0'); LEDR <= received_data(15 downto 0); cols_index <= 0; rows_index <= 0; bank_index <= 0; test1_complete <= '0'; rw_addr_int <= (others => 'Z'); data_check <= '0'; error_detected <= '0'; test_pass <= '0'; elsif rising_edge(CLOCK_50) and error_detected ='0' and err_detected_int='0' then error_detected <= '0'; case state is when ST_WAIT=> if delayed_clock = '1' then if test_pass = '0' then test_data := "0000000" & std_logic_vector(to_unsigned(bank_index, BANK_WIDTH)) & std_logic_vector(to_unsigned(cols_index, COLS_WIDTH)) & std_logic_vector(to_unsigned(rows_index, ROW_WIDTH)); else test_data := "0000000" & not(std_logic_vector(to_unsigned(bank_index, BANK_WIDTH)) & std_logic_vector(to_unsigned(cols_index, COLS_WIDTH)) & std_logic_vector(to_unsigned(rows_index, ROW_WIDTH))); end if; state <= ST_REQ_WRITE; wr_req_int <= '1'; rw_addr_int <= std_logic_vector(to_unsigned(bank_index, BANK_WIDTH)) & std_logic_vector(to_unsigned(rows_index, ROW_WIDTH)) & std_logic_vector(to_unsigned(cols_index, COLS_WIDTH)); wr_data_int <= test_data; end if; when ST_MOVE=> state <= ST_WAIT; if cols_index = RAM_COLS then cols_index <= 0; if rows_index = RAM_ROWS then rows_index <= 0; if bank_index = RAM_BANKS then bank_index <= 0; if test_pass = '0' then test_pass <= not(test_pass); else test1_complete <= '1'; end if; else bank_index <= bank_index + 1; test1_complete <= '0'; end if; else rows_index <= rows_index + 1; test1_complete <= '0'; end if; else cols_index <= cols_index + 1; test1_complete <= '0'; end if; when ST_REQ_WRITE=> if wr_grnt_int = '1' then state <= ST_WRITE; wr_req_int <= '0'; rw_addr_int <= (others => 'Z'); end if; when ST_WRITE=> if wr_done_int ='1' then state <= ST_REQ_READ; wr_req_int <= '0'; wr_data_int <= (others=>'Z'); rd_req_int <= '1'; rw_addr_int <= std_logic_vector(to_unsigned(bank_index, BANK_WIDTH)) & std_logic_vector(to_unsigned(rows_index, ROW_WIDTH)) & std_logic_vector(to_unsigned(cols_index, COLS_WIDTH)); received_data <= (others=>'0'); end if; data_check <= '0'; when ST_REQ_READ=> if rd_grnt_int = '1' then state <= ST_READ; rd_req_int <= '0'; rw_addr_int <= (others => 'Z'); end if; when ST_READ=> if rd_done_int ='1' then state <= ST_MOVE; rd_req_int <= '0'; received_data <= rd_data_int; if (rd_data_int = test_data) then data_check <= '1'; LEDR <= (others=>'0');--rd_data_int(15 downto 0); else data_check <= '0'; --error_detected <= '1'; err_var := rd_data_int xor test_data; for i in DATA_WIDTH-1 downto 0 loop pos := i; exit when err_var(i) = '1' ; end loop; if pos >= 16 then LEDR <= rd_data_int(DATA_WIDTH-1 downto 16); else LEDR <= rd_data_int(15 downto 0); end if; end if; end if; end case; end if; end process; -- first_write_then_read: process(CLOCK_50, KEY, SW) -- variable test_data : std_logic_vector(DATA_WIDTH-1 downto 0) := demo_number; -- variable i, pos : integer := 0; -- variable err_var : std_logic_vector(DATA_WIDTH-1 downto 0); -- begin -- if KEY(0) = '0' then -- state <= ST_WAIT; -- received_data <= (others=>'0'); -- LEDR <= (others=>'0'); -- cols_index <= 0; -- rows_index <= 0; -- bank_index <= 0; -- full_read_complete <= '0'; -- full_write_complete <= '0'; -- test2_complete <= '0'; -- rw_addr_int <= (others => 'Z'); -- data_check <= '0'; -- error_detected <= '0'; -- elsif rising_edge(CLOCK_50) and error_detected ='0' then -- error_detected <= '0'; -- case state is -- when ST_WAIT=> -- if delayed_clock = '1' then -- --LEDR <= (others=>'0'); -- if(rows_index mod 2)=0 then -- test_data := even_demo_number; -- else -- test_data := odd_demo_number; -- end if; -- if full_write_complete ='0' and SW(1) = '0' then -- state <= ST_WRITE; -- else -- state <= ST_READ; -- end if; -- end if; -- when ST_MOVE=> -- state <= ST_WAIT; -- if cols_index = RAM_COLS then -- cols_index <= 0; -- if rows_index = RAM_ROWS then -- rows_index <= 0; -- if bank_index = RAM_BANKS then -- bank_index <= 0; -- if full_write_complete = '0' and full_read_complete ='0' and SW(1) = '0' then -- full_write_complete <= '1'; -- full_read_complete <= '0'; -- elsif (full_read_complete = '0' and full_write_complete ='1') or SW(1)='1' then -- full_read_complete <= '1'; -- test2_complete <= '1'; -- end if; -- else -- bank_index <= bank_index + 1; -- end if; -- else -- rows_index <= rows_index + 1; -- end if; -- else -- cols_index <= cols_index + 1; -- end if; -- when ST_WRITE=> -- if wr_grnt_int = '1' then -- state <= ST_WRITE; -- wr_req_int <= '0'; -- rw_addr_int <= (others => 'Z'); -- else -- state <= ST_WRITE; -- wr_req_int <= '1'; -- rw_addr_int <= std_logic_vector(to_unsigned(bank_index, BANK_WIDTH)) & std_logic_vector(to_unsigned(cols_index, COLS_WIDTH)) & std_logic_vector(to_unsigned(rows_index, ROW_WIDTH)); -- wr_data_int <= test_data; -- end if; -- if done_int ='1' then -- state <= ST_MOVE; -- wr_req_int <= '0'; -- wr_data_int <= (others=>'Z'); -- rw_addr_int <= (others=>'Z'); -- end if; -- data_check <= '0'; -- when ST_READ=> -- if rd_grnt_int = '1' then -- state <= ST_READ; -- rd_req_int <= '0'; -- rw_addr_int <= (others => 'Z'); -- else -- state <= ST_READ; -- rd_req_int <= '1'; -- rw_addr_int <= std_logic_vector(to_unsigned(bank_index, BANK_WIDTH)) & std_logic_vector(to_unsigned(cols_index, COLS_WIDTH)) & std_logic_vector(to_unsigned(rows_index, ROW_WIDTH)); -- received_data <= (others=>'Z'); -- end if; -- if done_int ='1' then -- state <= ST_MOVE; -- rd_req_int <= '0'; -- received_data <= rd_data_int; -- --if ((rows_index mod 2)=0 and rd_data_int=even_demo_number) or ((rows_index mod 2)/=0 and rd_data_int=odd_demo_number) then -- if rd_data_int=test_data then -- data_check <= '1'; -- LEDR <= rd_data_int(DATA_WIDTH-1 downto 16); -- else -- data_check <= '0'; -- --error_detected <= '1'; -- err_var := rd_data_int xor test_data; -- for i in DATA_WIDTH-1 downto 0 loop -- pos := i; -- exit when err_var(i) = '1' ; -- end loop; -- if pos >= 16 then -- LEDR <= rd_data_int(DATA_WIDTH-1 downto 16); -- else -- LEDR <= rd_data_int(15 downto 0); -- end if; -- end if; -- end if; -- when others=> -- state <= ST_WAIT; -- received_data <= (others=>'0'); -- LEDR <= (others=>'0'); -- cols_index <= 0; -- rows_index <= 0; -- bank_index <= 0; -- full_read_complete <= '0'; -- full_write_complete <= '0'; -- test2_complete <= '0'; -- rw_addr_int <= (others => 'Z'); -- data_check <= '0'; -- error_detected <= '0'; -- end case; -- end if; -- end process; delay_clock: process(CLOCK_50, KEY(0), full_read_complete, rd_done_int, wr_done_int) begin if KEY(0) = '0' then delay_clock_count <= 0; delayed_clock <= '0'; delayed_clock_limit <= SW(7 downto 2); elsif rising_edge(CLOCK_50) and mem_ready_int = '1' then if delay_clock_count = to_integer(unsigned(delayed_clock_limit)) then delayed_clock_limit <= SW(7 downto 2); delay_clock_count <= 0; delayed_clock <= SW(0) and not(test1_complete or test2_complete); else delayed_clock <= '0'; delay_clock_count <= delay_clock_count + 1; end if; end if; end process; --sdram pins DRAM_BA_0 <= ba(0); DRAM_BA_1 <= ba(1); hold_int <= '1'; --never auto precharge --Pll drives the clock for the SDRAM -3 ns phase shift -- synthesis read_comments_as_HDL on --sdram_pll_inst : sdram_pll port map(inclk0 => CLOCK_50, c0 => DRAM_CLK); -- synthesis read_comments_as_HDL off --instantiate components -- sdram_ctrl_inst : sdram_ctrl -- generic map( -- DATA_WIDTH => DATA_WIDTH, -- DQM_WIDTH => DQM_WIDTH, -- ROW_WIDTH => ROW_WIDTH, -- COLS_WIDTH => COLS_WIDTH, -- BANK_WIDTH => BANK_WIDTH, -- NOP_BOOT_CYCLES => NOP_BOOT_CYCLES, --at 10MHz covers 100us -- REF_PERIOD => REF_PERIOD, --refresh command every to 7.8125 microseconds -- REF_COMMAND_COUNT => REF_COMMAND_COUNT, --How many refresh commands should be issued during initialization -- REF_COMMAND_PERIOD => REF_COMMAND_PERIOD, -- at 50MHz covers 60ns (tRC Command Period) -- PRECH_COMMAND_PERIOD => PRECH_COMMAND_PERIOD, -- tRP Command Period PRECHARGE TO ACTIVATE/REFRESH -- ACT_TO_RW_CYCLES => ACT_TO_RW_CYCLES, -- IN_DATA_TO_PRE => IN_DATA_TO_PRE, -- CAS_LAT_CYCLES => CAS_LAT_CYCLES, --based on CAS Latency setting -- MODE_REG_CYCLES => MODE_REG_CYCLES, -- BURST_LENGTH => BURST_LENGTH, -- RAM_COLS => RAM_COLS, --A full page is 512 columns -- RAM_ROWS => RAM_ROWS, -- RAM_BANKS => RAM_BANKS -- ) -- port map( -- --SDRAM Interface -- clk_o => open, -- cke_o => DRAM_CKE, -- bank_o => ba, -- addr_o => DRAM_ADDR, -- cs_o => DRAM_CS_N, -- ras_o => DRAM_RAS_N, -- cas_o => DRAM_CAS_N, -- we_o => DRAM_WE_N, -- dqm_o => DRAM_DQM, -- dataQ_io => DRAM_DQ, -- --Controller Interface -- hold_i => hold_int, -- rst_i => KEY(0), -- clk_i => CLOCK_50, -- wr_req_i => wr_req_int, -- wr_grnt_o => wr_grnt_int, -- wr_data_i => wr_data_int, -- rd_data_o => rd_data_int, -- rd_req_i => rd_req_int, -- rd_grnt_o => rd_grnt_int, -- wr_op_done_o => wr_done_int, -- rd_op_done_o => rd_done_int, -- rw_addr_i => rw_addr_int, -- mem_ready_o => mem_ready_int, -- ctrl_state_o => open -- ); sdram_ctrl_inst : sdram_ctrl_tmr_top generic map( DATA_WIDTH => DATA_WIDTH, DQM_WIDTH => DQM_WIDTH, ROW_WIDTH => ROW_WIDTH, COLS_WIDTH => COLS_WIDTH, BANK_WIDTH => BANK_WIDTH, NOP_BOOT_CYCLES => NOP_BOOT_CYCLES, --at 10MHz covers 100us REF_PERIOD => REF_PERIOD, --refresh command every to 7.8125 microseconds REF_COMMAND_COUNT => REF_COMMAND_COUNT, --How many refresh commands should be issued during initialization REF_COMMAND_PERIOD => REF_COMMAND_PERIOD, -- at 50MHz covers 60ns (tRC Command Period) PRECH_COMMAND_PERIOD => PRECH_COMMAND_PERIOD, -- tRP Command Period PRECHARGE TO ACTIVATE/REFRESH ACT_TO_RW_CYCLES => ACT_TO_RW_CYCLES, IN_DATA_TO_PRE => IN_DATA_TO_PRE, CAS_LAT_CYCLES => CAS_LAT_CYCLES, --based on CAS Latency setting MODE_REG_CYCLES => MODE_REG_CYCLES, BURST_LENGTH => BURST_LENGTH, RAM_COLS => RAM_COLS, --A full page is 512 columns RAM_ROWS => RAM_ROWS, RAM_BANKS => RAM_BANKS ) port map( --SDRAM Interface clk_o => open, cke_o => DRAM_CKE, bank_o => ba, addr_o => DRAM_ADDR, cs_o => DRAM_CS_N, ras_o => DRAM_RAS_N, cas_o => DRAM_CAS_N, we_o => DRAM_WE_N, dqm_o => DRAM_DQM, dataQ_io => DRAM_DQ, --Testing interface en_err_test_i=> '0', err_counter_o=> error_count, --Controller Interface hold_i => hold_int, rst_i => KEY(0), clk_i => CLOCK_50, wr_req_i => wr_req_int, wr_grnt_o => wr_grnt_int, wr_data_i => wr_data_int, rd_data_o => rd_data_int, rd_req_i => rd_req_int, rd_grnt_o => rd_grnt_int, wr_op_done_o => wr_done_int, rd_op_done_o => rd_done_int, rw_addr_i => rw_addr_int, mem_ready_o => mem_ready_int, err_detected_o => err_detected_int, ctrl_state_o => open ); --status leds OP_DONE_LED <= wr_done_int or rd_done_int; CHECK_DATA_LED <= data_check; FULL_READ_LED <= test1_complete OR test2_complete ; LEDG(7) <= mem_ready_int; -- synthesis read_comments_as_HDL on --bin2bcd_rows : binary_bcd_converter generic map(N=>16) port map(clk=>CLOCK_50, reset=>not KEY(0), binary_in=>std_logic_vector(to_unsigned(rows_index, 16)), bcd0=>hex_0_bcd, bcd1=>hex_1_bcd, bcd2=>hex_2_bcd, bcd3=>hex_3_bcd); --bin2bcd_cols : binary_bcd_converter generic map(N=>16) port map(clk=>CLOCK_50, reset=>not KEY(0), binary_in=>std_logic_vector(to_unsigned(cols_index, 16)), bcd0=>hex_4_bcd, bcd1=>hex_5_bcd, bcd2=>hex_6_bcd); --bin2bcd_bank : binary_bcd_converter generic map(N=>16) port map(clk=>CLOCK_50, reset=>not KEY(0), binary_in=>std_logic_vector(to_unsigned(bank_index, 16)), bcd0=>hex_7_bcd); --dig0 : sevensegmentdecoder port map(bcdin=>hex_0_bcd, reset=>KEY(0), sys_clk=>CLOCK_50, output=>HEX0); --dig1 : sevensegmentdecoder port map(bcdin=>hex_1_bcd, reset=>KEY(0), sys_clk=>CLOCK_50, output=>HEX1); --dig2 : sevensegmentdecoder port map(bcdin=>hex_2_bcd, reset=>KEY(0), sys_clk=>CLOCK_50, output=>HEX2); --dig3 : sevensegmentdecoder port map(bcdin=>hex_3_bcd, reset=>KEY(0), sys_clk=>CLOCK_50, output=>HEX3); --dig4 : sevensegmentdecoder port map(bcdin=>hex_4_bcd, reset=>KEY(0), sys_clk=>CLOCK_50, output=>HEX4); --dig5 : sevensegmentdecoder port map(bcdin=>hex_5_bcd, reset=>KEY(0), sys_clk=>CLOCK_50, output=>HEX5); --dig6 : sevensegmentdecoder port map(bcdin=>hex_6_bcd, reset=>KEY(0), sys_clk=>CLOCK_50, output=>HEX6); --dig7 : sevensegmentdecoder port map(bcdin=>hex_7_bcd, reset=>KEY(0), sys_clk=>CLOCK_50, output=>HEX7); -- synthesis read_comments_as_HDL off end behave;
-- Copyright 1986-2016 Xilinx, Inc. All Rights Reserved. -- -------------------------------------------------------------------------------- -- Tool Version: Vivado v.2016.4 (win64) Build 1756540 Mon Jan 23 19:11:23 MST 2017 -- Date : Mon Apr 10 13:17:00 2017 -- Host : LAPTOP-IQ9G3D1I running 64-bit major release (build 9200) -- Command : write_vhdl -force -mode synth_stub -rename_top mig_wrap_mig_7series_0_0 -prefix -- mig_wrap_mig_7series_0_0_ mig_wrap_mig_7series_0_0_stub.vhdl -- Design : mig_wrap_mig_7series_0_0 -- Purpose : Stub declaration of top-level module interface -- Device : xc7a100tcsg324-1 -- -------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; entity mig_wrap_mig_7series_0_0 is Port ( ddr2_dq : inout STD_LOGIC_VECTOR ( 15 downto 0 ); ddr2_dqs_n : inout STD_LOGIC_VECTOR ( 1 downto 0 ); ddr2_dqs_p : inout STD_LOGIC_VECTOR ( 1 downto 0 ); ddr2_addr : out STD_LOGIC_VECTOR ( 12 downto 0 ); ddr2_ba : out STD_LOGIC_VECTOR ( 2 downto 0 ); ddr2_ras_n : out STD_LOGIC; ddr2_cas_n : out STD_LOGIC; ddr2_we_n : out STD_LOGIC; ddr2_ck_p : out STD_LOGIC_VECTOR ( 0 to 0 ); ddr2_ck_n : out STD_LOGIC_VECTOR ( 0 to 0 ); ddr2_cke : out STD_LOGIC_VECTOR ( 0 to 0 ); ddr2_cs_n : out STD_LOGIC_VECTOR ( 0 to 0 ); ddr2_dm : out STD_LOGIC_VECTOR ( 1 downto 0 ); ddr2_odt : out STD_LOGIC_VECTOR ( 0 to 0 ); sys_clk_i : in STD_LOGIC; clk_ref_i : in STD_LOGIC; ui_clk : out STD_LOGIC; ui_clk_sync_rst : out STD_LOGIC; mmcm_locked : out STD_LOGIC; aresetn : in STD_LOGIC; app_sr_active : out STD_LOGIC; app_ref_ack : out STD_LOGIC; app_zq_ack : out STD_LOGIC; s_axi_awid : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_awaddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_awlen : in STD_LOGIC_VECTOR ( 7 downto 0 ); s_axi_awsize : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_awburst : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_awlock : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_awcache : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_awprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_awqos : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_awvalid : in STD_LOGIC; s_axi_awready : out STD_LOGIC; s_axi_wdata : in STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_wstrb : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_wlast : in STD_LOGIC; s_axi_wvalid : in STD_LOGIC; s_axi_wready : out STD_LOGIC; s_axi_bready : in STD_LOGIC; s_axi_bid : out STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_bvalid : out STD_LOGIC; s_axi_arid : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_arlen : in STD_LOGIC_VECTOR ( 7 downto 0 ); s_axi_arsize : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_arburst : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_arlock : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_arcache : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_arprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_arqos : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_arvalid : in STD_LOGIC; s_axi_arready : out STD_LOGIC; s_axi_rready : in STD_LOGIC; s_axi_rid : out STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_rdata : out STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_rlast : out STD_LOGIC; s_axi_rvalid : out STD_LOGIC; init_calib_complete : out STD_LOGIC; sys_rst : in STD_LOGIC ); end mig_wrap_mig_7series_0_0; architecture stub of mig_wrap_mig_7series_0_0 is attribute syn_black_box : boolean; attribute black_box_pad_pin : string; attribute syn_black_box of stub : architecture is true; attribute black_box_pad_pin of stub : architecture is "ddr2_dq[15:0],ddr2_dqs_n[1:0],ddr2_dqs_p[1:0],ddr2_addr[12:0],ddr2_ba[2:0],ddr2_ras_n,ddr2_cas_n,ddr2_we_n,ddr2_ck_p[0:0],ddr2_ck_n[0:0],ddr2_cke[0:0],ddr2_cs_n[0:0],ddr2_dm[1:0],ddr2_odt[0:0],sys_clk_i,clk_ref_i,ui_clk,ui_clk_sync_rst,mmcm_locked,aresetn,app_sr_active,app_ref_ack,app_zq_ack,s_axi_awid[3:0],s_axi_awaddr[31:0],s_axi_awlen[7:0],s_axi_awsize[2:0],s_axi_awburst[1:0],s_axi_awlock[0:0],s_axi_awcache[3:0],s_axi_awprot[2:0],s_axi_awqos[3:0],s_axi_awvalid,s_axi_awready,s_axi_wdata[31:0],s_axi_wstrb[3:0],s_axi_wlast,s_axi_wvalid,s_axi_wready,s_axi_bready,s_axi_bid[3:0],s_axi_bresp[1:0],s_axi_bvalid,s_axi_arid[3:0],s_axi_araddr[31:0],s_axi_arlen[7:0],s_axi_arsize[2:0],s_axi_arburst[1:0],s_axi_arlock[0:0],s_axi_arcache[3:0],s_axi_arprot[2:0],s_axi_arqos[3:0],s_axi_arvalid,s_axi_arready,s_axi_rready,s_axi_rid[3:0],s_axi_rdata[31:0],s_axi_rresp[1:0],s_axi_rlast,s_axi_rvalid,init_calib_complete,sys_rst"; begin end;
library IEEE; use IEEE.std_logic_1164.all; entity com5 is end entity com5; architecture RTL of com5 is begin end architecture RTL;
library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.NUMERIC_STD.ALL; entity pixel_processing is Port ( clk : in STD_LOGIC; ------------------------------- -- VGA data recovered from HDMI ------------------------------- in_blank : in std_logic; in_hsync : in std_logic; in_vsync : in std_logic; in_red : in std_logic_vector(7 downto 0); in_green : in std_logic_vector(7 downto 0); in_blue : in std_logic_vector(7 downto 0); is_interlaced : in std_logic; is_second_field : in std_logic; ----------------------------------- -- VGA data to be converted to HDMI ----------------------------------- out_blank : out std_logic; out_hsync : out std_logic; out_vsync : out std_logic; out_red : out std_logic_vector(7 downto 0); out_green : out std_logic_vector(7 downto 0); out_blue : out std_logic_vector(7 downto 0); ------------------------------------ -- Audio only comes in.. ------------------------------------ audio_channel : in std_logic_vector(2 downto 0); audio_de : in std_logic; audio_sample : in std_logic_vector(23 downto 0); ---------------------------------- -- Controls ---------------------------------- switches : in std_logic_vector(7 downto 0) ); end pixel_processing; architecture Behavioral of pixel_processing is component edge_enhance is Port ( clk : in STD_LOGIC; enable_feature : in std_logic; ------------------------------- -- VGA data recovered from HDMI ------------------------------- in_blank : in std_logic; in_hsync : in std_logic; in_vsync : in std_logic; in_red : in std_logic_vector(7 downto 0); in_green : in std_logic_vector(7 downto 0); in_blue : in std_logic_vector(7 downto 0); ----------------------------------- -- VGA data to be converted to HDMI ----------------------------------- out_blank : out std_logic; out_hsync : out std_logic; out_vsync : out std_logic; out_red : out std_logic_vector(7 downto 0); out_green : out std_logic_vector(7 downto 0); out_blue : out std_logic_vector(7 downto 0) ); end component; component guidelines is Port ( clk : in STD_LOGIC; enable_feature : in std_logic; ------------------------------- -- VGA data recovered from HDMI ------------------------------- in_blank : in std_logic; in_hsync : in std_logic; in_vsync : in std_logic; in_red : in std_logic_vector(7 downto 0); in_green : in std_logic_vector(7 downto 0); in_blue : in std_logic_vector(7 downto 0); is_interlaced : in std_logic; is_second_field : in std_logic; ----------------------------------- -- VGA data to be converted to HDMI ----------------------------------- out_blank : out std_logic; out_hsync : out std_logic; out_vsync : out std_logic; out_red : out std_logic_vector(7 downto 0); out_green : out std_logic_vector(7 downto 0); out_blue : out std_logic_vector(7 downto 0) ); end component; signal b_blank : std_logic; signal b_hsync : std_logic; signal b_vsync : std_logic; signal b_red : std_logic_vector(7 downto 0); signal b_green : std_logic_vector(7 downto 0); signal b_blue : std_logic_vector(7 downto 0); signal c_blank : std_logic; signal c_hsync : std_logic; signal c_vsync : std_logic; signal c_red : std_logic_vector(7 downto 0); signal c_green : std_logic_vector(7 downto 0); signal c_blue : std_logic_vector(7 downto 0); begin i_edge_enhance: edge_enhance Port map ( clk => clk, enable_feature => switches(0), in_blank => in_blank, in_hsync => in_hsync, in_vsync => in_vsync, in_red => in_red, in_green => in_green, in_blue => in_blue, out_blank => b_blank, out_hsync => b_hsync, out_vsync => b_vsync, out_red => b_red, out_green => b_green, out_blue => b_blue ); end Behavioral;
---------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 13.11.2013 09:58:03 -- Design Name: -- Module Name: SC0720 - Behavioral -- Project Name: -- Target Devices: -- Tool Versions: -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --use IEEE.NUMERIC_STD.ALL; -- Uncomment the following library declaration if instantiating -- any Xilinx leaf cells in this code. --library UNISIM; --use UNISIM.VComponents.all; entity SC0720 is Port ( -- -- -- PHY_LED0: out std_logic; PHY_LED1: out std_logic; PHY_LED2: out std_logic; -- -- Connect to same name PL pin -- PL_pin_K16 : in std_logic; -- PUDC PL_pin_K19 : in std_logic; -- XCLK PL_pin_L16 : out std_logic; -- X1 SCL out PL_pin_M15 : in std_logic; -- X2 PL_pin_N15 : in std_logic; -- X3 PL_pin_P16 : in std_logic; -- X4 PL_pin_P22 : in std_logic; -- X5 SDA in PL_pin_K20 : out std_logic; -- X6 PL_pin_N22 : out std_logic; -- X7 SDA out -- -- Connect to EMIO I2C1 -- sda_i : out std_logic; sda_o : in std_logic; sda_t : in std_logic; scl_i : out std_logic; scl_o : in std_logic; scl_t : in std_logic ); end SC0720; architecture Behavioral of SC0720 is signal sda: std_logic; signal scl: std_logic; begin PL_pin_K20 <= '0'; -- TE0720-00 compat! -- SDA readback from SC to I2C core sda_i <= PL_pin_P22; -- SDA/SCL pass through to SC PL_pin_N22 <= sda; PL_pin_L16 <= scl; -- internal signals sda <= sda_o or sda_t; scl <= scl_o or scl_t; -- SCL feedback to I2C core scl_i <= scl; -- -- -- PHY_LED0 <= PL_pin_M15; PHY_LED1 <= PL_pin_N15; PHY_LED2 <= PL_pin_P16; end Behavioral;
library ieee; use ieee.numeric_std.all; use ieee.std_logic_1164.all; entity s208_rnd is port( clock: in std_logic; input: in std_logic_vector(10 downto 0); output: out std_logic_vector(1 downto 0) ); end s208_rnd; architecture behaviour of s208_rnd is constant s11111111: std_logic_vector(4 downto 0) := "11101"; constant s00000000: std_logic_vector(4 downto 0) := "00010"; constant s00010000: std_logic_vector(4 downto 0) := "11011"; constant s00100000: std_logic_vector(4 downto 0) := "11110"; constant s00110000: std_logic_vector(4 downto 0) := "11111"; constant s01000000: std_logic_vector(4 downto 0) := "10001"; constant s01010000: std_logic_vector(4 downto 0) := "10110"; constant s01100000: std_logic_vector(4 downto 0) := "01011"; constant s01110000: std_logic_vector(4 downto 0) := "01111"; constant s10000000: std_logic_vector(4 downto 0) := "00001"; constant s10010000: std_logic_vector(4 downto 0) := "10000"; constant s10100000: std_logic_vector(4 downto 0) := "11010"; constant s10110000: std_logic_vector(4 downto 0) := "11000"; constant s11000000: std_logic_vector(4 downto 0) := "01000"; constant s11010000: std_logic_vector(4 downto 0) := "00100"; constant s11100000: std_logic_vector(4 downto 0) := "01001"; constant s11110000: std_logic_vector(4 downto 0) := "00110"; constant s00000001: std_logic_vector(4 downto 0) := "11100"; signal current_state, next_state: std_logic_vector(4 downto 0); begin process(clock) begin if rising_edge(clock) then current_state <= next_state; end if; end process; process(input, current_state) begin next_state <= "-----"; output <= "--"; case current_state is when s11111111 => if std_match(input, "0--------01") then next_state <= s00000000; output <= "10"; elsif std_match(input, "1--------01") then next_state <= s00000000; output <= "11"; elsif std_match(input, "0--------11") then next_state <= s00000000; output <= "10"; elsif std_match(input, "1--------11") then next_state <= s00000000; output <= "11"; elsif std_match(input, "1--------10") then next_state <= s00000000; output <= "11"; elsif std_match(input, "1--------00") then next_state <= s00000000; output <= "10"; elsif std_match(input, "0---------0") then next_state <= s00000000; output <= "10"; end if; when s00000000 => if std_match(input, "0----------") then next_state <= s00000000; output <= "00"; elsif std_match(input, "11--------0") then next_state <= s00000000; output <= "00"; elsif std_match(input, "11--------1") then next_state <= s00000000; output <= "01"; elsif std_match(input, "10--------1") then next_state <= s00010000; output <= "01"; elsif std_match(input, "10--------0") then next_state <= s00010000; output <= "00"; end if; when s00010000 => if std_match(input, "10-------00") then next_state <= s00100000; output <= "00"; elsif std_match(input, "10-------01") then next_state <= s00100000; output <= "01"; elsif std_match(input, "10-------1-") then next_state <= s00100000; output <= "01"; elsif std_match(input, "00---------") then next_state <= s00000000; output <= "00"; elsif std_match(input, "01-------0-") then next_state <= s00000000; output <= "00"; elsif std_match(input, "11-------00") then next_state <= s00000000; output <= "00"; elsif std_match(input, "11-------01") then next_state <= s00000000; output <= "01"; elsif std_match(input, "11-------11") then next_state <= s00000000; output <= "01"; elsif std_match(input, "01-------11") then next_state <= s00000000; output <= "00"; elsif std_match(input, "01-------10") then next_state <= s00000000; output <= "00"; elsif std_match(input, "11-------10") then next_state <= s00000000; output <= "01"; end if; when s00100000 => if std_match(input, "00---------") then next_state <= s00000000; output <= "00"; elsif std_match(input, "10------1--") then next_state <= s00110000; output <= "01"; elsif std_match(input, "10------0-0") then next_state <= s00110000; output <= "00"; elsif std_match(input, "10------0-1") then next_state <= s00110000; output <= "01"; elsif std_match(input, "01---------") then next_state <= s00000000; output <= "00"; elsif std_match(input, "11------1-0") then next_state <= s00000000; output <= "01"; elsif std_match(input, "11------0-0") then next_state <= s00000000; output <= "00"; elsif std_match(input, "11--------1") then next_state <= s00000000; output <= "01"; end if; when s00110000 => if std_match(input, "00---------") then next_state <= s00000000; output <= "00"; elsif std_match(input, "10-------01") then next_state <= s01000000; output <= "01"; elsif std_match(input, "10-------00") then next_state <= s01000000; output <= "00"; elsif std_match(input, "10-------1-") then next_state <= s01000000; output <= "01"; elsif std_match(input, "01-------01") then next_state <= s00000000; output <= "00"; elsif std_match(input, "11-------01") then next_state <= s00000000; output <= "01"; elsif std_match(input, "11-------11") then next_state <= s00000000; output <= "01"; elsif std_match(input, "01-------11") then next_state <= s00000000; output <= "00"; elsif std_match(input, "-1-------00") then next_state <= s00000000; output <= "00"; elsif std_match(input, "11-------10") then next_state <= s00000000; output <= "01"; elsif std_match(input, "01-------10") then next_state <= s00000000; output <= "00"; end if; when s01000000 => if std_match(input, "0----------") then next_state <= s00000000; output <= "00"; elsif std_match(input, "11-----1--0") then next_state <= s00000000; output <= "01"; elsif std_match(input, "11-----0--0") then next_state <= s00000000; output <= "00"; elsif std_match(input, "11--------1") then next_state <= s00000000; output <= "01"; elsif std_match(input, "10-----1--0") then next_state <= s01010000; output <= "01"; elsif std_match(input, "10-----0--0") then next_state <= s01010000; output <= "00"; elsif std_match(input, "10--------1") then next_state <= s01010000; output <= "01"; end if; when s01010000 => if std_match(input, "0----------") then next_state <= s00000000; output <= "00"; elsif std_match(input, "11-------01") then next_state <= s00000000; output <= "01"; elsif std_match(input, "11-------00") then next_state <= s00000000; output <= "00"; elsif std_match(input, "11-------1-") then next_state <= s00000000; output <= "01"; elsif std_match(input, "10-------01") then next_state <= s01100000; output <= "01"; elsif std_match(input, "10-------00") then next_state <= s01100000; output <= "00"; elsif std_match(input, "10-------1-") then next_state <= s01100000; output <= "01"; end if; when s01100000 => if std_match(input, "0----------") then next_state <= s00000000; output <= "00"; elsif std_match(input, "10------1-0") then next_state <= s01110000; output <= "01"; elsif std_match(input, "10------0-0") then next_state <= s01110000; output <= "00"; elsif std_match(input, "10--------1") then next_state <= s01110000; output <= "01"; elsif std_match(input, "11------0-0") then next_state <= s00000000; output <= "00"; elsif std_match(input, "11------1-0") then next_state <= s00000000; output <= "01"; elsif std_match(input, "11--------1") then next_state <= s00000000; output <= "01"; end if; when s01110000 => if std_match(input, "00---------") then next_state <= s00000000; output <= "00"; elsif std_match(input, "10--------1") then next_state <= s10000000; output <= "01"; elsif std_match(input, "10-------10") then next_state <= s10000000; output <= "01"; elsif std_match(input, "10-------00") then next_state <= s10000000; output <= "00"; elsif std_match(input, "01-------0-") then next_state <= s00000000; output <= "00"; elsif std_match(input, "11-------01") then next_state <= s00000000; output <= "01"; elsif std_match(input, "11-------00") then next_state <= s00000000; output <= "00"; elsif std_match(input, "01-------1-") then next_state <= s00000000; output <= "00"; elsif std_match(input, "11-------1-") then next_state <= s00000000; output <= "01"; end if; when s10000000 => if std_match(input, "0----------") then next_state <= s00000000; output <= "00"; elsif std_match(input, "10----0---0") then next_state <= s10010000; output <= "00"; elsif std_match(input, "10----1---0") then next_state <= s10010000; output <= "01"; elsif std_match(input, "10--------1") then next_state <= s10010000; output <= "01"; elsif std_match(input, "11----1---0") then next_state <= s00000000; output <= "01"; elsif std_match(input, "11----0---0") then next_state <= s00000000; output <= "00"; elsif std_match(input, "11--------1") then next_state <= s00000000; output <= "01"; end if; when s10010000 => if std_match(input, "10--------1") then next_state <= s10100000; output <= "01"; elsif std_match(input, "10-------10") then next_state <= s10100000; output <= "01"; elsif std_match(input, "10-------00") then next_state <= s10100000; output <= "00"; elsif std_match(input, "00---------") then next_state <= s00000000; output <= "00"; elsif std_match(input, "11-------01") then next_state <= s00000000; output <= "01"; elsif std_match(input, "01-------01") then next_state <= s00000000; output <= "00"; elsif std_match(input, "01-------11") then next_state <= s00000000; output <= "00"; elsif std_match(input, "11-------11") then next_state <= s00000000; output <= "01"; elsif std_match(input, "-1-------00") then next_state <= s00000000; output <= "00"; elsif std_match(input, "11-------10") then next_state <= s00000000; output <= "01"; elsif std_match(input, "01-------10") then next_state <= s00000000; output <= "00"; end if; when s10100000 => if std_match(input, "00---------") then next_state <= s00000000; output <= "00"; elsif std_match(input, "10------1--") then next_state <= s10110000; output <= "01"; elsif std_match(input, "10------0-0") then next_state <= s10110000; output <= "00"; elsif std_match(input, "10------0-1") then next_state <= s10110000; output <= "01"; elsif std_match(input, "11------1-0") then next_state <= s00000000; output <= "01"; elsif std_match(input, "11------0-0") then next_state <= s00000000; output <= "00"; elsif std_match(input, "11--------1") then next_state <= s00000000; output <= "01"; elsif std_match(input, "01---------") then next_state <= s00000000; output <= "00"; end if; when s10110000 => if std_match(input, "10--------1") then next_state <= s11000000; output <= "01"; elsif std_match(input, "10-------00") then next_state <= s11000000; output <= "00"; elsif std_match(input, "10-------10") then next_state <= s11000000; output <= "01"; elsif std_match(input, "00---------") then next_state <= s00000000; output <= "00"; elsif std_match(input, "01-------0-") then next_state <= s00000000; output <= "00"; elsif std_match(input, "11-------01") then next_state <= s00000000; output <= "01"; elsif std_match(input, "11-------00") then next_state <= s00000000; output <= "00"; elsif std_match(input, "01-------1-") then next_state <= s00000000; output <= "00"; elsif std_match(input, "11-------1-") then next_state <= s00000000; output <= "01"; end if; when s11000000 => if std_match(input, "10-----0--0") then next_state <= s11010000; output <= "00"; elsif std_match(input, "10-----1--0") then next_state <= s11010000; output <= "01"; elsif std_match(input, "10--------1") then next_state <= s11010000; output <= "01"; elsif std_match(input, "00---------") then next_state <= s00000000; output <= "00"; elsif std_match(input, "01---------") then next_state <= s00000000; output <= "00"; elsif std_match(input, "11-----1--0") then next_state <= s00000000; output <= "01"; elsif std_match(input, "11-----0--0") then next_state <= s00000000; output <= "00"; elsif std_match(input, "11--------1") then next_state <= s00000000; output <= "01"; end if; when s11010000 => if std_match(input, "00---------") then next_state <= s00000000; output <= "00"; elsif std_match(input, "10-------01") then next_state <= s11100000; output <= "01"; elsif std_match(input, "10-------00") then next_state <= s11100000; output <= "00"; elsif std_match(input, "10-------1-") then next_state <= s11100000; output <= "01"; elsif std_match(input, "11--------1") then next_state <= s00000000; output <= "01"; elsif std_match(input, "01--------1") then next_state <= s00000000; output <= "00"; elsif std_match(input, "-1-------00") then next_state <= s00000000; output <= "00"; elsif std_match(input, "11-------10") then next_state <= s00000000; output <= "01"; elsif std_match(input, "01-------10") then next_state <= s00000000; output <= "00"; end if; when s11100000 => if std_match(input, "0----------") then next_state <= s00000000; output <= "00"; elsif std_match(input, "11------0-0") then next_state <= s00000000; output <= "00"; elsif std_match(input, "11------1-0") then next_state <= s00000000; output <= "01"; elsif std_match(input, "11--------1") then next_state <= s00000000; output <= "01"; elsif std_match(input, "10------1--") then next_state <= s11110000; output <= "01"; elsif std_match(input, "10------0-1") then next_state <= s11110000; output <= "01"; elsif std_match(input, "10------0-0") then next_state <= s11110000; output <= "00"; end if; when s11110000 => if std_match(input, "01-------01") then next_state <= s00000000; output <= "00"; elsif std_match(input, "11-------01") then next_state <= s00000000; output <= "01"; elsif std_match(input, "01-------11") then next_state <= s00000000; output <= "00"; elsif std_match(input, "11-------11") then next_state <= s00000000; output <= "01"; elsif std_match(input, "-1-------00") then next_state <= s00000000; output <= "00"; elsif std_match(input, "01-------10") then next_state <= s00000000; output <= "00"; elsif std_match(input, "11-------10") then next_state <= s00000000; output <= "01"; elsif std_match(input, "10-------01") then next_state <= s00000001; output <= "01"; elsif std_match(input, "00-------01") then next_state <= s00000001; output <= "00"; elsif std_match(input, "-0-------00") then next_state <= s00000001; output <= "00"; elsif std_match(input, "10-------11") then next_state <= s00000001; output <= "01"; elsif std_match(input, "00-------11") then next_state <= s00000001; output <= "00"; elsif std_match(input, "00-------10") then next_state <= s00000001; output <= "00"; elsif std_match(input, "10-------10") then next_state <= s00000001; output <= "01"; end if; when s00000001 => if std_match(input, "00---------") then next_state <= s00000000; output <= "00"; elsif std_match(input, "10---1----0") then next_state <= s00010000; output <= "01"; elsif std_match(input, "10---0----0") then next_state <= s00010000; output <= "00"; elsif std_match(input, "10--------1") then next_state <= s00010000; output <= "01"; elsif std_match(input, "11---0----0") then next_state <= s00000000; output <= "00"; elsif std_match(input, "11---1----0") then next_state <= s00000000; output <= "01"; elsif std_match(input, "11--------1") then next_state <= s00000000; output <= "01"; elsif std_match(input, "01---------") then next_state <= s00000000; output <= "00"; end if; when others => next_state <= "-----"; output <= "--"; end case; end process; end behaviour;
-- ------------------------------------------------------------- -- -- Entity Declaration for __COMMON__ -- -- Generated -- by: lutscher -- on: Tue Jun 23 10:43:20 2009 -- cmd: /home/lutscher/work/MIX/mix_1.pl a_clk.xls -- -- !!! Do not edit this file! Autogenerated by MIX !!! -- $Author$ -- $Id$ -- $Date$ -- $Log$ -- -- Based on Mix Entity Template built into RCSfile: MixWriter.pm,v -- Id: MixWriter.pm,v 1.109 2008/04/01 12:48:34 wig Exp -- -- Generator: mix_1.pl Version: Revision: 1.3 , [email protected] -- (C) 2003,2005 Micronas GmbH -- -- -------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; -- No project specific VHDL libraries/enty -- -- -- Start of Generated Entity A_CLK -- entity A_CLK is -- Generics: -- No Generated Generics for Entity A_CLK -- Generated Port Declaration: port( -- Generated Port for Entity A_CLK alarm_time_ls_hr : in std_ulogic_vector(3 downto 0); alarm_time_ls_min : in std_ulogic_vector(3 downto 0); alarm_time_ms_hr : in std_ulogic_vector(3 downto 0); alarm_time_ms_min : in std_ulogic_vector(3 downto 0); clk : in std_ulogic; current_time_ls_hr : in std_ulogic_vector(3 downto 0); current_time_ls_min : in std_ulogic_vector(3 downto 0); current_time_ms_hr : in std_ulogic_vector(3 downto 0); current_time_ms_min : in std_ulogic_vector(3 downto 0); display_ls_hr : out std_ulogic_vector(6 downto 0); display_ls_min : out std_ulogic_vector(6 downto 0); display_ms_hr : out std_ulogic_vector(6 downto 0); display_ms_min : out std_ulogic_vector(6 downto 0); key_buffer_0 : in std_ulogic_vector(3 downto 0); key_buffer_1 : in std_ulogic_vector(3 downto 0); key_buffer_2 : in std_ulogic_vector(3 downto 0); key_buffer_3 : in std_ulogic_vector(3 downto 0); pad_conn_1_2 : in std_ulogic; pad_conn_2_3 : in std_ulogic; pad_conn_3_4 : in std_ulogic; pad_conn_4_5 : in std_ulogic; pad_conn_5_6 : in std_ulogic; pad_conn_6_7 : in std_ulogic; pad_conn_7_8 : in std_ulogic; pad_conn_8_9 : in std_ulogic; pad_conn_9_10 : in std_ulogic; reset : in std_ulogic; show_a : in std_ulogic; show_new_time : in std_ulogic; sound_alarm : out std_ulogic; stopwatch : in std_ulogic -- End of Generated Port for Entity A_CLK ); end A_CLK; -- -- End of Generated Entity A_CLK -- -- -- Start of Generated Entity PADS -- entity PADS is -- Generics: -- No Generated Generics for Entity PADS -- Generated Port Declaration: port( -- Generated Port for Entity PADS p_mix_pad_conn_1_2_go : out std_ulogic; p_mix_pad_conn_2_3_go : out std_ulogic; p_mix_pad_conn_3_4_go : out std_ulogic; p_mix_pad_conn_4_5_go : out std_ulogic; p_mix_pad_conn_5_6_go : out std_ulogic; p_mix_pad_conn_6_7_go : out std_ulogic; p_mix_pad_conn_7_8_go : out std_ulogic; p_mix_pad_conn_8_9_go : out std_ulogic; p_mix_pad_conn_9_10_go : out std_ulogic -- End of Generated Port for Entity PADS ); end PADS; -- -- End of Generated Entity PADS -- -- -- Start of Generated Entity ddrv4 -- entity ddrv4 is -- Generics: -- No Generated Generics for Entity ddrv4 -- Generated Port Declaration: port( -- Generated Port for Entity ddrv4 alarm_time_ls_hr : in std_ulogic_vector(3 downto 0); alarm_time_ls_min : in std_ulogic_vector(3 downto 0); alarm_time_ms_hr : in std_ulogic_vector(3 downto 0); alarm_time_ms_min : in std_ulogic_vector(3 downto 0); clk : in std_ulogic; current_time_ls_hr : in std_ulogic_vector(3 downto 0); current_time_ls_min : in std_ulogic_vector(3 downto 0); current_time_ms_hr : in std_ulogic_vector(3 downto 0); current_time_ms_min : in std_ulogic_vector(3 downto 0); display_ls_hr : out std_ulogic_vector(6 downto 0); display_ls_min : out std_ulogic_vector(6 downto 0); display_ms_hr : out std_ulogic_vector(6 downto 0); display_ms_min : out std_ulogic_vector(6 downto 0); key_buffer_0 : in std_ulogic_vector(3 downto 0); key_buffer_1 : in std_ulogic_vector(3 downto 0); key_buffer_2 : in std_ulogic_vector(3 downto 0); key_buffer_3 : in std_ulogic_vector(3 downto 0); p_mix_sound_alarm_test1_go : out std_ulogic; reset : in std_ulogic; -- The Reset show_a : in std_ulogic; show_new_time : in std_ulogic; sound_alarm : out std_ulogic -- End of Generated Port for Entity ddrv4 ); end ddrv4; -- -- End of Generated Entity ddrv4 -- -- --!End of Entity/ies -- --------------------------------------------------------------
library ieee; use ieee.std_logic_1164.all; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; use IEEE.NUMERIC_STD.all; use IEEE.MATH_REAL.ALL; entity LBDR_checkers is generic ( cur_addr_rst: integer := 5; NoC_size: integer := 4 ); port ( empty: in std_logic; flit_type: in std_logic_vector(2 downto 0); Req_N_FF, Req_E_FF, Req_W_FF, Req_S_FF, Req_L_FF: in std_logic; Req_N_in, Req_E_in, Req_W_in, Req_S_in, Req_L_in: in std_logic; N1_out, E1_out, W1_out, S1_out: in std_logic; dst_addr: in std_logic_vector(NoC_size-1 downto 0); -- Checker outputs err_header_not_empty_Requests_in_onehot, err_header_empty_Requests_FF_Requests_in, err_tail_Requests_in_all_zero, err_header_tail_Requests_FF_Requests_in, err_dst_addr_cur_addr_N1, err_dst_addr_cur_addr_not_N1, err_dst_addr_cur_addr_E1, err_dst_addr_cur_addr_not_E1, err_dst_addr_cur_addr_W1, err_dst_addr_cur_addr_not_W1, err_dst_addr_cur_addr_S1, err_dst_addr_cur_addr_not_S1, err_dst_addr_cur_addr_not_Req_L_in, err_dst_addr_cur_addr_Req_L_in, err_header_not_empty_Req_N_in, err_header_not_empty_Req_E_in, err_header_not_empty_Req_W_in, err_header_not_empty_Req_S_in : out std_logic ); end LBDR_checkers; architecture behavior of LBDR_checkers is signal cur_addr: std_logic_vector(NoC_size-1 downto 0); signal Requests_FF: std_logic_vector(4 downto 0); signal Requests_in: std_logic_vector(4 downto 0); begin cur_addr <= std_logic_vector(to_unsigned(cur_addr_rst, cur_addr'length)); Requests_FF <= Req_N_FF & Req_E_FF & Req_W_FF & Req_S_FF & Req_L_FF; Requests_in <= Req_N_in & Req_E_in & Req_W_in & Req_S_in & Req_L_in; -- Implementing checkers in form of concurrent assignments (combinational assertions) process (flit_type, empty, Requests_in) begin if (flit_type = "001" and empty = '0' and Requests_in /= "00001" and Requests_in /= "00010" and Requests_in /= "00100" and Requests_in /= "01000" and Requests_in /= "10000") then err_header_not_empty_Requests_in_onehot <= '1'; else err_header_not_empty_Requests_in_onehot <= '0'; end if; end process; process (flit_type, empty, Requests_FF, Requests_in) begin if (flit_type = "001" and empty = '1' and Requests_FF /= Requests_in) then err_header_empty_Requests_FF_Requests_in <= '1'; else err_header_empty_Requests_FF_Requests_in <= '0'; end if; end process; process (flit_type, Requests_in) begin if (flit_type = "100" and Requests_in /= "00000") then err_tail_Requests_in_all_zero <= '1'; else err_tail_Requests_in_all_zero <= '0'; end if; end process; process (flit_type, Requests_FF, Requests_in) begin if (flit_type /= "001" and flit_type /= "100" and Requests_FF /= Requests_in) then err_header_tail_Requests_FF_Requests_in <= '1'; else err_header_tail_Requests_FF_Requests_in <= '0'; end if; end process; process (cur_addr, dst_addr, N1_out) begin if ( dst_addr(NoC_size-1 downto NoC_size/2) < cur_addr(NoC_size-1 downto NoC_size/2) and N1_out = '0') then err_dst_addr_cur_addr_N1 <= '1'; else err_dst_addr_cur_addr_N1 <= '0'; end if; end process; process (cur_addr, dst_addr, N1_out) begin if ( dst_addr(NoC_size-1 downto NoC_size/2) >= cur_addr(NoC_size-1 downto NoC_size/2) and N1_out = '1') then err_dst_addr_cur_addr_not_N1 <= '1'; else err_dst_addr_cur_addr_not_N1 <= '0'; end if; end process; process (cur_addr, dst_addr, E1_out) begin if ( cur_addr((NoC_size/2)-1 downto 0) < dst_addr((NoC_size/2)-1 downto 0) and E1_out = '0') then err_dst_addr_cur_addr_E1 <= '1'; else err_dst_addr_cur_addr_E1 <= '0'; end if; end process; process (cur_addr, dst_addr, E1_out) begin if ( cur_addr((NoC_size/2)-1 downto 0) >= dst_addr((NoC_size/2)-1 downto 0) and E1_out = '1') then err_dst_addr_cur_addr_not_E1 <= '1'; else err_dst_addr_cur_addr_not_E1 <= '0'; end if; end process; process (cur_addr, dst_addr, W1_out) begin if ( dst_addr((NoC_size/2)-1 downto 0) < cur_addr((NoC_size/2)-1 downto 0) and W1_out = '0') then err_dst_addr_cur_addr_W1 <= '1'; else err_dst_addr_cur_addr_W1 <= '0'; end if; end process; process (cur_addr, dst_addr, W1_out) begin if ( dst_addr((NoC_size/2)-1 downto 0) >= cur_addr((NoC_size/2)-1 downto 0) and W1_out = '1') then err_dst_addr_cur_addr_not_W1 <= '1'; else err_dst_addr_cur_addr_not_W1 <= '0'; end if; end process; process (cur_addr, dst_addr, S1_out) begin if ( cur_addr(NoC_size-1 downto NoC_size/2) < dst_addr(NoC_size-1 downto NoC_size/2) and S1_out = '0') then err_dst_addr_cur_addr_S1 <= '1'; else err_dst_addr_cur_addr_S1 <= '0'; end if; end process; process (cur_addr, dst_addr, S1_out) begin if ( cur_addr(NoC_size-1 downto NoC_size/2) >= dst_addr(NoC_size-1 downto NoC_size/2) and S1_out = '1') then err_dst_addr_cur_addr_not_S1 <= '1'; else err_dst_addr_cur_addr_not_S1 <= '0'; end if; end process; process (flit_type, empty, N1_out, E1_out, W1_out, S1_out, Req_L_in) begin if ( flit_type = "001" and empty = '0' and Req_L_in /= (not N1_out and not E1_out and not W1_out and not S1_out) ) then err_dst_addr_cur_addr_not_Req_L_in <= '1'; else err_dst_addr_cur_addr_not_Req_L_in <= '0'; end if; end process; process (flit_type, empty, cur_addr, dst_addr, Req_L_in) begin if ( flit_type = "001" and empty = '0' and cur_addr /= dst_addr and Req_L_in = '1') then err_dst_addr_cur_addr_Req_L_in <= '1'; else err_dst_addr_cur_addr_Req_L_in <= '0'; end if; end process; process (flit_type, empty, Req_N_in, N1_out, E1_out, W1_out) begin if ( flit_type = "001" and empty = '0' and Req_N_in /= (N1_out and not E1_out and not W1_out) ) then err_header_not_empty_Req_N_in <= '1'; else err_header_not_empty_Req_N_in <= '0'; end if; end process; process (flit_type, empty, Req_E_in, N1_out, E1_out, S1_out) begin if ( flit_type = "001" and empty = '0' and Req_E_in /= ((E1_out and not N1_out and not S1_out) or (E1_out and N1_out) or (E1_out and S1_out)) ) then err_header_not_empty_Req_E_in <= '1'; else err_header_not_empty_Req_E_in <= '0'; end if; end process; process (flit_type, empty, Req_W_in, N1_out, W1_out, S1_out) begin if ( flit_type = "001" and empty = '0' and Req_W_in /= ((W1_out and not N1_out and not S1_out) or (W1_out and N1_out) or (W1_out and S1_out)) ) then err_header_not_empty_Req_W_in <= '1'; else err_header_not_empty_Req_W_in <= '0'; end if; end process; process (flit_type, empty, Req_S_in, E1_out, W1_out, S1_out) begin if ( flit_type = "001" and empty = '0' and Req_S_in /= (S1_out and not E1_out and not W1_out) ) then err_header_not_empty_Req_S_in <= '1'; else err_header_not_empty_Req_S_in <= '0'; end if; end process; end behavior;
library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; package packagegeneric00 is component toposc00 port( indivosc: in std_logic_vector ( 3 downto 0 ); oscout: out std_logic; soscdis: in std_logic; stmrrst: in std_logic; outdivosc: inout std_logic ); end component; component and00 port( clka: in std_logic ; codopa: in std_logic_vector ( 3 downto 0 ); portAa: in std_logic_vector ( 7 downto 0 ); portBa: in std_logic_vector ( 7 downto 0 ); inFlaga: in std_logic ; outa: out std_logic_vector ( 7 downto 0 ); outFlaga: out std_logic ); end component; component xor00 port( clkx: in std_logic ; codopx: in std_logic_vector ( 3 downto 0 ); portAx: in std_logic_vector ( 7 downto 0 ); portBx: in std_logic_vector ( 7 downto 0 ); inFlagx: in std_logic ; outx: out std_logic_vector ( 7 downto 0 ); outFlagx: out std_logic ); end component; component uc00 port( clkuc: in std_logic ; inFlaguc: in std_logic ; inFlaguc2: in std_logic ; enable: in std_logic ; inuc: in std_logic_vector ( 7 downto 0 ); outuc: out std_logic_vector ( 7 downto 0 ); outFlaguc: out std_logic ); end component; component or00 port( clko: in std_logic ; codopo: in std_logic_vector ( 3 downto 0 ); portAo: in std_logic_vector ( 7 downto 0 ); portBo: in std_logic_vector ( 7 downto 0 ); inFlago: in std_logic ; outo: out std_logic_vector ( 7 downto 0 ); outFlago: out std_logic ); end component; component not00 port( clkn: in std_logic ; codopn: in std_logic_vector ( 3 downto 0 ); inFlagn: in std_logic; portAn: in std_logic_vector ( 7 downto 0 ); outn: out std_logic_vector ( 7 downto 0 ); outFlagn: out std_logic ); end component; component nand00 port( clknd: in std_logic ; codopnd: in std_logic_vector ( 3 downto 0 ); portAnd: in std_logic_vector ( 7 downto 0 ); portBnd: in std_logic_vector ( 7 downto 0 ); inFlagnd: in std_logic; outnd: out std_logic_vector ( 7 downto 0 ); outFlagnd: out std_logic ); end component; component topadder00 port( clkadd: in std_logic ; codopadd: in std_logic_vector ( 3 downto 0 ); inFlagadd: in std_logic ; portAaddin: in std_logic_vector ( 7 downto 0 ); portBaddin: in std_logic_vector ( 7 downto 0 ); SLaddin: in std_logic ; LEDaddin: in std_logic ; portAaddout: out std_logic_vector ( 7 downto 0 ); portBaddout: out std_logic_vector ( 7 downto 0 ); SLaddout: out std_logic ; LEDaddout: out std_logic ; outFlagadd: out std_logic; Soaddin: in std_logic_vector ( 7 downto 0 ); Soaddout: out std_logic_vector ( 7 downto 0 ) ); end component; component adder8bita00 port( Ai: in std_logic_vector ( 7 downto 0 ); Bi: in std_logic_vector ( 7 downto 0 ); SL: in std_logic ; LED: out std_logic ; So: out std_logic_vector ( 7 downto 0 ) ); end component; component buffer00 port( clkb: in std_logic; enableb: in std_logic; inFlagb: in std_logic ; inFlagb2: in std_logic ; inucb: in std_logic_vector ( 7 downto 0 ); inucb2: in std_logic_vector ( 7 downto 0 ); outucb: out std_logic_vector ( 7 downto 0 ); outFlagb: out std_logic ); end component; component nor00 port( clknr: in std_logic ; codopnr: in std_logic_vector ( 3 downto 0 ); portAnr: in std_logic_vector ( 7 downto 0 ); portBnr: in std_logic_vector ( 7 downto 0 ); inFlagnr: in std_logic ; outnr: out std_logic_vector ( 7 downto 0 ); outFlagnr: out std_logic); end component; component xnor00 port( clkxnr: in std_logic ; codopxnr: in std_logic_vector ( 3 downto 0 ); portAxnr: in std_logic_vector ( 7 downto 0 ); portBxnr: in std_logic_vector ( 7 downto 0 ); inFlagxnr: in std_logic ; outxnr: out std_logic_vector ( 7 downto 0 ); outFlagxnr: out std_logic ); end component; component comp200 port( clkcmp2: in std_logic ; codopcmp2: in std_logic_vector ( 3 downto 0 ); portAcmp2: in std_logic_vector ( 7 downto 0 ); inFlagcmp2: in std_logic ; outcmp2: out std_logic_vector ( 7 downto 0 ); outFlagcmp2: out std_logic ); end component; component shiftl00 port( clkcshl: in std_logic ; codopcshl: in std_logic_vector ( 3 downto 0 ); portAcshl: in std_logic_vector ( 7 downto 0 ); inFlagcshl: in std_logic ; outcshl: out std_logic_vector ( 7 downto 0 ); outFlagcshl: out std_logic ); end component; component shiftr00 port( clkcshr: in std_logic ; codopcshr: in std_logic_vector ( 3 downto 0 ); portAcshr: in std_logic_vector ( 7 downto 0 ); inFlagcshr: in std_logic ; outcshr: out std_logic_vector ( 7 downto 0 ); outFlagcshr: out std_logic ); end component; component rotl00 port( clkrotl: in std_logic ; codoprotl: in std_logic_vector ( 3 downto 0 ); portArotl: in std_logic_vector ( 7 downto 0 ); inFlagrotl: in std_logic ; outrotl: out std_logic_vector ( 7 downto 0 ); outFlagrotl: out std_logic ); end component; component rotr00 port( clkrotr: in std_logic ; codoprotr: in std_logic_vector ( 3 downto 0 ); portArotr: in std_logic_vector ( 7 downto 0 ); inFlagrotr: in std_logic ; outrotr: out std_logic_vector ( 7 downto 0 ); outFlagrotr: out std_logic ); end component; component comp00 port( clkcmp: in std_logic ; codopcmp: in std_logic_vector ( 3 downto 0 ); portAcmp: in std_logic_vector ( 7 downto 0 ); portBcmp: in std_logic_vector ( 7 downto 0 ); inFlagcmp: in std_logic ; outcmp: out std_logic_vector ( 7 downto 0 ); outFlagcmp: out std_logic); end component; end packagegeneric00;
-- NEED RESULT: ARCH00106.P1: Multi transport transactions occurred on signal asg with slice name prefixed by an indexed name on LHS failed -- NEED RESULT: ARCH00106: One transport transaction occurred on signal asg with slice name prefixed by an indexed name on LHS failed -- NEED RESULT: ARCH00106: Old transactions were removed on signal asg with slice name prefixed by an indexed name on LHS failed -- NEED RESULT: P1: Transport transactions entirely completed passed ------------------------------------------------------------------------------- -- -- Copyright (c) 1989 by Intermetrics, Inc. -- All rights reserved. -- ------------------------------------------------------------------------------- -- -- TEST NAME: -- -- CT00106 -- -- AUTHOR: -- -- G. Tominovich -- -- TEST OBJECTIVES: -- -- 8.3 (2) -- 8.3 (3) -- 8.3 (5) -- 8.3.1 (3) -- -- DESIGN UNIT ORDERING: -- -- ENT00106(ARCH00106) -- ENT00106_Test_Bench(ARCH00106_Test_Bench) -- -- REVISION HISTORY: -- -- 07-JUL-1987 - initial revision -- -- NOTES: -- -- self-checking -- automatically generated -- use WORK.STANDARD_TYPES.all ; entity ENT00106 is port ( s_st_arr1_vector : inout st_arr1_vector ) ; subtype chk_sig_type is integer range -1 to 100 ; signal chk_st_arr1_vector : chk_sig_type := -1 ; -- -- procedure Proc1 ( signal s_st_arr1_vector : inout st_arr1_vector ; variable counter : inout integer ; variable correct : inout boolean ; variable savtime : inout time ; signal chk_st_arr1_vector : out chk_sig_type ) is begin case counter is when 0 => s_st_arr1_vector(lowb) (lowb+1 to highb-1) <= transport c_st_arr1_vector_2(highb) (lowb+1 to highb-1) after 10 ns, c_st_arr1_vector_1(highb) (lowb+1 to highb-1) after 20 ns ; -- when 1 => correct := s_st_arr1_vector(lowb) (lowb+1 to highb-1) = c_st_arr1_vector_2(highb) (lowb+1 to highb-1) and (savtime + 10 ns) = Std.Standard.Now ; -- when 2 => correct := correct and s_st_arr1_vector(lowb) (lowb+1 to highb-1) = c_st_arr1_vector_1(highb) (lowb+1 to highb-1) and (savtime + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00106.P1" , "Multi transport transactions occurred on signal " & "asg with slice name prefixed by an indexed name on LHS", correct ) ; s_st_arr1_vector(lowb) (lowb+1 to highb-1) <= transport c_st_arr1_vector_2(highb) (lowb+1 to highb-1) after 10 ns , c_st_arr1_vector_1(highb) (lowb+1 to highb-1) after 20 ns , c_st_arr1_vector_2(highb) (lowb+1 to highb-1) after 30 ns , c_st_arr1_vector_1(highb) (lowb+1 to highb-1) after 40 ns ; -- when 3 => correct := s_st_arr1_vector(lowb) (lowb+1 to highb-1) = c_st_arr1_vector_2(highb) (lowb+1 to highb-1) and (savtime + 10 ns) = Std.Standard.Now ; s_st_arr1_vector(lowb) (lowb+1 to highb-1) <= transport c_st_arr1_vector_1(highb) (lowb+1 to highb-1) after 5 ns ; -- when 4 => correct := correct and s_st_arr1_vector(lowb) (lowb+1 to highb-1) = c_st_arr1_vector_1(highb) (lowb+1 to highb-1) and (savtime + 5 ns) = Std.Standard.Now ; test_report ( "ARCH00106" , "One transport transaction occurred on signal " & "asg with slice name prefixed by an indexed name on LHS", correct ) ; test_report ( "ARCH00106" , "Old transactions were removed on signal " & "asg with slice name prefixed by an indexed name on LHS", correct ) ; -- when others => -- No more transactions should have occurred test_report ( "ARCH00106" , "Old transactions were removed on signal " & "asg with slice name prefixed by an indexed name on LHS", false ) ; -- end case ; -- savtime := Std.Standard.Now ; chk_st_arr1_vector <= transport counter after (1 us - savtime) ; counter := counter + 1; -- end Proc1 ; -- -- end ENT00106 ; -- architecture ARCH00106 of ENT00106 is begin PGEN_CHKP_1 : process ( chk_st_arr1_vector ) begin if Std.Standard.Now > 0 ns then test_report ( "P1" , "Transport transactions entirely completed", chk_st_arr1_vector = 4 ) ; end if ; end process PGEN_CHKP_1 ; -- P1 : process ( s_st_arr1_vector ) variable counter : integer := 0 ; variable correct : boolean ; variable savtime : time ; begin Proc1 ( s_st_arr1_vector, counter, correct, savtime, chk_st_arr1_vector ) ; end process P1 ; -- -- end ARCH00106 ; -- use WORK.STANDARD_TYPES.all ; entity ENT00106_Test_Bench is signal s_st_arr1_vector : st_arr1_vector := c_st_arr1_vector_1 ; -- end ENT00106_Test_Bench ; -- architecture ARCH00106_Test_Bench of ENT00106_Test_Bench is begin L1: block component UUT port ( s_st_arr1_vector : inout st_arr1_vector ) ; end component ; -- for CIS1 : UUT use entity WORK.ENT00106 ( ARCH00106 ) ; begin CIS1 : UUT port map ( s_st_arr1_vector ) ; end block L1 ; end ARCH00106_Test_Bench ;
-- megafunction wizard: %FIFO% -- GENERATION: STANDARD -- VERSION: WM1.0 -- MODULE: dcfifo -- ============================================================ -- File Name: rx_fifo.vhd -- Megafunction Name(s): -- dcfifo -- -- Simulation Library Files(s): -- altera_mf -- ============================================================ -- ************************************************************ -- THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE! -- -- 12.1 Build 243 01/31/2013 SP 1 SJ Web Edition -- ************************************************************ --Copyright (C) 1991-2012 Altera Corporation --Your use of Altera Corporation's design tools, logic functions --and other software and tools, and its AMPP partner logic --functions, and any output files from any of the foregoing --(including device programming or simulation files), and any --associated documentation or information are expressly subject --to the terms and conditions of the Altera Program License --Subscription Agreement, Altera MegaCore Function License --Agreement, or other applicable license agreement, including, --without limitation, that your use is for the sole purpose of --programming logic devices manufactured by Altera and sold by --Altera or its authorized distributors. Please refer to the --applicable agreement for further details. LIBRARY ieee; USE ieee.std_logic_1164.all; LIBRARY altera_mf; USE altera_mf.all; ENTITY rx_fifo IS PORT ( aclr : IN STD_LOGIC := '0'; data : IN STD_LOGIC_VECTOR (31 DOWNTO 0); rdclk : IN STD_LOGIC ; rdreq : IN STD_LOGIC ; wrclk : IN STD_LOGIC ; wrreq : IN STD_LOGIC ; q : OUT STD_LOGIC_VECTOR (31 DOWNTO 0); rdempty : OUT STD_LOGIC ; rdfull : OUT STD_LOGIC ; rdusedw : OUT STD_LOGIC_VECTOR (9 DOWNTO 0); wrempty : OUT STD_LOGIC ; wrfull : OUT STD_LOGIC ; wrusedw : OUT STD_LOGIC_VECTOR (9 DOWNTO 0) ); END rx_fifo; ARCHITECTURE SYN OF rx_fifo IS SIGNAL sub_wire0 : STD_LOGIC ; SIGNAL sub_wire1 : STD_LOGIC ; SIGNAL sub_wire2 : STD_LOGIC_VECTOR (31 DOWNTO 0); SIGNAL sub_wire3 : STD_LOGIC ; SIGNAL sub_wire4 : STD_LOGIC ; SIGNAL sub_wire5 : STD_LOGIC_VECTOR (9 DOWNTO 0); SIGNAL sub_wire6 : STD_LOGIC_VECTOR (9 DOWNTO 0); COMPONENT dcfifo GENERIC ( intended_device_family : STRING; lpm_numwords : NATURAL; lpm_showahead : STRING; lpm_type : STRING; lpm_width : NATURAL; lpm_widthu : NATURAL; overflow_checking : STRING; rdsync_delaypipe : NATURAL; read_aclr_synch : STRING; underflow_checking : STRING; use_eab : STRING; write_aclr_synch : STRING; wrsync_delaypipe : NATURAL ); PORT ( rdclk : IN STD_LOGIC ; wrempty : OUT STD_LOGIC ; wrfull : OUT STD_LOGIC ; q : OUT STD_LOGIC_VECTOR (31 DOWNTO 0); rdempty : OUT STD_LOGIC ; rdfull : OUT STD_LOGIC ; wrreq : IN STD_LOGIC ; wrusedw : OUT STD_LOGIC_VECTOR (9 DOWNTO 0); aclr : IN STD_LOGIC ; data : IN STD_LOGIC_VECTOR (31 DOWNTO 0); rdreq : IN STD_LOGIC ; rdusedw : OUT STD_LOGIC_VECTOR (9 DOWNTO 0); wrclk : IN STD_LOGIC ); END COMPONENT; BEGIN wrempty <= sub_wire0; wrfull <= sub_wire1; q <= sub_wire2(31 DOWNTO 0); rdempty <= sub_wire3; rdfull <= sub_wire4; wrusedw <= sub_wire5(9 DOWNTO 0); rdusedw <= sub_wire6(9 DOWNTO 0); dcfifo_component : dcfifo GENERIC MAP ( intended_device_family => "Cyclone IV E", lpm_numwords => 1024, lpm_showahead => "ON", lpm_type => "dcfifo", lpm_width => 32, lpm_widthu => 10, overflow_checking => "ON", rdsync_delaypipe => 5, read_aclr_synch => "ON", underflow_checking => "ON", use_eab => "ON", write_aclr_synch => "ON", wrsync_delaypipe => 5 ) PORT MAP ( rdclk => rdclk, wrreq => wrreq, aclr => aclr, data => data, rdreq => rdreq, wrclk => wrclk, wrempty => sub_wire0, wrfull => sub_wire1, q => sub_wire2, rdempty => sub_wire3, rdfull => sub_wire4, wrusedw => sub_wire5, rdusedw => sub_wire6 ); END SYN; -- ============================================================ -- CNX file retrieval info -- ============================================================ -- Retrieval info: PRIVATE: AlmostEmpty NUMERIC "0" -- Retrieval info: PRIVATE: AlmostEmptyThr NUMERIC "-1" -- Retrieval info: PRIVATE: AlmostFull NUMERIC "0" -- Retrieval info: PRIVATE: AlmostFullThr NUMERIC "-1" -- Retrieval info: PRIVATE: CLOCKS_ARE_SYNCHRONIZED NUMERIC "0" -- Retrieval info: PRIVATE: Clock NUMERIC "4" -- Retrieval info: PRIVATE: Depth NUMERIC "1024" -- Retrieval info: PRIVATE: Empty NUMERIC "1" -- Retrieval info: PRIVATE: Full NUMERIC "1" -- Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "Cyclone IV E" -- Retrieval info: PRIVATE: LE_BasedFIFO NUMERIC "0" -- Retrieval info: PRIVATE: LegacyRREQ NUMERIC "0" -- Retrieval info: PRIVATE: MAX_DEPTH_BY_9 NUMERIC "0" -- Retrieval info: PRIVATE: OVERFLOW_CHECKING NUMERIC "0" -- Retrieval info: PRIVATE: Optimize NUMERIC "2" -- Retrieval info: PRIVATE: RAM_BLOCK_TYPE NUMERIC "0" -- Retrieval info: PRIVATE: SYNTH_WRAPPER_GEN_POSTFIX STRING "0" -- Retrieval info: PRIVATE: UNDERFLOW_CHECKING NUMERIC "0" -- Retrieval info: PRIVATE: UsedW NUMERIC "1" -- Retrieval info: PRIVATE: Width NUMERIC "32" -- Retrieval info: PRIVATE: dc_aclr NUMERIC "1" -- Retrieval info: PRIVATE: diff_widths NUMERIC "0" -- Retrieval info: PRIVATE: msb_usedw NUMERIC "0" -- Retrieval info: PRIVATE: output_width NUMERIC "32" -- Retrieval info: PRIVATE: rsEmpty NUMERIC "1" -- Retrieval info: PRIVATE: rsFull NUMERIC "1" -- Retrieval info: PRIVATE: rsUsedW NUMERIC "1" -- Retrieval info: PRIVATE: sc_aclr NUMERIC "0" -- Retrieval info: PRIVATE: sc_sclr NUMERIC "0" -- Retrieval info: PRIVATE: wsEmpty NUMERIC "1" -- Retrieval info: PRIVATE: wsFull NUMERIC "1" -- Retrieval info: PRIVATE: wsUsedW NUMERIC "1" -- Retrieval info: LIBRARY: altera_mf altera_mf.altera_mf_components.all -- Retrieval info: CONSTANT: INTENDED_DEVICE_FAMILY STRING "Cyclone IV E" -- Retrieval info: CONSTANT: LPM_NUMWORDS NUMERIC "1024" -- Retrieval info: CONSTANT: LPM_SHOWAHEAD STRING "ON" -- Retrieval info: CONSTANT: LPM_TYPE STRING "dcfifo" -- Retrieval info: CONSTANT: LPM_WIDTH NUMERIC "32" -- Retrieval info: CONSTANT: LPM_WIDTHU NUMERIC "10" -- Retrieval info: CONSTANT: OVERFLOW_CHECKING STRING "ON" -- Retrieval info: CONSTANT: RDSYNC_DELAYPIPE NUMERIC "5" -- Retrieval info: CONSTANT: READ_ACLR_SYNCH STRING "ON" -- Retrieval info: CONSTANT: UNDERFLOW_CHECKING STRING "ON" -- Retrieval info: CONSTANT: USE_EAB STRING "ON" -- Retrieval info: CONSTANT: WRITE_ACLR_SYNCH STRING "ON" -- Retrieval info: CONSTANT: WRSYNC_DELAYPIPE NUMERIC "5" -- Retrieval info: USED_PORT: aclr 0 0 0 0 INPUT GND "aclr" -- Retrieval info: USED_PORT: data 0 0 32 0 INPUT NODEFVAL "data[31..0]" -- Retrieval info: USED_PORT: q 0 0 32 0 OUTPUT NODEFVAL "q[31..0]" -- Retrieval info: USED_PORT: rdclk 0 0 0 0 INPUT NODEFVAL "rdclk" -- Retrieval info: USED_PORT: rdempty 0 0 0 0 OUTPUT NODEFVAL "rdempty" -- Retrieval info: USED_PORT: rdfull 0 0 0 0 OUTPUT NODEFVAL "rdfull" -- Retrieval info: USED_PORT: rdreq 0 0 0 0 INPUT NODEFVAL "rdreq" -- Retrieval info: USED_PORT: rdusedw 0 0 10 0 OUTPUT NODEFVAL "rdusedw[9..0]" -- Retrieval info: USED_PORT: wrclk 0 0 0 0 INPUT NODEFVAL "wrclk" -- Retrieval info: USED_PORT: wrempty 0 0 0 0 OUTPUT NODEFVAL "wrempty" -- Retrieval info: USED_PORT: wrfull 0 0 0 0 OUTPUT NODEFVAL "wrfull" -- Retrieval info: USED_PORT: wrreq 0 0 0 0 INPUT NODEFVAL "wrreq" -- Retrieval info: USED_PORT: wrusedw 0 0 10 0 OUTPUT NODEFVAL "wrusedw[9..0]" -- Retrieval info: CONNECT: @aclr 0 0 0 0 aclr 0 0 0 0 -- Retrieval info: CONNECT: @data 0 0 32 0 data 0 0 32 0 -- Retrieval info: CONNECT: @rdclk 0 0 0 0 rdclk 0 0 0 0 -- Retrieval info: CONNECT: @rdreq 0 0 0 0 rdreq 0 0 0 0 -- Retrieval info: CONNECT: @wrclk 0 0 0 0 wrclk 0 0 0 0 -- Retrieval info: CONNECT: @wrreq 0 0 0 0 wrreq 0 0 0 0 -- Retrieval info: CONNECT: q 0 0 32 0 @q 0 0 32 0 -- Retrieval info: CONNECT: rdempty 0 0 0 0 @rdempty 0 0 0 0 -- Retrieval info: CONNECT: rdfull 0 0 0 0 @rdfull 0 0 0 0 -- Retrieval info: CONNECT: rdusedw 0 0 10 0 @rdusedw 0 0 10 0 -- Retrieval info: CONNECT: wrempty 0 0 0 0 @wrempty 0 0 0 0 -- Retrieval info: CONNECT: wrfull 0 0 0 0 @wrfull 0 0 0 0 -- Retrieval info: CONNECT: wrusedw 0 0 10 0 @wrusedw 0 0 10 0 -- Retrieval info: GEN_FILE: TYPE_NORMAL rx_fifo.vhd TRUE -- Retrieval info: GEN_FILE: TYPE_NORMAL rx_fifo.inc FALSE -- Retrieval info: GEN_FILE: TYPE_NORMAL rx_fifo.cmp TRUE -- Retrieval info: GEN_FILE: TYPE_NORMAL rx_fifo.bsf FALSE -- Retrieval info: GEN_FILE: TYPE_NORMAL rx_fifo_inst.vhd TRUE -- Retrieval info: LIB_FILE: altera_mf
library verilog; use verilog.vl_types.all; entity core_if is generic( CORE_ID : integer := 0 ); port( iCLOCK : in vl_logic; inRESET : in vl_logic; oFREE_TLB_FLUSH : out vl_logic; oIO_IRQ_CONFIG_TABLE_REQ: out vl_logic; oIO_IRQ_CONFIG_TABLE_ENTRY: out vl_logic_vector(5 downto 0); oIO_IRQ_CONFIG_TABLE_FLAG_MASK: out vl_logic; oIO_IRQ_CONFIG_TABLE_FLAG_VALID: out vl_logic; oIO_IRQ_CONFIG_TABLE_FLAG_LEVEL: out vl_logic_vector(1 downto 0); oINST_REQ : out vl_logic; iINST_LOCK : in vl_logic; oINST_MMUMOD : out vl_logic_vector(1 downto 0); oINST_PDT : out vl_logic_vector(31 downto 0); oINST_ADDR : out vl_logic_vector(31 downto 0); iINST_VALID : in vl_logic; oINST_BUSY : out vl_logic; iINST_PAGEFAULT : in vl_logic; iINST_QUEUE_FLUSH: in vl_logic; iINST_DATA : in vl_logic_vector(63 downto 0); iINST_MMU_FLAGS : in vl_logic_vector(27 downto 0); oDATA_REQ : out vl_logic; iDATA_LOCK : in vl_logic; oDATA_ORDER : out vl_logic_vector(1 downto 0); oDATA_MASK : out vl_logic_vector(3 downto 0); oDATA_RW : out vl_logic; oDATA_TID : out vl_logic_vector(13 downto 0); oDATA_MMUMOD : out vl_logic_vector(1 downto 0); oDATA_PDT : out vl_logic_vector(31 downto 0); oDATA_ADDR : out vl_logic_vector(31 downto 0); oDATA_DATA : out vl_logic_vector(31 downto 0); iDATA_VALID : in vl_logic; iDATA_PAGEFAULT : in vl_logic; iDATA_DATA : in vl_logic_vector(63 downto 0); iDATA_MMU_FLAGS : in vl_logic_vector(27 downto 0); oIO_REQ : out vl_logic; iIO_BUSY : in vl_logic; oIO_ORDER : out vl_logic_vector(1 downto 0); oIO_RW : out vl_logic; oIO_ADDR : out vl_logic_vector(31 downto 0); oIO_DATA : out vl_logic_vector(31 downto 0); iIO_VALID : in vl_logic; iIO_DATA : in vl_logic_vector(31 downto 0); iINTERRUPT_VALID: in vl_logic; oINTERRUPT_ACK : out vl_logic; iINTERRUPT_NUM : in vl_logic_vector(5 downto 0); iSYSINFO_IOSR_VALID: in vl_logic; iSYSINFO_IOSR : in vl_logic_vector(31 downto 0) ); attribute mti_svvh_generic_type : integer; attribute mti_svvh_generic_type of CORE_ID : constant is 1; end core_if;
---------------------------------------------------------------------------------- -- Company: CPE 233 Productions partnered with Colto Ledstrom -- Engineer: Various Engineers and Coltron Sundstrom, Nico Ledwith -- -- Create Date: 20:59:29 02/04/2013 -- Design Name: -- Module Name: RAT Control Unit -- Project Name: -- Target Devices: -- Tool versions: -- Description: Control unit (FSM) for RAT CPU -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.NUMERIC_STD.ALL; Entity CONTROL_UNIT is Port ( CLK : in STD_LOGIC; C : in STD_LOGIC; Z : in STD_LOGIC; INT : in STD_LOGIC; RESET : in STD_LOGIC; OPCODE_HI_5 : in STD_LOGIC_VECTOR (4 downto 0); OPCODE_LO_2 : in STD_LOGIC_VECTOR (1 downto 0); PC_LD : out STD_LOGIC; PC_INC : out STD_LOGIC; PC_MUX_SEL : out STD_LOGIC_VECTOR (1 downto 0); PC_OE : out STD_LOGIC; SP_LD : out STD_LOGIC; SP_INCR : out STD_LOGIC; SP_DECR : out STD_LOGIC; RF_WR : out STD_LOGIC; RF_WR_SEL : out STD_LOGIC_VECTOR (1 downto 0); RF_OE : out STD_LOGIC; ALU_OPY_SEL : out STD_LOGIC; ALU_SEL : out STD_LOGIC_VECTOR (3 downto 0); SCR_WR : out STD_LOGIC; SCR_ADDR_SEL : out STD_LOGIC_VECTOR (1 downto 0); SCR_OE : out STD_LOGIC; FLG_C_LD : out STD_LOGIC; FLG_C_SET : out STD_LOGIC; FLG_C_CLR : out STD_LOGIC; FLG_SHAD_LD : out STD_LOGIC; FLG_LD_SEL : out STD_LOGIC; FLG_Z_LD : out STD_LOGIC; I_FLAG_SET : out STD_LOGIC; I_FLAG_CLR : out STD_LOGIC; RST : out STD_LOGIC; IO_STRB : out STD_LOGIC); end; architecture Behavioral of CONTROL_UNIT is type state_type is (ST_init, ST_fet, ST_exec, ST_Interrupt); signal PS,NS : state_type; signal sig_OPCODE_7: std_logic_vector (6 downto 0); begin -- concatenate the all opcodes into a 7-bit complete opcode for -- easy instruction decoding. sig_OPCODE_7 <= OPCODE_HI_5 & OPCODE_LO_2; sync_p: process (CLK, NS, RESET) begin if (RESET = '1') then PS <= ST_init; elsif (rising_edge(CLK)) then PS <= NS; end if; end process sync_p; comb_p: process (sig_OPCODE_7, PS, NS, C, Z, INT) begin -- schedule everything to known values ----------------------- PC_LD <= '0'; PC_MUX_SEL <= "00"; PC_OE <= '0'; PC_INC <= '0'; SP_LD <= '0'; SP_INCR <= '0'; SP_DECR <= '0'; RF_WR <= '0'; RF_WR_SEL <= "00"; RF_OE <= '0'; ALU_OPY_SEL <= '0'; ALU_SEL <= "0000"; SCR_WR <= '0'; SCR_OE <= '0'; SCR_ADDR_SEL <= "00"; FLG_C_SET <= '0'; FLG_C_CLR <= '0'; FLG_C_LD <= '0'; FLG_Z_LD <= '0'; FLG_LD_SEL <= '0'; FLG_SHAD_LD <= '0'; I_FLAG_SET <= '0'; I_FLAG_CLR <= '0'; IO_STRB <= '0'; RST <= '0'; case PS is -- STATE: the init cycle ------------------------------------ -- Initialize all control outputs to non-active states and -- Reset the PC and SP to all zeros. when ST_init => RST <= '1'; NS <= ST_fet; -- STATE: the fetch cycle ----------------------------------- when ST_fet => RST <= '0'; NS <= ST_exec; PC_INC <= '1'; -- increment PC -- STATE: interrupt cycle ---------------------------------- when ST_Interrupt => PC_LD <= '1'; PC_INC <= '0'; PC_OE <= '1'; RST <= '0'; PC_MUX_SEL <= "10"; --3ff SP_LD <= '0'; SP_INCR <= '0'; SP_DECR <= '1'; RST <= '0'; SCR_OE <= '0'; SCR_WR <= '1'; SCR_ADDR_SEL <= "11"; RF_OE <= '0'; I_FLAG_CLR <= '1'; I_FLAG_SET <= '0'; FLG_SHAD_LD <= '1'; NS <= ST_fet; -- STATE: the execute cycle --------------------------------- when ST_exec => if (INT = '1') then NS <= ST_Interrupt; else NS <= ST_fet; end if; PC_INC <= '0'; -- don't increment PC case sig_OPCODE_7 is -- BRN ------------------- when "0010000" => PC_LD <= '1'; PC_INC <= '0'; PC_OE <= '0'; RST <= '0'; PC_MUX_SEL <= "00"; FLG_Z_LD <= '0'; FLG_C_LD <= '0'; FLG_C_SET <= '0'; FLG_C_CLR <= '0'; FLG_LD_SEL <= '0'; FLG_SHAD_LD <= '0'; -- SUB reg-reg -------- when "0000110" => ALU_OPY_SEL <= '0'; ALU_SEL <= "0010"; RF_OE <= '1'; RF_WR_SEL <= "00"; RF_WR <= '1'; FLG_Z_LD <= '1'; FLG_C_LD <= '1'; FLG_C_SET <= '0'; FLG_C_CLR <= '0'; FLG_LD_SEL <= '0'; FLG_SHAD_LD <= '0'; -- SUB reg-imm ---------- when "1011000" | "1011001" | "1011010" | "1011011" => ALU_OPY_SEL <= '1'; ALU_SEL <= "0010"; RF_OE <= '1'; RF_WR_SEL <= "00"; RF_WR <= '1'; FLG_Z_LD <= '1'; FLG_C_LD <= '1'; FLG_C_SET <= '0'; FLG_C_CLR <= '0'; FLG_LD_SEL <= '0'; FLG_SHAD_LD <= '0'; -- IN reg-immed ------ when "1100100" | "1100101" | "1100110" | "1100111" => RF_WR_SEL <= "11"; RF_WR <= '1'; RF_OE <= '0'; FLG_Z_LD <= '0'; FLG_C_LD <= '0'; FLG_C_SET <= '0'; FLG_C_CLR <= '0'; FLG_LD_SEL <= '0'; FLG_SHAD_LD <= '0'; -- OUT reg-immed ------ when "1101000" | "1101001" | "1101010" | "1101011" => RF_OE <= '1'; RF_WR <= '0'; RF_WR_SEL <= "10"; -- not used IO_STRB <= '1'; FLG_Z_LD <= '0'; FLG_C_LD <= '0'; FLG_C_SET <= '0'; FLG_C_CLR <= '0'; FLG_LD_SEL <= '0'; FLG_SHAD_LD <= '0'; -- MOV reg-immed ------ when "1101100" | "1101101" | "1101110" | "1101111" => RF_WR <= '1'; RF_OE <= '0'; RF_WR_SEL <= "00"; ALU_OPY_SEL <= '1'; ALU_SEL <= "1110"; FLG_Z_LD <= '0'; FLG_C_LD <= '0'; FLG_C_SET <= '0'; FLG_C_CLR <= '0'; FLG_LD_SEL <= '0'; FLG_SHAD_LD <= '0'; -- MOV reg-reg ----- when "0001001" => RF_WR <= '1'; RF_OE <= '0'; RF_WR_SEL <= "00"; ALU_OPY_SEL <= '0'; ALU_SEL <= "1110"; FLG_Z_LD <= '0'; FLG_C_LD <= '0'; FLG_C_SET <= '0'; FLG_C_CLR <= '0'; FLG_LD_SEL <= '0'; FLG_SHAD_LD <= '0'; -- ADD reg-reg ------ when "0000100" => ALU_OPY_SEL <= '0'; ALU_SEL <= "0000"; RF_OE <= '1'; RF_WR_SEL <= "00"; RF_WR <= '1'; FLG_Z_LD <= '1'; FLG_C_LD <= '1'; FLG_C_SET <= '0'; FLG_C_CLR <= '0'; FLG_LD_SEL <= '0'; FLG_SHAD_LD <= '0'; -- ADD reg-imm ------ when "1010000" | "1010001" | "1010010" | "1010011" => ALU_OPY_SEL <= '1'; ALU_SEL <= "0000"; RF_OE <= '1'; RF_WR_SEL <= "00"; RF_WR <= '1'; FLG_Z_LD <= '1'; FLG_C_LD <= '1'; FLG_C_SET <= '0'; FLG_C_CLR <= '0'; FLG_LD_SEL <= '0'; FLG_SHAD_LD <= '0'; -- ADDC reg-reg ------ when "0000101" => ALU_OPY_SEL <= '0'; ALU_SEL <= "0001"; RF_OE <= '1'; RF_WR_SEL <= "00"; RF_WR <= '1'; FLG_Z_LD <= '1'; FLG_C_LD <= '1'; FLG_C_SET <= '0'; FLG_C_CLR <= '0'; FLG_LD_SEL <= '0'; FLG_SHAD_LD <= '0'; -- ADDC reg-imm ------ when "1010100" | "1010101" | "1010110" | "1010111" => ALU_OPY_SEL <= '1'; ALU_SEL <= "0001"; RF_OE <= '1'; RF_WR_SEL <= "00"; RF_WR <= '1'; FLG_Z_LD <= '1'; FLG_C_LD <= '1'; FLG_C_SET <= '0'; FLG_C_CLR <= '0'; FLG_LD_SEL <= '0'; FLG_SHAD_LD <= '0'; -- AND reg-reg ----- when "0000000" => ALU_OPY_SEL <= '0'; ALU_SEL <= "0101"; RF_OE <= '1'; RF_WR_SEL <= "00"; RF_WR <= '1'; FLG_Z_LD <= '1'; FLG_C_LD <= '1'; FLG_C_SET <= '0'; FLG_C_CLR <= '0'; FLG_LD_SEL <= '0'; FLG_SHAD_LD <= '0'; -- AND reg-imm ----- when "1000000" | "1000001" | "1000010" | "1000011" => ALU_OPY_SEL <= '1'; ALU_SEL <= "0101"; RF_OE <= '1'; RF_WR_SEL <= "00"; RF_WR <= '1'; FLG_Z_LD <= '1'; FLG_C_LD <= '1'; FLG_C_SET <= '0'; FLG_C_CLR <= '0'; FLG_LD_SEL <= '0'; FLG_SHAD_LD <= '0'; -- ASR reg ----- when "0100100" => ALU_OPY_SEL <= '0'; ALU_SEL <= "1101"; RF_OE <= '1'; RF_WR_SEL <= "00"; RF_WR <= '1'; FLG_Z_LD <= '1'; FLG_C_LD <= '1'; FLG_C_SET <= '0'; FLG_C_CLR <= '0'; FLG_LD_SEL <= '0'; FLG_SHAD_LD <= '0'; -- BRCC imm ----- when "0010101" => if( C = '0') then PC_LD <= '1'; PC_INC <= '0'; PC_OE <= '0'; RST <= '0'; PC_MUX_SEL <= "00"; end if; FLG_Z_LD <= '0'; FLG_C_LD <= '0'; FLG_C_SET <= '0'; FLG_C_CLR <= '0'; FLG_LD_SEL <= '0'; FLG_SHAD_LD <= '0'; -- BRCS imm ----- when "0010100" => if( C = '1') then PC_LD <= '1'; PC_INC <= '0'; PC_OE <= '0'; RST <= '0'; PC_MUX_SEL <= "00"; end if; FLG_Z_LD <= '0'; FLG_C_LD <= '0'; FLG_C_SET <= '0'; FLG_C_CLR <= '0'; FLG_LD_SEL <= '0'; FLG_SHAD_LD <= '0'; -- BREQ imm ------ when "0010010" => if( Z = '1') then PC_LD <= '1'; PC_INC <= '0'; PC_OE <= '0'; RST <= '0'; PC_MUX_SEL <= "00"; end if; FLG_Z_LD <= '0'; FLG_C_LD <= '0'; FLG_C_SET <= '0'; FLG_C_CLR <= '0'; FLG_LD_SEL <= '0'; FLG_SHAD_LD <= '0'; -- BRNE imm ------ when "0010011" => if( Z = '0') then PC_LD <= '1'; PC_INC <= '0'; PC_OE <= '0'; RST <= '0'; PC_MUX_SEL <= "00"; end if; FLG_Z_LD <= '0'; FLG_C_LD <= '0'; FLG_C_SET <= '0'; FLG_C_CLR <= '0'; FLG_LD_SEL <= '0'; FLG_SHAD_LD <= '0'; -- CALL imm ------- when "0010001" => PC_LD <= '1'; -- pc PC_INC <= '0'; PC_OE <= '1'; RST <= '0'; -- PC <- imm SCR_WR <= '1'; -- (SP-1) <- PC SCR_OE <= '0'; SCR_ADDR_SEL <= "11"; SP_LD <= '0'; -- SP <- SP - 1 SP_INCR <= '0'; SP_DECR <= '1'; RST <= '0'; FLG_Z_LD <= '0'; FLG_C_LD <= '0'; FLG_C_SET <= '0'; FLG_C_CLR <= '0'; FLG_LD_SEL <= '0'; FLG_SHAD_LD <= '0'; -- CLC non ------ when "0110000" => FLG_C_CLR <= '1'; FLG_C_SET <= '0'; FLG_C_LD <= '0'; FLG_Z_LD <= '0'; FLG_LD_SEL <= '0'; FLG_SHAD_LD <= '0'; -- CLI non ------ when "0110101" => I_FLAG_SET <= '0'; I_FLAG_CLR <= '1'; -- CMP reg-reg ------ when "0001000" => ALU_OPY_SEL <= '0'; ALU_SEL <= "0100"; RF_OE <= '1'; RF_WR_SEL <= "00"; RF_WR <= '0'; FLG_Z_LD <= '1'; FLG_C_LD <= '1'; FLG_C_SET <= '0'; FLG_C_CLR <= '0'; FLG_LD_SEL <= '0'; FLG_SHAD_LD <= '0'; -- CMP reg-imm ------ when "1100000" | "1100001" | "1100010" | "1100011" => ALU_OPY_SEL <= '1'; ALU_SEL <= "0100"; RF_OE <= '1'; RF_WR_SEL <= "00"; RF_WR <= '0'; FLG_Z_LD <= '1'; FLG_C_LD <= '1'; FLG_C_SET <= '0'; FLG_C_CLR <= '0'; FLG_LD_SEL <= '0'; FLG_SHAD_LD <= '0'; -- EXOR reg-reg ---- when "0000010" => ALU_OPY_SEL <= '0'; ALU_SEL <= "0111"; RF_OE <= '1'; RF_WR_SEL <= "00"; RF_WR <= '1'; FLG_Z_LD <= '1'; FLG_C_LD <= '1'; FLG_C_SET <= '0'; FLG_C_CLR <= '0'; FLG_LD_SEL <= '0'; FLG_SHAD_LD <= '0'; -- EXOR reg-imm ----- when "1001000" | "1001001" | "1001010" | "1001011" => ALU_OPY_SEL <= '1'; ALU_SEL <= "0111"; RF_OE <= '1'; RF_WR_SEL <= "00"; RF_WR <= '1'; FLG_Z_LD <= '1'; FLG_C_LD <= '1'; FLG_C_SET <= '0'; FLG_C_CLR <= '0'; FLG_LD_SEL <= '0'; FLG_SHAD_LD <= '0'; -- LD reg-reg ----- when "0001010" => -- Rs <- (RD) RF_WR_SEL <= "01"; RF_WR <= '1'; RF_OE <= '0'; SCR_WR <= '0'; SCR_OE <= '1'; SCR_ADDR_SEL <= "00"; FLG_Z_LD <= '0'; FLG_C_LD <= '0'; FLG_C_SET <= '0'; FLG_C_CLR <= '0'; FLG_LD_SEL <= '0'; FLG_SHAD_LD <= '0'; -- LD reg-imm ----- when "1110000" | "1110001" | "1110010" | "1110011" => -- Rs <- (imm) RF_WR_SEL <= "01"; RF_WR <= '1'; RF_OE <= '0'; SCR_WR <= '0'; SCR_OE <= '1'; SCR_ADDR_SEL <= "01"; FLG_Z_LD <= '0'; FLG_C_LD <= '0'; FLG_C_SET <= '0'; FLG_C_CLR <= '0'; FLG_LD_SEL <= '0'; FLG_SHAD_LD <= '0'; -- LSL reg ------ when "0100000" => ALU_OPY_SEL <= '0'; ALU_SEL <= "1001"; RF_OE <= '1'; RF_WR_SEL <= "00"; RF_WR <= '1'; FLG_Z_LD <= '1'; FLG_C_LD <= '1'; FLG_C_SET <= '0'; FLG_C_CLR <= '0'; FLG_LD_SEL <= '0'; FLG_SHAD_LD <= '0'; -- LSR reg ------ when "0100001" => ALU_OPY_SEL <= '0'; ALU_SEL <= "1010"; RF_OE <= '1'; RF_WR_SEL <= "00"; RF_WR <= '1'; FLG_Z_LD <= '1'; FLG_C_LD <= '1'; FLG_C_SET <= '0'; FLG_C_CLR <= '0'; FLG_LD_SEL <= '0'; FLG_SHAD_LD <= '0'; -- OR reg-reg ---- when "0000001" => ALU_OPY_SEL <= '0'; ALU_SEL <= "0110"; RF_OE <= '1'; RF_WR_SEL <= "00"; RF_WR <= '1'; FLG_Z_LD <= '1'; FLG_C_LD <= '1'; FLG_C_SET <= '0'; FLG_C_CLR <= '0'; FLG_LD_SEL <= '0'; FLG_SHAD_LD <= '0'; -- OR reg-imm ---- when "1000100" | "1000101" | "1000110" | "1000111" => ALU_OPY_SEL <= '1'; ALU_SEL <= "0110"; RF_OE <= '1'; RF_WR_SEL <= "00"; RF_WR <= '1'; FLG_Z_LD <= '1'; FLG_C_LD <= '1'; FLG_C_SET <= '0'; FLG_C_CLR <= '0'; FLG_LD_SEL <= '0'; FLG_SHAD_LD <= '0'; -- POP reg ---- when "0100110" => SP_INCR <= '1'; SP_DECR <= '0'; SP_LD <= '0'; RST <= '0'; SCR_OE <= '1'; SCR_WR <= '0'; SCR_ADDR_SEL <= "10"; RF_WR_SEL <= "01"; RF_OE <= '0'; RF_WR <= '1'; FLG_Z_LD <= '0'; FLG_C_LD <= '0'; FLG_C_SET <= '0'; FLG_C_CLR <= '0'; FLG_LD_SEL <= '0'; FLG_SHAD_LD <= '0'; -- PUSH reg ---- when "0100101" => SCR_ADDR_SEL <= "11"; SCR_WR <= '1'; SCR_OE <= '0'; RF_OE <= '1'; RF_WR <= '0'; RF_WR_SEL <= "00"; SP_INCR <= '0'; SP_DECR <= '1'; SP_LD <= '0'; RST <= '0'; FLG_Z_LD <= '0'; FLG_C_LD <= '0'; FLG_C_SET <= '0'; FLG_C_CLR <= '0'; FLG_LD_SEL <= '0'; FLG_SHAD_LD <= '0'; -- RET non ---- when "0110010" => PC_LD <= '1'; PC_INC <= '0'; PC_OE <= '0'; PC_MUX_SEL <= "01"; SCR_ADDR_SEL <= "10"; SCR_OE <= '1'; SCR_WR <= '0'; SP_INCR <= '1'; SP_DECR <= '0'; SP_LD <= '0'; RST <= '0'; FLG_Z_LD <= '0'; FLG_C_LD <= '0'; FLG_C_SET <= '0'; FLG_C_CLR <= '0'; FLG_LD_SEL <= '0'; FLG_SHAD_LD <= '0'; -- RETID -- when "0110110" => PC_LD <= '1'; PC_INC <= '0'; PC_OE <= '0'; PC_MUX_SEL <= "01"; SCR_ADDR_SEL <= "10"; SCR_OE <= '1'; SCR_WR <= '0'; SP_INCR <= '1'; SP_DECR <= '0'; SP_LD <= '0'; RST <= '0'; FLG_Z_LD <= '1'; FLG_C_LD <= '1'; FLG_C_SET <= '0'; FLG_C_CLR <= '0'; FLG_LD_SEL <= '1'; FLG_SHAD_LD <= '0'; I_FLAG_SET <= '0'; I_FLAG_CLR <= '1'; -- RETIE -- when "0110111" => PC_LD <= '1'; PC_INC <= '0'; PC_OE <= '0'; PC_MUX_SEL <= "01"; SCR_ADDR_SEL <= "10"; SCR_OE <= '1'; SCR_WR <= '0'; SP_INCR <= '1'; SP_DECR <= '0'; SP_LD <= '0'; RST <= '0'; FLG_Z_LD <= '1'; FLG_C_LD <= '1'; FLG_C_SET <= '0'; FLG_C_CLR <= '0'; FLG_LD_SEL <= '1'; FLG_SHAD_LD <= '0'; I_FLAG_SET <= '1'; I_FLAG_CLR <= '0'; -- ROL reg ---- when "0100010" => ALU_OPY_SEL <= '0'; ALU_SEL <= "1011"; RF_OE <= '1'; RF_WR_SEL <= "00"; RF_WR <= '1'; FLG_Z_LD <= '1'; FLG_C_LD <= '1'; FLG_C_SET <= '0'; FLG_C_CLR <= '0'; FLG_LD_SEL <= '0'; FLG_SHAD_LD <= '0'; -- ROR reg ---- when "0100011" => ALU_OPY_SEL <= '0'; ALU_SEL <= "1100"; RF_OE <= '1'; RF_WR_SEL <= "00"; RF_WR <= '1'; FLG_Z_LD <= '1'; FLG_C_LD <= '1'; FLG_C_SET <= '0'; FLG_C_CLR <= '0'; FLG_LD_SEL <= '0'; FLG_SHAD_LD <= '0'; -- SEC non ----- when "0110001" => FLG_C_CLR <= '0'; FLG_C_SET <= '1'; FLG_C_LD <= '0'; FLG_Z_LD <= '0'; -- SEI when "0110100" => I_FLAG_SET <= '1'; I_FLAG_CLR <= '0'; -- ST reg-reg ---- when "0001011" => RF_OE <= '1'; RF_WR <= '0'; RF_WR_SEL <= "00"; SCR_ADDR_SEL <= "00"; SCR_WR <= '1'; SCR_OE <= '0'; FLG_Z_LD <= '0'; FLG_C_LD <= '0'; FLG_C_SET <= '0'; FLG_C_CLR <= '0'; FLG_LD_SEL <= '0'; FLG_SHAD_LD <= '0'; -- ST reg-imm ---- when "1110100" | "1110101" | "1110110" | "1110111" => RF_OE <= '1'; RF_WR <= '0'; RF_WR_SEL <= "00"; SCR_ADDR_SEL <= "01"; SCR_WR <= '1'; SCR_OE <= '0'; FLG_Z_LD <= '0'; FLG_C_LD <= '0'; FLG_C_SET <= '0'; FLG_C_CLR <= '0'; FLG_LD_SEL <= '0'; FLG_SHAD_LD <= '0'; -- SUBC reg-reg ---- when "0000111" => ALU_OPY_SEL <= '0'; ALU_SEL <= "0011"; RF_OE <= '1'; RF_WR_SEL <= "00"; RF_WR <= '1'; -- SUBC reg-imm ----- when "1011100" | "1011101" | "1011110" | "1011111" => ALU_OPY_SEL <= '1'; ALU_SEL <= "0011"; RF_OE <= '1'; RF_WR_SEL <= "00"; RF_WR <= '1'; FLG_Z_LD <= '1'; FLG_C_LD <= '1'; FLG_C_SET <= '0'; FLG_C_CLR <= '0'; FLG_LD_SEL <= '0'; FLG_SHAD_LD <= '0'; -- TEST reg-reg ------ when "0000011" => ALU_OPY_SEL <= '0'; ALU_SEL <= "1000"; RF_OE <= '1'; RF_WR_SEL <= "00"; RF_WR <= '0'; FLG_Z_LD <= '1'; FLG_C_LD <= '1'; FLG_C_SET <= '0'; FLG_C_CLR <= '0'; FLG_LD_SEL <= '0'; FLG_SHAD_LD <= '0'; -- TEST reg-imm ----- when "1001100" | "1001101" | "1001110" | "1001111" => ALU_OPY_SEL <= '1'; ALU_SEL <= "1000"; RF_OE <= '1'; RF_WR_SEL <= "00"; RF_WR <= '0'; FLG_Z_LD <= '1'; FLG_C_LD <= '1'; FLG_C_SET <= '0'; FLG_C_CLR <= '0'; FLG_LD_SEL <= '0'; FLG_SHAD_LD <= '0'; -- WSP reg ----- when "0101000" => RF_OE <= '1'; RF_WR <= '0'; RF_WR_SEL <= "00"; SP_LD <= '1'; SP_INCR <= '0'; SP_DECR <= '0'; SCR_OE <= '0'; PC_OE <= '0'; FLG_Z_LD <= '0'; FLG_C_LD <= '0'; FLG_C_SET <= '0'; FLG_C_CLR <= '0'; FLG_LD_SEL <= '0'; FLG_SHAD_LD <= '0'; when others => -- for inner case NS <= ST_fet; end case; -- inner execute case statement when others => -- for outer case NS <= ST_fet; end case; -- outer init/fetch/execute case end process comb_p; end Behavioral;
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use work.Types.all; use work.Consts.all; use work.Funcs.all; entity tbStallGenerator is end tbStallGenerator; architecture tb_stall_generator_arch of tbStallGenerator is constant CWRD_SIZE : integer := C_SYS_CWRD_SIZE; component StallGenerator is generic( CWRD_SIZE : integer := C_SYS_CWRD_SIZE ); port( rst : in std_logic; clk : in std_logic; s2_branch_taken : in std_logic := '0'; s2_branch_wait : in std_logic := '0'; s3_reg_a_wait : in std_logic := '0'; s3_reg_b_wait : in std_logic := '0'; stall_flag : out std_logic_vector(4 downto 0) ); end component; signal rst : std_logic; signal clk : std_logic:='1'; signal s2_branch_taken : std_logic := '0'; signal s2_branch_wait : std_logic := '0'; signal s3_reg_a_wait : std_logic := '0'; signal s3_reg_b_wait : std_logic := '0'; signal stall_flag : std_logic_vector(4 downto 0); begin SG0: StallGenerator generic map(CWRD_SIZE) port map(rst, clk, s2_branch_taken, s2_branch_wait, s3_reg_a_wait, s3_reg_b_wait, stall_flag); CLK0: process(clk) begin clk <= not (clk) after 0.5 ns; end process; rst <= '0', '1' after 1 ns; s3_reg_a_wait <= '0', '1' after 3 ns, '0' after 4 ns; s3_reg_b_wait <= '0', '1' after 8 ns, '0' after 9 ns; s2_branch_wait <= '0', '1' after 15 ns, '0' after 16 ns; end tb_stall_generator_arch; configuration tb_stall_generator_cfg of tbStallGenerator is for tb_stall_generator_arch end for; end tb_stall_generator_cfg;
LIBRARY ieee; USE ieee.std_logic_1164.all; USE work.pr_types.all; USE work.lcd_types.all; package pattern_constants is constant PATTERN_SIZE : integer := 16; constant PATTERN_CLASS_COUNT : integer := 16; constant PATTERN_TRAINING_COUNT : integer := 16; constant PATTERN_TRAINING_DATA : std_logic_vector(PATTERN_SIZE * PATTERN_TRAINING_COUNT - 1 downto 0) := -- A "0110" & "1001" & "1111" & "1001" & -- C "1111" & "1000" & "1000" & "1111" & -- D "1110" & "1001" & "1001" & "1110" & -- F "1111" & "1000" & "1111" & "1000" & -- H "1001" & "1111" & "1111" & "1001" & -- I "1111" & "0110" & "0110" & "1111" & -- J "1111" & "0001" & "1001" & "0110" & -- L "1000" & "1000" & "1000" & "1111" & -- N "1001" & "1101" & "1011" & "1001" & -- O "1111" & "1001" & "1001" & "1111" & -- P "1111" & "1001" & "1111" & "1000" & -- T "1111" & "0110" & "0110" & "0110" & -- U "1001" & "1001" & "1001" & "1111" & -- X "1001" & "0110" & "0110" & "1001" & -- Y "1001" & "1001" & "0110" & "0110" & -- Z "1111" & "0010" & "0100" & "1111"; constant PATTERN_TRAINING_CLASS : integer_vector(PATTERN_TRAINING_COUNT - 1 downto 0) := (15,14,13,12,11,10,9,8,7,6,5,4,3,2,1,0); constant PATTERN_DISPLAY : char_vector(PATTERN_CLASS_COUNT - 1 downto 0) := ( (x"41"), -- A (x"43"), -- C (x"44"), -- D (x"46"), -- F (x"48"), -- H (x"49"), -- I (x"4A"), -- J (x"4C"), -- L (x"4E"), -- N (x"4F"), -- O (x"50"), -- P (x"54"), -- T (x"55"), -- U (x"58"), -- X (x"59"), -- Y (x"5A") -- Z ); end package pattern_constants;
-- DDRMP controller constant CFG_DDRMP_EN : integer := CONFIG_DDRMP; constant CFG_DDRMP_EN2P : integer := CONFIG_DDRMP_EN2P; constant CFG_DDRMP_NCS : integer := CONFIG_DDRMP_NCS; constant CFG_DDRMP_NDEV : integer := CONFIG_DDRMP_NDEV; constant CFG_DDRMP_NBITS : integer := CONFIG_DDRMP_NBITS; constant CFG_DDRMP_MBITS : integer := CONFIG_DDRMP_MBITS; constant CFG_DDRMP_PERIOD : integer := 1000/CONFIG_DDRMP_FREQ;
-- DDRMP controller constant CFG_DDRMP_EN : integer := CONFIG_DDRMP; constant CFG_DDRMP_EN2P : integer := CONFIG_DDRMP_EN2P; constant CFG_DDRMP_NCS : integer := CONFIG_DDRMP_NCS; constant CFG_DDRMP_NDEV : integer := CONFIG_DDRMP_NDEV; constant CFG_DDRMP_NBITS : integer := CONFIG_DDRMP_NBITS; constant CFG_DDRMP_MBITS : integer := CONFIG_DDRMP_MBITS; constant CFG_DDRMP_PERIOD : integer := 1000/CONFIG_DDRMP_FREQ;
-- Copyright 1986-2016 Xilinx, Inc. All Rights Reserved. -- -------------------------------------------------------------------------------- -- Tool Version: Vivado v.2016.4 (win64) Build 1733598 Wed Dec 14 22:35:39 MST 2016 -- Date : Mon Feb 27 19:26:51 2017 -- Host : GILAMONSTER running 64-bit major release (build 9200) -- Command : write_vhdl -force -mode synth_stub -- c:/ZyboIP/examples/ov7670_passthrough/ov7670_passthrough.srcs/sources_1/bd/system/ip/system_util_vector_logic_0_0/system_util_vector_logic_0_0_stub.vhdl -- Design : system_util_vector_logic_0_0 -- Purpose : Stub declaration of top-level module interface -- Device : xc7z010clg400-1 -- -------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; entity system_util_vector_logic_0_0 is Port ( Op1 : in STD_LOGIC_VECTOR ( 0 to 0 ); Op2 : in STD_LOGIC_VECTOR ( 0 to 0 ); Res : out STD_LOGIC_VECTOR ( 0 to 0 ) ); end system_util_vector_logic_0_0; architecture stub of system_util_vector_logic_0_0 is attribute syn_black_box : boolean; attribute black_box_pad_pin : string; attribute syn_black_box of stub : architecture is true; attribute black_box_pad_pin of stub : architecture is "Op1[0:0],Op2[0:0],Res[0:0]"; attribute x_core_info : string; attribute x_core_info of stub : architecture is "util_vector_logic,Vivado 2016.4"; begin end;
entity alias7 is end entity; architecture test of alias7 is signal x : bit_vector(7 downto 0); alias top is x(7); signal ctr : integer := 0; begin process (top) is begin if top = '1' then ctr <= ctr + 1; end if; end process; process is begin assert ctr = 0; x <= X"3f"; wait for 1 ns; assert ctr = 0; x <= X"80"; wait for 1 ns; assert ctr = 1; x <= X"00"; wait for 1 ns; assert ctr = 1; x <= X"ff"; wait for 1 ns; assert ctr = 2; wait; end process; end architecture;
entity alias7 is end entity; architecture test of alias7 is signal x : bit_vector(7 downto 0); alias top is x(7); signal ctr : integer := 0; begin process (top) is begin if top = '1' then ctr <= ctr + 1; end if; end process; process is begin assert ctr = 0; x <= X"3f"; wait for 1 ns; assert ctr = 0; x <= X"80"; wait for 1 ns; assert ctr = 1; x <= X"00"; wait for 1 ns; assert ctr = 1; x <= X"ff"; wait for 1 ns; assert ctr = 2; wait; end process; end architecture;
entity alias7 is end entity; architecture test of alias7 is signal x : bit_vector(7 downto 0); alias top is x(7); signal ctr : integer := 0; begin process (top) is begin if top = '1' then ctr <= ctr + 1; end if; end process; process is begin assert ctr = 0; x <= X"3f"; wait for 1 ns; assert ctr = 0; x <= X"80"; wait for 1 ns; assert ctr = 1; x <= X"00"; wait for 1 ns; assert ctr = 1; x <= X"ff"; wait for 1 ns; assert ctr = 2; wait; end process; end architecture;
entity alias7 is end entity; architecture test of alias7 is signal x : bit_vector(7 downto 0); alias top is x(7); signal ctr : integer := 0; begin process (top) is begin if top = '1' then ctr <= ctr + 1; end if; end process; process is begin assert ctr = 0; x <= X"3f"; wait for 1 ns; assert ctr = 0; x <= X"80"; wait for 1 ns; assert ctr = 1; x <= X"00"; wait for 1 ns; assert ctr = 1; x <= X"ff"; wait for 1 ns; assert ctr = 2; wait; end process; end architecture;
entity alias7 is end entity; architecture test of alias7 is signal x : bit_vector(7 downto 0); alias top is x(7); signal ctr : integer := 0; begin process (top) is begin if top = '1' then ctr <= ctr + 1; end if; end process; process is begin assert ctr = 0; x <= X"3f"; wait for 1 ns; assert ctr = 0; x <= X"80"; wait for 1 ns; assert ctr = 1; x <= X"00"; wait for 1 ns; assert ctr = 1; x <= X"ff"; wait for 1 ns; assert ctr = 2; wait; end process; end architecture;
use work.pkg.all; entity tb is end entity tb; architecture arch of tb is begin process begin report integer'image(c_int.get); wait; end process; end arch ;
library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; use work.tb_package.all; -- Uncomment the following lines to use the declarations that are -- provided for instantiating Xilinx primitive components. --library UNISIM; --use UNISIM.VComponents.all; entity enable_gen is Port ( command_i : in command_rec; enable_o : out std_logic; done_o : out std_logic_vector(gen_number downto 0) ); end enable_gen; architecture Behavioral of enable_gen is signal s_enable : std_logic:='0'; signal s_done_o : std_logic; begin done_o(0) <= 'Z'; done_o(1) <= 'Z'; done_o(2) <= 'Z'; done_o(3) <= 'Z'; done_o(4) <= 'Z'; done_o(5) <= s_done_o; done_o(6) <= 'Z'; enable_o <= s_enable; p_main: process variable value1 : string(1 to 8); begin s_done_o <= '0'; wait on command_i; if command_i.gen_number=5 then if command_i.mnemonic(1 to 6)="enable" then if command_i.value1(8)='1' then s_enable <= '1'; else s_enable <= '0'; end if; elsif command_i.mnemonic(1 to 4)="stop" then -- start <= false; end if; s_done_o <= '1'; wait on s_done_o; end if; end process p_main; end Behavioral;
-------------------------------------------------------------------------------- -- Light8080 simulation test bench. -------------------------------------------------------------------------------- -- Source for the 8080 program is in asm\@[email protected] -------------------------------------------------------------------------------- -- -- This test bench provides a simulated CPU system to test programs. This test -- bench does not do any assertions or checks, all assertions are left to the -- software. -- -- The simulated environment has 2KB of RAM, mirror-mapped to all the memory -- map of the 8080, initialized with the test program object code. See the perl -- script 'util\hexconv.pl' and BAT files in the asm directory. -- -- Besides, it provides some means to trigger hardware irq from software, -- including the specification of the instructions fed to the CPU as interrupt -- vectors during inta cycles. -- -- We will simulate 8 possible irq sources. The software can trigger any one of -- them by writing at registers 0x010 and 0x011. Register 0x010 holds the irq -- source to be triggered (0 to 7) and register 0x011 holds the number of clock -- cycles that will elapse from the end of the instruction that writes to the -- register to the assertion of intr. -- -- When the interrupt is acknowledged and inta is asserted, the test bench reads -- the value at register 0x010 as the irq source, and feeds an instruction to -- the CPU starting from the RAM address 0040h+source*4. -- That is, address range 0040h-005fh is reserved for the simulated 'interrupt -- vectors', a total of 4 bytes for each of the 8 sources. This allows the -- software to easily test different interrupt vectors without any hand -- assembly. All of this is strictly simulation-only stuff. -- -- -- Upon completion, the software must write a value to register 0x020. Writing -- a 0x055 means 'success', writing a 0x0aa means 'failure'. Success and -- failure conditions are defined by the software. -------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.ALL; use ieee.std_logic_unsigned.all; use ieee.numeric_std.ALL; entity light8080_@PROGNAME@ is end entity light8080_@PROGNAME@; architecture behavior of light8080_@PROGNAME@ is -------------------------------------------------------------------------------- -- Simulation parameters -- T: simulated clock period constant T : time := 100 ns; -- MAX_SIM_LENGTH: maximum simulation time constant MAX_SIM_LENGTH : time := T*7000; -- enough for the tb0 -------------------------------------------------------------------------------- -- Component Declaration for the Unit Under Test (UUT) component light8080 port ( addr_out : out std_logic_vector(15 downto 0); inta : out std_logic; inte : out std_logic; halt : out std_logic; intr : in std_logic; vma : out std_logic; io : out std_logic; rd : out std_logic; wr : out std_logic; fetch : out std_logic; data_in : in std_logic_vector(7 downto 0); data_out : out std_logic_vector(7 downto 0); clk : in std_logic; reset : in std_logic ); end component; signal data_i : std_logic_vector(7 downto 0) := (others=>'0'); signal vma_o : std_logic; signal rd_o : std_logic; signal wr_o : std_logic; signal io_o : std_logic; signal data_o : std_logic_vector(7 downto 0); signal data_mem : std_logic_vector(7 downto 0); signal addr_o : std_logic_vector(15 downto 0); signal fetch_o : std_logic; signal inta_o : std_logic; signal inte_o : std_logic; signal intr_i : std_logic := '0'; signal halt_o : std_logic; signal reset : std_logic := '0'; signal clk : std_logic := '1'; signal done : std_logic := '0'; type t_rom is array(0 to 2047) of std_logic_vector(7 downto 0); signal rom : t_rom := ( --@rom_data ); signal irq_vector_byte: std_logic_vector(7 downto 0); signal irq_source : integer range 0 to 7; signal cycles_to_intr : integer range -10 to 255; signal int_vector_index : integer range 0 to 3; signal addr_vector_table: integer range 0 to 65535; begin -- Instantiate the Unit Under Test (UUT) uut: light8080 PORT MAP( clk => clk, reset => reset, vma => vma_o, rd => rd_o, wr => wr_o, io => io_o, fetch => fetch_o, addr_out => addr_o, data_in => data_i, data_out => data_o, intr => intr_i, inte => inte_o, inta => inta_o, halt => halt_o ); -- clock: run clock until test is done clock: process(done, clk) begin if done = '0' then clk <= not clk after T/2; end if; end process clock; -- Drive reset and done main_test: process begin -- Assert reset for at least one full clk period reset <= '1'; wait until clk = '1'; wait for T/2; reset <= '0'; -- Remember to 'cut away' the preceding 3 clk semiperiods from -- the wait statement... wait for (MAX_SIM_LENGTH - T*1.5); -- Maximum sim time elapsed, assume the program ran away and -- stop the clk process asserting 'done' (which will stop the simulation) done <= '1'; assert (done = '1') report "Test timed out." severity failure; wait; end process main_test; -- Synchronous RAM; 2KB mirrored everywhere synchronous_ram: process(clk) begin if (clk'event and clk='1') then data_mem <= rom(conv_integer(addr_o(10 downto 0))); if wr_o = '1' and addr_o(15 downto 11)="00000" then rom(conv_integer(addr_o(10 downto 0))) <= data_o; end if; end if; end process synchronous_ram; irq_trigger_register: process(clk) begin if (clk'event and clk='1') then if reset='1' then cycles_to_intr <= -10; -- meaning no interrupt pending intr_i <= '0'; else if io_o='1' and wr_o='1' and addr_o(7 downto 0)=X"11" then cycles_to_intr <= conv_integer(data_o) + 1; else if cycles_to_intr >= 0 then cycles_to_intr <= cycles_to_intr - 1; end if; if cycles_to_intr = 0 then intr_i <= '1'; else intr_i <= '0'; end if; end if; end if; end if; end process irq_trigger_register; irq_source_register: process(clk) begin if (clk'event and clk='1') then if reset='1' then irq_source <= 0; else if io_o='1' and wr_o='1' and addr_o(7 downto 0)=X"10" then irq_source <= conv_integer(data_o(2 downto 0)); end if; end if; end if; end process irq_source_register; -- 'interrupt vector' logic. irq_vector_table: process(clk) begin if (clk'event and clk='1') then if vma_o = '1' and rd_o='1' then if inta_o = '1' then int_vector_index <= int_vector_index + 1; else int_vector_index <= 0; end if; end if; -- this is the address of the byte we'll feed to the CPU addr_vector_table <= 64+irq_source*4+int_vector_index; end if; end process irq_vector_table; irq_vector_byte <= rom(addr_vector_table); data_i <= data_mem when inta_o='0' else irq_vector_byte; test_outcome_register: process(clk) variable outcome : std_logic_vector(7 downto 0); begin if (clk'event and clk='1') then if io_o='1' and wr_o='1' and addr_o(7 downto 0)=X"20" then assert (data_o /= X"55") report "Software reports SUCCESS" severity failure; assert (data_o /= X"aa") report "Software reports FAILURE" severity failure; assert ((data_o = X"aa") or (data_o = X"55")) report "Software reports unexpected outcome value." severity failure; end if; end if; end process test_outcome_register; end;
library ieee; use ieee.std_logic_1164.all; entity xor_gate is generic ( INVERT : boolean ); port ( a : in std_logic; b : in std_logic; q : out std_logic ); end; architecture a of xor_gate is begin gen: if INVERT generate q <= not (a xor b); else generate q <= a xor b; end generate; end; library ieee; use ieee.std_logic_1164.all; entity top is port ( x : in std_logic; y : in std_logic; o_custom : out std_logic; o_and : out std_logic ); end; architecture a of top is component comp is port ( a : in std_logic; b : in std_logic; q : out std_logic ); end component; begin comp_inst: comp port map ( a => x, b => y, q => o_custom ); o_and <= x and y; end; configuration conf of top is for a for comp_inst : comp use entity work.xor_gate generic map ( INVERT => false ); end for; end for; end configuration;
-- -- BananaCore - A processor written in VHDL -- -- Created by Rogiel Sulzbach. -- Copyright (c) 2014-2015 Rogiel Sulzbach. All rights reserved. -- library ieee; use ieee.numeric_std.all; use ieee.std_logic_1164.all; use ieee.std_logic_1164.std_logic; library BananaCore; use BananaCore.Core.all; use BananaCore.Memory.all; use BananaCore.RegisterPackage.all; -- The MultiplyInstructionExecutor entity entity MultiplyInstructionExecutor is port( -- the processor main clock clock: in BananaCore.Core.Clock; -- enables the instruction enable: in std_logic; -- the first register to operate on (argument 0) arg0_address: in RegisterAddress; -- the first register to operate on (argument 1) arg1_address: in RegisterAddress; -- a bus indicating if the instruction is ready or not instruction_ready: out std_logic := '0'; ------------------------------------------ -- MEMORY BUS ------------------------------------------ -- the address to read/write memory from/to memory_address: out MemoryAddress := (others => '0'); -- the memory being read to memory_data_read: in MemoryData; -- the memory being written to memory_data_write: out MemoryData := (others => '0'); -- the operation to perform on the memory memory_operation: out MemoryOperation := MEMORY_OP_DISABLED; -- a flag indicating if a memory operation should be performed memory_enable: out std_logic := '0'; -- a flag indicating if a memory operation has completed memory_ready: in std_logic; ------------------------------------------ -- REGISTER BUS ------------------------------------------ -- the processor register address bus register_address: out RegisterAddress := (others => '0'); -- the processor register data bus register_data_read: in RegisterData; -- the processor register data bus register_data_write: out RegisterData := (others => '0'); -- the processor register operation signal register_operation: out RegisterOperation := OP_REG_DISABLED; -- the processor register enable signal register_enable: out std_logic := '0'; -- a flag indicating if a register operation has completed register_ready: in std_logic ); end MultiplyInstructionExecutor; architecture MultiplyInstructionExecutorImpl of MultiplyInstructionExecutor is type state_type is ( fetch_arg0, store_arg0, fetch_arg1, store_arg1, execute, store_result, complete ); signal state: state_type := fetch_arg0; signal arg0: RegisterData; signal arg1: RegisterData; signal result: std_logic_vector((DataWidth*2)-1 downto 0); attribute keep: boolean; attribute keep of result: signal is true; begin process (clock) begin if clock'event and clock = '1' then if enable = '1' then case state is when fetch_arg0 => instruction_ready <= '0'; register_address <= arg0_address; register_operation <= OP_REG_GET; register_enable <= '1'; state <= store_arg0; when store_arg0 => if register_ready = '1' then arg0 <= register_data_read; register_enable <= '0'; state <= fetch_arg1; else state <= store_arg0; end if; when fetch_arg1 => register_address <= arg1_address; register_operation <= OP_REG_GET; register_enable <= '1'; state <= store_arg1; when store_arg1 => if register_ready = '1' then arg1 <= register_data_read; register_enable <= '0'; state <= execute; else state <= store_arg1; end if; when execute => result <= std_logic_vector(unsigned(arg0) * unsigned(arg1)); state <= store_result; when store_result => register_address <= AccumulatorRegister; register_operation <= OP_REG_SET; register_data_write <= result(15 downto 0); register_enable <= '1'; state <= complete; when complete => if register_ready = '1' then instruction_ready <= '1'; end if; state <= complete; end case; else instruction_ready <= '0'; state <= fetch_arg0; end if; end if; end process; end MultiplyInstructionExecutorImpl;
---------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 04/19/2016 09:18:50 PM -- Design Name: -- Module Name: SystemTest - Behavioral -- Project Name: -- Target Devices: -- Tool Versions: -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --use IEEE.NUMERIC_STD.ALL; -- Uncomment the following library declaration if instantiating -- any Xilinx leaf cells in this code. --library UNISIM; --use UNISIM.VComponents.all; entity SystemTest is Port ( SystemTest : in STD_LOGIC); end SystemTest; architecture Behavioral of SystemTest is begin end Behavioral;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc2668.vhd,v 1.2 2001-10-26 16:30:21 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c13s03b01x00p02n01i02668ent IS END c13s03b01x00p02n01i02668ent; ARCHITECTURE c13s03b01x00p02n01i02668arch OF c13s03b01x00p02n01i02668ent IS BEGIN TESTING: PROCESS variable \k : integer; BEGIN assert FALSE report "***FAILED TEST: c13s03b01x00p02n01i02668 - Identifier can only begin with a letter." severity ERROR; wait; END PROCESS TESTING; END c13s03b01x00p02n01i02668arch;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc2668.vhd,v 1.2 2001-10-26 16:30:21 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c13s03b01x00p02n01i02668ent IS END c13s03b01x00p02n01i02668ent; ARCHITECTURE c13s03b01x00p02n01i02668arch OF c13s03b01x00p02n01i02668ent IS BEGIN TESTING: PROCESS variable \k : integer; BEGIN assert FALSE report "***FAILED TEST: c13s03b01x00p02n01i02668 - Identifier can only begin with a letter." severity ERROR; wait; END PROCESS TESTING; END c13s03b01x00p02n01i02668arch;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc2668.vhd,v 1.2 2001-10-26 16:30:21 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c13s03b01x00p02n01i02668ent IS END c13s03b01x00p02n01i02668ent; ARCHITECTURE c13s03b01x00p02n01i02668arch OF c13s03b01x00p02n01i02668ent IS BEGIN TESTING: PROCESS variable \k : integer; BEGIN assert FALSE report "***FAILED TEST: c13s03b01x00p02n01i02668 - Identifier can only begin with a letter." severity ERROR; wait; END PROCESS TESTING; END c13s03b01x00p02n01i02668arch;
library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; use work.snes_lib.all; entity js_generator is port ( clk_i : in std_logic; rst_i : in std_logic; pause_i : in std_logic; pc_o : out std_logic_vector(15 downto 0); js_o : out snes_js_btn_r ); end entity js_generator; architecture behavioral of js_generator is signal address_s : std_logic_vector(15 downto 0) := x"0000"; signal address_next_s : std_logic_vector(15 downto 0); signal data_s : std_logic_vector(15 downto 0); signal received_r : std_logic; signal received_next_r : std_logic; begin rom16_0: entity work.rom16 port map ( clk_i => clk_i, address_i => address_s, data_o => data_s ); pc_o <= address_s; clock_proc: process (clk_i, rst_i) begin if rst_i = '1' then received_r <= '0'; address_s <= x"0000"; elsif rising_edge(clk_i) then address_s <= address_next_s; received_r <= received_next_r; end if; end process; comb_proc: process(pause_i, address_s) begin address_next_s <= address_s; received_next_r <= received_r; if pause_i = '0' and received_r = '1' then address_next_s <= std_logic_vector(unsigned(address_s) + 1); received_next_r <= '0'; elsif pause_i = '1' and received_r = '0' then received_next_r <= '1'; end if; end process; js_o.b <= data_s(0); js_o.y <= data_s(1); js_o.sel <= data_s(2); js_o.start <= data_s(3); js_o.up <= data_s(4); js_o.down <= data_s(5); js_o.left <= data_s(6); js_o.right <= data_s(7); js_o.a <= data_s(8); js_o.x <= data_s(9); js_o.l <= data_s(10); js_o.r <= data_s(11); end architecture;
library IEEE; use IEEE.STD_LOGIC_1164.all; entity AdderDemo is port( SW : in std_logic_vector (7 downto 0); LEDR : out std_logic_vector(4 downto 0); KEY : in std_logic); end AdderDemo; architecture Shell of AdderDemo is begin system_core: entity work.AddSub4(structural2) port map(a => SW(3 downto 0), b => SW(7 downto 4), s => LEDR(3 downto 0), cout => LEDR(4), sub => KEY); end Shell;
library ieee; use ieee.std_logic_1164.all; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; use IEEE.NUMERIC_STD.all; use IEEE.MATH_REAL.ALL; entity Arbiter_out_one_hot_pseudo_with_checkers_top is port ( credit: in std_logic_vector(1 downto 0); req_X_N, req_X_E, req_X_W, req_X_S, req_X_L :in std_logic; -- From LBDR modules state: in std_logic_vector (5 downto 0); -- 6 states for Arbiter_out's FSM grant_Y_N, grant_Y_E, grant_Y_W, grant_Y_S, grant_Y_L : out std_logic; -- Grants given to LBDR requests (encoded as one-hot) state_in: out std_logic_vector (5 downto 0); -- 6 states for Arbiter's FSM -- Checker outputs err_Requests_state_in_state_not_equal, err_IDLE_req_X_N, err_North_req_X_N, err_North_credit_not_zero_req_X_N_grant_N, err_North_credit_zero_or_not_req_X_N_not_grant_N, err_East_req_X_E, err_East_credit_not_zero_req_X_E_grant_E, err_East_credit_zero_or_not_req_X_E_not_grant_E, err_West_req_X_W, err_West_credit_not_zero_req_X_W_grant_W, err_West_credit_zero_or_not_req_X_W_not_grant_W, err_South_req_X_S, err_South_credit_not_zero_req_X_S_grant_S, err_South_credit_zero_or_not_req_X_S_not_grant_S, err_Local_req_X_L, err_Local_credit_not_zero_req_X_L_grant_L, err_Local_credit_zero_or_not_req_X_L_not_grant_L, err_IDLE_req_X_E, err_North_req_X_E, err_East_req_X_W, err_West_req_X_S, err_South_req_X_L, err_Local_req_X_N, err_IDLE_req_X_W, err_North_req_X_W, err_East_req_X_S, err_West_req_X_L, err_South_req_X_N, err_Local_req_X_E, err_IDLE_req_X_S, err_North_req_X_S, err_East_req_X_L, err_West_req_X_N, err_South_req_X_E, err_Local_req_X_W, err_IDLE_req_X_L, err_North_req_X_L, err_East_req_X_N, err_West_req_X_E, err_South_req_X_W, err_Local_req_X_S, err_state_in_onehot, err_no_request_grants, err_request_IDLE_state, err_request_IDLE_not_Grants, err_state_North_Invalid_Grant, err_state_East_Invalid_Grant, err_state_West_Invalid_Grant, err_state_South_Invalid_Grant, err_state_Local_Invalid_Grant, err_Grants_onehot_or_all_zero : out std_logic ); end Arbiter_out_one_hot_pseudo_with_checkers_top; architecture behavior of Arbiter_out_one_hot_pseudo_with_checkers_top is component arbiter_out_one_hot_pseudo is port ( credit: in std_logic_vector(1 downto 0); req_X_N, req_X_E, req_X_W, req_X_S, req_X_L :in std_logic; -- From LBDR modules state: in std_logic_vector (5 downto 0); -- 6 states for Arbiter_out's FSM grant_Y_N, grant_Y_E, grant_Y_W, grant_Y_S, grant_Y_L : out std_logic; -- Grants given to LBDR requests (encoded as one-hot) state_in: out std_logic_vector (5 downto 0) -- 6 states for Arbiter's FSM ); end component; component Arbiter_out_one_hot_pseudo_checkers is port ( credit: in std_logic_vector(1 downto 0); req_X_N, req_X_E, req_X_W, req_X_S, req_X_L :in std_logic; -- From LBDR modules state: in std_logic_vector (5 downto 0); -- 6 states for Arbiter_out's FSM grant_Y_N, grant_Y_E, grant_Y_W, grant_Y_S, grant_Y_L : in std_logic; -- Grants given to LBDR requests (encoded as one-hot) state_in: in std_logic_vector (5 downto 0); -- 6 states for Arbiter's FSM -- Checker outputs err_Requests_state_in_state_not_equal, err_IDLE_req_X_N, err_North_req_X_N, err_North_credit_not_zero_req_X_N_grant_N, err_North_credit_zero_or_not_req_X_N_not_grant_N, err_East_req_X_E, err_East_credit_not_zero_req_X_E_grant_E, err_East_credit_zero_or_not_req_X_E_not_grant_E, err_West_req_X_W, err_West_credit_not_zero_req_X_W_grant_W, err_West_credit_zero_or_not_req_X_W_not_grant_W, err_South_req_X_S, err_South_credit_not_zero_req_X_S_grant_S, err_South_credit_zero_or_not_req_X_S_not_grant_S, err_Local_req_X_L, err_Local_credit_not_zero_req_X_L_grant_L, err_Local_credit_zero_or_not_req_X_L_not_grant_L, err_IDLE_req_X_E, err_North_req_X_E, err_East_req_X_W, err_West_req_X_S, err_South_req_X_L, err_Local_req_X_N, err_IDLE_req_X_W, err_North_req_X_W, err_East_req_X_S, err_West_req_X_L, err_South_req_X_N, err_Local_req_X_E, err_IDLE_req_X_S, err_North_req_X_S, err_East_req_X_L, err_West_req_X_N, err_South_req_X_E, err_Local_req_X_W, err_IDLE_req_X_L, err_North_req_X_L, err_East_req_X_N, err_West_req_X_E, err_South_req_X_W, err_Local_req_X_S, err_state_in_onehot, err_no_request_grants, err_request_IDLE_state, err_request_IDLE_not_Grants, err_state_North_Invalid_Grant, err_state_East_Invalid_Grant, err_state_West_Invalid_Grant, err_state_South_Invalid_Grant, err_state_Local_Invalid_Grant, err_Grants_onehot_or_all_zero : out std_logic ); end component; signal grant_Y_N_sig, grant_Y_E_sig, grant_Y_W_sig, grant_Y_S_sig, grant_Y_L_sig: std_logic; signal state_in_sig: std_logic_vector (5 downto 0); begin grant_Y_N <= grant_Y_N_sig; grant_Y_E <= grant_Y_E_sig; grant_Y_W <= grant_Y_W_sig; grant_Y_S <= grant_Y_S_sig; grant_Y_L <= grant_Y_L_sig; state_in <= state_in_sig; -- Arbiter_out instantiation ARBITER_OUT_ONE_HOT: arbiter_out_one_hot_pseudo port map ( credit => credit, req_X_N => req_X_N, req_X_E => req_X_E, req_X_W => req_X_W, req_X_S => req_X_S, req_X_L => req_X_L, state => state, grant_Y_N => grant_Y_N_sig, grant_Y_E => grant_Y_E_sig, grant_Y_W => grant_Y_W_sig, grant_Y_S => grant_Y_S_sig, grant_Y_L => grant_Y_L_sig, state_in => state_in_sig ); -- Checkers instantiation CHECKERS: Arbiter_out_one_hot_pseudo_checkers port map ( credit => credit, req_X_N => req_X_N, req_X_E => req_X_E, req_X_W => req_X_W, req_X_S => req_X_S, req_X_L => req_X_L, state => state, grant_Y_N => grant_Y_N_sig, grant_Y_E => grant_Y_E_sig, grant_Y_W => grant_Y_W_sig, grant_Y_S => grant_Y_S_sig, grant_Y_L => grant_Y_L_sig, state_in => state_in_sig, -- Checker Outputs err_Requests_state_in_state_not_equal => err_Requests_state_in_state_not_equal, err_IDLE_req_X_N => err_IDLE_req_X_N, err_North_req_X_N => err_North_req_X_N, err_North_credit_not_zero_req_X_N_grant_N => err_North_credit_not_zero_req_X_N_grant_N, err_North_credit_zero_or_not_req_X_N_not_grant_N => err_North_credit_zero_or_not_req_X_N_not_grant_N, err_East_req_X_E => err_East_req_X_E, err_East_credit_not_zero_req_X_E_grant_E => err_East_credit_not_zero_req_X_E_grant_E, err_East_credit_zero_or_not_req_X_E_not_grant_E => err_East_credit_zero_or_not_req_X_E_not_grant_E, err_West_req_X_W => err_West_req_X_W, err_West_credit_not_zero_req_X_W_grant_W => err_West_credit_not_zero_req_X_W_grant_W, err_West_credit_zero_or_not_req_X_W_not_grant_W => err_West_credit_zero_or_not_req_X_W_not_grant_W, err_South_req_X_S => err_South_req_X_S, err_South_credit_not_zero_req_X_S_grant_S => err_South_credit_not_zero_req_X_S_grant_S, err_South_credit_zero_or_not_req_X_S_not_grant_S => err_South_credit_zero_or_not_req_X_S_not_grant_S, err_Local_req_X_L => err_Local_req_X_L, err_Local_credit_not_zero_req_X_L_grant_L => err_Local_credit_not_zero_req_X_L_grant_L, err_Local_credit_zero_or_not_req_X_L_not_grant_L => err_Local_credit_zero_or_not_req_X_L_not_grant_L, err_IDLE_req_X_E => err_IDLE_req_X_E, err_North_req_X_E => err_North_req_X_E, err_East_req_X_W => err_East_req_X_W, err_West_req_X_S => err_West_req_X_S, err_South_req_X_L => err_South_req_X_L, err_Local_req_X_N => err_Local_req_X_N, err_IDLE_req_X_W => err_IDLE_req_X_W, err_North_req_X_W => err_North_req_X_W, err_East_req_X_S => err_East_req_X_S, err_West_req_X_L => err_West_req_X_L, err_South_req_X_N => err_South_req_X_N, err_Local_req_X_E => err_Local_req_X_E, err_IDLE_req_X_S => err_IDLE_req_X_S, err_North_req_X_S => err_North_req_X_S, err_East_req_X_L => err_East_req_X_L, err_West_req_X_N => err_West_req_X_N, err_South_req_X_E => err_South_req_X_E, err_Local_req_X_W => err_Local_req_X_W, err_IDLE_req_X_L => err_IDLE_req_X_L, err_North_req_X_L => err_North_req_X_L, err_East_req_X_N => err_East_req_X_N, err_West_req_X_E => err_West_req_X_E, err_South_req_X_W => err_South_req_X_W, err_Local_req_X_S => err_Local_req_X_S, err_state_in_onehot => err_state_in_onehot, err_no_request_grants => err_no_request_grants, err_request_IDLE_state => err_request_IDLE_state, err_request_IDLE_not_Grants => err_request_IDLE_not_Grants, err_state_North_Invalid_Grant => err_state_North_Invalid_Grant, err_state_East_Invalid_Grant => err_state_East_Invalid_Grant, err_state_West_Invalid_Grant => err_state_West_Invalid_Grant, err_state_South_Invalid_Grant => err_state_South_Invalid_Grant, err_state_Local_Invalid_Grant => err_state_Local_Invalid_Grant, err_Grants_onehot_or_all_zero => err_Grants_onehot_or_all_zero ); end behavior;
library ieee; use ieee.std_logic_1164.all; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; use IEEE.NUMERIC_STD.all; use IEEE.MATH_REAL.ALL; entity Arbiter_out_one_hot_pseudo_with_checkers_top is port ( credit: in std_logic_vector(1 downto 0); req_X_N, req_X_E, req_X_W, req_X_S, req_X_L :in std_logic; -- From LBDR modules state: in std_logic_vector (5 downto 0); -- 6 states for Arbiter_out's FSM grant_Y_N, grant_Y_E, grant_Y_W, grant_Y_S, grant_Y_L : out std_logic; -- Grants given to LBDR requests (encoded as one-hot) state_in: out std_logic_vector (5 downto 0); -- 6 states for Arbiter's FSM -- Checker outputs err_Requests_state_in_state_not_equal, err_IDLE_req_X_N, err_North_req_X_N, err_North_credit_not_zero_req_X_N_grant_N, err_North_credit_zero_or_not_req_X_N_not_grant_N, err_East_req_X_E, err_East_credit_not_zero_req_X_E_grant_E, err_East_credit_zero_or_not_req_X_E_not_grant_E, err_West_req_X_W, err_West_credit_not_zero_req_X_W_grant_W, err_West_credit_zero_or_not_req_X_W_not_grant_W, err_South_req_X_S, err_South_credit_not_zero_req_X_S_grant_S, err_South_credit_zero_or_not_req_X_S_not_grant_S, err_Local_req_X_L, err_Local_credit_not_zero_req_X_L_grant_L, err_Local_credit_zero_or_not_req_X_L_not_grant_L, err_IDLE_req_X_E, err_North_req_X_E, err_East_req_X_W, err_West_req_X_S, err_South_req_X_L, err_Local_req_X_N, err_IDLE_req_X_W, err_North_req_X_W, err_East_req_X_S, err_West_req_X_L, err_South_req_X_N, err_Local_req_X_E, err_IDLE_req_X_S, err_North_req_X_S, err_East_req_X_L, err_West_req_X_N, err_South_req_X_E, err_Local_req_X_W, err_IDLE_req_X_L, err_North_req_X_L, err_East_req_X_N, err_West_req_X_E, err_South_req_X_W, err_Local_req_X_S, err_state_in_onehot, err_no_request_grants, err_request_IDLE_state, err_request_IDLE_not_Grants, err_state_North_Invalid_Grant, err_state_East_Invalid_Grant, err_state_West_Invalid_Grant, err_state_South_Invalid_Grant, err_state_Local_Invalid_Grant, err_Grants_onehot_or_all_zero : out std_logic ); end Arbiter_out_one_hot_pseudo_with_checkers_top; architecture behavior of Arbiter_out_one_hot_pseudo_with_checkers_top is component arbiter_out_one_hot_pseudo is port ( credit: in std_logic_vector(1 downto 0); req_X_N, req_X_E, req_X_W, req_X_S, req_X_L :in std_logic; -- From LBDR modules state: in std_logic_vector (5 downto 0); -- 6 states for Arbiter_out's FSM grant_Y_N, grant_Y_E, grant_Y_W, grant_Y_S, grant_Y_L : out std_logic; -- Grants given to LBDR requests (encoded as one-hot) state_in: out std_logic_vector (5 downto 0) -- 6 states for Arbiter's FSM ); end component; component Arbiter_out_one_hot_pseudo_checkers is port ( credit: in std_logic_vector(1 downto 0); req_X_N, req_X_E, req_X_W, req_X_S, req_X_L :in std_logic; -- From LBDR modules state: in std_logic_vector (5 downto 0); -- 6 states for Arbiter_out's FSM grant_Y_N, grant_Y_E, grant_Y_W, grant_Y_S, grant_Y_L : in std_logic; -- Grants given to LBDR requests (encoded as one-hot) state_in: in std_logic_vector (5 downto 0); -- 6 states for Arbiter's FSM -- Checker outputs err_Requests_state_in_state_not_equal, err_IDLE_req_X_N, err_North_req_X_N, err_North_credit_not_zero_req_X_N_grant_N, err_North_credit_zero_or_not_req_X_N_not_grant_N, err_East_req_X_E, err_East_credit_not_zero_req_X_E_grant_E, err_East_credit_zero_or_not_req_X_E_not_grant_E, err_West_req_X_W, err_West_credit_not_zero_req_X_W_grant_W, err_West_credit_zero_or_not_req_X_W_not_grant_W, err_South_req_X_S, err_South_credit_not_zero_req_X_S_grant_S, err_South_credit_zero_or_not_req_X_S_not_grant_S, err_Local_req_X_L, err_Local_credit_not_zero_req_X_L_grant_L, err_Local_credit_zero_or_not_req_X_L_not_grant_L, err_IDLE_req_X_E, err_North_req_X_E, err_East_req_X_W, err_West_req_X_S, err_South_req_X_L, err_Local_req_X_N, err_IDLE_req_X_W, err_North_req_X_W, err_East_req_X_S, err_West_req_X_L, err_South_req_X_N, err_Local_req_X_E, err_IDLE_req_X_S, err_North_req_X_S, err_East_req_X_L, err_West_req_X_N, err_South_req_X_E, err_Local_req_X_W, err_IDLE_req_X_L, err_North_req_X_L, err_East_req_X_N, err_West_req_X_E, err_South_req_X_W, err_Local_req_X_S, err_state_in_onehot, err_no_request_grants, err_request_IDLE_state, err_request_IDLE_not_Grants, err_state_North_Invalid_Grant, err_state_East_Invalid_Grant, err_state_West_Invalid_Grant, err_state_South_Invalid_Grant, err_state_Local_Invalid_Grant, err_Grants_onehot_or_all_zero : out std_logic ); end component; signal grant_Y_N_sig, grant_Y_E_sig, grant_Y_W_sig, grant_Y_S_sig, grant_Y_L_sig: std_logic; signal state_in_sig: std_logic_vector (5 downto 0); begin grant_Y_N <= grant_Y_N_sig; grant_Y_E <= grant_Y_E_sig; grant_Y_W <= grant_Y_W_sig; grant_Y_S <= grant_Y_S_sig; grant_Y_L <= grant_Y_L_sig; state_in <= state_in_sig; -- Arbiter_out instantiation ARBITER_OUT_ONE_HOT: arbiter_out_one_hot_pseudo port map ( credit => credit, req_X_N => req_X_N, req_X_E => req_X_E, req_X_W => req_X_W, req_X_S => req_X_S, req_X_L => req_X_L, state => state, grant_Y_N => grant_Y_N_sig, grant_Y_E => grant_Y_E_sig, grant_Y_W => grant_Y_W_sig, grant_Y_S => grant_Y_S_sig, grant_Y_L => grant_Y_L_sig, state_in => state_in_sig ); -- Checkers instantiation CHECKERS: Arbiter_out_one_hot_pseudo_checkers port map ( credit => credit, req_X_N => req_X_N, req_X_E => req_X_E, req_X_W => req_X_W, req_X_S => req_X_S, req_X_L => req_X_L, state => state, grant_Y_N => grant_Y_N_sig, grant_Y_E => grant_Y_E_sig, grant_Y_W => grant_Y_W_sig, grant_Y_S => grant_Y_S_sig, grant_Y_L => grant_Y_L_sig, state_in => state_in_sig, -- Checker Outputs err_Requests_state_in_state_not_equal => err_Requests_state_in_state_not_equal, err_IDLE_req_X_N => err_IDLE_req_X_N, err_North_req_X_N => err_North_req_X_N, err_North_credit_not_zero_req_X_N_grant_N => err_North_credit_not_zero_req_X_N_grant_N, err_North_credit_zero_or_not_req_X_N_not_grant_N => err_North_credit_zero_or_not_req_X_N_not_grant_N, err_East_req_X_E => err_East_req_X_E, err_East_credit_not_zero_req_X_E_grant_E => err_East_credit_not_zero_req_X_E_grant_E, err_East_credit_zero_or_not_req_X_E_not_grant_E => err_East_credit_zero_or_not_req_X_E_not_grant_E, err_West_req_X_W => err_West_req_X_W, err_West_credit_not_zero_req_X_W_grant_W => err_West_credit_not_zero_req_X_W_grant_W, err_West_credit_zero_or_not_req_X_W_not_grant_W => err_West_credit_zero_or_not_req_X_W_not_grant_W, err_South_req_X_S => err_South_req_X_S, err_South_credit_not_zero_req_X_S_grant_S => err_South_credit_not_zero_req_X_S_grant_S, err_South_credit_zero_or_not_req_X_S_not_grant_S => err_South_credit_zero_or_not_req_X_S_not_grant_S, err_Local_req_X_L => err_Local_req_X_L, err_Local_credit_not_zero_req_X_L_grant_L => err_Local_credit_not_zero_req_X_L_grant_L, err_Local_credit_zero_or_not_req_X_L_not_grant_L => err_Local_credit_zero_or_not_req_X_L_not_grant_L, err_IDLE_req_X_E => err_IDLE_req_X_E, err_North_req_X_E => err_North_req_X_E, err_East_req_X_W => err_East_req_X_W, err_West_req_X_S => err_West_req_X_S, err_South_req_X_L => err_South_req_X_L, err_Local_req_X_N => err_Local_req_X_N, err_IDLE_req_X_W => err_IDLE_req_X_W, err_North_req_X_W => err_North_req_X_W, err_East_req_X_S => err_East_req_X_S, err_West_req_X_L => err_West_req_X_L, err_South_req_X_N => err_South_req_X_N, err_Local_req_X_E => err_Local_req_X_E, err_IDLE_req_X_S => err_IDLE_req_X_S, err_North_req_X_S => err_North_req_X_S, err_East_req_X_L => err_East_req_X_L, err_West_req_X_N => err_West_req_X_N, err_South_req_X_E => err_South_req_X_E, err_Local_req_X_W => err_Local_req_X_W, err_IDLE_req_X_L => err_IDLE_req_X_L, err_North_req_X_L => err_North_req_X_L, err_East_req_X_N => err_East_req_X_N, err_West_req_X_E => err_West_req_X_E, err_South_req_X_W => err_South_req_X_W, err_Local_req_X_S => err_Local_req_X_S, err_state_in_onehot => err_state_in_onehot, err_no_request_grants => err_no_request_grants, err_request_IDLE_state => err_request_IDLE_state, err_request_IDLE_not_Grants => err_request_IDLE_not_Grants, err_state_North_Invalid_Grant => err_state_North_Invalid_Grant, err_state_East_Invalid_Grant => err_state_East_Invalid_Grant, err_state_West_Invalid_Grant => err_state_West_Invalid_Grant, err_state_South_Invalid_Grant => err_state_South_Invalid_Grant, err_state_Local_Invalid_Grant => err_state_Local_Invalid_Grant, err_Grants_onehot_or_all_zero => err_Grants_onehot_or_all_zero ); end behavior;
library ieee; use ieee.std_logic_1164.all; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; use IEEE.NUMERIC_STD.all; use IEEE.MATH_REAL.ALL; entity Arbiter_out_one_hot_pseudo_with_checkers_top is port ( credit: in std_logic_vector(1 downto 0); req_X_N, req_X_E, req_X_W, req_X_S, req_X_L :in std_logic; -- From LBDR modules state: in std_logic_vector (5 downto 0); -- 6 states for Arbiter_out's FSM grant_Y_N, grant_Y_E, grant_Y_W, grant_Y_S, grant_Y_L : out std_logic; -- Grants given to LBDR requests (encoded as one-hot) state_in: out std_logic_vector (5 downto 0); -- 6 states for Arbiter's FSM -- Checker outputs err_Requests_state_in_state_not_equal, err_IDLE_req_X_N, err_North_req_X_N, err_North_credit_not_zero_req_X_N_grant_N, err_North_credit_zero_or_not_req_X_N_not_grant_N, err_East_req_X_E, err_East_credit_not_zero_req_X_E_grant_E, err_East_credit_zero_or_not_req_X_E_not_grant_E, err_West_req_X_W, err_West_credit_not_zero_req_X_W_grant_W, err_West_credit_zero_or_not_req_X_W_not_grant_W, err_South_req_X_S, err_South_credit_not_zero_req_X_S_grant_S, err_South_credit_zero_or_not_req_X_S_not_grant_S, err_Local_req_X_L, err_Local_credit_not_zero_req_X_L_grant_L, err_Local_credit_zero_or_not_req_X_L_not_grant_L, err_IDLE_req_X_E, err_North_req_X_E, err_East_req_X_W, err_West_req_X_S, err_South_req_X_L, err_Local_req_X_N, err_IDLE_req_X_W, err_North_req_X_W, err_East_req_X_S, err_West_req_X_L, err_South_req_X_N, err_Local_req_X_E, err_IDLE_req_X_S, err_North_req_X_S, err_East_req_X_L, err_West_req_X_N, err_South_req_X_E, err_Local_req_X_W, err_IDLE_req_X_L, err_North_req_X_L, err_East_req_X_N, err_West_req_X_E, err_South_req_X_W, err_Local_req_X_S, err_state_in_onehot, err_no_request_grants, err_request_IDLE_state, err_request_IDLE_not_Grants, err_state_North_Invalid_Grant, err_state_East_Invalid_Grant, err_state_West_Invalid_Grant, err_state_South_Invalid_Grant, err_state_Local_Invalid_Grant, err_Grants_onehot_or_all_zero : out std_logic ); end Arbiter_out_one_hot_pseudo_with_checkers_top; architecture behavior of Arbiter_out_one_hot_pseudo_with_checkers_top is component arbiter_out_one_hot_pseudo is port ( credit: in std_logic_vector(1 downto 0); req_X_N, req_X_E, req_X_W, req_X_S, req_X_L :in std_logic; -- From LBDR modules state: in std_logic_vector (5 downto 0); -- 6 states for Arbiter_out's FSM grant_Y_N, grant_Y_E, grant_Y_W, grant_Y_S, grant_Y_L : out std_logic; -- Grants given to LBDR requests (encoded as one-hot) state_in: out std_logic_vector (5 downto 0) -- 6 states for Arbiter's FSM ); end component; component Arbiter_out_one_hot_pseudo_checkers is port ( credit: in std_logic_vector(1 downto 0); req_X_N, req_X_E, req_X_W, req_X_S, req_X_L :in std_logic; -- From LBDR modules state: in std_logic_vector (5 downto 0); -- 6 states for Arbiter_out's FSM grant_Y_N, grant_Y_E, grant_Y_W, grant_Y_S, grant_Y_L : in std_logic; -- Grants given to LBDR requests (encoded as one-hot) state_in: in std_logic_vector (5 downto 0); -- 6 states for Arbiter's FSM -- Checker outputs err_Requests_state_in_state_not_equal, err_IDLE_req_X_N, err_North_req_X_N, err_North_credit_not_zero_req_X_N_grant_N, err_North_credit_zero_or_not_req_X_N_not_grant_N, err_East_req_X_E, err_East_credit_not_zero_req_X_E_grant_E, err_East_credit_zero_or_not_req_X_E_not_grant_E, err_West_req_X_W, err_West_credit_not_zero_req_X_W_grant_W, err_West_credit_zero_or_not_req_X_W_not_grant_W, err_South_req_X_S, err_South_credit_not_zero_req_X_S_grant_S, err_South_credit_zero_or_not_req_X_S_not_grant_S, err_Local_req_X_L, err_Local_credit_not_zero_req_X_L_grant_L, err_Local_credit_zero_or_not_req_X_L_not_grant_L, err_IDLE_req_X_E, err_North_req_X_E, err_East_req_X_W, err_West_req_X_S, err_South_req_X_L, err_Local_req_X_N, err_IDLE_req_X_W, err_North_req_X_W, err_East_req_X_S, err_West_req_X_L, err_South_req_X_N, err_Local_req_X_E, err_IDLE_req_X_S, err_North_req_X_S, err_East_req_X_L, err_West_req_X_N, err_South_req_X_E, err_Local_req_X_W, err_IDLE_req_X_L, err_North_req_X_L, err_East_req_X_N, err_West_req_X_E, err_South_req_X_W, err_Local_req_X_S, err_state_in_onehot, err_no_request_grants, err_request_IDLE_state, err_request_IDLE_not_Grants, err_state_North_Invalid_Grant, err_state_East_Invalid_Grant, err_state_West_Invalid_Grant, err_state_South_Invalid_Grant, err_state_Local_Invalid_Grant, err_Grants_onehot_or_all_zero : out std_logic ); end component; signal grant_Y_N_sig, grant_Y_E_sig, grant_Y_W_sig, grant_Y_S_sig, grant_Y_L_sig: std_logic; signal state_in_sig: std_logic_vector (5 downto 0); begin grant_Y_N <= grant_Y_N_sig; grant_Y_E <= grant_Y_E_sig; grant_Y_W <= grant_Y_W_sig; grant_Y_S <= grant_Y_S_sig; grant_Y_L <= grant_Y_L_sig; state_in <= state_in_sig; -- Arbiter_out instantiation ARBITER_OUT_ONE_HOT: arbiter_out_one_hot_pseudo port map ( credit => credit, req_X_N => req_X_N, req_X_E => req_X_E, req_X_W => req_X_W, req_X_S => req_X_S, req_X_L => req_X_L, state => state, grant_Y_N => grant_Y_N_sig, grant_Y_E => grant_Y_E_sig, grant_Y_W => grant_Y_W_sig, grant_Y_S => grant_Y_S_sig, grant_Y_L => grant_Y_L_sig, state_in => state_in_sig ); -- Checkers instantiation CHECKERS: Arbiter_out_one_hot_pseudo_checkers port map ( credit => credit, req_X_N => req_X_N, req_X_E => req_X_E, req_X_W => req_X_W, req_X_S => req_X_S, req_X_L => req_X_L, state => state, grant_Y_N => grant_Y_N_sig, grant_Y_E => grant_Y_E_sig, grant_Y_W => grant_Y_W_sig, grant_Y_S => grant_Y_S_sig, grant_Y_L => grant_Y_L_sig, state_in => state_in_sig, -- Checker Outputs err_Requests_state_in_state_not_equal => err_Requests_state_in_state_not_equal, err_IDLE_req_X_N => err_IDLE_req_X_N, err_North_req_X_N => err_North_req_X_N, err_North_credit_not_zero_req_X_N_grant_N => err_North_credit_not_zero_req_X_N_grant_N, err_North_credit_zero_or_not_req_X_N_not_grant_N => err_North_credit_zero_or_not_req_X_N_not_grant_N, err_East_req_X_E => err_East_req_X_E, err_East_credit_not_zero_req_X_E_grant_E => err_East_credit_not_zero_req_X_E_grant_E, err_East_credit_zero_or_not_req_X_E_not_grant_E => err_East_credit_zero_or_not_req_X_E_not_grant_E, err_West_req_X_W => err_West_req_X_W, err_West_credit_not_zero_req_X_W_grant_W => err_West_credit_not_zero_req_X_W_grant_W, err_West_credit_zero_or_not_req_X_W_not_grant_W => err_West_credit_zero_or_not_req_X_W_not_grant_W, err_South_req_X_S => err_South_req_X_S, err_South_credit_not_zero_req_X_S_grant_S => err_South_credit_not_zero_req_X_S_grant_S, err_South_credit_zero_or_not_req_X_S_not_grant_S => err_South_credit_zero_or_not_req_X_S_not_grant_S, err_Local_req_X_L => err_Local_req_X_L, err_Local_credit_not_zero_req_X_L_grant_L => err_Local_credit_not_zero_req_X_L_grant_L, err_Local_credit_zero_or_not_req_X_L_not_grant_L => err_Local_credit_zero_or_not_req_X_L_not_grant_L, err_IDLE_req_X_E => err_IDLE_req_X_E, err_North_req_X_E => err_North_req_X_E, err_East_req_X_W => err_East_req_X_W, err_West_req_X_S => err_West_req_X_S, err_South_req_X_L => err_South_req_X_L, err_Local_req_X_N => err_Local_req_X_N, err_IDLE_req_X_W => err_IDLE_req_X_W, err_North_req_X_W => err_North_req_X_W, err_East_req_X_S => err_East_req_X_S, err_West_req_X_L => err_West_req_X_L, err_South_req_X_N => err_South_req_X_N, err_Local_req_X_E => err_Local_req_X_E, err_IDLE_req_X_S => err_IDLE_req_X_S, err_North_req_X_S => err_North_req_X_S, err_East_req_X_L => err_East_req_X_L, err_West_req_X_N => err_West_req_X_N, err_South_req_X_E => err_South_req_X_E, err_Local_req_X_W => err_Local_req_X_W, err_IDLE_req_X_L => err_IDLE_req_X_L, err_North_req_X_L => err_North_req_X_L, err_East_req_X_N => err_East_req_X_N, err_West_req_X_E => err_West_req_X_E, err_South_req_X_W => err_South_req_X_W, err_Local_req_X_S => err_Local_req_X_S, err_state_in_onehot => err_state_in_onehot, err_no_request_grants => err_no_request_grants, err_request_IDLE_state => err_request_IDLE_state, err_request_IDLE_not_Grants => err_request_IDLE_not_Grants, err_state_North_Invalid_Grant => err_state_North_Invalid_Grant, err_state_East_Invalid_Grant => err_state_East_Invalid_Grant, err_state_West_Invalid_Grant => err_state_West_Invalid_Grant, err_state_South_Invalid_Grant => err_state_South_Invalid_Grant, err_state_Local_Invalid_Grant => err_state_Local_Invalid_Grant, err_Grants_onehot_or_all_zero => err_Grants_onehot_or_all_zero ); end behavior;
------------------------------------------------------------------------------ -- This file is a part of the GRLIB VHDL IP LIBRARY -- Copyright (C) 2003 - 2008, Gaisler Research -- Copyright (C) 2008 - 2014, Aeroflex Gaisler -- Copyright (C) 2015 - 2016, Cobham Gaisler -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation; either version 2 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ------------------------------------------------------------------------------- -- Entity: pwm_check -- File: pwm_check.vhd -- Author: Jonas Ekergarn - Aeroflex Gaisler (parts are copied from -- grtestmod.vhd) -- Description: Simulation unit that examines the PWMs generated by the GRPWM -- when software/leon3/grpwm.c is run. Note that pwm_check -- requires that the system includes an I/O memory interface -- and that grtestmod.vhd is instantiated in the system testbench. -- If the subtests in software/leon3/grpwm.c is modified then the -- configuration below and the procedure verify_subtest must be -- changed as well. ------------------------------------------------------------------------------- -- pragma translate_off library ieee, grlib, gaisler; use ieee.std_logic_1164.all; use std.textio.all; use grlib.stdlib.all; use grlib.stdio.all; use grlib.devices.all; use gaisler.sim.all; entity pwm_check is port ( clk : in std_ulogic; address : in std_logic_vector(21 downto 2); data : inout std_logic_vector(31 downto 0); iosn : in std_ulogic; oen : in std_ulogic; writen : in std_ulogic; pwm : in std_logic_vector(15 downto 0) ); end; architecture sim of pwm_check is signal ior, iow : std_ulogic; signal addr : std_logic_vector(21 downto 2); signal ldata : std_logic_vector(31 downto 0); signal pwmh : std_logic_vector(1 downto 0); signal pwmh0 : integer := 0; signal pwmh1 : integer := 1; ----------------------------------------------------------------------------- -- Configuration of the PWMs that should be verified ----------------------------------------------------------------------------- -- Number of "useful" words in the waveform ram. The core will read address -- 0 - (STX_WRAMSIZE-1). constant ST3_WRAMSIZE : integer := 32; constant ST4_WRAMSIZE : integer := 32; -- Number of periods to verify for each subtest. Verification of the very -- first period after PWM is started is skipped because there is no way of -- knowing exactly when it starts. It is assumed that the first period is -- correct. If it isn't then the verification of the other periods will fail -- as well. constant ST1_NPER : integer := 10; constant ST2_NPER : integer := 10; constant ST3_NPER : integer := 2*ST3_WRAMSIZE; constant ST4_NPER : integer := 2*ST4_WRAMSIZE; type st1_vector is array (0 to ST1_NPER) of integer; type st2_vector is array (0 to ST2_NPER) of integer; type st3_vector is array (0 to ST3_NPER) of integer; type st4_vector is array (0 to ST4_NPER) of integer; type st1_array is array (0 to 7) of st1_vector; type st2_array is array (0 to 7) of st2_vector; type st3_array is array (0 to 7) of st3_vector; type st4_array is array (0 to 7) of st4_vector; type wram_type is array (0 to 8191) of integer; -- Polarity for each PWM in the different subtests constant ST1_POL : std_logic_vector(7 downto 0) := (others=>'1'); constant ST2_POL : std_logic_vector(7 downto 0) := (others=>'1'); constant ST3_POL : std_logic_vector(7 downto 0) := (others=>'1'); constant ST4_POL : std_logic_vector(7 downto 0) := (others=>'1'); -- Period, compare, and dead band values for each pwm period in subtest 1, -- in clock cycles constant ST1_PER : st1_array := ( 0 => (others=>200), 1 => (others=>201), 2 => (others=>202), 3 => (others=>203), 4 => (others=>204), 5 => (others=>205), 6 => (others=>206), 7 => (others=>207)); constant ST1_COMPA : st1_array := ( 0 => (others=>100), 1 => (others=>101), 2 => (others=>102), 3 => (others=>103), 4 => (others=>104), 5 => (others=>105), 6 => (others=>106), 7 => (others=>107)); constant ST1_DB : st1_array := ( 0 => (others=>10), 1 => (others=>11), 2 => (others=>12), 3 => (others=>13), 4 => (others=>14), 5 => (others=>15), 6 => (others=>16), 7 => (others=>17)); -- Period, compare, and dead band values for each pwm period in subtest 2, -- in clock cycles constant ST2_PER : st2_array := ( 0 => (others=>200), 1 => (others=>202), 2 => (others=>204), 3 => (others=>206), 4 => (others=>208), 5 => (others=>210), 6 => (others=>212), 7 => (others=>214)); constant ST2_COMPA : st2_array := ( 0 => (others=>50), 1 => (others=>51), 2 => (others=>52), 3 => (others=>53), 4 => (others=>54), 5 => (others=>55), 6 => (others=>56), 7 => (others=>57)); constant ST2_DB : st2_array := ( 0 => (others=>10), 1 => (others=>11), 2 => (others=>12), 3 => (others=>13), 4 => (others=>14), 5 => (others=>15), 6 => (others=>16), 7 => (others=>17)); -- Period, compare, and dead band values for each pwm period in subtest 3, -- in clock cycles. (Only the PWM with the highest index is active during -- subtest 3, but since we here don't know how many PWM outputs there are, -- all get the same value) constant ST3_WRAM : wram_type := ( 32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55, 56,57,58,59,60,61,62,63, others=>0); constant ST3_PER : st3_array := ( 0 => (others=>200), 1 => (others=>200), 2 => (others=>200), 3 => (others=>200), 4 => (others=>200), 5 => (others=>200), 6 => (others=>200), 7 => (others=>200)); constant ST3_DB : st3_array := ( 0 => (others=>10), 1 => (others=>10), 2 => (others=>10), 3 => (others=>10), 4 => (others=>10), 5 => (others=>10), 6 => (others=>10), 7 => (others=>10)); -- Period, compare, and dead band values for each pwm period in subtest 4, -- in clock cycles. (Only the PWM with the highest index is active during -- subtest 4, but since we here don't know how many PWM outputs there are, -- all get the same value) constant ST4_WRAM : wram_type := ( 32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55, 56,57,58,59,60,61,62,63, others=>0); constant ST4_PER : st4_array := ( 0 => (others=>200), 1 => (others=>200), 2 => (others=>200), 3 => (others=>200), 4 => (others=>200), 5 => (others=>200), 6 => (others=>200), 7 => (others=>200)); constant ST4_DB : st4_array := ( 0 => (others=>10), 1 => (others=>10), 2 => (others=>10), 3 => (others=>10), 4 => (others=>10), 5 => (others=>10), 6 => (others=>10), 7 => (others=>10)); type pwm_int_array is array (0 to 7) of integer; type pwm_bool_array is array (0 to 7) of boolean; procedure verify_subtest ( constant subtest : in integer; constant npwm : in integer range 1 to 8; signal clk : in std_ulogic; signal pwm : in std_logic_vector(15 downto 0); signal pwmh : in std_logic_vector(1 downto 0)) is variable cnt : pwm_int_array := (others=>0); variable cnt2 : pwm_int_array := (others=>0); variable pcnt : pwm_int_array := (others=>0); variable parta : pwm_bool_array := (others=>false); variable partb : pwm_bool_array := (others=>false); variable partc : pwm_bool_array := (others=>false); variable partd : pwm_bool_array := (others=>false); variable done : pwm_bool_array := (others=>false); variable ST2_COMPB : st2_array; variable ST4_COMPB : st4_array; variable addr : integer; variable il, ih : integer; begin case subtest is when 1 => ------------------------------------------------------------------------- -- Subtest 1: npwm assymmetric PWM pairs are generated, all with -- different periods, compare values, and dead band values. Verify -- periods, compare matches, and dead band times. ------------------------------------------------------------------------- for i in 0 to 7 loop if npwm < i+1 then done(i) := true; end if; -- no dead band time is inserted in the very first pwm period after -- startup parta(i) := true; end loop; while not(done(0) and done(1) and done(2) and done(3) and done(4) and done(5) and done(6) and done(7)) loop wait until rising_edge(clk); for i in 0 to npwm-1 loop cnt(i) := cnt(i)+1; end loop; wait until (pwm'event or falling_edge(clk)); if clk = '1' then for i in 0 to npwm-1 loop if (not done(i)) then if (not parta(i)) then -- pwm is in time period between period start and when paired -- output goes active (after dead band time) if pwm(2*i+1) = ST1_POL(i) then parta(i) := true; if pcnt(i) /= 0 then if cnt(i) /= ST1_DB(i)(pcnt(i)) then Print("ERROR: Wrong dead band (1) detected for pwm " & tost(i+1) & " in period = " & tost(pcnt(i)) & ". Is " & tost(cnt(i)) & ", should be " & tost(ST1_DB(i)(pcnt(i)))); end if; end if; end if; elsif (not partb(i)) then -- pwm is in time period between paired output going active and -- paired output going inactive if pwm(2*i+1) = (not ST1_POL(i)) then partb(i) := true; if pcnt(i) /= 0 then if cnt(i) /= ST1_COMPA(i)(pcnt(i)) then Print("ERROR: Wrong compare match detected for pwm " & tost(i+1) & " in period = " & tost(pcnt(i)) & ". Is " & tost(cnt(i)) & ", should be " & tost(ST1_COMPA(i)(pcnt(i)))); end if; if ST1_DB(i)(pcnt(i)) = 0 then partc(i) := true; if pwm(2*i) /= ST1_POL(i) then Print("ERROR: Both outputs did not switch simultaneously" & " even though dead band time was zero"); end if; end if; end if; end if; elsif (not partc(i)) then -- pwm is in time period between paired output going inactive and -- output going active (after dead band time) if pwm(2*i) = ST1_POL(i) then partc(i) := true; if pcnt(i) /= 0 then if cnt(i) /= (ST1_COMPA(i)(pcnt(i)) + ST1_DB(i)(pcnt(i))) then Print("ERROR: Wrong dead band (2) time detected for pwm " & tost(i+1) & " in period = " & tost(pcnt(i)) & ". Is " & tost(cnt(i)-ST1_COMPA(i)(pcnt(i))) & ", should be " & tost(ST1_DB(i)(pcnt(i)))); end if; end if; end if; else -- pwm is in time period between output going active and period end -- (output going inactive) if pwm(2*i) = (not ST1_POL(i)) then parta(i) := false; partb(i) := false; partc(i) := false; if pcnt(i) /= 0 then if cnt(i) /= ST1_PER(i)(pcnt(i)) then Print("ERROR: Wrong PWM period detected for pwm " & tost(i+1) & " in period = " & tost(pcnt(i)) & ". Is " & tost(cnt(i)) & ", should be " & tost(ST1_PER(i)(pcnt(i)))); end if; end if; if pcnt(i) = ST1_NPER then done(i) := true; end if; pcnt(i) := pcnt(i)+1; cnt(i) := 0; if pcnt(i) < ST1_NPER then if ST1_DB(i)(pcnt(i)) = 0 then parta(i) := true; if pwm(2*i+1) /= ST1_POL(i) then Print("ERROR: Both outputs did not switch simultaneously" & " even though dead band time was zero"); end if; end if; end if; end if; end if; end if; end loop; end if; end loop; when 2 => ------------------------------------------------------------------------- -- Subtest 2: npwm symmetric PWM pairs are generated, all with -- different periods, compare values, and dead band values. Verify -- periods, compare matches, and dead band times ------------------------------------------------------------------------- for i in 0 to 7 loop for j in 0 to ST2_NPER loop ST2_COMPB(i)(j) := ST2_PER(i)(j)-ST2_COMPA(i)(j); end loop; if npwm < i+1 then done(i) := true; end if; end loop; while not(done(0) and done(1) and done(2) and done(3) and done(4) and done(5) and done(6) and done(7)) loop wait until rising_edge(clk); for i in 0 to npwm-1 loop cnt(i) := cnt(i)+1; cnt2(i) := cnt2(i)+1; end loop; wait until (pwm'event or falling_edge(clk)); if clk = '1' then for i in 0 to npwm-1 loop if (not done(i)) then if (not parta(i)) then -- pwm is in time period between period start and when paired -- output goes inactive if pwm(2*i+1) = (not ST2_POL(i)) then parta(i) := true; if pcnt(i) /= 0 then if cnt(i) /= ST2_COMPA(i)(pcnt(i)) then Print("ERROR: Wrong compare match 1 detected for pwm " & tost(i+1) & " in period = " & tost(pcnt(i)) & ". Is " & tost(cnt(i)) & ", should be " & tost(ST2_COMPA(i)(pcnt(i)))); end if; if ST2_DB(i)(pcnt(i)) = 0 then partb(i) := true; if pwm(2*i) /= ST2_POL(i) then Print("ERROR: Both outputs did not switch simultaneously" & " even though dead band time was zero"); end if; end if; end if; end if; elsif (not partb(i)) then -- pwm is in time period between paired output going inactive and -- output going active (after dead band time) if pwm(2*i) = ST2_POL(i) then partb(i) := true; if pcnt(i) /= 0 then if cnt(i) /= (ST2_COMPA(i)(pcnt(i)) + ST2_DB(i)(pcnt(i))) then Print("ERROR: Wrong dead band (1) time detected for pwm " & tost(i+1) & " in period = " & tost(pcnt(i)) & ". Is " & tost(cnt(i)-ST2_COMPA(i)(pcnt(i))) & ", should be " & tost(ST2_DB(i)(pcnt(i)))); end if; end if; end if; elsif (not partc(i)) then -- pwm is in time period between output going active and -- output going inactive if pwm(2*i) = (not ST2_POL(i)) then partc(i) := true; if pcnt(i) /= 0 then if cnt(i) /= ST2_COMPB(i)(pcnt(i)) then Print("ERROR: Wrong compare match (2) detected for pwm " & tost(i+1) & " in period = " & tost(pcnt(i)) & ". Is " & tost(cnt(i)) & ", should be " & tost(ST2_COMPB(i)(pcnt(i)))); end if; if ST2_DB(i)(pcnt(i)) = 0 then partd(i) := true; if pwm(2*i+1) /= ST2_POL(i) then Print("ERROR: Both outputs did not switch simultaneously" & " even though dead band time was zero"); end if; end if; else if ST2_DB(i)(0) = 0 then cnt2(i) := 0; partd(i) := true; end if; end if; end if; elsif (not partd(i)) then -- pwm is in time period between output going inactive and -- paired output going active (after dead band time) if pwm(2*i+1) = ST2_POL(i) then partd(i) := true; if pcnt(i) /= 0 then if cnt(i) /= (ST2_COMPB(i)(pcnt(i)) + ST2_DB(i)(pcnt(i))) then Print("ERROR: Wrong dead band (2) time detected for pwm " & tost(i+1) & " in period = " & tost(pcnt(i)) & ". Is " & tost(cnt(i)-ST2_COMPB(i)(pcnt(i))) & ", should be " & tost(ST2_DB(i)(pcnt(i)))); end if; else cnt2(i) := 0; end if; end if; end if; end if; end loop; end if; for i in 0 to npwm-1 loop if (not done(i)) then if partd(i) then -- pwm is in time period between paired output going active -- and period end if pcnt(i) /= 0 then if cnt(i) = ST2_PER(i)(pcnt(i)) then parta(i) := false; partb(i) := false; partc(i) := false; partd(i) := false; pcnt(i) := pcnt(i)+1; cnt(i) := 0; end if; else if (cnt2(i)+ST2_COMPB(i)(0)+ST2_DB(i)(0)) = ST2_PER(i)(0) then parta(i) := false; partb(i) := false; partc(i) := false; partd(i) := false; pcnt(i) := pcnt(i)+1; cnt(i) := 0; end if; end if; if pcnt(i) = ST2_NPER then done(i) := true; end if; end if; end if; end loop; end loop; when 3 => ------------------------------------------------------------------------- -- Subtest 3: One asymmetric waveform PWM is generated. Verify period, -- compare matches and dead band time ------------------------------------------------------------------------- parta(npwm-1) := true; while not done(npwm-1) loop wait until rising_edge(clk); cnt(npwm-1) := cnt(npwm-1)+1; wait until (pwmh'event or falling_edge(clk)); if clk = '1' then addr := pcnt(npwm-1) - (pcnt(npwm-1)/ST3_WRAMSIZE)*ST3_WRAMSIZE; if (not parta(npwm-1)) then -- pwm is in time period between period start and when paired -- output goes active (after dead band time) if pwmh(1) = ST3_POL(npwm-1) then parta(npwm-1) := true; if pcnt(npwm-1) /= 0 then if cnt(npwm-1) /= ST3_DB(npwm-1)(pcnt(npwm-1)) then Print("ERROR: Wrong dead band (1) detected for pwm " & tost((npwm-1)+1) & " in period = " & tost(pcnt(npwm-1)) & ". Is " & tost(cnt(npwm-1)) & ", should be " & tost(ST3_DB(npwm-1)(pcnt(npwm-1)))); end if; end if; end if; elsif (not partb(npwm-1)) then -- pwm is in time period between paired output going active and -- paired output going inactive if pwmh(1) = (not ST3_POL(npwm-1)) then partb(npwm-1) := true; if pcnt(npwm-1) /= 0 then if cnt(npwm-1) /= ST3_WRAM(addr) then Print("ERROR: Wrong compare match detected for pwm " & tost((npwm-1)+1) & " in period = " & tost(pcnt(npwm-1)) & ". Is " & tost(cnt(npwm-1)) & ", should be " & tost(ST3_WRAM(addr))); end if; if ST3_DB(npwm-1)(pcnt(npwm-1)) = 0 then partc(npwm-1) := true; if pwmh(0) /= ST3_POL(npwm-1) then Print("ERROR: Both outputs did not switch simultaneously" & " even though dead band time was zero"); end if; end if; end if; end if; elsif (not partc(npwm-1)) then -- pwm is in time period between paired output going inactive and -- output going active (after dead band time) if pwmh(0) = ST3_POL(npwm-1) then partc(npwm-1) := true; if pcnt(npwm-1) /= 0 then if cnt(npwm-1) /= (ST3_WRAM(addr) + ST3_DB(npwm-1)(pcnt(npwm-1))) then Print("ERROR: Wrong dead band (2) time detected for pwm " & tost((npwm-1)+1) & " in period = " & tost(pcnt(npwm-1)) & ". Is " & tost(cnt(npwm-1)-ST3_WRAM(addr)) & ", should be " & tost(ST3_DB(npwm-1)(pcnt(npwm-1)))); end if; end if; end if; else -- pwm is in time period between output going active and period end -- (output going inactive) if pwmh(0) = (not ST3_POL(npwm-1)) then parta(npwm-1) := false; partb(npwm-1) := false; partc(npwm-1) := false; if pcnt(npwm-1) /= 0 then if cnt(npwm-1) /= ST3_PER(npwm-1)(pcnt(npwm-1)) then Print("ERROR: Wrong PWM period detected for pwm " & tost((npwm-1)+1) & " in period = " & tost(pcnt(npwm-1)) & ". Is " & tost(cnt(npwm-1)) & ", should be " & tost(ST3_PER(npwm-1)(pcnt(npwm-1)))); end if; end if; if pcnt(npwm-1) = ST3_NPER then done(npwm-1) := true; end if; pcnt(npwm-1) := pcnt(npwm-1)+1; cnt(npwm-1) := 0; if pcnt(npwm-1) < ST3_NPER then if ST3_DB(npwm-1)(pcnt(npwm-1)) = 0 then parta(npwm-1) := true; if pwmh(1) /= ST3_POL(npwm-1) then Print("ERROR: Both outputs did not switch simultaneously" & " even though dead band time was zero"); end if; end if; end if; end if; end if; end if; end loop; when 4 => ------------------------------------------------------------------------- -- Subtest 4: One symmetric waveform PWM is generated. Verify period, -- compare matches, and dead band time ------------------------------------------------------------------------- for j in 0 to ST4_NPER loop addr := j - (j/ST4_WRAMSIZE)*ST4_WRAMSIZE; ST4_COMPB(npwm-1)(j) := ST4_PER(npwm-1)(j)-ST4_WRAM(addr); end loop; while not done(npwm-1) loop wait until rising_edge(clk); cnt(npwm-1) := cnt(npwm-1)+1; cnt2(npwm-1) := cnt2(npwm-1)+1; wait until (pwmh'event or falling_edge(clk)); if clk = '1' then addr := pcnt(npwm-1) - (pcnt(npwm-1)/ST4_WRAMSIZE)*ST4_WRAMSIZE; if (not parta(npwm-1)) then -- pwm is in time period between period start and when paired -- output goes inactive if pwmh(1) = (not ST4_POL(npwm-1)) then parta(npwm-1) := true; if pcnt(npwm-1) /= 0 then if cnt(npwm-1) /= ST4_WRAM(addr) then Print("ERROR: Wrong compare match 1 detected for pwm " & tost(npwm-1+1) & " in period = " & tost(pcnt(npwm-1)) & ". Is " & tost(cnt(npwm-1)) & ", should be " & tost(ST4_WRAM(addr))); end if; if ST4_DB(npwm-1)(pcnt(npwm-1)) = 0 then partb(npwm-1) := true; if pwmh(0) /= ST4_POL(npwm-1) then Print("ERROR: Both outputs did not switch simultaneously" & " even though dead band time was zero"); end if; end if; end if; end if; elsif (not partb(npwm-1)) then -- pwm is in time period between paired output going inactive and -- output going active (after dead band time) if pwmh(0) = ST4_POL(npwm-1) then partb(npwm-1) := true; if pcnt(npwm-1) /= 0 then if cnt(npwm-1) /= (ST4_WRAM(addr) + ST4_DB(npwm-1)(pcnt(npwm-1))) then Print("ERROR: Wrong dead band (1) time detected for pwm " & tost(npwm-1+1) & " in period = " & tost(pcnt(npwm-1)) & ". Is " & tost(cnt(npwm-1)-ST4_WRAM(addr)) & ", should be " & tost(ST4_DB(npwm-1)(pcnt(npwm-1)))); end if; end if; end if; elsif (not partc(npwm-1)) then -- pwm is in time period between output going active and -- output going inactive if pwmh(0) = (not ST4_POL(npwm-1)) then partc(npwm-1) := true; if pcnt(npwm-1) /= 0 then if cnt(npwm-1) /= ST4_COMPB(npwm-1)(pcnt(npwm-1)) then Print("ERROR: Wrong compare match (2) detected for pwm " & tost(npwm-1+1) & " in period = " & tost(pcnt(npwm-1)) & ". Is " & tost(cnt(npwm-1)) & ", should be " & tost(ST4_COMPB(npwm-1)(pcnt(npwm-1)))); end if; if ST4_DB(npwm-1)(pcnt(npwm-1)) = 0 then partd(npwm-1) := true; if pwmh(1) /= ST4_POL(npwm-1) then Print("ERROR: Both outputs did not switch simultaneously" & " even though dead band time was zero"); end if; end if; else if ST4_DB(npwm-1)(0) = 0 then cnt2(npwm-1) := 0; partd(npwm-1) := true; end if; end if; end if; elsif (not partd(npwm-1)) then -- pwm is in time period between output going inactive and -- paired output going active (after dead band time) if pwmh(1) = ST4_POL(npwm-1) then partd(npwm-1) := true; if pcnt(npwm-1) /= 0 then if cnt(npwm-1) /= (ST4_COMPB(npwm-1)(pcnt(npwm-1)) + ST4_DB(npwm-1)(pcnt(npwm-1))) then Print("ERROR: Wrong dead band (2) time detected for pwm " & tost(npwm-1+1) & " in period = " & tost(pcnt(npwm-1)) & ". Is " & tost(cnt(npwm-1)-ST4_COMPB(npwm-1)(pcnt(npwm-1))) & ", should be " & tost(ST4_DB(npwm-1)(pcnt(npwm-1)))); end if; else cnt2(npwm-1) := 0; end if; end if; end if; end if; if partd(npwm-1) then -- pwm is in time period between paired output going active -- and period end if pcnt(npwm-1) /= 0 then if cnt(npwm-1) = ST4_PER(npwm-1)(pcnt(npwm-1)) then parta(npwm-1) := false; partb(npwm-1) := false; partc(npwm-1) := false; partd(npwm-1) := false; pcnt(npwm-1) := pcnt(npwm-1)+1; cnt(npwm-1) := 0; end if; else if (cnt2(npwm-1)+ST4_COMPB(npwm-1)(0)+ST4_DB(npwm-1)(0)) = ST4_PER(npwm-1)(0) then parta(npwm-1) := false; partb(npwm-1) := false; partc(npwm-1) := false; partd(npwm-1) := false; pcnt(npwm-1) := pcnt(npwm-1)+1; cnt(npwm-1) := 0; end if; end if; if pcnt(npwm-1) = ST4_NPER then done(npwm-1) := true; end if; end if; end loop; when others => null; end case; end verify_subtest; begin ior <= iosn or oen; iow <= iosn or writen; data <= (others => 'Z'); addr <= to_X01(address) when rising_edge(clk) else addr; ldata <= to_X01(data) when rising_edge(clk) else ldata; pwmh <= pwm(pwmh1 downto pwmh0); process variable vid, did, subtest : integer; variable npwm : integer := 8; begin pwmh0 <= 2*(npwm-1); pwmh1 <= 2*(npwm-1)+1; wait until ((rising_edge(ior) nor falling_edge(ior)) and rising_edge(iow)); case addr(7 downto 2) is when "000000" => vid := conv_integer(ldata(31 downto 24)); did := conv_integer(ldata(23 downto 12)); when "000010" => subtest := conv_integer(ldata(7 downto 0)); if vid = VENDOR_GAISLER and did = GAISLER_PWM then if subtest > 246 then -- set npwm npwm := 255 - subtest; else verify_subtest(subtest, npwm, clk, pwm, pwmh); end if; end if; when others => end case; end process; end sim; -- pragma translate_on
LIBRARY ieee; USE ieee.std_logic_1164.ALL; ENTITY tb_MIPS IS END tb_MIPS; ARCHITECTURE behavior OF tb_MIPS IS --Inputs SIGNAL tb_clk : std_logic := '0'; SIGNAL tb_reset : std_logic := '0'; -- Clock period definitions CONSTANT clk_period : TIME := 20 ns; BEGIN -- Instantiate the Unit Under Test (UUT) U1_Test : ENTITY work.MIPS(Behavioral) PORT MAP( clk => tb_clk, reset => tb_reset ); clk_process : PROCESS BEGIN tb_clk <= '0'; WAIT FOR clk_period/2; tb_clk <= '1'; WAIT FOR clk_period/2; END PROCESS; -- Stimulus process stim_proc : PROCESS BEGIN WAIT FOR 400 ns; ASSERT false REPORT "END" SEVERITY failure; END PROCESS; END;
---------------------------------------------------------------------------------- -- Company: ITESM -- Engineer: -- -- Create Date: 11:15:42 09/02/2015 -- Design Name: -- Module Name: SN74LS138 - Behavioral -- Project Name: -- Target Devices: -- Tool versions: -- Description: Implmentation of a TTL -- 74LS138 Decoder Chip -- Dependencies: -- -- Revision: 1.0 -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; entity SN74LS138 is Port ( -- Select Lines C : in STD_LOGIC; B : in STD_LOGIC; A : in STD_LOGIC; -- Enable Lines G2A : in STD_LOGIC; G2B : in STD_LOGIC; G1 : in STD_LOGIC; -- Output Lines Y : out STD_LOGIC_VECTOR (0 to 7)); end SN74LS138; architecture Behavioral of SN74LS138 is -- Embedded signals declaration signal G2 : STD_LOGIC; signal Sel : STD_LOGIC_VECTOR (2 downto 0); signal Aux : STD_LOGIC_VECTOR (7 downto 0); begin -- Group G2A and G2B to make G2 according to the -- Data Sheet G2 <= G2A or G2B; -- Group Select line C,B and A for simpler handling Sel <= C & B & A; Decoder: process(Sel) begin --YYYYYYYY case (Sel) is --01234567 when "000" => Aux <= "01111111"; when "001" => Aux <= "10111111"; when "010" => Aux <= "11011111"; when "011" => Aux <= "11101111"; when "100" => Aux <= "11110111"; when "101" => Aux <= "11111011"; when "110" => Aux <= "11111101"; when others => Aux <= "11111110"; end case; end process Decoder; Y <= Aux when (G1 = '1' and G2 = '0') else "11111111"; end Behavioral;
------------------------------------------------------------------------------- -- ____ _____ __ __ ________ _______ -- | | \ \ | \ | | |__ __| | __ \ -- |____| \____\ | \| | | | | |__> ) -- ____ ____ | |\ \ | | | | __ < -- | | | | | | \ | | | | |__> ) -- |____| |____| |__| \__| |__| |_______/ -- -- NTB University of Applied Sciences in Technology -- -- Campus Buchs - Werdenbergstrasse 4 - 9471 Buchs - Switzerland -- Campus Waldau - Schoenauweg 4 - 9013 St. Gallen - Switzerland -- -- Web http://www.ntb.ch Tel. +41 81 755 33 11 -- ------------------------------------------------------------------------------- -- Copyright 2013 NTB University of Applied Sciences in Technology ------------------------------------------------------------------------------- -- Licensed under the Apache License, Version 2.0 (the "License"); -- you may not use this file except in compliance with the License. -- You may obtain a copy of the License at -- -- http://www.apache.org/licenses/LICENSE-2.0 -- -- Unless required by applicable law or agreed to in writing, software -- distributed under the License is distributed on an "AS IS" BASIS, -- WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -- See the License for the specific language governing permissions and -- limitations under the License. ------------------------------------------------------------------------------- LIBRARY IEEE; USE IEEE.std_logic_1164.ALL; USE IEEE.numeric_std.ALL; USE work.itg3200_pkg.ALL; ENTITY itg3200_rtl_tb IS END ENTITY itg3200_rtl_tb; ARCHITECTURE sim OF itg3200_rtl_tb IS --Sumulation Parameter: CONSTANT main_period : TIME := 30.3 ns; -- 250MHz CONSTANT spi_period : TIME := 10 us; -- 4MHz SIGNAL sl_clk : STD_LOGIC := '0'; SIGNAL sl_reset_n : STD_LOGIC := '0'; SIGNAL scl : STD_LOGIC; SIGNAL sda : STD_LOGIC; SIGNAL data : t_data_regs; BEGIN --create component my_unit_under_test : itg3200 GENERIC MAP( BASE_CLK => 250000000 ) PORT MAP( isl_clk => sl_clk, isl_reset_n => sl_reset_n, osl_scl => scl, oisl_sda => sda, ot_data => data ); sl_clk <= NOT sl_clk after main_period/2; tb_main_proc : PROCESS BEGIN sl_reset_n <= '0'; WAIT FOR 10*main_period; sl_reset_n <= '1'; WAIT FOR 400*spi_period; ASSERT false REPORT "End of simulation" SEVERITY FAILURE; END PROCESS tb_main_proc; END ARCHITECTURE sim;
--======================================================================================================================== -- Copyright (c) 2017 by Bitvis AS. All rights reserved. -- You should have received a copy of the license file containing the MIT License (see LICENSE.TXT), if not, -- contact Bitvis AS <[email protected]>. -- -- UVVM AND ANY PART THEREOF ARE PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE -- WARRANTIES OF MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE AUTHORS -- OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR -- OTHERWISE, ARISING FROM, OUT OF OR IN CONNECTION WITH UVVM OR THE USE OR OTHER DEALINGS IN UVVM. --======================================================================================================================== ------------------------------------------------------------------------------------------ -- Description : See library quick reference (under 'doc') and README-file(s) ------------------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library uvvm_util; context uvvm_util.uvvm_util_context; library uvvm_vvc_framework; use uvvm_vvc_framework.ti_vvc_framework_support_pkg.all; use work.axistream_bfm_pkg.all; --======================================================================================================================== --======================================================================================================================== package vvc_cmd_pkg is --=============================================================================================== -- t_operation -- - Bitvis defined BFM operations --=============================================================================================== type t_operation is ( -- UVVM common NO_OPERATION, AWAIT_COMPLETION, AWAIT_ANY_COMPLETION, ENABLE_LOG_MSG, DISABLE_LOG_MSG, FLUSH_COMMAND_QUEUE, FETCH_RESULT, INSERT_DELAY, TERMINATE_CURRENT_COMMAND, -- VVC local TRANSMIT, RECEIVE, EXPECT ); -- Constants for the maximum sizes to use in this VVC. -- You can create VVCs with smaller sizes than these constants, but not larger. -- Create constants for the maximum sizes to use in this VVC. constant C_VVC_CMD_DATA_MAX_BYTES : natural := 16*1024; constant C_VVC_CMD_MAX_WORD_LENGTH : natural := 32; -- 4 bytes constant C_VVC_CMD_DATA_MAX_WORDS : natural := C_VVC_CMD_DATA_MAX_BYTES; constant C_VVC_CMD_STRING_MAX_LENGTH : natural := 300; --=============================================================================================== -- t_vvc_cmd_record -- - Record type used for communication with the VVC --=============================================================================================== type t_vvc_cmd_record is record -- VVC dedicated fields data_array : t_byte_array(0 to C_VVC_CMD_DATA_MAX_BYTES-1); data_array_length : integer range -10 to C_VVC_CMD_DATA_MAX_BYTES; -- Some negative numbers have special meaning in axistreamStartTransmits() -- If you need support for more bits per data byte, replace this with a wider type: user_array : t_user_array(0 to C_VVC_CMD_DATA_MAX_WORDS-1); user_array_length : natural range 1 to C_VVC_CMD_DATA_MAX_WORDS; -- One user_array entry per word (clock cycle) strb_array : t_strb_array(0 to C_VVC_CMD_DATA_MAX_WORDS-1); strb_array_length : natural range 1 to C_VVC_CMD_DATA_MAX_WORDS; -- One strb_array entry per word (clock cycle) id_array : t_id_array(0 to C_VVC_CMD_DATA_MAX_WORDS-1); id_array_length : natural range 1 to C_VVC_CMD_DATA_MAX_WORDS; -- One id_array entry per word (clock cycle) dest_array : t_dest_array(0 to C_VVC_CMD_DATA_MAX_WORDS-1); dest_array_length : natural range 1 to C_VVC_CMD_DATA_MAX_WORDS; -- One dest_array entry per word (clock cycle) -- Common VVC fields operation : t_operation; proc_call : string(1 to C_VVC_CMD_STRING_MAX_LENGTH); msg : string(1 to C_VVC_CMD_STRING_MAX_LENGTH); cmd_idx : natural; command_type : t_immediate_or_queued; msg_id : t_msg_id; gen_integer_array : t_integer_array(0 to 1); -- Increase array length if needed gen_boolean : boolean; -- Generic boolean timeout : time; alert_level : t_alert_level; delay : time; quietness : t_quietness; end record; constant C_VVC_CMD_DEFAULT : t_vvc_cmd_record := ( data_array => (others => (others => '0')), data_array_length => 1, user_array => (others => (others => '0')), user_array_length => 1, strb_array => (others => (others => '0')), strb_array_length => 1, id_array => (others => (others => '0')), id_array_length => 1, dest_array => (others => (others => '0')), dest_array_length => 1, -- Common VVC fields operation => NO_OPERATION, proc_call => (others => NUL), msg => (others => NUL), cmd_idx => 0, command_type => NO_COMMAND_TYPE, msg_id => NO_ID, gen_integer_array => (others => -1), gen_boolean => false, timeout => 0 ns, alert_level => FAILURE, delay => 0 ns, quietness => NON_QUIET ); --=============================================================================================== -- shared_vvc_cmd -- - Shared variable used for transmitting VVC commands --=============================================================================================== shared variable shared_vvc_cmd : t_vvc_cmd_record := C_VVC_CMD_DEFAULT; --=============================================================================================== -- t_vvc_result, t_vvc_result_queue_element, t_vvc_response and shared_vvc_response : -- -- - Used for storing the result of a BFM procedure called by the VVC, -- so that the result can be transported from the VVC to for example a sequencer via -- fetch_result() as described in VVC_Framework_common_methods_QuickRef -- -- - t_vvc_result includes the return value of the procedure in the BFM. -- It can also be defined as a record if multiple values shall be transported from the BFM --=============================================================================================== type t_vvc_result is record data_array : t_byte_array(0 to C_VVC_CMD_DATA_MAX_BYTES-1); data_length : natural; user_array : t_user_array(0 to C_VVC_CMD_DATA_MAX_WORDS-1); strb_array : t_strb_array(0 to C_VVC_CMD_DATA_MAX_WORDS-1); id_array : t_id_array(0 to C_VVC_CMD_DATA_MAX_WORDS-1); dest_array : t_dest_array(0 to C_VVC_CMD_DATA_MAX_WORDS-1); end record; type t_vvc_result_queue_element is record cmd_idx : natural; -- from UVVM handshake mechanism result : t_vvc_result; end record; type t_vvc_response is record fetch_is_accepted : boolean; transaction_result : t_transaction_result; result : t_vvc_result; end record; shared variable shared_vvc_response : t_vvc_response; --=============================================================================================== -- t_last_received_cmd_idx : -- - Used to store the last queued cmd in vvc interpreter. --=============================================================================================== type t_last_received_cmd_idx is array (t_channel range <>,natural range <>) of integer; --=============================================================================================== -- shared_vvc_last_received_cmd_idx -- - Shared variable used to get last queued index from vvc to sequencer --=============================================================================================== shared variable shared_vvc_last_received_cmd_idx : t_last_received_cmd_idx(t_channel'left to t_channel'right, 0 to C_MAX_VVC_INSTANCE_NUM) := (others => (others => -1)); --=============================================================================================== -- Procedures --=============================================================================================== function to_string( result : t_vvc_result ) return string; end package vvc_cmd_pkg; package body vvc_cmd_pkg is -- Custom to_string overload needed when result is of a type that haven't got one already function to_string( result : t_vvc_result ) return string is begin return to_string(result.data_length) & " Bytes"; end; end package body vvc_cmd_pkg;
--======================================================================================================================== -- Copyright (c) 2017 by Bitvis AS. All rights reserved. -- You should have received a copy of the license file containing the MIT License (see LICENSE.TXT), if not, -- contact Bitvis AS <[email protected]>. -- -- UVVM AND ANY PART THEREOF ARE PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE -- WARRANTIES OF MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE AUTHORS -- OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR -- OTHERWISE, ARISING FROM, OUT OF OR IN CONNECTION WITH UVVM OR THE USE OR OTHER DEALINGS IN UVVM. --======================================================================================================================== ------------------------------------------------------------------------------------------ -- Description : See library quick reference (under 'doc') and README-file(s) ------------------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library uvvm_util; context uvvm_util.uvvm_util_context; library uvvm_vvc_framework; use uvvm_vvc_framework.ti_vvc_framework_support_pkg.all; use work.axistream_bfm_pkg.all; --======================================================================================================================== --======================================================================================================================== package vvc_cmd_pkg is --=============================================================================================== -- t_operation -- - Bitvis defined BFM operations --=============================================================================================== type t_operation is ( -- UVVM common NO_OPERATION, AWAIT_COMPLETION, AWAIT_ANY_COMPLETION, ENABLE_LOG_MSG, DISABLE_LOG_MSG, FLUSH_COMMAND_QUEUE, FETCH_RESULT, INSERT_DELAY, TERMINATE_CURRENT_COMMAND, -- VVC local TRANSMIT, RECEIVE, EXPECT ); -- Constants for the maximum sizes to use in this VVC. -- You can create VVCs with smaller sizes than these constants, but not larger. -- Create constants for the maximum sizes to use in this VVC. constant C_VVC_CMD_DATA_MAX_BYTES : natural := 16*1024; constant C_VVC_CMD_MAX_WORD_LENGTH : natural := 32; -- 4 bytes constant C_VVC_CMD_DATA_MAX_WORDS : natural := C_VVC_CMD_DATA_MAX_BYTES; constant C_VVC_CMD_STRING_MAX_LENGTH : natural := 300; --=============================================================================================== -- t_vvc_cmd_record -- - Record type used for communication with the VVC --=============================================================================================== type t_vvc_cmd_record is record -- VVC dedicated fields data_array : t_byte_array(0 to C_VVC_CMD_DATA_MAX_BYTES-1); data_array_length : integer range -10 to C_VVC_CMD_DATA_MAX_BYTES; -- Some negative numbers have special meaning in axistreamStartTransmits() -- If you need support for more bits per data byte, replace this with a wider type: user_array : t_user_array(0 to C_VVC_CMD_DATA_MAX_WORDS-1); user_array_length : natural range 1 to C_VVC_CMD_DATA_MAX_WORDS; -- One user_array entry per word (clock cycle) strb_array : t_strb_array(0 to C_VVC_CMD_DATA_MAX_WORDS-1); strb_array_length : natural range 1 to C_VVC_CMD_DATA_MAX_WORDS; -- One strb_array entry per word (clock cycle) id_array : t_id_array(0 to C_VVC_CMD_DATA_MAX_WORDS-1); id_array_length : natural range 1 to C_VVC_CMD_DATA_MAX_WORDS; -- One id_array entry per word (clock cycle) dest_array : t_dest_array(0 to C_VVC_CMD_DATA_MAX_WORDS-1); dest_array_length : natural range 1 to C_VVC_CMD_DATA_MAX_WORDS; -- One dest_array entry per word (clock cycle) -- Common VVC fields operation : t_operation; proc_call : string(1 to C_VVC_CMD_STRING_MAX_LENGTH); msg : string(1 to C_VVC_CMD_STRING_MAX_LENGTH); cmd_idx : natural; command_type : t_immediate_or_queued; msg_id : t_msg_id; gen_integer_array : t_integer_array(0 to 1); -- Increase array length if needed gen_boolean : boolean; -- Generic boolean timeout : time; alert_level : t_alert_level; delay : time; quietness : t_quietness; end record; constant C_VVC_CMD_DEFAULT : t_vvc_cmd_record := ( data_array => (others => (others => '0')), data_array_length => 1, user_array => (others => (others => '0')), user_array_length => 1, strb_array => (others => (others => '0')), strb_array_length => 1, id_array => (others => (others => '0')), id_array_length => 1, dest_array => (others => (others => '0')), dest_array_length => 1, -- Common VVC fields operation => NO_OPERATION, proc_call => (others => NUL), msg => (others => NUL), cmd_idx => 0, command_type => NO_COMMAND_TYPE, msg_id => NO_ID, gen_integer_array => (others => -1), gen_boolean => false, timeout => 0 ns, alert_level => FAILURE, delay => 0 ns, quietness => NON_QUIET ); --=============================================================================================== -- shared_vvc_cmd -- - Shared variable used for transmitting VVC commands --=============================================================================================== shared variable shared_vvc_cmd : t_vvc_cmd_record := C_VVC_CMD_DEFAULT; --=============================================================================================== -- t_vvc_result, t_vvc_result_queue_element, t_vvc_response and shared_vvc_response : -- -- - Used for storing the result of a BFM procedure called by the VVC, -- so that the result can be transported from the VVC to for example a sequencer via -- fetch_result() as described in VVC_Framework_common_methods_QuickRef -- -- - t_vvc_result includes the return value of the procedure in the BFM. -- It can also be defined as a record if multiple values shall be transported from the BFM --=============================================================================================== type t_vvc_result is record data_array : t_byte_array(0 to C_VVC_CMD_DATA_MAX_BYTES-1); data_length : natural; user_array : t_user_array(0 to C_VVC_CMD_DATA_MAX_WORDS-1); strb_array : t_strb_array(0 to C_VVC_CMD_DATA_MAX_WORDS-1); id_array : t_id_array(0 to C_VVC_CMD_DATA_MAX_WORDS-1); dest_array : t_dest_array(0 to C_VVC_CMD_DATA_MAX_WORDS-1); end record; type t_vvc_result_queue_element is record cmd_idx : natural; -- from UVVM handshake mechanism result : t_vvc_result; end record; type t_vvc_response is record fetch_is_accepted : boolean; transaction_result : t_transaction_result; result : t_vvc_result; end record; shared variable shared_vvc_response : t_vvc_response; --=============================================================================================== -- t_last_received_cmd_idx : -- - Used to store the last queued cmd in vvc interpreter. --=============================================================================================== type t_last_received_cmd_idx is array (t_channel range <>,natural range <>) of integer; --=============================================================================================== -- shared_vvc_last_received_cmd_idx -- - Shared variable used to get last queued index from vvc to sequencer --=============================================================================================== shared variable shared_vvc_last_received_cmd_idx : t_last_received_cmd_idx(t_channel'left to t_channel'right, 0 to C_MAX_VVC_INSTANCE_NUM) := (others => (others => -1)); --=============================================================================================== -- Procedures --=============================================================================================== function to_string( result : t_vvc_result ) return string; end package vvc_cmd_pkg; package body vvc_cmd_pkg is -- Custom to_string overload needed when result is of a type that haven't got one already function to_string( result : t_vvc_result ) return string is begin return to_string(result.data_length) & " Bytes"; end; end package body vvc_cmd_pkg;
library ieee; use ieee.std_logic_1164.all; use IEEE.std_logic_unsigned.all; entity core is end core; architecture BEHAVIOR of core is component clock is port( pulse : out std_logic ); end component; component alu is port( func : in std_logic_vector(3 downto 0); busA : in std_logic_vector(31 downto 0); busB : in std_logic_vector(31 downto 0); inZ : in std_logic; inS : in std_logic; inO : in std_logic; outZ : out std_logic; outS : out std_logic; outO : out std_logic; busC : out std_logic_vector(31 downto 0) ); end component; component bB is port( S_GRB : in std_logic_vector(31 downto 0); S_PR_F, S_MAR_F, S_MDR_F : in std_logic_vector(15 downto 0); addr : in std_logic_vector(7 downto 0); S_s_ctl : in std_logic_vector(4 downto 0); S_BUS_B : out std_logic_vector(31 downto 0) ); end component; component bC is port( S_BUS_C : inout std_logic_vector(31 downto 0) ); end component; component busA is port( clock : in std_logic; MDR : in std_logic_vector(15 downto 0); GR : in std_logic_vector(31 downto 0); ADDR : in std_logic_vector(7 downto 0); SI : in std_logic_vector(2 downto 0); busA_out : out std_logic_vector(31 downto 0) ); end component; component csgc is port( clk : in std_logic; mlang : in std_logic_vector(15 downto 0); ba_ctl : out std_logic_vector(2 downto 0); bb_ctl : out std_logic_vector(4 downto 0); address : out std_logic_vector(7 downto 0); gr_lat : out std_logic; gra : out std_logic_vector(3 downto 0); grb : out std_logic_vector(3 downto 0); grc : out std_logic_vector(3 downto 0); ir_lat : out std_logic; fr_lat : out std_logic; pr_lat : out std_logic; pr_cnt : out std_logic; mar_lat : out std_logic; mdr_lat : out std_logic; mdr_sel : out std_logic; m_read : out std_logic; m_write : out std_logic; func : out std_logic_vector(3 downto 0); phaseView : out std_logic_vector(3 downto 0) ); end component; component fr is port( clk : in std_logic; latch : in std_logic; inZF : in std_logic; inSF : in std_logic; inOF : in std_logic; outZF : out std_logic; outSF : out std_logic; outOF : out std_logic ); end component; component gr is port( clk, S_GRlat : in std_logic; S_ctl_a, S_ctl_b, S_ctl_c : in std_logic_vector(3 downto 0); S_BUS_C : in std_logic_vector(31 downto 0); S_BUS_A, S_BUS_B : out std_logic_vector(31 downto 0); GR0_View, GR1_View, GR2_View, GR3_View, GR4_View, GR5_View, GR6_View, GR7_View, GR8_View, GR9_View, GR10_View, GR11_View, GR12_View, GR13_View, GR14_View, GR15_View : out std_logic_vector(31 downto 0) ); end component; component inst is port( clock : in std_logic; busA : in std_logic_vector(31 downto 0); latch : in std_logic; Mlang : out std_logic_vector(15 downto 0) ); end component; component MAR is port( clk, lat : in std_logic; busC : in std_logic_vector(31 downto 0); M_ad16 : out std_logic_vector(15 downto 0); M_ad8 : out std_logic_vector(7 downto 0) ); end component; component mdr is port( clock : in std_logic; busC : in std_logic_vector(31 downto 0); latch : in std_logic; memo : in std_logic_vector(15 downto 0); sel : in std_logic; data : out std_logic_vector(15 downto 0) ); end component; component mem is port( clk, read, write : in std_logic; S_MAR_F : in std_logic_vector(7 downto 0); S_MDR_F : in std_logic_vector(15 downto 0); data : out std_logic_vector(15 downto 0) ); end component; component pr is port( clk, S_PRlat, S_s_inc : in std_logic; S_BUS_C : in std_logic_vector(31 downto 0); S_PR_F : out std_logic_vector(15 downto 0) ); end component; -- clock signal pulse : std_logic; -- alu signal alu_fr_z : std_logic; signal alu_fr_s : std_logic; signal alu_fr_o : std_logic; -- bB signal busb_alu : std_logic_vector(31 downto 0); -- bC signal alu_busc_others : std_logic_vector(31 downto 0); -- busA signal busa_alu_ir: std_logic_vector(31 downto 0); -- csgc signal csgc_busa_ctl : std_logic_vector(2 downto 0); signal csgc_busb_ctl : std_logic_vector(4 downto 0); signal csgc_busab_addr : std_logic_vector(7 downto 0); signal csgc_gr_lat : std_logic; signal csgc_gr_asel : std_logic_vector(3 downto 0); signal csgc_gr_bsel : std_logic_vector(3 downto 0); signal csgc_gr_csel : std_logic_vector(3 downto 0); signal csgc_ir_lat : std_logic; signal csgc_fr_lat : std_logic; signal csgc_pr_lat : std_logic; signal csgc_pr_cntup : std_logic; signal csgc_mar_lat : std_logic; signal csgc_mdr_lat : std_logic; signal csgc_mdr_sel : std_logic; signal csgc_mem_read : std_logic; signal csgc_mem_write : std_logic; signal csgc_alu_func : std_logic_vector(3 downto 0); signal phaseView : std_logic_vector(3 downto 0); -- fr signal fr_alu_z : std_logic; signal fr_alu_s : std_logic; signal fr_alu_o : std_logic; -- gr signal gr_busa : std_logic_vector(31 downto 0); signal gr_busb : std_logic_vector(31 downto 0); signal GR0_View, GR1_View, GR2_View, GR3_View, GR4_View, GR5_View, GR6_View, GR7_View, GR8_View, GR9_View, GR10_View, GR11_View, GR12_View, GR13_View, GR14_View, GR15_View : std_logic_vector(31 downto 0); -- inst signal ir_csgc : std_logic_vector(15 downto 0); -- MAR signal mar_busb : std_logic_vector(15 downto 0); signal mar_mem : std_logic_vector(7 downto 0); -- mdr signal mdr_busab_mem : std_logic_vector(15 downto 0); -- memory signal mem_mdr : std_logic_vector(15 downto 0); -- pr signal pr_busb : std_logic_vector(15 downto 0); begin clock_a : clock port map( pulse => pulse ); alu_a : alu port map( func => csgc_alu_func, busA => busa_alu_ir, busB => busb_alu, inZ => fr_alu_z, inS => fr_alu_s, inO => fr_alu_o, outZ => alu_fr_z, outS => alu_fr_s, outO => alu_fr_o, busC => alu_busc_others ); bB_a : bB port map( S_GRB => gr_busb, S_PR_F => pr_busb, S_MAR_F => mar_busb, S_MDR_F => mdr_busab_mem, addr => csgc_busab_addr, S_s_ctl => csgc_busb_ctl, S_BUS_B => busb_alu ); -- bC_a : bC port map( -- S_BUS_C => alu_busc_others -- ); busA_a : busA port map( clock => pulse, MDR => mdr_busab_mem, GR => gr_busa, ADDR => csgc_busab_addr, SI => csgc_busa_ctl, busA_out => busa_alu_ir ); csgc_a : csgc port map( clk => pulse, mlang => ir_csgc, ba_ctl => csgc_busa_ctl, bb_ctl => csgc_busb_ctl, address => csgc_busab_addr, gr_lat => csgc_gr_lat, gra => csgc_gr_asel, grb => csgc_gr_bsel, grc => csgc_gr_csel, ir_lat => csgc_ir_lat, fr_lat => csgc_fr_lat, pr_lat => csgc_pr_lat, pr_cnt => csgc_pr_cntup, mar_lat => csgc_mar_lat, mdr_lat => csgc_mdr_lat, mdr_sel => csgc_mdr_sel, m_read => csgc_mem_read, m_write => csgc_mem_write, func => csgc_alu_func, phaseView => phaseView ); fr_a : fr port map( clk => pulse, latch => csgc_fr_lat, inZF => alu_fr_z, inSF => alu_fr_s, inOF => alu_fr_o, outZF => fr_alu_z, outSF => fr_alu_s, outOF => fr_alu_o ); gr_a : gr port map( clk => pulse, S_GRlat => csgc_gr_lat, S_ctl_a => csgc_gr_asel, S_ctl_b => csgc_gr_bsel, S_ctl_c => csgc_gr_csel, S_BUS_C => alu_busc_others, S_BUS_A => gr_busa, S_BUS_B => gr_busb, GR0_View => GR0_View, GR1_View => GR1_View, GR2_View => GR2_View, GR3_View => GR3_View, GR4_View => GR4_View, GR5_View => GR5_View, GR6_View => GR6_View, GR7_View => GR7_View, GR8_View => GR8_View, GR9_View => GR9_View, GR10_View => GR10_View, GR11_View => GR11_View, GR12_View => GR12_View, GR13_View => GR13_View, GR14_View => GR14_View, GR15_View => GR15_View ); inst_a : inst port map( clock => pulse, busA => busa_alu_ir, latch => csgc_ir_lat, Mlang => ir_csgc ); MAR_a : MAR port map( clk => pulse, lat => csgc_mar_lat, busC => alu_busc_others, M_ad16 => mar_busb, M_ad8 => mar_mem ); mdr_a : mdr port map( clock => pulse, busC => alu_busc_others, latch => csgc_mdr_lat, memo => mem_mdr, sel => csgc_mdr_sel, data => mdr_busab_mem ); mem_a : mem port map( clk => pulse, read => csgc_mem_read, write => csgc_mem_write, S_MAR_F => mar_mem, S_MDR_F => mdr_busab_mem, data => mem_mdr ); pr_a : pr port map( clk => pulse, S_PRlat => csgc_pr_lat, S_s_inc => csgc_pr_cntup, S_BUS_C => alu_busc_others, S_PR_F => pr_busb ); end BEHAVIOR;
--======================================================================================================================== -- Copyright (c) 2017 by Bitvis AS. All rights reserved. -- You should have received a copy of the license file containing the MIT License (see LICENSE.TXT), if not, -- contact Bitvis AS <[email protected]>. -- -- UVVM AND ANY PART THEREOF ARE PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE -- WARRANTIES OF MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE AUTHORS -- OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR -- OTHERWISE, ARISING FROM, OUT OF OR IN CONNECTION WITH UVVM OR THE USE OR OTHER DEALINGS IN UVVM. --======================================================================================================================== ------------------------------------------------------------------------------------------ -- Description : This is NOT an example of how to implement a UART core. This is just -- a simple test vehicle that can be used to demonstrate the functionality -- of the UVVM VVC Framework. -- -- See library quick reference (under 'doc') and README-file(s) ------------------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use work.uart_pif_pkg.all; use work.uart_pkg.all; entity uart_core is generic ( GC_START_BIT : std_logic := '0'; GC_STOP_BIT : std_logic := '1'; GC_CLOCKS_PER_BIT : integer := 16; GC_MIN_EQUAL_SAMPLES_PER_BIT : integer := 15); -- Number of equal samples needed for valid bit, uart samples on every clock port( -- DSP interface and general control signals clk : in std_logic; arst : in std_logic; -- PIF-core interface p2c : in t_p2c; c2p : out t_c2p; -- Interrupt related signals rx_a : in std_logic; tx : out std_logic ); end entity uart_core; architecture rtl of uart_core is type t_slv_array is array (3 downto 0) of std_logic_vector(7 downto 0); -- tx signals signal tx_data : t_slv_array:= (others => (others => '0')); signal tx_buffer : std_logic_vector(7 downto 0) := (others => '0'); signal tx_data_valid : std_logic := '0'; signal tx_ready : std_logic := '0'; signal tx_active : std_logic := '0'; signal tx_clk_counter : unsigned(f_log2(GC_CLOCKS_PER_BIT)-1 downto 0) := (others => '0'); -- count through the bits (12 total) signal tx_bit_counter : unsigned(3 downto 0) := (others => '0'); -- receive signals signal rx_buffer : std_logic_vector(7 downto 0) := (others => '0'); signal rx_active : std_logic := '0'; signal rx_clk_counter : unsigned(f_log2(GC_CLOCKS_PER_BIT)-1 downto 0) := (others => '0'); -- count through the bits (12 total) signal rx_bit_counter : unsigned(3 downto 0) := (others => '0'); signal rx_bit_samples : std_logic_vector(GC_CLOCKS_PER_BIT-1 downto 0) := (others => '0'); signal rx_data : t_slv_array := (others => (others => '0')); signal rx_data_valid : std_logic := '0'; signal rx_data_full : std_logic := '0'; -- rx synced to clk signal rx_s : std_logic_vector(1 downto 0) := (others => '1'); -- synchronized serial data input signal rx_just_active : boolean; -- helper signal when we start receiving signal parity_err : std_logic := '0'; -- parity error detected signal stop_err : std_logic := '0'; -- stop error detected signal transient_err : std_logic := '0'; -- data value is transient signal c2p_i : t_c2p; -- Internal version of output begin c2p <= c2p_i; c2p_i.aro_tx_ready <= tx_ready; c2p_i.aro_rx_data_valid <= rx_data_valid; -- synchronize rx input (async) p_rx_s : process(clk, arst) is begin if arst = '1' then rx_s <= (others => '1'); elsif rising_edge(clk) then rx_s <= rx_s(0) & rx_a; end if; end process p_rx_s; --------------------------------------------------------------------------- -- Transmit process; drives tx serial output. -- -- Stores 4 pending bytes in the tx_data array, and the byte currently -- being output in the tx_buffer register. -- -- Tx_buffer is filled with data from tx_data(0) if there is valid data -- available (tx_data_valid is active), and no other byte is currently -- being output (tx_active is inactive). -- -- Data received via SBI is inserted in tx_data at the index pointed to -- by vr_tx_data_idx. vr_tx_data_idx is incremented when a new byte is -- received via SBI, and decremented when a new byte is loaded into -- tx_buffer. --------------------------------------------------------------------------- uart_tx : process (clk, arst) is variable vr_tx_data_idx : unsigned(2 downto 0) := (others => '0'); begin -- process uart_tx if arst = '1' then -- asynchronous reset (active high) tx_data <= (others => (others => '0')); tx_buffer <= (others => '0'); tx_data_valid <= '0'; tx_ready <= '1'; tx_active <= '0'; tx_bit_counter <= (others => '0'); tx_clk_counter <= (others => '0'); tx <= '1'; vr_tx_data_idx := (others => '0'); elsif rising_edge(clk) then -- rising clock edge -- There is valid data in tx_data. -- Load the tx_buffer and activate TX operation. -- Decrement vr_tx_data_idx. if tx_data_valid = '1' and tx_active = '0' then tx_active <= '1'; tx_buffer <= tx_data(0); tx_data <= x"00" & tx_data(3 downto 1); if vr_tx_data_idx > 0 then -- Decrement idx if vr_tx_data_idx < 3 then vr_tx_data_idx := vr_tx_data_idx - 1; else -- vr_tx_data_idx = 3 -- Special case for idx=3 (max). -- When tx_data is full (tx_ready = '0'), we do not wish to -- decrement the idx. The reason is that the idx points -- to where the next incoming data byte shall be stored, -- which is still idx 3. -- Therefore, only decrement when tx_ready = '1'. if tx_ready = '1' then vr_tx_data_idx := vr_tx_data_idx - 1; end if; end if; else -- vr_tx_data_idx already at 0, -- which means that the final byte in tx_data -- was just loaded into tx_buffer, no more valid -- data left in tx_data. tx_data_valid <= '0'; tx_active <= '0'; end if; -- Tx is now ready to receive another byte. tx_ready <= '1'; end if; -- loading the tx_data shift reg if tx_ready = '1' then if p2c.awo_tx_data_we = '1' then tx_data(to_integer(vr_tx_data_idx)) <= p2c.awo_tx_data; tx_data_valid <= '1'; -- Increment idx if tx_data not full. if vr_tx_data_idx < 3 then vr_tx_data_idx := vr_tx_data_idx + 1; else -- tx_data full tx_ready <= '0'; end if; end if; end if; if tx_active = '0' then -- default tx_clk_counter <= (others => '0'); tx_bit_counter <= (others => '0'); tx <= '1'; -- idle as default else -- tx clock counter keeps running when active if tx_clk_counter <= GC_CLOCKS_PER_BIT - 1 then tx_clk_counter <= tx_clk_counter + 1; else tx_clk_counter <= (others => '0'); end if; -- GC_CLOCKS_PER_BIT tx clocks per tx bit if tx_clk_counter >= GC_CLOCKS_PER_BIT - 1 then tx_bit_counter <= tx_bit_counter + 1; end if; case to_integer(tx_bit_counter) is when 0 => tx <= GC_START_BIT; when 1 to 8 => -- mux out the correct tx bit tx <= tx_buffer(to_integer(tx_bit_counter)-1); when 9 => tx <= odd_parity(tx_buffer); when 10 => tx <= GC_STOP_BIT; when others => tx <= '1'; tx_active <= '0'; end case; end if; end if; end process uart_tx; -- Data is set on the output when available on rx_data(0) c2p_i.aro_rx_data <= rx_data(0); --------------------------------------------------------------------------- -- Receive process --------------------------------------------------------------------------- uart_rx : process (clk, arst) is variable vr_rx_data_idx : unsigned(2 downto 0) := (others => '0'); begin -- process uart_tx if arst = '1' then -- asynchronous reset (active high) rx_active <= '0'; rx_just_active <= false; rx_data <= (others => (others => '0')); rx_data_valid <= '0'; rx_bit_samples <= (others => '1'); rx_buffer <= (others => '0'); rx_clk_counter <= (others => '0'); rx_bit_counter <= (others => '0'); stop_err <= '0'; parity_err <= '0'; transient_err <= '0'; vr_rx_data_idx := (others => '0'); rx_data_full <= '1'; elsif rising_edge(clk) then -- rising clock edge -- Perform read. -- When there is data available in rx_data, -- output the data when read enable detected. if p2c.aro_rx_data_re = '1' and rx_data_valid = '1' then rx_data <= x"00" & rx_data(3 downto 1); rx_data_full <= '0'; if vr_rx_data_idx > 0 then vr_rx_data_idx := vr_rx_data_idx - 1; if vr_rx_data_idx = 0 then -- rx_data empty rx_data_valid <= '0'; end if; end if; end if; -- always shift in new synchronized serial data rx_bit_samples <= rx_bit_samples(GC_CLOCKS_PER_BIT-2 downto 0) & rx_s(1); -- look for enough GC_START_BITs in rx_bit_samples vector if rx_active = '0' and (find_num_hits(rx_bit_samples, GC_START_BIT) >= GC_CLOCKS_PER_BIT-1) then rx_active <= '1'; rx_just_active <= true; end if; if rx_active = '0' then -- defaults stop_err <= '0'; parity_err <= '0'; transient_err <= '0'; rx_clk_counter <= (others => '0'); rx_bit_counter <= (others => '0'); else -- We could check when we first enter whether we find the full number -- of start samples and adjust the time we start rx_clk_counter by a -- clock cycle - to hit the eye of the rx data best possible. if rx_just_active then if find_num_hits(rx_bit_samples, GC_START_BIT) = GC_CLOCKS_PER_BIT then -- reset rx_clk_counter rx_clk_counter <= (others => '0'); end if; rx_just_active <= false; else -- loop clk counter if rx_clk_counter <= GC_CLOCKS_PER_BIT - 1 then rx_clk_counter <= rx_clk_counter + 1; else rx_clk_counter <= (others => '0'); end if; end if; -- shift in data, check for consistency and forward if rx_clk_counter >= GC_CLOCKS_PER_BIT - 1 then rx_bit_counter <= rx_bit_counter + 1; if transient_error(rx_bit_samples, GC_MIN_EQUAL_SAMPLES_PER_BIT) then transient_err <= '1'; end if; -- are we done? not counting the start bit if to_integer(rx_bit_counter) >= 9 then rx_active <= '0'; end if; case to_integer(rx_bit_counter) is when 0 to 7 => -- mux in new bit rx_buffer(to_integer(rx_bit_counter)) <= find_most_repeated_bit(rx_bit_samples); when 8 => -- check parity if (odd_parity(rx_buffer) /= find_most_repeated_bit(rx_bit_samples)) then parity_err <= '1'; end if; when 9 => -- check stop bit, and end byte receive if find_most_repeated_bit(rx_bit_samples) /= GC_STOP_BIT then stop_err <= '1'; end if; rx_data(to_integer(vr_rx_data_idx)) <= rx_buffer; rx_data_valid <= '1'; -- ready for higher level protocol if vr_rx_data_idx < 3 then vr_rx_data_idx := vr_rx_data_idx + 1; else rx_data_full <= '1'; end if; when others => rx_active <= '0'; end case; end if; end if; end if; end process uart_rx; p_busy_assert : process(clk) is begin if rising_edge(clk) then assert not (p2c.awo_tx_data_we = '1' and tx_ready = '0') report "Trying to transmit new UART data while transmitter is busy" severity error; end if; end process; assert stop_err /= '1' report "Stop bit error detected!" severity error; assert parity_err /= '1' report "Parity error detected!" severity error; assert transient_err /= '1' report "Transient error detected!" severity error; end architecture rtl;
--======================================================================================================================== -- Copyright (c) 2017 by Bitvis AS. All rights reserved. -- You should have received a copy of the license file containing the MIT License (see LICENSE.TXT), if not, -- contact Bitvis AS <[email protected]>. -- -- UVVM AND ANY PART THEREOF ARE PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE -- WARRANTIES OF MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE AUTHORS -- OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR -- OTHERWISE, ARISING FROM, OUT OF OR IN CONNECTION WITH UVVM OR THE USE OR OTHER DEALINGS IN UVVM. --======================================================================================================================== ------------------------------------------------------------------------------------------ -- Description : This is NOT an example of how to implement a UART core. This is just -- a simple test vehicle that can be used to demonstrate the functionality -- of the UVVM VVC Framework. -- -- See library quick reference (under 'doc') and README-file(s) ------------------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use work.uart_pif_pkg.all; use work.uart_pkg.all; entity uart_core is generic ( GC_START_BIT : std_logic := '0'; GC_STOP_BIT : std_logic := '1'; GC_CLOCKS_PER_BIT : integer := 16; GC_MIN_EQUAL_SAMPLES_PER_BIT : integer := 15); -- Number of equal samples needed for valid bit, uart samples on every clock port( -- DSP interface and general control signals clk : in std_logic; arst : in std_logic; -- PIF-core interface p2c : in t_p2c; c2p : out t_c2p; -- Interrupt related signals rx_a : in std_logic; tx : out std_logic ); end entity uart_core; architecture rtl of uart_core is type t_slv_array is array (3 downto 0) of std_logic_vector(7 downto 0); -- tx signals signal tx_data : t_slv_array:= (others => (others => '0')); signal tx_buffer : std_logic_vector(7 downto 0) := (others => '0'); signal tx_data_valid : std_logic := '0'; signal tx_ready : std_logic := '0'; signal tx_active : std_logic := '0'; signal tx_clk_counter : unsigned(f_log2(GC_CLOCKS_PER_BIT)-1 downto 0) := (others => '0'); -- count through the bits (12 total) signal tx_bit_counter : unsigned(3 downto 0) := (others => '0'); -- receive signals signal rx_buffer : std_logic_vector(7 downto 0) := (others => '0'); signal rx_active : std_logic := '0'; signal rx_clk_counter : unsigned(f_log2(GC_CLOCKS_PER_BIT)-1 downto 0) := (others => '0'); -- count through the bits (12 total) signal rx_bit_counter : unsigned(3 downto 0) := (others => '0'); signal rx_bit_samples : std_logic_vector(GC_CLOCKS_PER_BIT-1 downto 0) := (others => '0'); signal rx_data : t_slv_array := (others => (others => '0')); signal rx_data_valid : std_logic := '0'; signal rx_data_full : std_logic := '0'; -- rx synced to clk signal rx_s : std_logic_vector(1 downto 0) := (others => '1'); -- synchronized serial data input signal rx_just_active : boolean; -- helper signal when we start receiving signal parity_err : std_logic := '0'; -- parity error detected signal stop_err : std_logic := '0'; -- stop error detected signal transient_err : std_logic := '0'; -- data value is transient signal c2p_i : t_c2p; -- Internal version of output begin c2p <= c2p_i; c2p_i.aro_tx_ready <= tx_ready; c2p_i.aro_rx_data_valid <= rx_data_valid; -- synchronize rx input (async) p_rx_s : process(clk, arst) is begin if arst = '1' then rx_s <= (others => '1'); elsif rising_edge(clk) then rx_s <= rx_s(0) & rx_a; end if; end process p_rx_s; --------------------------------------------------------------------------- -- Transmit process; drives tx serial output. -- -- Stores 4 pending bytes in the tx_data array, and the byte currently -- being output in the tx_buffer register. -- -- Tx_buffer is filled with data from tx_data(0) if there is valid data -- available (tx_data_valid is active), and no other byte is currently -- being output (tx_active is inactive). -- -- Data received via SBI is inserted in tx_data at the index pointed to -- by vr_tx_data_idx. vr_tx_data_idx is incremented when a new byte is -- received via SBI, and decremented when a new byte is loaded into -- tx_buffer. --------------------------------------------------------------------------- uart_tx : process (clk, arst) is variable vr_tx_data_idx : unsigned(2 downto 0) := (others => '0'); begin -- process uart_tx if arst = '1' then -- asynchronous reset (active high) tx_data <= (others => (others => '0')); tx_buffer <= (others => '0'); tx_data_valid <= '0'; tx_ready <= '1'; tx_active <= '0'; tx_bit_counter <= (others => '0'); tx_clk_counter <= (others => '0'); tx <= '1'; vr_tx_data_idx := (others => '0'); elsif rising_edge(clk) then -- rising clock edge -- There is valid data in tx_data. -- Load the tx_buffer and activate TX operation. -- Decrement vr_tx_data_idx. if tx_data_valid = '1' and tx_active = '0' then tx_active <= '1'; tx_buffer <= tx_data(0); tx_data <= x"00" & tx_data(3 downto 1); if vr_tx_data_idx > 0 then -- Decrement idx if vr_tx_data_idx < 3 then vr_tx_data_idx := vr_tx_data_idx - 1; else -- vr_tx_data_idx = 3 -- Special case for idx=3 (max). -- When tx_data is full (tx_ready = '0'), we do not wish to -- decrement the idx. The reason is that the idx points -- to where the next incoming data byte shall be stored, -- which is still idx 3. -- Therefore, only decrement when tx_ready = '1'. if tx_ready = '1' then vr_tx_data_idx := vr_tx_data_idx - 1; end if; end if; else -- vr_tx_data_idx already at 0, -- which means that the final byte in tx_data -- was just loaded into tx_buffer, no more valid -- data left in tx_data. tx_data_valid <= '0'; tx_active <= '0'; end if; -- Tx is now ready to receive another byte. tx_ready <= '1'; end if; -- loading the tx_data shift reg if tx_ready = '1' then if p2c.awo_tx_data_we = '1' then tx_data(to_integer(vr_tx_data_idx)) <= p2c.awo_tx_data; tx_data_valid <= '1'; -- Increment idx if tx_data not full. if vr_tx_data_idx < 3 then vr_tx_data_idx := vr_tx_data_idx + 1; else -- tx_data full tx_ready <= '0'; end if; end if; end if; if tx_active = '0' then -- default tx_clk_counter <= (others => '0'); tx_bit_counter <= (others => '0'); tx <= '1'; -- idle as default else -- tx clock counter keeps running when active if tx_clk_counter <= GC_CLOCKS_PER_BIT - 1 then tx_clk_counter <= tx_clk_counter + 1; else tx_clk_counter <= (others => '0'); end if; -- GC_CLOCKS_PER_BIT tx clocks per tx bit if tx_clk_counter >= GC_CLOCKS_PER_BIT - 1 then tx_bit_counter <= tx_bit_counter + 1; end if; case to_integer(tx_bit_counter) is when 0 => tx <= GC_START_BIT; when 1 to 8 => -- mux out the correct tx bit tx <= tx_buffer(to_integer(tx_bit_counter)-1); when 9 => tx <= odd_parity(tx_buffer); when 10 => tx <= GC_STOP_BIT; when others => tx <= '1'; tx_active <= '0'; end case; end if; end if; end process uart_tx; -- Data is set on the output when available on rx_data(0) c2p_i.aro_rx_data <= rx_data(0); --------------------------------------------------------------------------- -- Receive process --------------------------------------------------------------------------- uart_rx : process (clk, arst) is variable vr_rx_data_idx : unsigned(2 downto 0) := (others => '0'); begin -- process uart_tx if arst = '1' then -- asynchronous reset (active high) rx_active <= '0'; rx_just_active <= false; rx_data <= (others => (others => '0')); rx_data_valid <= '0'; rx_bit_samples <= (others => '1'); rx_buffer <= (others => '0'); rx_clk_counter <= (others => '0'); rx_bit_counter <= (others => '0'); stop_err <= '0'; parity_err <= '0'; transient_err <= '0'; vr_rx_data_idx := (others => '0'); rx_data_full <= '1'; elsif rising_edge(clk) then -- rising clock edge -- Perform read. -- When there is data available in rx_data, -- output the data when read enable detected. if p2c.aro_rx_data_re = '1' and rx_data_valid = '1' then rx_data <= x"00" & rx_data(3 downto 1); rx_data_full <= '0'; if vr_rx_data_idx > 0 then vr_rx_data_idx := vr_rx_data_idx - 1; if vr_rx_data_idx = 0 then -- rx_data empty rx_data_valid <= '0'; end if; end if; end if; -- always shift in new synchronized serial data rx_bit_samples <= rx_bit_samples(GC_CLOCKS_PER_BIT-2 downto 0) & rx_s(1); -- look for enough GC_START_BITs in rx_bit_samples vector if rx_active = '0' and (find_num_hits(rx_bit_samples, GC_START_BIT) >= GC_CLOCKS_PER_BIT-1) then rx_active <= '1'; rx_just_active <= true; end if; if rx_active = '0' then -- defaults stop_err <= '0'; parity_err <= '0'; transient_err <= '0'; rx_clk_counter <= (others => '0'); rx_bit_counter <= (others => '0'); else -- We could check when we first enter whether we find the full number -- of start samples and adjust the time we start rx_clk_counter by a -- clock cycle - to hit the eye of the rx data best possible. if rx_just_active then if find_num_hits(rx_bit_samples, GC_START_BIT) = GC_CLOCKS_PER_BIT then -- reset rx_clk_counter rx_clk_counter <= (others => '0'); end if; rx_just_active <= false; else -- loop clk counter if rx_clk_counter <= GC_CLOCKS_PER_BIT - 1 then rx_clk_counter <= rx_clk_counter + 1; else rx_clk_counter <= (others => '0'); end if; end if; -- shift in data, check for consistency and forward if rx_clk_counter >= GC_CLOCKS_PER_BIT - 1 then rx_bit_counter <= rx_bit_counter + 1; if transient_error(rx_bit_samples, GC_MIN_EQUAL_SAMPLES_PER_BIT) then transient_err <= '1'; end if; -- are we done? not counting the start bit if to_integer(rx_bit_counter) >= 9 then rx_active <= '0'; end if; case to_integer(rx_bit_counter) is when 0 to 7 => -- mux in new bit rx_buffer(to_integer(rx_bit_counter)) <= find_most_repeated_bit(rx_bit_samples); when 8 => -- check parity if (odd_parity(rx_buffer) /= find_most_repeated_bit(rx_bit_samples)) then parity_err <= '1'; end if; when 9 => -- check stop bit, and end byte receive if find_most_repeated_bit(rx_bit_samples) /= GC_STOP_BIT then stop_err <= '1'; end if; rx_data(to_integer(vr_rx_data_idx)) <= rx_buffer; rx_data_valid <= '1'; -- ready for higher level protocol if vr_rx_data_idx < 3 then vr_rx_data_idx := vr_rx_data_idx + 1; else rx_data_full <= '1'; end if; when others => rx_active <= '0'; end case; end if; end if; end if; end process uart_rx; p_busy_assert : process(clk) is begin if rising_edge(clk) then assert not (p2c.awo_tx_data_we = '1' and tx_ready = '0') report "Trying to transmit new UART data while transmitter is busy" severity error; end if; end process; assert stop_err /= '1' report "Stop bit error detected!" severity error; assert parity_err /= '1' report "Parity error detected!" severity error; assert transient_err /= '1' report "Transient error detected!" severity error; end architecture rtl;
library ieee; use ieee.numeric_std.all; use ieee.std_logic_1164.all; entity pps is port( clk : in std_logic; pps : in std_logic; pps_count : out std_logic_vector(31 downto 0); pps_count_stb : out std_logic; pps_count_ack : in std_logic); end entity pps; architecture rtl of pps is signal count : std_logic_vector(31 downto 0); signal pps_d, pps_d1, pps_d2 : std_logic; begin process begin wait until rising_edge(clk); pps_d <= pps; pps_d1 <= pps_d; pps_d2 <= pps_d1; if pps_d1 = '1' and pps_d2 = '0' then count <= (others => '0'); pps_count <= count; else count <= std_logic_vector(unsigned(count) + 1); end if; end process; pps_count_stb <= '1'; end rtl;
library IEEE; --use IEEE.STD_LOGIC_1164.ALL; use ieee.std_logic_1164.all; --use ieee.std_logic_arith.all; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --use IEEE.NUMERIC_STD.ALL; use ieee.numeric_std.all; -- Uncomment the following library declaration if instantiating -- any Xilinx primitives in this code. --library UNISIM; --use UNISIM.VComponents.all; entity MultiplierFP is generic(INTBIT_WIDTH : integer; --Size of the integer part of the input/output vectors FRACBIT_WIDTH : integer; --Bit width of the Fixed Point fraction of the input/output vectors COUNT_WIDTH : positive := 6); --Size of the counter signal --COUNT_WIDTH needs to be the exact size required to fit Output signal -- for example if BIT_WIDTH is 16, COUNT_WIDTH needs to be 5. -- The size of the output vector is 2 times the size of the input vector. port(CLK : in std_logic; --clock RESET : in std_logic; --RESET signal (pulse) IN_SIG : in signed((INTBIT_WIDTH - 1) downto 0); --multiplicand IN_COEF : in signed(((INTBIT_WIDTH + FRACBIT_WIDTH) - 1) downto 0); --mutiplier OUT_MULT : out signed(((INTBIT_WIDTH + FRACBIT_WIDTH) * 2 - 1) downto 0) := (others => '0'); --result READY : out std_logic := '0'); --Calculation ready signal (pulse) end MultiplierFP; architecture Behavioral of MultiplierFP is type reg_type is record counter : unsigned((COUNT_WIDTH - 1) downto 0); EN : std_logic; tmp1 : signed(((INTBIT_WIDTH + FRACBIT_WIDTH) * 2 - 1) downto 0); -- B / COEF tmp2 : signed(((INTBIT_WIDTH + FRACBIT_WIDTH) * 2 - 1) downto 0); tmpA : signed(((INTBIT_WIDTH + FRACBIT_WIDTH) - 1) downto 0); -- A / SIG end record; signal r, rin : reg_type := ((others => '0'), '0', (others => '0'), (others => '0'), (others => '0')); signal IN_SIG_TEMP : signed(((INTBIT_WIDTH + FRACBIT_WIDTH) - 1) downto 0); begin IN_SIG_TEMP((INTBIT_WIDTH + FRACBIT_WIDTH - 1) downto FRACBIT_WIDTH) <= IN_SIG; --Control logic of the multiplication algorithm combinational : process(IN_SIG_TEMP, IN_COEF, r, RESET) variable v : reg_type := ((others => '0'), '0', (others => '0'), (others => '0'), (others => '0')); begin if (RESET = '1') then v := ((others => '0'), '0', (others => '0'), (others => '0'), (others => '0')); OUT_MULT <= (others => '0'); READY <= '0'; else v := r; v.counter := v.counter - 1; --At first cycle the counter ovwerflows and sets all bits to '1'-s giving the value of BIT_WIDTH - 1 --Initialisation. Copy inputs to variables for manipulation and protection --against the changing of the inputs while calculating. We also reset the counter. OUT_MULT <= v.tmp1; if (v.counter = 2 * (INTBIT_WIDTH + FRACBIT_WIDTH) - 1) then READY <= '1' and v.EN; --Output the READY signal only when we have a real answer v.EN := '1'; v.tmpA := IN_SIG_TEMP; v.tmp1 := RESIZE(IN_COEF, OUT_MULT'LENGTH); v.tmp2 := (others => '0'); else READY <= '0'; end if; --check if we have to add if (v.tmp1(0) = '1') then v.tmp2 := v.tmp2 + v.tmpA; end if; --Next we are going to arithmetically shift tmp2 to the right so, that --the bit that gets shifted out of it will shift into tmp1 from right v.tmp1 := shift_right(v.tmp1, 1); v.tmp1(2 * (INTBIT_WIDTH + FRACBIT_WIDTH) - 1) := v.tmp2(0); v.tmp2 := shift_right(v.tmp2, 1); end if; rin <= v; end process combinational; sequential : process(CLK) begin if rising_edge(CLK) then r <= rin; end if; end process sequential; end Behavioral;
library IEEE; --use IEEE.STD_LOGIC_1164.ALL; use ieee.std_logic_1164.all; --use ieee.std_logic_arith.all; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --use IEEE.NUMERIC_STD.ALL; use ieee.numeric_std.all; -- Uncomment the following library declaration if instantiating -- any Xilinx primitives in this code. --library UNISIM; --use UNISIM.VComponents.all; entity MultiplierFP is generic(INTBIT_WIDTH : integer; --Size of the integer part of the input/output vectors FRACBIT_WIDTH : integer; --Bit width of the Fixed Point fraction of the input/output vectors COUNT_WIDTH : positive := 6); --Size of the counter signal --COUNT_WIDTH needs to be the exact size required to fit Output signal -- for example if BIT_WIDTH is 16, COUNT_WIDTH needs to be 5. -- The size of the output vector is 2 times the size of the input vector. port(CLK : in std_logic; --clock RESET : in std_logic; --RESET signal (pulse) IN_SIG : in signed((INTBIT_WIDTH - 1) downto 0); --multiplicand IN_COEF : in signed(((INTBIT_WIDTH + FRACBIT_WIDTH) - 1) downto 0); --mutiplier OUT_MULT : out signed(((INTBIT_WIDTH + FRACBIT_WIDTH) * 2 - 1) downto 0) := (others => '0'); --result READY : out std_logic := '0'); --Calculation ready signal (pulse) end MultiplierFP; architecture Behavioral of MultiplierFP is type reg_type is record counter : unsigned((COUNT_WIDTH - 1) downto 0); EN : std_logic; tmp1 : signed(((INTBIT_WIDTH + FRACBIT_WIDTH) * 2 - 1) downto 0); -- B / COEF tmp2 : signed(((INTBIT_WIDTH + FRACBIT_WIDTH) * 2 - 1) downto 0); tmpA : signed(((INTBIT_WIDTH + FRACBIT_WIDTH) - 1) downto 0); -- A / SIG end record; signal r, rin : reg_type := ((others => '0'), '0', (others => '0'), (others => '0'), (others => '0')); signal IN_SIG_TEMP : signed(((INTBIT_WIDTH + FRACBIT_WIDTH) - 1) downto 0); begin IN_SIG_TEMP((INTBIT_WIDTH + FRACBIT_WIDTH - 1) downto FRACBIT_WIDTH) <= IN_SIG; --Control logic of the multiplication algorithm combinational : process(IN_SIG_TEMP, IN_COEF, r, RESET) variable v : reg_type := ((others => '0'), '0', (others => '0'), (others => '0'), (others => '0')); begin if (RESET = '1') then v := ((others => '0'), '0', (others => '0'), (others => '0'), (others => '0')); OUT_MULT <= (others => '0'); READY <= '0'; else v := r; v.counter := v.counter - 1; --At first cycle the counter ovwerflows and sets all bits to '1'-s giving the value of BIT_WIDTH - 1 --Initialisation. Copy inputs to variables for manipulation and protection --against the changing of the inputs while calculating. We also reset the counter. OUT_MULT <= v.tmp1; if (v.counter = 2 * (INTBIT_WIDTH + FRACBIT_WIDTH) - 1) then READY <= '1' and v.EN; --Output the READY signal only when we have a real answer v.EN := '1'; v.tmpA := IN_SIG_TEMP; v.tmp1 := RESIZE(IN_COEF, OUT_MULT'LENGTH); v.tmp2 := (others => '0'); else READY <= '0'; end if; --check if we have to add if (v.tmp1(0) = '1') then v.tmp2 := v.tmp2 + v.tmpA; end if; --Next we are going to arithmetically shift tmp2 to the right so, that --the bit that gets shifted out of it will shift into tmp1 from right v.tmp1 := shift_right(v.tmp1, 1); v.tmp1(2 * (INTBIT_WIDTH + FRACBIT_WIDTH) - 1) := v.tmp2(0); v.tmp2 := shift_right(v.tmp2, 1); end if; rin <= v; end process combinational; sequential : process(CLK) begin if rising_edge(CLK) then r <= rin; end if; end process sequential; end Behavioral;
---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use work.CONSTANTS.all; use work.CONFIG_MANDELBROT.all; entity muxandcpt is Port ( clock : in STD_LOGIC; reset : in STD_LOGIC; i_iters1 : in STD_LOGIC_VECTOR (ITER_RANGE-1 downto 0); i_iters2 : in STD_LOGIC_VECTOR (ITER_RANGE-1 downto 0); i_iters3 : in STD_LOGIC_VECTOR (ITER_RANGE-1 downto 0); i_iters4 : in STD_LOGIC_VECTOR (ITER_RANGE-1 downto 0); i_iters5 : in STD_LOGIC_VECTOR (ITER_RANGE-1 downto 0); i_iters6 : in STD_LOGIC_VECTOR (ITER_RANGE-1 downto 0); i_iters7 : in STD_LOGIC_VECTOR (ITER_RANGE-1 downto 0); i_iters8 : in STD_LOGIC_VECTOR (ITER_RANGE-1 downto 0); startVGA : in STD_LOGIC; o_iters : out STD_LOGIC_VECTOR (ITER_RANGE-1 downto 0); doneVGA : out STD_LOGIC); end muxandcpt; architecture Behavioral of muxandcpt is signal cpt : integer range 0 to 7; begin process(clock, reset, startVGA, cpt) begin if reset='1' then cpt <= 0; doneVGA <= '0'; elsif rising_edge(clock) then if startVGA='1' then if(cpt=7) then cpt<=0; doneVGA<='1'; else cpt <= cpt + 1; doneVGA<='0'; end if; end if; end if; end process; o_iters <= i_iters7 when (cpt = 7) else i_iters6 when (cpt = 6) else i_iters5 when (cpt = 5) else i_iters4 when (cpt = 4) else i_iters3 when (cpt = 3) else i_iters2 when (cpt = 2) else i_iters1 when (cpt = 1) else i_iters8; end Behavioral;
----------------------------------------------------------------------------- -- LEON3 Demonstration design -- Copyright (C) 2013, Aeroflex Gaisler AB ------------------------------------------------------------------------------ -- This file is a part of the GRLIB VHDL IP LIBRARY -- Copyright (C) 2003 - 2008, Gaisler Research -- Copyright (C) 2008 - 2014, Aeroflex Gaisler -- Copyright (C) 2015 - 2016, Cobham Gaisler -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation; either version 2 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; library gaisler; use gaisler.jtag.all; use work.config.all; entity bschain is generic (tech: integer := CFG_FABTECH; enable: integer range 0 to 1 := CFG_BOUNDSCAN_EN; hzsup: integer range 0 to 1 := 1); port ( -- Chain control signals chain_tck : in std_ulogic; chain_tckn : in std_ulogic; chain_tdi : in std_ulogic; chain_tdo : out std_ulogic; bsshft : in std_ulogic; bscapt : in std_ulogic; bsupdi : in std_ulogic; bsupdo : in std_ulogic; bsdrive : in std_ulogic; bshighz : in std_ulogic; -- Pad-side signals Presetn : in std_ulogic; Pclksel : in std_logic_vector (1 downto 0); Pclk : in std_ulogic; Perrorn : out std_ulogic; Paddress : out std_logic_vector(27 downto 0); Pdatain : in std_logic_vector(31 downto 0); Pdataout : out std_logic_vector(31 downto 0); Pdataen : out std_logic_vector(31 downto 0); Pcbin : in std_logic_vector(7 downto 0); Pcbout : out std_logic_vector(7 downto 0); Pcben : out std_logic_vector(7 downto 0); Psdclk : out std_ulogic; Psdcsn : out std_logic_vector (1 downto 0); -- sdram chip select Psdwen : out std_ulogic; -- sdram write enable Psdrasn : out std_ulogic; -- sdram ras Psdcasn : out std_ulogic; -- sdram cas Psddqm : out std_logic_vector (3 downto 0); -- sdram dqm Pdsutx : out std_ulogic; -- DSU tx data Pdsurx : in std_ulogic; -- DSU rx data Pdsuen : in std_ulogic; Pdsubre : in std_ulogic; Pdsuact : out std_ulogic; Ptxd1 : out std_ulogic; -- UART1 tx data Prxd1 : in std_ulogic; -- UART1 rx data Ptxd2 : out std_ulogic; -- UART2 tx data Prxd2 : in std_ulogic; -- UART2 rx data Pramsn : out std_logic_vector (4 downto 0); Pramoen : out std_logic_vector (4 downto 0); Prwen : out std_logic_vector (3 downto 0); Poen : out std_ulogic; Pwriten : out std_ulogic; Pread : out std_ulogic; Piosn : out std_ulogic; Promsn : out std_logic_vector (1 downto 0); Pbrdyn : in std_ulogic; Pbexcn : in std_ulogic; Pwdogn : out std_ulogic; Pgpioin : in std_logic_vector(CFG_GRGPIO_WIDTH-1 downto 0); -- I/O port Pgpioout : out std_logic_vector(CFG_GRGPIO_WIDTH-1 downto 0); -- I/O port Pgpioen : out std_logic_vector(CFG_GRGPIO_WIDTH-1 downto 0); -- I/O port Pprom32 : in std_ulogic; Ppromedac : in std_ulogic; Pspw_clksel : in std_logic_vector (1 downto 0); Pspw_clk : in std_ulogic; Pspw_rxd : in std_logic_vector(0 to CFG_SPW_NUM-1); Pspw_rxs : in std_logic_vector(0 to CFG_SPW_NUM-1); Pspw_txd : out std_logic_vector(0 to CFG_SPW_NUM-1); Pspw_txs : out std_logic_vector(0 to CFG_SPW_NUM-1); Pspw_ten : out std_logic_vector(0 to CFG_SPW_NUM-1); Plclk2x : in std_ulogic; Plclk4x : in std_ulogic; Plclkdis : out std_ulogic; Plclklock : in std_ulogic; Plock : out std_ulogic; Proen : in std_ulogic; Proout : out std_ulogic; -- Core-side signals Cresetn : out std_ulogic; Cclksel : out std_logic_vector (1 downto 0); Cclk : out std_ulogic; Cerrorn : in std_ulogic; Caddress : in std_logic_vector(27 downto 0); Cdatain : out std_logic_vector(31 downto 0); Cdataout : in std_logic_vector(31 downto 0); Cdataen : in std_logic_vector(31 downto 0); Ccbin : out std_logic_vector(7 downto 0); Ccbout : in std_logic_vector(7 downto 0); Ccben : in std_logic_vector(7 downto 0); Csdclk : in std_ulogic; Csdcsn : in std_logic_vector (1 downto 0); -- sdram chip select Csdwen : in std_ulogic; -- sdram write enable Csdrasn : in std_ulogic; -- sdram ras Csdcasn : in std_ulogic; -- sdram cas Csddqm : in std_logic_vector (3 downto 0); -- sdram dqm Cdsutx : in std_ulogic; -- DSU tx data Cdsurx : out std_ulogic; -- DSU rx data Cdsuen : out std_ulogic; Cdsubre : out std_ulogic; Cdsuact : in std_ulogic; Ctxd1 : in std_ulogic; -- UART1 tx data Crxd1 : out std_ulogic; -- UART1 rx data Ctxd2 : in std_ulogic; -- UART2 tx data Crxd2 : out std_ulogic; -- UART2 rx data Cramsn : in std_logic_vector (4 downto 0); Cramoen : in std_logic_vector (4 downto 0); Crwen : in std_logic_vector (3 downto 0); Coen : in std_ulogic; Cwriten : in std_ulogic; Cread : in std_ulogic; Ciosn : in std_ulogic; Cromsn : in std_logic_vector (1 downto 0); Cbrdyn : out std_ulogic; Cbexcn : out std_ulogic; Cwdogn : in std_ulogic; Cgpioin : out std_logic_vector(CFG_GRGPIO_WIDTH-1 downto 0); -- I/O port Cgpioout : in std_logic_vector(CFG_GRGPIO_WIDTH-1 downto 0); -- I/O port Cgpioen : in std_logic_vector(CFG_GRGPIO_WIDTH-1 downto 0); -- I/O port Cprom32 : out std_ulogic; Cpromedac : out std_ulogic; Cspw_clksel : out std_logic_vector (1 downto 0); Cspw_clk : out std_ulogic; Cspw_rxd : out std_logic_vector(0 to CFG_SPW_NUM-1); Cspw_rxs : out std_logic_vector(0 to CFG_SPW_NUM-1); Cspw_txd : in std_logic_vector(0 to CFG_SPW_NUM-1); Cspw_txs : in std_logic_vector(0 to CFG_SPW_NUM-1); Cspw_ten : in std_logic_vector(0 to CFG_SPW_NUM-1); Clclk2x : out std_ulogic; Clclk4x : out std_ulogic; Clclkdis : in std_ulogic; Clclklock : out std_ulogic; Clock : in std_ulogic; Croen : out std_ulogic; Croout : in std_ulogic ); end; architecture rtl of bschain is signal sr1_tdi, sr1a_tdi, sr2a_tdi, sr2_tdi, sr3a_tdi, sr3_tdi, sr4_tdi: std_ulogic; signal sr1i, sr1o: std_logic_vector(4 downto 0); signal sr3i, sr3o: std_logic_vector(41 downto 0); signal sr5i, sr5o: std_logic_vector(11+5*CFG_SPW_NUM downto 0); begin ----------------------------------------------------------------------------- -- Scan chain registers (note: adjust order to match pad ring) sr1a: bscanregs generic map (tech => tech, nsigs => sr1i'length, dirmask => 2#00001#, enable => enable) port map (sr1i, sr1o, chain_tck, chain_tckn, sr1a_tdi, chain_tdo, bsshft, bscapt, bsupdi, bsupdo, bsdrive, bshighz); sr1i <= Presetn & Pclksel & Pclk & Cerrorn; Cresetn <= sr1o(4); Cclksel <= sr1o(3 downto 2); Cclk <= sr1o(1); Perrorn <= sr1o(0); sr1b: bscanregs generic map (tech => tech, nsigs => Paddress'length, dirmask => 16#3FFFFFFF#, enable => enable) port map (Caddress, Paddress, chain_tck, chain_tckn, sr1_tdi, sr1a_tdi, bsshft, bscapt, bsupdi, bsupdo, bsdrive, bshighz); sr2a: bscanregsbd generic map (tech => tech, nsigs => Pdataout'length, enable => enable, hzsup => hzsup) port map (Pdataout, Pdataen, Pdatain, Cdataout, Cdataen, Cdatain, chain_tck, chain_tckn, sr2a_tdi, sr1_tdi, bsshft, bscapt, bsupdi, bsupdo, bsdrive, bshighz); sr2b: bscanregsbd generic map (tech => tech, nsigs => Pcbout'length, enable => enable, hzsup => hzsup) port map (Pcbout, Pcben, Pcbin, Ccbout, Ccben, Ccbin, chain_tck, chain_tckn, sr2_tdi, sr2a_tdi, bsshft, bscapt, bsupdi, bsupdo, bsdrive, bshighz); sr3a: bscanregs generic map (tech => tech, nsigs => sr3i'length-30, dirmask => 2#11_11111111_10#, enable => enable) port map (sr3i(sr3i'high downto 30), sr3o(sr3i'high downto 30), chain_tck, chain_tckn, sr3a_tdi, sr2_tdi, bsshft, bscapt, bsupdi, bsupdo, bsdrive, bshighz); sr3b: bscanregs generic map (tech => tech, nsigs => 30, dirmask => 2#001101_01111111_11111111_11111001#, enable => enable) port map (sr3i(29 downto 0), sr3o(29 downto 0), chain_tck, chain_tckn, sr3_tdi, sr3a_tdi, bsshft, bscapt, bsupdi, bsupdo, bsdrive, bshighz); sr3i(41 downto 30) <= Csdclk & Csdcsn & Csdwen & Csdrasn & Csdcasn & Csddqm & Cdsutx & Pdsurx; sr3i(29 downto 23) <= Pdsuen & Pdsubre & Cdsuact & Ctxd1 & Prxd1 & Ctxd2 & Prxd2; sr3i(22 downto 9) <= Cramsn & Cramoen & Crwen; sr3i(8 downto 0) <= Coen & Cwriten & Cread & Ciosn & Cromsn(1 downto 0) & Pbrdyn & Pbexcn & Cwdogn; Psdclk <= sr3o(41); Psdcsn <= sr3o(40 downto 39); Psdwen <= sr3o(38); Psdrasn <= sr3o(37); Psdcasn <= sr3o(36); Psddqm <= sr3o(35 downto 32); Pdsutx <= sr3o(31); Cdsurx <= sr3o(30); Cdsuen <= sr3o(29); Cdsubre <= sr3o(28); Pdsuact <= sr3o(27); Ptxd1 <= sr3o(26); Crxd1 <= sr3o(25); Ptxd2 <= sr3o(24); Crxd2 <= sr3o(23); Pramsn <= sr3o(22 downto 18); Pramoen <= sr3o(17 downto 13); Prwen <= sr3o(12 downto 9); Poen <= sr3o(8); Pwriten <= sr3o(7); Pread <= sr3o(6); Piosn <= sr3o(5); Promsn <= sr3o(4 downto 3); Cbrdyn <= sr3o(2); Cbexcn <= sr3o(1); Pwdogn <= sr3o(0); sr4: bscanregsbd generic map (tech => tech, nsigs => Pgpioin'length, enable => enable, hzsup => hzsup) port map (Pgpioout, Pgpioen, Pgpioin, Cgpioout, Cgpioen, Cgpioin, chain_tck, chain_tckn, sr4_tdi, sr3_tdi, bsshft, bscapt, bsupdi, bsupdo, bsdrive, bshighz); sr5: bscanregs generic map (tech => tech, nsigs => sr5i'length, dirmask => 2#00000011_10010101#, enable => enable) port map (sr5i, sr5o, chain_tck, chain_tckn, chain_tdi, sr4_tdi, bsshft, bscapt, bsupdi, bsupdo, bsdrive, bshighz); sr5i <= Pprom32 & Ppromedac & Pspw_clksel & Pspw_clk & Pspw_rxd & Pspw_rxs & Cspw_txd & Cspw_txs & Cspw_ten & Plclk2x & Plclk4x & Clclkdis & Plclklock & Clock & Proen & Croout; Cprom32 <= sr5o(11+5*CFG_SPW_NUM); Cpromedac <= sr5o(10+5*CFG_SPW_NUM); Cspw_clksel <= sr5o(9+5*CFG_SPW_NUM downto 8+5*CFG_SPW_NUM); Cspw_clk <= sr5o(7+5*CFG_SPW_NUM); Cspw_rxd <= sr5o(6+5*CFG_SPW_NUM downto 7+4*CFG_SPW_NUM); Cspw_rxs <= sr5o(6+4*CFG_SPW_NUM downto 7+3*CFG_SPW_NUM); Pspw_txd <= sr5o(6+3*CFG_SPW_NUM downto 7+2*CFG_SPW_NUM); Pspw_txs <= sr5o(6+2*CFG_SPW_NUM downto 7+CFG_SPW_NUM); Pspw_ten <= sr5o(6+CFG_SPW_NUM downto 7); Clclk2x <= sr5o(6); Clclk4x <= sr5o(5); Plclkdis <= sr5o(4); Clclklock <= sr5o(3); Plock <= sr5o(2); Croen <= sr5o(1); Proout <= sr5o(0); end;
library ieee; use ieee.std_logic_textio; entity tb is end tb;
library ieee; use ieee.std_logic_textio; entity tb is end tb;
-- Copyright 1986-2017 Xilinx, Inc. All Rights Reserved. -- -------------------------------------------------------------------------------- -- Tool Version: Vivado v.2017.2 (win64) Build 1909853 Thu Jun 15 18:39:09 MDT 2017 -- Date : Wed Sep 20 21:09:46 2017 -- Host : EffulgentTome running 64-bit major release (build 9200) -- Command : write_vhdl -force -mode funcsim -rename_top decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix -prefix -- decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_ zqynq_lab_1_design_axi_bram_ctrl_0_0_sim_netlist.vhdl -- Design : zqynq_lab_1_design_axi_bram_ctrl_0_0 -- Purpose : This VHDL netlist is a functional simulation representation of the design and should not be modified or -- synthesized. This netlist cannot be used for SDF annotated simulation. -- Device : xc7z020clg484-1 -- -------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_SRL_FIFO is port ( E : out STD_LOGIC_VECTOR ( 0 to 0 ); bid_gets_fifo_load : out STD_LOGIC; bvalid_cnt_inc : out STD_LOGIC; bid_gets_fifo_load_d1_reg : out STD_LOGIC; D : out STD_LOGIC_VECTOR ( 11 downto 0 ); axi_wdata_full_cmb114_out : out STD_LOGIC; SR : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_aclk : in STD_LOGIC; \bvalid_cnt_reg[2]\ : in STD_LOGIC; wr_addr_sm_cs : in STD_LOGIC; \bvalid_cnt_reg[2]_0\ : in STD_LOGIC; \GEN_AWREADY.axi_aresetn_d2_reg\ : in STD_LOGIC; axi_awaddr_full : in STD_LOGIC; bram_addr_ld_en : in STD_LOGIC; bid_gets_fifo_load_d1 : in STD_LOGIC; s_axi_bready : in STD_LOGIC; axi_bvalid_int_reg : in STD_LOGIC; bvalid_cnt : in STD_LOGIC_VECTOR ( 2 downto 0 ); Q : in STD_LOGIC_VECTOR ( 11 downto 0 ); s_axi_awid : in STD_LOGIC_VECTOR ( 11 downto 0 ); \bvalid_cnt_reg[1]\ : in STD_LOGIC; aw_active : in STD_LOGIC; s_axi_awready : in STD_LOGIC; s_axi_awvalid : in STD_LOGIC; curr_awlen_reg_1_or_2 : in STD_LOGIC; axi_awlen_pipe_1_or_2 : in STD_LOGIC; \GEN_AW_PIPE_DUAL.axi_awburst_pipe_fixed_reg\ : in STD_LOGIC; last_data_ack_mod : in STD_LOGIC; \out\ : in STD_LOGIC_VECTOR ( 2 downto 0 ); axi_wr_burst : in STD_LOGIC; s_axi_wvalid : in STD_LOGIC; s_axi_wlast : in STD_LOGIC ); end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_SRL_FIFO; architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_SRL_FIFO is signal \Addr_Counters[0].FDRE_I_n_0\ : STD_LOGIC; signal \Addr_Counters[1].FDRE_I_n_0\ : STD_LOGIC; signal \Addr_Counters[2].FDRE_I_n_0\ : STD_LOGIC; signal \Addr_Counters[3].FDRE_I_n_0\ : STD_LOGIC; signal \Addr_Counters[3].XORCY_I_i_1_n_0\ : STD_LOGIC; signal CI : STD_LOGIC; signal D_0 : STD_LOGIC; signal Data_Exists_DFF_i_2_n_0 : STD_LOGIC; signal Data_Exists_DFF_i_3_n_0 : STD_LOGIC; signal S : STD_LOGIC; signal S0_out : STD_LOGIC; signal S1_out : STD_LOGIC; signal addr_cy_1 : STD_LOGIC; signal addr_cy_2 : STD_LOGIC; signal addr_cy_3 : STD_LOGIC; signal \axi_bid_int[11]_i_3_n_0\ : STD_LOGIC; signal axi_bvalid_int_i_4_n_0 : STD_LOGIC; signal axi_bvalid_int_i_5_n_0 : STD_LOGIC; signal axi_bvalid_int_i_6_n_0 : STD_LOGIC; signal \^axi_wdata_full_cmb114_out\ : STD_LOGIC; signal bid_fifo_ld : STD_LOGIC_VECTOR ( 11 downto 0 ); signal bid_fifo_not_empty : STD_LOGIC; signal bid_fifo_rd : STD_LOGIC_VECTOR ( 11 downto 0 ); signal \^bid_gets_fifo_load\ : STD_LOGIC; signal bid_gets_fifo_load_d1_i_3_n_0 : STD_LOGIC; signal \^bid_gets_fifo_load_d1_reg\ : STD_LOGIC; signal \^bvalid_cnt_inc\ : STD_LOGIC; signal sum_A_0 : STD_LOGIC; signal sum_A_1 : STD_LOGIC; signal sum_A_2 : STD_LOGIC; signal sum_A_3 : STD_LOGIC; signal \NLW_Addr_Counters[0].MUXCY_L_I_CARRY4_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 to 3 ); signal \NLW_Addr_Counters[0].MUXCY_L_I_CARRY4_DI_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 to 3 ); attribute BOX_TYPE : string; attribute BOX_TYPE of \Addr_Counters[0].FDRE_I\ : label is "PRIMITIVE"; attribute BOX_TYPE of \Addr_Counters[0].MUXCY_L_I_CARRY4\ : label is "PRIMITIVE"; attribute XILINX_LEGACY_PRIM : string; attribute XILINX_LEGACY_PRIM of \Addr_Counters[0].MUXCY_L_I_CARRY4\ : label is "(MUXCY,XORCY)"; attribute XILINX_TRANSFORM_PINMAP : string; attribute XILINX_TRANSFORM_PINMAP of \Addr_Counters[0].MUXCY_L_I_CARRY4\ : label is "LO:O"; attribute BOX_TYPE of \Addr_Counters[1].FDRE_I\ : label is "PRIMITIVE"; attribute BOX_TYPE of \Addr_Counters[2].FDRE_I\ : label is "PRIMITIVE"; attribute BOX_TYPE of \Addr_Counters[3].FDRE_I\ : label is "PRIMITIVE"; attribute BOX_TYPE of Data_Exists_DFF : label is "PRIMITIVE"; attribute XILINX_LEGACY_PRIM of Data_Exists_DFF : label is "FDR"; attribute BOX_TYPE of \FIFO_RAM[0].SRL16E_I\ : label is "PRIMITIVE"; attribute srl_bus_name : string; attribute srl_bus_name of \FIFO_RAM[0].SRL16E_I\ : label is "U0/\gext_inst.abcv4_0_ext_inst/GEN_AXI4.I_FULL_AXI/I_WR_CHNL/BID_FIFO/FIFO_RAM "; attribute srl_name : string; attribute srl_name of \FIFO_RAM[0].SRL16E_I\ : label is "U0/\gext_inst.abcv4_0_ext_inst/GEN_AXI4.I_FULL_AXI/I_WR_CHNL/BID_FIFO/FIFO_RAM[0].SRL16E_I "; attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \FIFO_RAM[0].SRL16E_I_i_1\ : label is "soft_lutpair44"; attribute BOX_TYPE of \FIFO_RAM[10].SRL16E_I\ : label is "PRIMITIVE"; attribute srl_bus_name of \FIFO_RAM[10].SRL16E_I\ : label is "U0/\gext_inst.abcv4_0_ext_inst/GEN_AXI4.I_FULL_AXI/I_WR_CHNL/BID_FIFO/FIFO_RAM "; attribute srl_name of \FIFO_RAM[10].SRL16E_I\ : label is "U0/\gext_inst.abcv4_0_ext_inst/GEN_AXI4.I_FULL_AXI/I_WR_CHNL/BID_FIFO/FIFO_RAM[10].SRL16E_I "; attribute SOFT_HLUTNM of \FIFO_RAM[10].SRL16E_I_i_1\ : label is "soft_lutpair54"; attribute BOX_TYPE of \FIFO_RAM[11].SRL16E_I\ : label is "PRIMITIVE"; attribute srl_bus_name of \FIFO_RAM[11].SRL16E_I\ : label is "U0/\gext_inst.abcv4_0_ext_inst/GEN_AXI4.I_FULL_AXI/I_WR_CHNL/BID_FIFO/FIFO_RAM "; attribute srl_name of \FIFO_RAM[11].SRL16E_I\ : label is "U0/\gext_inst.abcv4_0_ext_inst/GEN_AXI4.I_FULL_AXI/I_WR_CHNL/BID_FIFO/FIFO_RAM[11].SRL16E_I "; attribute SOFT_HLUTNM of \FIFO_RAM[11].SRL16E_I_i_1\ : label is "soft_lutpair55"; attribute BOX_TYPE of \FIFO_RAM[1].SRL16E_I\ : label is "PRIMITIVE"; attribute srl_bus_name of \FIFO_RAM[1].SRL16E_I\ : label is "U0/\gext_inst.abcv4_0_ext_inst/GEN_AXI4.I_FULL_AXI/I_WR_CHNL/BID_FIFO/FIFO_RAM "; attribute srl_name of \FIFO_RAM[1].SRL16E_I\ : label is "U0/\gext_inst.abcv4_0_ext_inst/GEN_AXI4.I_FULL_AXI/I_WR_CHNL/BID_FIFO/FIFO_RAM[1].SRL16E_I "; attribute SOFT_HLUTNM of \FIFO_RAM[1].SRL16E_I_i_1\ : label is "soft_lutpair45"; attribute BOX_TYPE of \FIFO_RAM[2].SRL16E_I\ : label is "PRIMITIVE"; attribute srl_bus_name of \FIFO_RAM[2].SRL16E_I\ : label is "U0/\gext_inst.abcv4_0_ext_inst/GEN_AXI4.I_FULL_AXI/I_WR_CHNL/BID_FIFO/FIFO_RAM "; attribute srl_name of \FIFO_RAM[2].SRL16E_I\ : label is "U0/\gext_inst.abcv4_0_ext_inst/GEN_AXI4.I_FULL_AXI/I_WR_CHNL/BID_FIFO/FIFO_RAM[2].SRL16E_I "; attribute SOFT_HLUTNM of \FIFO_RAM[2].SRL16E_I_i_1\ : label is "soft_lutpair46"; attribute BOX_TYPE of \FIFO_RAM[3].SRL16E_I\ : label is "PRIMITIVE"; attribute srl_bus_name of \FIFO_RAM[3].SRL16E_I\ : label is "U0/\gext_inst.abcv4_0_ext_inst/GEN_AXI4.I_FULL_AXI/I_WR_CHNL/BID_FIFO/FIFO_RAM "; attribute srl_name of \FIFO_RAM[3].SRL16E_I\ : label is "U0/\gext_inst.abcv4_0_ext_inst/GEN_AXI4.I_FULL_AXI/I_WR_CHNL/BID_FIFO/FIFO_RAM[3].SRL16E_I "; attribute SOFT_HLUTNM of \FIFO_RAM[3].SRL16E_I_i_1\ : label is "soft_lutpair47"; attribute BOX_TYPE of \FIFO_RAM[4].SRL16E_I\ : label is "PRIMITIVE"; attribute srl_bus_name of \FIFO_RAM[4].SRL16E_I\ : label is "U0/\gext_inst.abcv4_0_ext_inst/GEN_AXI4.I_FULL_AXI/I_WR_CHNL/BID_FIFO/FIFO_RAM "; attribute srl_name of \FIFO_RAM[4].SRL16E_I\ : label is "U0/\gext_inst.abcv4_0_ext_inst/GEN_AXI4.I_FULL_AXI/I_WR_CHNL/BID_FIFO/FIFO_RAM[4].SRL16E_I "; attribute SOFT_HLUTNM of \FIFO_RAM[4].SRL16E_I_i_1\ : label is "soft_lutpair48"; attribute BOX_TYPE of \FIFO_RAM[5].SRL16E_I\ : label is "PRIMITIVE"; attribute srl_bus_name of \FIFO_RAM[5].SRL16E_I\ : label is "U0/\gext_inst.abcv4_0_ext_inst/GEN_AXI4.I_FULL_AXI/I_WR_CHNL/BID_FIFO/FIFO_RAM "; attribute srl_name of \FIFO_RAM[5].SRL16E_I\ : label is "U0/\gext_inst.abcv4_0_ext_inst/GEN_AXI4.I_FULL_AXI/I_WR_CHNL/BID_FIFO/FIFO_RAM[5].SRL16E_I "; attribute SOFT_HLUTNM of \FIFO_RAM[5].SRL16E_I_i_1\ : label is "soft_lutpair49"; attribute BOX_TYPE of \FIFO_RAM[6].SRL16E_I\ : label is "PRIMITIVE"; attribute srl_bus_name of \FIFO_RAM[6].SRL16E_I\ : label is "U0/\gext_inst.abcv4_0_ext_inst/GEN_AXI4.I_FULL_AXI/I_WR_CHNL/BID_FIFO/FIFO_RAM "; attribute srl_name of \FIFO_RAM[6].SRL16E_I\ : label is "U0/\gext_inst.abcv4_0_ext_inst/GEN_AXI4.I_FULL_AXI/I_WR_CHNL/BID_FIFO/FIFO_RAM[6].SRL16E_I "; attribute SOFT_HLUTNM of \FIFO_RAM[6].SRL16E_I_i_1\ : label is "soft_lutpair50"; attribute BOX_TYPE of \FIFO_RAM[7].SRL16E_I\ : label is "PRIMITIVE"; attribute srl_bus_name of \FIFO_RAM[7].SRL16E_I\ : label is "U0/\gext_inst.abcv4_0_ext_inst/GEN_AXI4.I_FULL_AXI/I_WR_CHNL/BID_FIFO/FIFO_RAM "; attribute srl_name of \FIFO_RAM[7].SRL16E_I\ : label is "U0/\gext_inst.abcv4_0_ext_inst/GEN_AXI4.I_FULL_AXI/I_WR_CHNL/BID_FIFO/FIFO_RAM[7].SRL16E_I "; attribute SOFT_HLUTNM of \FIFO_RAM[7].SRL16E_I_i_1\ : label is "soft_lutpair51"; attribute BOX_TYPE of \FIFO_RAM[8].SRL16E_I\ : label is "PRIMITIVE"; attribute srl_bus_name of \FIFO_RAM[8].SRL16E_I\ : label is "U0/\gext_inst.abcv4_0_ext_inst/GEN_AXI4.I_FULL_AXI/I_WR_CHNL/BID_FIFO/FIFO_RAM "; attribute srl_name of \FIFO_RAM[8].SRL16E_I\ : label is "U0/\gext_inst.abcv4_0_ext_inst/GEN_AXI4.I_FULL_AXI/I_WR_CHNL/BID_FIFO/FIFO_RAM[8].SRL16E_I "; attribute SOFT_HLUTNM of \FIFO_RAM[8].SRL16E_I_i_1\ : label is "soft_lutpair52"; attribute BOX_TYPE of \FIFO_RAM[9].SRL16E_I\ : label is "PRIMITIVE"; attribute srl_bus_name of \FIFO_RAM[9].SRL16E_I\ : label is "U0/\gext_inst.abcv4_0_ext_inst/GEN_AXI4.I_FULL_AXI/I_WR_CHNL/BID_FIFO/FIFO_RAM "; attribute srl_name of \FIFO_RAM[9].SRL16E_I\ : label is "U0/\gext_inst.abcv4_0_ext_inst/GEN_AXI4.I_FULL_AXI/I_WR_CHNL/BID_FIFO/FIFO_RAM[9].SRL16E_I "; attribute SOFT_HLUTNM of \FIFO_RAM[9].SRL16E_I_i_1\ : label is "soft_lutpair53"; attribute SOFT_HLUTNM of \axi_bid_int[0]_i_1\ : label is "soft_lutpair55"; attribute SOFT_HLUTNM of \axi_bid_int[10]_i_1\ : label is "soft_lutpair45"; attribute SOFT_HLUTNM of \axi_bid_int[11]_i_2\ : label is "soft_lutpair44"; attribute SOFT_HLUTNM of \axi_bid_int[1]_i_1\ : label is "soft_lutpair54"; attribute SOFT_HLUTNM of \axi_bid_int[2]_i_1\ : label is "soft_lutpair53"; attribute SOFT_HLUTNM of \axi_bid_int[3]_i_1\ : label is "soft_lutpair52"; attribute SOFT_HLUTNM of \axi_bid_int[4]_i_1\ : label is "soft_lutpair51"; attribute SOFT_HLUTNM of \axi_bid_int[5]_i_1\ : label is "soft_lutpair50"; attribute SOFT_HLUTNM of \axi_bid_int[6]_i_1\ : label is "soft_lutpair49"; attribute SOFT_HLUTNM of \axi_bid_int[7]_i_1\ : label is "soft_lutpair48"; attribute SOFT_HLUTNM of \axi_bid_int[8]_i_1\ : label is "soft_lutpair47"; attribute SOFT_HLUTNM of \axi_bid_int[9]_i_1\ : label is "soft_lutpair46"; attribute SOFT_HLUTNM of axi_bvalid_int_i_3 : label is "soft_lutpair56"; attribute SOFT_HLUTNM of bid_gets_fifo_load_d1_i_3 : label is "soft_lutpair56"; begin axi_wdata_full_cmb114_out <= \^axi_wdata_full_cmb114_out\; bid_gets_fifo_load <= \^bid_gets_fifo_load\; bid_gets_fifo_load_d1_reg <= \^bid_gets_fifo_load_d1_reg\; bvalid_cnt_inc <= \^bvalid_cnt_inc\; \Addr_Counters[0].FDRE_I\: unisim.vcomponents.FDRE generic map( INIT => '0', IS_C_INVERTED => '0', IS_D_INVERTED => '0', IS_R_INVERTED => '0' ) port map ( C => s_axi_aclk, CE => bid_fifo_not_empty, D => sum_A_3, Q => \Addr_Counters[0].FDRE_I_n_0\, R => SR(0) ); \Addr_Counters[0].MUXCY_L_I_CARRY4\: unisim.vcomponents.CARRY4 port map ( CI => '0', CO(3) => \NLW_Addr_Counters[0].MUXCY_L_I_CARRY4_CO_UNCONNECTED\(3), CO(2) => addr_cy_1, CO(1) => addr_cy_2, CO(0) => addr_cy_3, CYINIT => CI, DI(3) => \NLW_Addr_Counters[0].MUXCY_L_I_CARRY4_DI_UNCONNECTED\(3), DI(2) => \Addr_Counters[2].FDRE_I_n_0\, DI(1) => \Addr_Counters[1].FDRE_I_n_0\, DI(0) => \Addr_Counters[0].FDRE_I_n_0\, O(3) => sum_A_0, O(2) => sum_A_1, O(1) => sum_A_2, O(0) => sum_A_3, S(3) => \Addr_Counters[3].XORCY_I_i_1_n_0\, S(2) => S0_out, S(1) => S1_out, S(0) => S ); \Addr_Counters[0].MUXCY_L_I_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"0000FFFFFFFE0000" ) port map ( I0 => \Addr_Counters[1].FDRE_I_n_0\, I1 => \Addr_Counters[3].FDRE_I_n_0\, I2 => \Addr_Counters[2].FDRE_I_n_0\, I3 => bram_addr_ld_en, I4 => \axi_bid_int[11]_i_3_n_0\, I5 => \Addr_Counters[0].FDRE_I_n_0\, O => S ); \Addr_Counters[0].MUXCY_L_I_i_2\: unisim.vcomponents.LUT6 generic map( INIT => X"8AAAAAAAAAAAAAAA" ) port map ( I0 => bram_addr_ld_en, I1 => \axi_bid_int[11]_i_3_n_0\, I2 => \Addr_Counters[0].FDRE_I_n_0\, I3 => \Addr_Counters[1].FDRE_I_n_0\, I4 => \Addr_Counters[3].FDRE_I_n_0\, I5 => \Addr_Counters[2].FDRE_I_n_0\, O => CI ); \Addr_Counters[1].FDRE_I\: unisim.vcomponents.FDRE generic map( INIT => '0', IS_C_INVERTED => '0', IS_D_INVERTED => '0', IS_R_INVERTED => '0' ) port map ( C => s_axi_aclk, CE => bid_fifo_not_empty, D => sum_A_2, Q => \Addr_Counters[1].FDRE_I_n_0\, R => SR(0) ); \Addr_Counters[1].MUXCY_L_I_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"0000FFFFFFFE0000" ) port map ( I0 => \Addr_Counters[0].FDRE_I_n_0\, I1 => \Addr_Counters[3].FDRE_I_n_0\, I2 => \Addr_Counters[2].FDRE_I_n_0\, I3 => bram_addr_ld_en, I4 => \axi_bid_int[11]_i_3_n_0\, I5 => \Addr_Counters[1].FDRE_I_n_0\, O => S1_out ); \Addr_Counters[2].FDRE_I\: unisim.vcomponents.FDRE generic map( INIT => '0', IS_C_INVERTED => '0', IS_D_INVERTED => '0', IS_R_INVERTED => '0' ) port map ( C => s_axi_aclk, CE => bid_fifo_not_empty, D => sum_A_1, Q => \Addr_Counters[2].FDRE_I_n_0\, R => SR(0) ); \Addr_Counters[2].MUXCY_L_I_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"0000FFFFFFFE0000" ) port map ( I0 => \Addr_Counters[0].FDRE_I_n_0\, I1 => \Addr_Counters[1].FDRE_I_n_0\, I2 => \Addr_Counters[3].FDRE_I_n_0\, I3 => bram_addr_ld_en, I4 => \axi_bid_int[11]_i_3_n_0\, I5 => \Addr_Counters[2].FDRE_I_n_0\, O => S0_out ); \Addr_Counters[3].FDRE_I\: unisim.vcomponents.FDRE generic map( INIT => '0', IS_C_INVERTED => '0', IS_D_INVERTED => '0', IS_R_INVERTED => '0' ) port map ( C => s_axi_aclk, CE => bid_fifo_not_empty, D => sum_A_0, Q => \Addr_Counters[3].FDRE_I_n_0\, R => SR(0) ); \Addr_Counters[3].XORCY_I_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"0000FFFFFFFE0000" ) port map ( I0 => \Addr_Counters[0].FDRE_I_n_0\, I1 => \Addr_Counters[1].FDRE_I_n_0\, I2 => \Addr_Counters[2].FDRE_I_n_0\, I3 => bram_addr_ld_en, I4 => \axi_bid_int[11]_i_3_n_0\, I5 => \Addr_Counters[3].FDRE_I_n_0\, O => \Addr_Counters[3].XORCY_I_i_1_n_0\ ); Data_Exists_DFF: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => '1', D => D_0, Q => bid_fifo_not_empty, R => SR(0) ); Data_Exists_DFF_i_1: unisim.vcomponents.LUT4 generic map( INIT => X"FE0A" ) port map ( I0 => bram_addr_ld_en, I1 => Data_Exists_DFF_i_2_n_0, I2 => Data_Exists_DFF_i_3_n_0, I3 => bid_fifo_not_empty, O => D_0 ); Data_Exists_DFF_i_2: unisim.vcomponents.LUT6 generic map( INIT => X"000000000000FFFD" ) port map ( I0 => \^bvalid_cnt_inc\, I1 => bvalid_cnt(2), I2 => bvalid_cnt(0), I3 => bvalid_cnt(1), I4 => \^bid_gets_fifo_load_d1_reg\, I5 => bid_gets_fifo_load_d1, O => Data_Exists_DFF_i_2_n_0 ); Data_Exists_DFF_i_3: unisim.vcomponents.LUT4 generic map( INIT => X"FFFE" ) port map ( I0 => \Addr_Counters[0].FDRE_I_n_0\, I1 => \Addr_Counters[1].FDRE_I_n_0\, I2 => \Addr_Counters[3].FDRE_I_n_0\, I3 => \Addr_Counters[2].FDRE_I_n_0\, O => Data_Exists_DFF_i_3_n_0 ); \FIFO_RAM[0].SRL16E_I\: unisim.vcomponents.SRL16E generic map( INIT => X"0000", IS_CLK_INVERTED => '0' ) port map ( A0 => \Addr_Counters[0].FDRE_I_n_0\, A1 => \Addr_Counters[1].FDRE_I_n_0\, A2 => \Addr_Counters[2].FDRE_I_n_0\, A3 => \Addr_Counters[3].FDRE_I_n_0\, CE => CI, CLK => s_axi_aclk, D => bid_fifo_ld(11), Q => bid_fifo_rd(11) ); \FIFO_RAM[0].SRL16E_I_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => Q(11), I1 => axi_awaddr_full, I2 => s_axi_awid(11), O => bid_fifo_ld(11) ); \FIFO_RAM[10].SRL16E_I\: unisim.vcomponents.SRL16E generic map( INIT => X"0000", IS_CLK_INVERTED => '0' ) port map ( A0 => \Addr_Counters[0].FDRE_I_n_0\, A1 => \Addr_Counters[1].FDRE_I_n_0\, A2 => \Addr_Counters[2].FDRE_I_n_0\, A3 => \Addr_Counters[3].FDRE_I_n_0\, CE => CI, CLK => s_axi_aclk, D => bid_fifo_ld(1), Q => bid_fifo_rd(1) ); \FIFO_RAM[10].SRL16E_I_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => Q(1), I1 => axi_awaddr_full, I2 => s_axi_awid(1), O => bid_fifo_ld(1) ); \FIFO_RAM[11].SRL16E_I\: unisim.vcomponents.SRL16E generic map( INIT => X"0000", IS_CLK_INVERTED => '0' ) port map ( A0 => \Addr_Counters[0].FDRE_I_n_0\, A1 => \Addr_Counters[1].FDRE_I_n_0\, A2 => \Addr_Counters[2].FDRE_I_n_0\, A3 => \Addr_Counters[3].FDRE_I_n_0\, CE => CI, CLK => s_axi_aclk, D => bid_fifo_ld(0), Q => bid_fifo_rd(0) ); \FIFO_RAM[11].SRL16E_I_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => Q(0), I1 => axi_awaddr_full, I2 => s_axi_awid(0), O => bid_fifo_ld(0) ); \FIFO_RAM[1].SRL16E_I\: unisim.vcomponents.SRL16E generic map( INIT => X"0000", IS_CLK_INVERTED => '0' ) port map ( A0 => \Addr_Counters[0].FDRE_I_n_0\, A1 => \Addr_Counters[1].FDRE_I_n_0\, A2 => \Addr_Counters[2].FDRE_I_n_0\, A3 => \Addr_Counters[3].FDRE_I_n_0\, CE => CI, CLK => s_axi_aclk, D => bid_fifo_ld(10), Q => bid_fifo_rd(10) ); \FIFO_RAM[1].SRL16E_I_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => Q(10), I1 => axi_awaddr_full, I2 => s_axi_awid(10), O => bid_fifo_ld(10) ); \FIFO_RAM[2].SRL16E_I\: unisim.vcomponents.SRL16E generic map( INIT => X"0000", IS_CLK_INVERTED => '0' ) port map ( A0 => \Addr_Counters[0].FDRE_I_n_0\, A1 => \Addr_Counters[1].FDRE_I_n_0\, A2 => \Addr_Counters[2].FDRE_I_n_0\, A3 => \Addr_Counters[3].FDRE_I_n_0\, CE => CI, CLK => s_axi_aclk, D => bid_fifo_ld(9), Q => bid_fifo_rd(9) ); \FIFO_RAM[2].SRL16E_I_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => Q(9), I1 => axi_awaddr_full, I2 => s_axi_awid(9), O => bid_fifo_ld(9) ); \FIFO_RAM[3].SRL16E_I\: unisim.vcomponents.SRL16E generic map( INIT => X"0000", IS_CLK_INVERTED => '0' ) port map ( A0 => \Addr_Counters[0].FDRE_I_n_0\, A1 => \Addr_Counters[1].FDRE_I_n_0\, A2 => \Addr_Counters[2].FDRE_I_n_0\, A3 => \Addr_Counters[3].FDRE_I_n_0\, CE => CI, CLK => s_axi_aclk, D => bid_fifo_ld(8), Q => bid_fifo_rd(8) ); \FIFO_RAM[3].SRL16E_I_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => Q(8), I1 => axi_awaddr_full, I2 => s_axi_awid(8), O => bid_fifo_ld(8) ); \FIFO_RAM[4].SRL16E_I\: unisim.vcomponents.SRL16E generic map( INIT => X"0000", IS_CLK_INVERTED => '0' ) port map ( A0 => \Addr_Counters[0].FDRE_I_n_0\, A1 => \Addr_Counters[1].FDRE_I_n_0\, A2 => \Addr_Counters[2].FDRE_I_n_0\, A3 => \Addr_Counters[3].FDRE_I_n_0\, CE => CI, CLK => s_axi_aclk, D => bid_fifo_ld(7), Q => bid_fifo_rd(7) ); \FIFO_RAM[4].SRL16E_I_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => Q(7), I1 => axi_awaddr_full, I2 => s_axi_awid(7), O => bid_fifo_ld(7) ); \FIFO_RAM[5].SRL16E_I\: unisim.vcomponents.SRL16E generic map( INIT => X"0000", IS_CLK_INVERTED => '0' ) port map ( A0 => \Addr_Counters[0].FDRE_I_n_0\, A1 => \Addr_Counters[1].FDRE_I_n_0\, A2 => \Addr_Counters[2].FDRE_I_n_0\, A3 => \Addr_Counters[3].FDRE_I_n_0\, CE => CI, CLK => s_axi_aclk, D => bid_fifo_ld(6), Q => bid_fifo_rd(6) ); \FIFO_RAM[5].SRL16E_I_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => Q(6), I1 => axi_awaddr_full, I2 => s_axi_awid(6), O => bid_fifo_ld(6) ); \FIFO_RAM[6].SRL16E_I\: unisim.vcomponents.SRL16E generic map( INIT => X"0000", IS_CLK_INVERTED => '0' ) port map ( A0 => \Addr_Counters[0].FDRE_I_n_0\, A1 => \Addr_Counters[1].FDRE_I_n_0\, A2 => \Addr_Counters[2].FDRE_I_n_0\, A3 => \Addr_Counters[3].FDRE_I_n_0\, CE => CI, CLK => s_axi_aclk, D => bid_fifo_ld(5), Q => bid_fifo_rd(5) ); \FIFO_RAM[6].SRL16E_I_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => Q(5), I1 => axi_awaddr_full, I2 => s_axi_awid(5), O => bid_fifo_ld(5) ); \FIFO_RAM[7].SRL16E_I\: unisim.vcomponents.SRL16E generic map( INIT => X"0000", IS_CLK_INVERTED => '0' ) port map ( A0 => \Addr_Counters[0].FDRE_I_n_0\, A1 => \Addr_Counters[1].FDRE_I_n_0\, A2 => \Addr_Counters[2].FDRE_I_n_0\, A3 => \Addr_Counters[3].FDRE_I_n_0\, CE => CI, CLK => s_axi_aclk, D => bid_fifo_ld(4), Q => bid_fifo_rd(4) ); \FIFO_RAM[7].SRL16E_I_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => Q(4), I1 => axi_awaddr_full, I2 => s_axi_awid(4), O => bid_fifo_ld(4) ); \FIFO_RAM[8].SRL16E_I\: unisim.vcomponents.SRL16E generic map( INIT => X"0000", IS_CLK_INVERTED => '0' ) port map ( A0 => \Addr_Counters[0].FDRE_I_n_0\, A1 => \Addr_Counters[1].FDRE_I_n_0\, A2 => \Addr_Counters[2].FDRE_I_n_0\, A3 => \Addr_Counters[3].FDRE_I_n_0\, CE => CI, CLK => s_axi_aclk, D => bid_fifo_ld(3), Q => bid_fifo_rd(3) ); \FIFO_RAM[8].SRL16E_I_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => Q(3), I1 => axi_awaddr_full, I2 => s_axi_awid(3), O => bid_fifo_ld(3) ); \FIFO_RAM[9].SRL16E_I\: unisim.vcomponents.SRL16E generic map( INIT => X"0000", IS_CLK_INVERTED => '0' ) port map ( A0 => \Addr_Counters[0].FDRE_I_n_0\, A1 => \Addr_Counters[1].FDRE_I_n_0\, A2 => \Addr_Counters[2].FDRE_I_n_0\, A3 => \Addr_Counters[3].FDRE_I_n_0\, CE => CI, CLK => s_axi_aclk, D => bid_fifo_ld(2), Q => bid_fifo_rd(2) ); \FIFO_RAM[9].SRL16E_I_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => Q(2), I1 => axi_awaddr_full, I2 => s_axi_awid(2), O => bid_fifo_ld(2) ); \axi_bid_int[0]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"B8FFB800" ) port map ( I0 => Q(0), I1 => axi_awaddr_full, I2 => s_axi_awid(0), I3 => \^bid_gets_fifo_load\, I4 => bid_fifo_rd(0), O => D(0) ); \axi_bid_int[10]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"B8FFB800" ) port map ( I0 => Q(10), I1 => axi_awaddr_full, I2 => s_axi_awid(10), I3 => \^bid_gets_fifo_load\, I4 => bid_fifo_rd(10), O => D(10) ); \axi_bid_int[11]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"E" ) port map ( I0 => \^bid_gets_fifo_load\, I1 => \axi_bid_int[11]_i_3_n_0\, O => E(0) ); \axi_bid_int[11]_i_2\: unisim.vcomponents.LUT5 generic map( INIT => X"B8FFB800" ) port map ( I0 => Q(11), I1 => axi_awaddr_full, I2 => s_axi_awid(11), I3 => \^bid_gets_fifo_load\, I4 => bid_fifo_rd(11), O => D(11) ); \axi_bid_int[11]_i_3\: unisim.vcomponents.LUT6 generic map( INIT => X"A888AAAAA8888888" ) port map ( I0 => bid_fifo_not_empty, I1 => bid_gets_fifo_load_d1, I2 => s_axi_bready, I3 => axi_bvalid_int_reg, I4 => bid_gets_fifo_load_d1_i_3_n_0, I5 => \^bvalid_cnt_inc\, O => \axi_bid_int[11]_i_3_n_0\ ); \axi_bid_int[1]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"B8FFB800" ) port map ( I0 => Q(1), I1 => axi_awaddr_full, I2 => s_axi_awid(1), I3 => \^bid_gets_fifo_load\, I4 => bid_fifo_rd(1), O => D(1) ); \axi_bid_int[2]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"B8FFB800" ) port map ( I0 => Q(2), I1 => axi_awaddr_full, I2 => s_axi_awid(2), I3 => \^bid_gets_fifo_load\, I4 => bid_fifo_rd(2), O => D(2) ); \axi_bid_int[3]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"B8FFB800" ) port map ( I0 => Q(3), I1 => axi_awaddr_full, I2 => s_axi_awid(3), I3 => \^bid_gets_fifo_load\, I4 => bid_fifo_rd(3), O => D(3) ); \axi_bid_int[4]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"B8FFB800" ) port map ( I0 => Q(4), I1 => axi_awaddr_full, I2 => s_axi_awid(4), I3 => \^bid_gets_fifo_load\, I4 => bid_fifo_rd(4), O => D(4) ); \axi_bid_int[5]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"B8FFB800" ) port map ( I0 => Q(5), I1 => axi_awaddr_full, I2 => s_axi_awid(5), I3 => \^bid_gets_fifo_load\, I4 => bid_fifo_rd(5), O => D(5) ); \axi_bid_int[6]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"B8FFB800" ) port map ( I0 => Q(6), I1 => axi_awaddr_full, I2 => s_axi_awid(6), I3 => \^bid_gets_fifo_load\, I4 => bid_fifo_rd(6), O => D(6) ); \axi_bid_int[7]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"B8FFB800" ) port map ( I0 => Q(7), I1 => axi_awaddr_full, I2 => s_axi_awid(7), I3 => \^bid_gets_fifo_load\, I4 => bid_fifo_rd(7), O => D(7) ); \axi_bid_int[8]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"B8FFB800" ) port map ( I0 => Q(8), I1 => axi_awaddr_full, I2 => s_axi_awid(8), I3 => \^bid_gets_fifo_load\, I4 => bid_fifo_rd(8), O => D(8) ); \axi_bid_int[9]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"B8FFB800" ) port map ( I0 => Q(9), I1 => axi_awaddr_full, I2 => s_axi_awid(9), I3 => \^bid_gets_fifo_load\, I4 => bid_fifo_rd(9), O => D(9) ); axi_bvalid_int_i_2: unisim.vcomponents.LUT6 generic map( INIT => X"000055FD00000000" ) port map ( I0 => \out\(2), I1 => \^axi_wdata_full_cmb114_out\, I2 => axi_bvalid_int_i_4_n_0, I3 => axi_wr_burst, I4 => \out\(1), I5 => axi_bvalid_int_i_5_n_0, O => \^bvalid_cnt_inc\ ); axi_bvalid_int_i_3: unisim.vcomponents.LUT5 generic map( INIT => X"FE000000" ) port map ( I0 => bvalid_cnt(1), I1 => bvalid_cnt(0), I2 => bvalid_cnt(2), I3 => axi_bvalid_int_reg, I4 => s_axi_bready, O => \^bid_gets_fifo_load_d1_reg\ ); axi_bvalid_int_i_4: unisim.vcomponents.LUT6 generic map( INIT => X"1F11000000000000" ) port map ( I0 => axi_bvalid_int_i_6_n_0, I1 => \bvalid_cnt_reg[2]\, I2 => wr_addr_sm_cs, I3 => \bvalid_cnt_reg[2]_0\, I4 => \GEN_AWREADY.axi_aresetn_d2_reg\, I5 => axi_awaddr_full, O => axi_bvalid_int_i_4_n_0 ); axi_bvalid_int_i_5: unisim.vcomponents.LUT5 generic map( INIT => X"74446444" ) port map ( I0 => \out\(0), I1 => \out\(2), I2 => s_axi_wvalid, I3 => s_axi_wlast, I4 => \^axi_wdata_full_cmb114_out\, O => axi_bvalid_int_i_5_n_0 ); axi_bvalid_int_i_6: unisim.vcomponents.LUT5 generic map( INIT => X"FEFFFFFF" ) port map ( I0 => curr_awlen_reg_1_or_2, I1 => axi_awlen_pipe_1_or_2, I2 => \GEN_AW_PIPE_DUAL.axi_awburst_pipe_fixed_reg\, I3 => axi_awaddr_full, I4 => last_data_ack_mod, O => axi_bvalid_int_i_6_n_0 ); axi_wready_int_mod_i_2: unisim.vcomponents.LUT6 generic map( INIT => X"7F7F7F007F007F00" ) port map ( I0 => bvalid_cnt(1), I1 => bvalid_cnt(0), I2 => bvalid_cnt(2), I3 => aw_active, I4 => s_axi_awready, I5 => s_axi_awvalid, O => \^axi_wdata_full_cmb114_out\ ); bid_gets_fifo_load_d1_i_1: unisim.vcomponents.LUT6 generic map( INIT => X"00000800AA00AA00" ) port map ( I0 => bram_addr_ld_en, I1 => \^bid_gets_fifo_load_d1_reg\, I2 => bid_fifo_not_empty, I3 => \^bvalid_cnt_inc\, I4 => \bvalid_cnt_reg[1]\, I5 => bid_gets_fifo_load_d1_i_3_n_0, O => \^bid_gets_fifo_load\ ); bid_gets_fifo_load_d1_i_3: unisim.vcomponents.LUT3 generic map( INIT => X"FE" ) port map ( I0 => bvalid_cnt(2), I1 => bvalid_cnt(0), I2 => bvalid_cnt(1), O => bid_gets_fifo_load_d1_i_3_n_0 ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_wrap_brst is port ( SR : out STD_LOGIC_VECTOR ( 0 to 0 ); bram_addr_ld_en_mod : out STD_LOGIC; E : out STD_LOGIC_VECTOR ( 0 to 0 ); D : out STD_LOGIC_VECTOR ( 13 downto 0 ); \GEN_DUAL_ADDR_CNT.bram_addr_int_reg[8]\ : out STD_LOGIC; bram_addr_ld_en : out STD_LOGIC; \save_init_bram_addr_ld_reg[15]_0\ : out STD_LOGIC; \save_init_bram_addr_ld_reg[15]_1\ : out STD_LOGIC; \save_init_bram_addr_ld_reg[15]_2\ : out STD_LOGIC; curr_fixed_burst_reg_reg : out STD_LOGIC; curr_wrap_burst_reg_reg : out STD_LOGIC; curr_fixed_burst_reg : in STD_LOGIC; bram_addr_inc : in STD_LOGIC; bram_addr_rst_cmb : in STD_LOGIC; s_axi_aresetn : in STD_LOGIC; \out\ : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_wvalid : in STD_LOGIC; bram_addr_a : in STD_LOGIC_VECTOR ( 9 downto 0 ); \GEN_DUAL_ADDR_CNT.bram_addr_int_reg[8]_0\ : in STD_LOGIC; \GEN_DUAL_ADDR_CNT.bram_addr_int_reg[6]\ : in STD_LOGIC; \GEN_AW_PIPE_DUAL.GEN_AWADDR[2].axi_awaddr_pipe_reg\ : in STD_LOGIC; axi_awaddr_full : in STD_LOGIC; s_axi_awaddr : in STD_LOGIC_VECTOR ( 13 downto 0 ); \GEN_AW_PIPE_DUAL.GEN_AWADDR[3].axi_awaddr_pipe_reg\ : in STD_LOGIC; \GEN_AW_PIPE_DUAL.GEN_AWADDR[4].axi_awaddr_pipe_reg\ : in STD_LOGIC; \GEN_AW_PIPE_DUAL.GEN_AWADDR[5].axi_awaddr_pipe_reg\ : in STD_LOGIC; \GEN_AW_PIPE_DUAL.GEN_AWADDR[6].axi_awaddr_pipe_reg\ : in STD_LOGIC; \GEN_AW_PIPE_DUAL.GEN_AWADDR[7].axi_awaddr_pipe_reg\ : in STD_LOGIC; \GEN_AW_PIPE_DUAL.GEN_AWADDR[8].axi_awaddr_pipe_reg\ : in STD_LOGIC; \GEN_AW_PIPE_DUAL.GEN_AWADDR[9].axi_awaddr_pipe_reg\ : in STD_LOGIC; \GEN_AW_PIPE_DUAL.GEN_AWADDR[10].axi_awaddr_pipe_reg\ : in STD_LOGIC; \GEN_AW_PIPE_DUAL.GEN_AWADDR[11].axi_awaddr_pipe_reg\ : in STD_LOGIC; \GEN_AW_PIPE_DUAL.GEN_AWADDR[12].axi_awaddr_pipe_reg\ : in STD_LOGIC; \GEN_AW_PIPE_DUAL.GEN_AWADDR[13].axi_awaddr_pipe_reg\ : in STD_LOGIC; \GEN_AW_PIPE_DUAL.GEN_AWADDR[14].axi_awaddr_pipe_reg\ : in STD_LOGIC; \GEN_AW_PIPE_DUAL.GEN_AWADDR[15].axi_awaddr_pipe_reg\ : in STD_LOGIC; \GEN_AWREADY.axi_aresetn_d2_reg\ : in STD_LOGIC; wr_addr_sm_cs : in STD_LOGIC; last_data_ack_mod : in STD_LOGIC; bvalid_cnt : in STD_LOGIC_VECTOR ( 2 downto 0 ); aw_active : in STD_LOGIC; s_axi_awvalid : in STD_LOGIC; \GEN_AW_PIPE_DUAL.axi_awburst_pipe_fixed_reg\ : in STD_LOGIC; axi_awlen_pipe_1_or_2 : in STD_LOGIC; curr_awlen_reg_1_or_2 : in STD_LOGIC; curr_wrap_burst_reg : in STD_LOGIC; Q : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_awlen : in STD_LOGIC_VECTOR ( 3 downto 0 ); axi_awsize_pipe : in STD_LOGIC_VECTOR ( 0 to 0 ); curr_fixed_burst : in STD_LOGIC; curr_wrap_burst : in STD_LOGIC; s_axi_aresetn_0 : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_aclk : in STD_LOGIC ); end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_wrap_brst; architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_wrap_brst is signal \^d\ : STD_LOGIC_VECTOR ( 13 downto 0 ); signal \GEN_DUAL_ADDR_CNT.bram_addr_int[15]_i_6_n_0\ : STD_LOGIC; signal \GEN_DUAL_ADDR_CNT.bram_addr_int[15]_i_7_n_0\ : STD_LOGIC; signal \GEN_DUAL_ADDR_CNT.bram_addr_int[15]_i_8_n_0\ : STD_LOGIC; signal \^gen_dual_addr_cnt.bram_addr_int_reg[8]\ : STD_LOGIC; signal \^sr\ : STD_LOGIC_VECTOR ( 0 to 0 ); signal bram_addr_ld : STD_LOGIC_VECTOR ( 9 downto 1 ); signal \^bram_addr_ld_en\ : STD_LOGIC; signal \^bram_addr_ld_en_mod\ : STD_LOGIC; signal save_init_bram_addr_ld : STD_LOGIC_VECTOR ( 15 downto 3 ); signal \save_init_bram_addr_ld[3]_i_2__0_n_0\ : STD_LOGIC; signal \save_init_bram_addr_ld[4]_i_2__0_n_0\ : STD_LOGIC; signal \save_init_bram_addr_ld[5]_i_2__0_n_0\ : STD_LOGIC; signal \^save_init_bram_addr_ld_reg[15]_0\ : STD_LOGIC; signal \^save_init_bram_addr_ld_reg[15]_1\ : STD_LOGIC; signal \^save_init_bram_addr_ld_reg[15]_2\ : STD_LOGIC; signal wrap_burst_total : STD_LOGIC_VECTOR ( 2 downto 0 ); signal \wrap_burst_total[0]_i_1__0_n_0\ : STD_LOGIC; signal \wrap_burst_total[0]_i_2__0_n_0\ : STD_LOGIC; signal \wrap_burst_total[0]_i_3_n_0\ : STD_LOGIC; signal \wrap_burst_total[1]_i_1__0_n_0\ : STD_LOGIC; signal \wrap_burst_total[1]_i_2_n_0\ : STD_LOGIC; signal \wrap_burst_total[1]_i_3_n_0\ : STD_LOGIC; signal \wrap_burst_total[2]_i_1__0_n_0\ : STD_LOGIC; signal \wrap_burst_total[2]_i_2__0_n_0\ : STD_LOGIC; signal \wrap_burst_total[2]_i_3__0_n_0\ : STD_LOGIC; attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \GEN_DUAL_ADDR_CNT.bram_addr_int[15]_i_7\ : label is "soft_lutpair59"; attribute SOFT_HLUTNM of \curr_wrap_burst_reg_i_1__0\ : label is "soft_lutpair59"; attribute SOFT_HLUTNM of \save_init_bram_addr_ld[15]_i_4\ : label is "soft_lutpair60"; attribute SOFT_HLUTNM of \save_init_bram_addr_ld[3]_i_2__0\ : label is "soft_lutpair58"; attribute SOFT_HLUTNM of \save_init_bram_addr_ld[4]_i_2__0\ : label is "soft_lutpair58"; attribute SOFT_HLUTNM of \wrap_burst_total[0]_i_3\ : label is "soft_lutpair60"; attribute SOFT_HLUTNM of \wrap_burst_total[1]_i_2\ : label is "soft_lutpair61"; attribute SOFT_HLUTNM of \wrap_burst_total[1]_i_3\ : label is "soft_lutpair57"; attribute SOFT_HLUTNM of \wrap_burst_total[2]_i_2__0\ : label is "soft_lutpair61"; attribute SOFT_HLUTNM of \wrap_burst_total[2]_i_3__0\ : label is "soft_lutpair57"; begin D(13 downto 0) <= \^d\(13 downto 0); \GEN_DUAL_ADDR_CNT.bram_addr_int_reg[8]\ <= \^gen_dual_addr_cnt.bram_addr_int_reg[8]\; SR(0) <= \^sr\(0); bram_addr_ld_en <= \^bram_addr_ld_en\; bram_addr_ld_en_mod <= \^bram_addr_ld_en_mod\; \save_init_bram_addr_ld_reg[15]_0\ <= \^save_init_bram_addr_ld_reg[15]_0\; \save_init_bram_addr_ld_reg[15]_1\ <= \^save_init_bram_addr_ld_reg[15]_1\; \save_init_bram_addr_ld_reg[15]_2\ <= \^save_init_bram_addr_ld_reg[15]_2\; \GEN_DUAL_ADDR_CNT.bram_addr_int[10]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"BB8BBBBB88B88888" ) port map ( I0 => bram_addr_ld(8), I1 => \^bram_addr_ld_en_mod\, I2 => bram_addr_a(6), I3 => \GEN_DUAL_ADDR_CNT.bram_addr_int_reg[6]\, I4 => bram_addr_a(7), I5 => bram_addr_a(8), O => \^d\(8) ); \GEN_DUAL_ADDR_CNT.bram_addr_int[11]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"AAABAAAAAAAAAAAA" ) port map ( I0 => \^bram_addr_ld_en_mod\, I1 => curr_fixed_burst_reg, I2 => \out\(1), I3 => \out\(2), I4 => \out\(0), I5 => s_axi_wvalid, O => E(0) ); \GEN_DUAL_ADDR_CNT.bram_addr_int[11]_i_2\: unisim.vcomponents.LUT5 generic map( INIT => X"B88BB8B8" ) port map ( I0 => bram_addr_ld(9), I1 => \^bram_addr_ld_en_mod\, I2 => bram_addr_a(9), I3 => \GEN_DUAL_ADDR_CNT.bram_addr_int_reg[8]_0\, I4 => bram_addr_a(8), O => \^d\(9) ); \GEN_DUAL_ADDR_CNT.bram_addr_int[12]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"B8BBB888" ) port map ( I0 => save_init_bram_addr_ld(12), I1 => \GEN_DUAL_ADDR_CNT.bram_addr_int[15]_i_7_n_0\, I2 => \GEN_AW_PIPE_DUAL.GEN_AWADDR[12].axi_awaddr_pipe_reg\, I3 => axi_awaddr_full, I4 => s_axi_awaddr(10), O => \^d\(10) ); \GEN_DUAL_ADDR_CNT.bram_addr_int[13]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"B8BBB888" ) port map ( I0 => save_init_bram_addr_ld(13), I1 => \GEN_DUAL_ADDR_CNT.bram_addr_int[15]_i_7_n_0\, I2 => \GEN_AW_PIPE_DUAL.GEN_AWADDR[13].axi_awaddr_pipe_reg\, I3 => axi_awaddr_full, I4 => s_axi_awaddr(11), O => \^d\(11) ); \GEN_DUAL_ADDR_CNT.bram_addr_int[14]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"B8BBB888" ) port map ( I0 => save_init_bram_addr_ld(14), I1 => \GEN_DUAL_ADDR_CNT.bram_addr_int[15]_i_7_n_0\, I2 => \GEN_AW_PIPE_DUAL.GEN_AWADDR[14].axi_awaddr_pipe_reg\, I3 => axi_awaddr_full, I4 => s_axi_awaddr(12), O => \^d\(12) ); \GEN_DUAL_ADDR_CNT.bram_addr_int[15]_i_1__0\: unisim.vcomponents.LUT5 generic map( INIT => X"4500FFFF" ) port map ( I0 => \^bram_addr_ld_en_mod\, I1 => curr_fixed_burst_reg, I2 => bram_addr_inc, I3 => bram_addr_rst_cmb, I4 => s_axi_aresetn, O => \^sr\(0) ); \GEN_DUAL_ADDR_CNT.bram_addr_int[15]_i_2\: unisim.vcomponents.LUT6 generic map( INIT => X"AAABAAAAAAAAAAAA" ) port map ( I0 => \^bram_addr_ld_en\, I1 => \GEN_DUAL_ADDR_CNT.bram_addr_int[15]_i_6_n_0\, I2 => \out\(1), I3 => \out\(2), I4 => \out\(0), I5 => s_axi_wvalid, O => \^bram_addr_ld_en_mod\ ); \GEN_DUAL_ADDR_CNT.bram_addr_int[15]_i_3\: unisim.vcomponents.LUT5 generic map( INIT => X"B8BBB888" ) port map ( I0 => save_init_bram_addr_ld(15), I1 => \GEN_DUAL_ADDR_CNT.bram_addr_int[15]_i_7_n_0\, I2 => \GEN_AW_PIPE_DUAL.GEN_AWADDR[15].axi_awaddr_pipe_reg\, I3 => axi_awaddr_full, I4 => s_axi_awaddr(13), O => \^d\(13) ); \GEN_DUAL_ADDR_CNT.bram_addr_int[15]_i_6\: unisim.vcomponents.LUT6 generic map( INIT => X"55555555FFFFFFDF" ) port map ( I0 => curr_wrap_burst_reg, I1 => wrap_burst_total(1), I2 => wrap_burst_total(2), I3 => wrap_burst_total(0), I4 => \^gen_dual_addr_cnt.bram_addr_int_reg[8]\, I5 => \GEN_DUAL_ADDR_CNT.bram_addr_int[15]_i_8_n_0\, O => \GEN_DUAL_ADDR_CNT.bram_addr_int[15]_i_6_n_0\ ); \GEN_DUAL_ADDR_CNT.bram_addr_int[15]_i_7\: unisim.vcomponents.LUT2 generic map( INIT => X"1" ) port map ( I0 => \^bram_addr_ld_en\, I1 => \GEN_DUAL_ADDR_CNT.bram_addr_int[15]_i_6_n_0\, O => \GEN_DUAL_ADDR_CNT.bram_addr_int[15]_i_7_n_0\ ); \GEN_DUAL_ADDR_CNT.bram_addr_int[15]_i_8\: unisim.vcomponents.LUT6 generic map( INIT => X"000000008F00C000" ) port map ( I0 => bram_addr_a(2), I1 => bram_addr_a(1), I2 => wrap_burst_total(1), I3 => bram_addr_a(0), I4 => wrap_burst_total(0), I5 => wrap_burst_total(2), O => \GEN_DUAL_ADDR_CNT.bram_addr_int[15]_i_8_n_0\ ); \GEN_DUAL_ADDR_CNT.bram_addr_int[2]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"B800B800B800FFFF" ) port map ( I0 => \GEN_AW_PIPE_DUAL.GEN_AWADDR[2].axi_awaddr_pipe_reg\, I1 => axi_awaddr_full, I2 => s_axi_awaddr(0), I3 => \^bram_addr_ld_en\, I4 => \^bram_addr_ld_en_mod\, I5 => bram_addr_a(0), O => \^d\(0) ); \GEN_DUAL_ADDR_CNT.bram_addr_int[3]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"8BB8" ) port map ( I0 => bram_addr_ld(1), I1 => \^bram_addr_ld_en_mod\, I2 => bram_addr_a(1), I3 => bram_addr_a(0), O => \^d\(1) ); \GEN_DUAL_ADDR_CNT.bram_addr_int[4]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"8BB8B8B8" ) port map ( I0 => bram_addr_ld(2), I1 => \^bram_addr_ld_en_mod\, I2 => bram_addr_a(2), I3 => bram_addr_a(0), I4 => bram_addr_a(1), O => \^d\(2) ); \GEN_DUAL_ADDR_CNT.bram_addr_int[5]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"8BB8B8B8B8B8B8B8" ) port map ( I0 => bram_addr_ld(3), I1 => \^bram_addr_ld_en_mod\, I2 => bram_addr_a(3), I3 => bram_addr_a(2), I4 => bram_addr_a(0), I5 => bram_addr_a(1), O => \^d\(3) ); \GEN_DUAL_ADDR_CNT.bram_addr_int[6]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"B88B" ) port map ( I0 => bram_addr_ld(4), I1 => \^bram_addr_ld_en_mod\, I2 => bram_addr_a(4), I3 => \^gen_dual_addr_cnt.bram_addr_int_reg[8]\, O => \^d\(4) ); \GEN_DUAL_ADDR_CNT.bram_addr_int[7]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"B88BB8B8" ) port map ( I0 => bram_addr_ld(5), I1 => \^bram_addr_ld_en_mod\, I2 => bram_addr_a(5), I3 => \^gen_dual_addr_cnt.bram_addr_int_reg[8]\, I4 => bram_addr_a(4), O => \^d\(5) ); \GEN_DUAL_ADDR_CNT.bram_addr_int[8]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"B8B88BB8B8B8B8B8" ) port map ( I0 => bram_addr_ld(6), I1 => \^bram_addr_ld_en_mod\, I2 => bram_addr_a(6), I3 => bram_addr_a(4), I4 => \^gen_dual_addr_cnt.bram_addr_int_reg[8]\, I5 => bram_addr_a(5), O => \^d\(6) ); \GEN_DUAL_ADDR_CNT.bram_addr_int[8]_i_2__0\: unisim.vcomponents.LUT4 generic map( INIT => X"7FFF" ) port map ( I0 => bram_addr_a(1), I1 => bram_addr_a(0), I2 => bram_addr_a(2), I3 => bram_addr_a(3), O => \^gen_dual_addr_cnt.bram_addr_int_reg[8]\ ); \GEN_DUAL_ADDR_CNT.bram_addr_int[9]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"B88BB8B8" ) port map ( I0 => bram_addr_ld(7), I1 => \^bram_addr_ld_en_mod\, I2 => bram_addr_a(7), I3 => \GEN_DUAL_ADDR_CNT.bram_addr_int_reg[6]\, I4 => bram_addr_a(6), O => \^d\(7) ); \curr_fixed_burst_reg_i_1__0\: unisim.vcomponents.LUT4 generic map( INIT => X"00E2" ) port map ( I0 => curr_fixed_burst_reg, I1 => \^bram_addr_ld_en\, I2 => curr_fixed_burst, I3 => \^sr\(0), O => curr_fixed_burst_reg_reg ); \curr_wrap_burst_reg_i_1__0\: unisim.vcomponents.LUT4 generic map( INIT => X"00E2" ) port map ( I0 => curr_wrap_burst_reg, I1 => \^bram_addr_ld_en\, I2 => curr_wrap_burst, I3 => \^sr\(0), O => curr_wrap_burst_reg_reg ); \save_init_bram_addr_ld[10]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"B8BBB888" ) port map ( I0 => save_init_bram_addr_ld(10), I1 => \GEN_DUAL_ADDR_CNT.bram_addr_int[15]_i_7_n_0\, I2 => \GEN_AW_PIPE_DUAL.GEN_AWADDR[10].axi_awaddr_pipe_reg\, I3 => axi_awaddr_full, I4 => s_axi_awaddr(8), O => bram_addr_ld(8) ); \save_init_bram_addr_ld[11]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"B8BBB888" ) port map ( I0 => save_init_bram_addr_ld(11), I1 => \GEN_DUAL_ADDR_CNT.bram_addr_int[15]_i_7_n_0\, I2 => \GEN_AW_PIPE_DUAL.GEN_AWADDR[11].axi_awaddr_pipe_reg\, I3 => axi_awaddr_full, I4 => s_axi_awaddr(9), O => bram_addr_ld(9) ); \save_init_bram_addr_ld[15]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"0808080808AA0808" ) port map ( I0 => \GEN_AWREADY.axi_aresetn_d2_reg\, I1 => \^save_init_bram_addr_ld_reg[15]_0\, I2 => wr_addr_sm_cs, I3 => \^save_init_bram_addr_ld_reg[15]_1\, I4 => last_data_ack_mod, I5 => \^save_init_bram_addr_ld_reg[15]_2\, O => \^bram_addr_ld_en\ ); \save_init_bram_addr_ld[15]_i_2\: unisim.vcomponents.LUT6 generic map( INIT => X"007F007F007F0000" ) port map ( I0 => bvalid_cnt(2), I1 => bvalid_cnt(0), I2 => bvalid_cnt(1), I3 => aw_active, I4 => axi_awaddr_full, I5 => s_axi_awvalid, O => \^save_init_bram_addr_ld_reg[15]_0\ ); \save_init_bram_addr_ld[15]_i_3\: unisim.vcomponents.LUT3 generic map( INIT => X"80" ) port map ( I0 => bvalid_cnt(2), I1 => bvalid_cnt(0), I2 => bvalid_cnt(1), O => \^save_init_bram_addr_ld_reg[15]_1\ ); \save_init_bram_addr_ld[15]_i_4\: unisim.vcomponents.LUT4 generic map( INIT => X"FFFD" ) port map ( I0 => axi_awaddr_full, I1 => \GEN_AW_PIPE_DUAL.axi_awburst_pipe_fixed_reg\, I2 => axi_awlen_pipe_1_or_2, I3 => curr_awlen_reg_1_or_2, O => \^save_init_bram_addr_ld_reg[15]_2\ ); \save_init_bram_addr_ld[3]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"B8BBB888" ) port map ( I0 => \save_init_bram_addr_ld[3]_i_2__0_n_0\, I1 => \GEN_DUAL_ADDR_CNT.bram_addr_int[15]_i_7_n_0\, I2 => \GEN_AW_PIPE_DUAL.GEN_AWADDR[3].axi_awaddr_pipe_reg\, I3 => axi_awaddr_full, I4 => s_axi_awaddr(1), O => bram_addr_ld(1) ); \save_init_bram_addr_ld[3]_i_2__0\: unisim.vcomponents.LUT4 generic map( INIT => X"C80C" ) port map ( I0 => wrap_burst_total(0), I1 => save_init_bram_addr_ld(3), I2 => wrap_burst_total(1), I3 => wrap_burst_total(2), O => \save_init_bram_addr_ld[3]_i_2__0_n_0\ ); \save_init_bram_addr_ld[4]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"B8BBB888" ) port map ( I0 => \save_init_bram_addr_ld[4]_i_2__0_n_0\, I1 => \GEN_DUAL_ADDR_CNT.bram_addr_int[15]_i_7_n_0\, I2 => \GEN_AW_PIPE_DUAL.GEN_AWADDR[4].axi_awaddr_pipe_reg\, I3 => axi_awaddr_full, I4 => s_axi_awaddr(2), O => bram_addr_ld(2) ); \save_init_bram_addr_ld[4]_i_2__0\: unisim.vcomponents.LUT4 generic map( INIT => X"A28A" ) port map ( I0 => save_init_bram_addr_ld(4), I1 => wrap_burst_total(0), I2 => wrap_burst_total(2), I3 => wrap_burst_total(1), O => \save_init_bram_addr_ld[4]_i_2__0_n_0\ ); \save_init_bram_addr_ld[5]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"8F808F8F8F808080" ) port map ( I0 => save_init_bram_addr_ld(5), I1 => \save_init_bram_addr_ld[5]_i_2__0_n_0\, I2 => \GEN_DUAL_ADDR_CNT.bram_addr_int[15]_i_7_n_0\, I3 => \GEN_AW_PIPE_DUAL.GEN_AWADDR[5].axi_awaddr_pipe_reg\, I4 => axi_awaddr_full, I5 => s_axi_awaddr(3), O => bram_addr_ld(3) ); \save_init_bram_addr_ld[5]_i_2__0\: unisim.vcomponents.LUT3 generic map( INIT => X"FB" ) port map ( I0 => wrap_burst_total(0), I1 => wrap_burst_total(2), I2 => wrap_burst_total(1), O => \save_init_bram_addr_ld[5]_i_2__0_n_0\ ); \save_init_bram_addr_ld[6]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"B8BBB888" ) port map ( I0 => save_init_bram_addr_ld(6), I1 => \GEN_DUAL_ADDR_CNT.bram_addr_int[15]_i_7_n_0\, I2 => \GEN_AW_PIPE_DUAL.GEN_AWADDR[6].axi_awaddr_pipe_reg\, I3 => axi_awaddr_full, I4 => s_axi_awaddr(4), O => bram_addr_ld(4) ); \save_init_bram_addr_ld[7]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"B8BBB888" ) port map ( I0 => save_init_bram_addr_ld(7), I1 => \GEN_DUAL_ADDR_CNT.bram_addr_int[15]_i_7_n_0\, I2 => \GEN_AW_PIPE_DUAL.GEN_AWADDR[7].axi_awaddr_pipe_reg\, I3 => axi_awaddr_full, I4 => s_axi_awaddr(5), O => bram_addr_ld(5) ); \save_init_bram_addr_ld[8]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"B8BBB888" ) port map ( I0 => save_init_bram_addr_ld(8), I1 => \GEN_DUAL_ADDR_CNT.bram_addr_int[15]_i_7_n_0\, I2 => \GEN_AW_PIPE_DUAL.GEN_AWADDR[8].axi_awaddr_pipe_reg\, I3 => axi_awaddr_full, I4 => s_axi_awaddr(6), O => bram_addr_ld(6) ); \save_init_bram_addr_ld[9]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"B8BBB888" ) port map ( I0 => save_init_bram_addr_ld(9), I1 => \GEN_DUAL_ADDR_CNT.bram_addr_int[15]_i_7_n_0\, I2 => \GEN_AW_PIPE_DUAL.GEN_AWADDR[9].axi_awaddr_pipe_reg\, I3 => axi_awaddr_full, I4 => s_axi_awaddr(7), O => bram_addr_ld(7) ); \save_init_bram_addr_ld_reg[10]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \^bram_addr_ld_en\, D => bram_addr_ld(8), Q => save_init_bram_addr_ld(10), R => s_axi_aresetn_0(0) ); \save_init_bram_addr_ld_reg[11]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \^bram_addr_ld_en\, D => bram_addr_ld(9), Q => save_init_bram_addr_ld(11), R => s_axi_aresetn_0(0) ); \save_init_bram_addr_ld_reg[12]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \^bram_addr_ld_en\, D => \^d\(10), Q => save_init_bram_addr_ld(12), R => s_axi_aresetn_0(0) ); \save_init_bram_addr_ld_reg[13]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \^bram_addr_ld_en\, D => \^d\(11), Q => save_init_bram_addr_ld(13), R => s_axi_aresetn_0(0) ); \save_init_bram_addr_ld_reg[14]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \^bram_addr_ld_en\, D => \^d\(12), Q => save_init_bram_addr_ld(14), R => s_axi_aresetn_0(0) ); \save_init_bram_addr_ld_reg[15]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \^bram_addr_ld_en\, D => \^d\(13), Q => save_init_bram_addr_ld(15), R => s_axi_aresetn_0(0) ); \save_init_bram_addr_ld_reg[3]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \^bram_addr_ld_en\, D => bram_addr_ld(1), Q => save_init_bram_addr_ld(3), R => s_axi_aresetn_0(0) ); \save_init_bram_addr_ld_reg[4]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \^bram_addr_ld_en\, D => bram_addr_ld(2), Q => save_init_bram_addr_ld(4), R => s_axi_aresetn_0(0) ); \save_init_bram_addr_ld_reg[5]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \^bram_addr_ld_en\, D => bram_addr_ld(3), Q => save_init_bram_addr_ld(5), R => s_axi_aresetn_0(0) ); \save_init_bram_addr_ld_reg[6]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \^bram_addr_ld_en\, D => bram_addr_ld(4), Q => save_init_bram_addr_ld(6), R => s_axi_aresetn_0(0) ); \save_init_bram_addr_ld_reg[7]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \^bram_addr_ld_en\, D => bram_addr_ld(5), Q => save_init_bram_addr_ld(7), R => s_axi_aresetn_0(0) ); \save_init_bram_addr_ld_reg[8]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \^bram_addr_ld_en\, D => bram_addr_ld(6), Q => save_init_bram_addr_ld(8), R => s_axi_aresetn_0(0) ); \save_init_bram_addr_ld_reg[9]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \^bram_addr_ld_en\, D => bram_addr_ld(7), Q => save_init_bram_addr_ld(9), R => s_axi_aresetn_0(0) ); \wrap_burst_total[0]_i_1__0\: unisim.vcomponents.LUT6 generic map( INIT => X"0000A22200000000" ) port map ( I0 => \wrap_burst_total[0]_i_2__0_n_0\, I1 => \wrap_burst_total[0]_i_3_n_0\, I2 => Q(1), I3 => Q(2), I4 => \wrap_burst_total[2]_i_2__0_n_0\, I5 => \wrap_burst_total[1]_i_2_n_0\, O => \wrap_burst_total[0]_i_1__0_n_0\ ); \wrap_burst_total[0]_i_2__0\: unisim.vcomponents.LUT6 generic map( INIT => X"CCA533A5FFA5FFA5" ) port map ( I0 => s_axi_awlen(2), I1 => Q(2), I2 => s_axi_awlen(1), I3 => axi_awaddr_full, I4 => Q(1), I5 => axi_awsize_pipe(0), O => \wrap_burst_total[0]_i_2__0_n_0\ ); \wrap_burst_total[0]_i_3\: unisim.vcomponents.LUT2 generic map( INIT => X"2" ) port map ( I0 => axi_awaddr_full, I1 => axi_awsize_pipe(0), O => \wrap_burst_total[0]_i_3_n_0\ ); \wrap_burst_total[1]_i_1__0\: unisim.vcomponents.LUT6 generic map( INIT => X"08000800F3000000" ) port map ( I0 => \wrap_burst_total[2]_i_3__0_n_0\, I1 => axi_awaddr_full, I2 => axi_awsize_pipe(0), I3 => \wrap_burst_total[1]_i_2_n_0\, I4 => \wrap_burst_total[1]_i_3_n_0\, I5 => \wrap_burst_total[2]_i_2__0_n_0\, O => \wrap_burst_total[1]_i_1__0_n_0\ ); \wrap_burst_total[1]_i_2\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => Q(0), I1 => axi_awaddr_full, I2 => s_axi_awlen(0), O => \wrap_burst_total[1]_i_2_n_0\ ); \wrap_burst_total[1]_i_3\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => Q(1), I1 => axi_awaddr_full, I2 => s_axi_awlen(1), O => \wrap_burst_total[1]_i_3_n_0\ ); \wrap_burst_total[2]_i_1__0\: unisim.vcomponents.LUT6 generic map( INIT => X"A000000088008800" ) port map ( I0 => \wrap_burst_total[2]_i_2__0_n_0\, I1 => s_axi_awlen(0), I2 => Q(0), I3 => \wrap_burst_total[2]_i_3__0_n_0\, I4 => axi_awsize_pipe(0), I5 => axi_awaddr_full, O => \wrap_burst_total[2]_i_1__0_n_0\ ); \wrap_burst_total[2]_i_2__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => Q(3), I1 => axi_awaddr_full, I2 => s_axi_awlen(3), O => \wrap_burst_total[2]_i_2__0_n_0\ ); \wrap_burst_total[2]_i_3__0\: unisim.vcomponents.LUT5 generic map( INIT => X"CCA000A0" ) port map ( I0 => s_axi_awlen(2), I1 => Q(2), I2 => s_axi_awlen(1), I3 => axi_awaddr_full, I4 => Q(1), O => \wrap_burst_total[2]_i_3__0_n_0\ ); \wrap_burst_total_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \^bram_addr_ld_en\, D => \wrap_burst_total[0]_i_1__0_n_0\, Q => wrap_burst_total(0), R => s_axi_aresetn_0(0) ); \wrap_burst_total_reg[1]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \^bram_addr_ld_en\, D => \wrap_burst_total[1]_i_1__0_n_0\, Q => wrap_burst_total(1), R => s_axi_aresetn_0(0) ); \wrap_burst_total_reg[2]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \^bram_addr_ld_en\, D => \wrap_burst_total[2]_i_1__0_n_0\, Q => wrap_burst_total(2), R => s_axi_aresetn_0(0) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_wrap_brst_0 is port ( SR : out STD_LOGIC_VECTOR ( 0 to 0 ); \wrap_burst_total_reg[0]_0\ : out STD_LOGIC; \wrap_burst_total_reg[0]_1\ : out STD_LOGIC; \wrap_burst_total_reg[0]_2\ : out STD_LOGIC; \wrap_burst_total_reg[0]_3\ : out STD_LOGIC; E : out STD_LOGIC_VECTOR ( 1 downto 0 ); \GEN_DUAL_ADDR_CNT.bram_addr_int_reg[11]\ : out STD_LOGIC; D : out STD_LOGIC_VECTOR ( 13 downto 0 ); bram_addr_ld_en : out STD_LOGIC; \GEN_DUAL_ADDR_CNT.bram_addr_int_reg[6]\ : out STD_LOGIC; \rd_data_sm_cs_reg[1]\ : out STD_LOGIC; \GEN_DUAL_ADDR_CNT.bram_addr_int_reg[11]_0\ : out STD_LOGIC; \save_init_bram_addr_ld_reg[15]_0\ : out STD_LOGIC; axi_b2b_brst_reg : out STD_LOGIC; \rd_data_sm_cs_reg[3]\ : out STD_LOGIC; rd_adv_buf67_out : out STD_LOGIC; s_axi_aresetn : in STD_LOGIC; Q : in STD_LOGIC_VECTOR ( 3 downto 0 ); axi_arsize_pipe : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_arlen : in STD_LOGIC_VECTOR ( 3 downto 0 ); axi_araddr_full : in STD_LOGIC; curr_fixed_burst_reg : in STD_LOGIC; s_axi_araddr : in STD_LOGIC_VECTOR ( 13 downto 0 ); \GEN_AR_PIPE_DUAL.GEN_ARADDR[2].axi_araddr_pipe_reg\ : in STD_LOGIC; \GEN_DUAL_ADDR_CNT.bram_addr_int_reg[11]_1\ : in STD_LOGIC_VECTOR ( 9 downto 0 ); \GEN_AR_PIPE_DUAL.GEN_ARADDR[3].axi_araddr_pipe_reg\ : in STD_LOGIC; \GEN_AR_PIPE_DUAL.GEN_ARADDR[4].axi_araddr_pipe_reg\ : in STD_LOGIC; \GEN_AR_PIPE_DUAL.GEN_ARADDR[5].axi_araddr_pipe_reg\ : in STD_LOGIC; \GEN_AR_PIPE_DUAL.GEN_ARADDR[6].axi_araddr_pipe_reg\ : in STD_LOGIC; \GEN_AR_PIPE_DUAL.GEN_ARADDR[7].axi_araddr_pipe_reg\ : in STD_LOGIC; \GEN_AR_PIPE_DUAL.GEN_ARADDR[8].axi_araddr_pipe_reg\ : in STD_LOGIC; \GEN_DUAL_ADDR_CNT.bram_addr_int_reg[6]_0\ : in STD_LOGIC; \GEN_AR_PIPE_DUAL.GEN_ARADDR[9].axi_araddr_pipe_reg\ : in STD_LOGIC; \GEN_AR_PIPE_DUAL.GEN_ARADDR[10].axi_araddr_pipe_reg\ : in STD_LOGIC; \GEN_DUAL_ADDR_CNT.bram_addr_int_reg[8]\ : in STD_LOGIC; \GEN_AR_PIPE_DUAL.GEN_ARADDR[11].axi_araddr_pipe_reg\ : in STD_LOGIC; \GEN_AR_PIPE_DUAL.GEN_ARADDR[12].axi_araddr_pipe_reg\ : in STD_LOGIC; \GEN_AR_PIPE_DUAL.GEN_ARADDR[13].axi_araddr_pipe_reg\ : in STD_LOGIC; \GEN_AR_PIPE_DUAL.GEN_ARADDR[14].axi_araddr_pipe_reg\ : in STD_LOGIC; \GEN_AR_PIPE_DUAL.GEN_ARADDR[15].axi_araddr_pipe_reg\ : in STD_LOGIC; curr_wrap_burst_reg : in STD_LOGIC; \rd_data_sm_cs_reg[3]_0\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); axi_rd_burst_two_reg : in STD_LOGIC; axi_rd_burst : in STD_LOGIC; axi_aresetn_d2 : in STD_LOGIC; rd_addr_sm_cs : in STD_LOGIC; last_bram_addr : in STD_LOGIC; ar_active : in STD_LOGIC; pend_rd_op : in STD_LOGIC; no_ar_ack : in STD_LOGIC; s_axi_arvalid : in STD_LOGIC; brst_zero : in STD_LOGIC; axi_rvalid_int_reg : in STD_LOGIC; s_axi_rready : in STD_LOGIC; end_brst_rd : in STD_LOGIC; axi_b2b_brst : in STD_LOGIC; axi_arsize_pipe_max : in STD_LOGIC; disable_b2b_brst : in STD_LOGIC; \GEN_AR_PIPE_DUAL.axi_arburst_pipe_fixed_reg\ : in STD_LOGIC; axi_arlen_pipe_1_or_2 : in STD_LOGIC; s_axi_aclk : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_wrap_brst_0 : entity is "wrap_brst"; end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_wrap_brst_0; architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_wrap_brst_0 is signal \^d\ : STD_LOGIC_VECTOR ( 13 downto 0 ); signal \GEN_DUAL_ADDR_CNT.bram_addr_int[11]_i_5_n_0\ : STD_LOGIC; signal \GEN_DUAL_ADDR_CNT.bram_addr_int[11]_i_6_n_0\ : STD_LOGIC; signal \GEN_DUAL_ADDR_CNT.bram_addr_int[15]_i_3__0_n_0\ : STD_LOGIC; signal \GEN_DUAL_ADDR_CNT.bram_addr_int[15]_i_4__0_n_0\ : STD_LOGIC; signal \GEN_DUAL_ADDR_CNT.bram_addr_int[15]_i_5__0_n_0\ : STD_LOGIC; signal \^gen_dual_addr_cnt.bram_addr_int_reg[11]\ : STD_LOGIC; signal \^gen_dual_addr_cnt.bram_addr_int_reg[11]_0\ : STD_LOGIC; signal \^gen_dual_addr_cnt.bram_addr_int_reg[6]\ : STD_LOGIC; signal \^sr\ : STD_LOGIC_VECTOR ( 0 to 0 ); signal \^axi_b2b_brst_reg\ : STD_LOGIC; signal \^bram_addr_ld_en\ : STD_LOGIC; signal \^rd_adv_buf67_out\ : STD_LOGIC; signal \^rd_data_sm_cs_reg[1]\ : STD_LOGIC; signal \^rd_data_sm_cs_reg[3]\ : STD_LOGIC; signal \save_init_bram_addr_ld[10]_i_1__0_n_0\ : STD_LOGIC; signal \save_init_bram_addr_ld[11]_i_1__0_n_0\ : STD_LOGIC; signal \save_init_bram_addr_ld[15]_i_2__0_n_0\ : STD_LOGIC; signal \save_init_bram_addr_ld[3]_i_1__0_n_0\ : STD_LOGIC; signal \save_init_bram_addr_ld[3]_i_2_n_0\ : STD_LOGIC; signal \save_init_bram_addr_ld[4]_i_1__0_n_0\ : STD_LOGIC; signal \save_init_bram_addr_ld[4]_i_2_n_0\ : STD_LOGIC; signal \save_init_bram_addr_ld[5]_i_1__0_n_0\ : STD_LOGIC; signal \save_init_bram_addr_ld[5]_i_2_n_0\ : STD_LOGIC; signal \save_init_bram_addr_ld[6]_i_1__0_n_0\ : STD_LOGIC; signal \save_init_bram_addr_ld[7]_i_1__0_n_0\ : STD_LOGIC; signal \save_init_bram_addr_ld[8]_i_1__0_n_0\ : STD_LOGIC; signal \save_init_bram_addr_ld[9]_i_1__0_n_0\ : STD_LOGIC; signal \^save_init_bram_addr_ld_reg[15]_0\ : STD_LOGIC; signal \save_init_bram_addr_ld_reg_n_0_[10]\ : STD_LOGIC; signal \save_init_bram_addr_ld_reg_n_0_[11]\ : STD_LOGIC; signal \save_init_bram_addr_ld_reg_n_0_[12]\ : STD_LOGIC; signal \save_init_bram_addr_ld_reg_n_0_[13]\ : STD_LOGIC; signal \save_init_bram_addr_ld_reg_n_0_[14]\ : STD_LOGIC; signal \save_init_bram_addr_ld_reg_n_0_[15]\ : STD_LOGIC; signal \save_init_bram_addr_ld_reg_n_0_[3]\ : STD_LOGIC; signal \save_init_bram_addr_ld_reg_n_0_[4]\ : STD_LOGIC; signal \save_init_bram_addr_ld_reg_n_0_[5]\ : STD_LOGIC; signal \save_init_bram_addr_ld_reg_n_0_[6]\ : STD_LOGIC; signal \save_init_bram_addr_ld_reg_n_0_[7]\ : STD_LOGIC; signal \save_init_bram_addr_ld_reg_n_0_[8]\ : STD_LOGIC; signal \save_init_bram_addr_ld_reg_n_0_[9]\ : STD_LOGIC; signal \wrap_burst_total[0]_i_1_n_0\ : STD_LOGIC; signal \wrap_burst_total[0]_i_3__0_n_0\ : STD_LOGIC; signal \wrap_burst_total[1]_i_1_n_0\ : STD_LOGIC; signal \wrap_burst_total[2]_i_1_n_0\ : STD_LOGIC; signal \wrap_burst_total[2]_i_2_n_0\ : STD_LOGIC; signal \^wrap_burst_total_reg[0]_0\ : STD_LOGIC; signal \^wrap_burst_total_reg[0]_1\ : STD_LOGIC; signal \^wrap_burst_total_reg[0]_2\ : STD_LOGIC; signal \^wrap_burst_total_reg[0]_3\ : STD_LOGIC; signal \wrap_burst_total_reg_n_0_[0]\ : STD_LOGIC; signal \wrap_burst_total_reg_n_0_[1]\ : STD_LOGIC; signal \wrap_burst_total_reg_n_0_[2]\ : STD_LOGIC; attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \GEN_DUAL_ADDR_CNT.bram_addr_int[15]_i_1\ : label is "soft_lutpair1"; attribute SOFT_HLUTNM of \GEN_DUAL_ADDR_CNT.bram_addr_int[4]_i_1__0\ : label is "soft_lutpair1"; attribute SOFT_HLUTNM of \save_init_bram_addr_ld[4]_i_2\ : label is "soft_lutpair2"; attribute SOFT_HLUTNM of \save_init_bram_addr_ld[5]_i_2\ : label is "soft_lutpair2"; attribute SOFT_HLUTNM of \wrap_burst_total[0]_i_2\ : label is "soft_lutpair3"; attribute SOFT_HLUTNM of \wrap_burst_total[0]_i_3__0\ : label is "soft_lutpair4"; attribute SOFT_HLUTNM of \wrap_burst_total[0]_i_4\ : label is "soft_lutpair0"; attribute SOFT_HLUTNM of \wrap_burst_total[0]_i_5\ : label is "soft_lutpair4"; attribute SOFT_HLUTNM of \wrap_burst_total[2]_i_2\ : label is "soft_lutpair0"; attribute SOFT_HLUTNM of \wrap_burst_total[2]_i_3\ : label is "soft_lutpair3"; begin D(13 downto 0) <= \^d\(13 downto 0); \GEN_DUAL_ADDR_CNT.bram_addr_int_reg[11]\ <= \^gen_dual_addr_cnt.bram_addr_int_reg[11]\; \GEN_DUAL_ADDR_CNT.bram_addr_int_reg[11]_0\ <= \^gen_dual_addr_cnt.bram_addr_int_reg[11]_0\; \GEN_DUAL_ADDR_CNT.bram_addr_int_reg[6]\ <= \^gen_dual_addr_cnt.bram_addr_int_reg[6]\; SR(0) <= \^sr\(0); axi_b2b_brst_reg <= \^axi_b2b_brst_reg\; bram_addr_ld_en <= \^bram_addr_ld_en\; rd_adv_buf67_out <= \^rd_adv_buf67_out\; \rd_data_sm_cs_reg[1]\ <= \^rd_data_sm_cs_reg[1]\; \rd_data_sm_cs_reg[3]\ <= \^rd_data_sm_cs_reg[3]\; \save_init_bram_addr_ld_reg[15]_0\ <= \^save_init_bram_addr_ld_reg[15]_0\; \wrap_burst_total_reg[0]_0\ <= \^wrap_burst_total_reg[0]_0\; \wrap_burst_total_reg[0]_1\ <= \^wrap_burst_total_reg[0]_1\; \wrap_burst_total_reg[0]_2\ <= \^wrap_burst_total_reg[0]_2\; \wrap_burst_total_reg[0]_3\ <= \^wrap_burst_total_reg[0]_3\; \GEN_DUAL_ADDR_CNT.bram_addr_int[10]_i_1__0\: unisim.vcomponents.LUT6 generic map( INIT => X"DF20FFFFDF200000" ) port map ( I0 => \GEN_DUAL_ADDR_CNT.bram_addr_int_reg[11]_1\(6), I1 => \GEN_DUAL_ADDR_CNT.bram_addr_int_reg[6]_0\, I2 => \GEN_DUAL_ADDR_CNT.bram_addr_int_reg[11]_1\(7), I3 => \GEN_DUAL_ADDR_CNT.bram_addr_int_reg[11]_1\(8), I4 => \GEN_DUAL_ADDR_CNT.bram_addr_int[15]_i_3__0_n_0\, I5 => \save_init_bram_addr_ld[10]_i_1__0_n_0\, O => \^d\(8) ); \GEN_DUAL_ADDR_CNT.bram_addr_int[11]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"5D" ) port map ( I0 => \GEN_DUAL_ADDR_CNT.bram_addr_int[15]_i_3__0_n_0\, I1 => \^gen_dual_addr_cnt.bram_addr_int_reg[11]\, I2 => curr_fixed_burst_reg, O => E(0) ); \GEN_DUAL_ADDR_CNT.bram_addr_int[11]_i_2__0\: unisim.vcomponents.LUT5 generic map( INIT => X"9AFF9A00" ) port map ( I0 => \GEN_DUAL_ADDR_CNT.bram_addr_int_reg[11]_1\(9), I1 => \GEN_DUAL_ADDR_CNT.bram_addr_int_reg[8]\, I2 => \GEN_DUAL_ADDR_CNT.bram_addr_int_reg[11]_1\(8), I3 => \GEN_DUAL_ADDR_CNT.bram_addr_int[15]_i_3__0_n_0\, I4 => \save_init_bram_addr_ld[11]_i_1__0_n_0\, O => \^d\(9) ); \GEN_DUAL_ADDR_CNT.bram_addr_int[11]_i_3\: unisim.vcomponents.LUT6 generic map( INIT => X"E0E0F0F0E0E0FFF0" ) port map ( I0 => \GEN_DUAL_ADDR_CNT.bram_addr_int[11]_i_5_n_0\, I1 => \GEN_DUAL_ADDR_CNT.bram_addr_int[11]_i_6_n_0\, I2 => \^rd_data_sm_cs_reg[1]\, I3 => \^gen_dual_addr_cnt.bram_addr_int_reg[11]_0\, I4 => \rd_data_sm_cs_reg[3]_0\(1), I5 => \rd_data_sm_cs_reg[3]_0\(3), O => \^gen_dual_addr_cnt.bram_addr_int_reg[11]\ ); \GEN_DUAL_ADDR_CNT.bram_addr_int[11]_i_5\: unisim.vcomponents.LUT2 generic map( INIT => X"1" ) port map ( I0 => axi_rd_burst_two_reg, I1 => \rd_data_sm_cs_reg[3]_0\(0), O => \GEN_DUAL_ADDR_CNT.bram_addr_int[11]_i_5_n_0\ ); \GEN_DUAL_ADDR_CNT.bram_addr_int[11]_i_6\: unisim.vcomponents.LUT6 generic map( INIT => X"0000000080800080" ) port map ( I0 => \rd_data_sm_cs_reg[3]_0\(0), I1 => axi_rvalid_int_reg, I2 => s_axi_rready, I3 => end_brst_rd, I4 => axi_b2b_brst, I5 => brst_zero, O => \GEN_DUAL_ADDR_CNT.bram_addr_int[11]_i_6_n_0\ ); \GEN_DUAL_ADDR_CNT.bram_addr_int[12]_i_1__0\: unisim.vcomponents.LUT5 generic map( INIT => X"B8BBB888" ) port map ( I0 => \save_init_bram_addr_ld_reg_n_0_[12]\, I1 => \GEN_DUAL_ADDR_CNT.bram_addr_int[15]_i_4__0_n_0\, I2 => \GEN_AR_PIPE_DUAL.GEN_ARADDR[12].axi_araddr_pipe_reg\, I3 => axi_araddr_full, I4 => s_axi_araddr(10), O => \^d\(10) ); \GEN_DUAL_ADDR_CNT.bram_addr_int[13]_i_1__0\: unisim.vcomponents.LUT5 generic map( INIT => X"B8BBB888" ) port map ( I0 => \save_init_bram_addr_ld_reg_n_0_[13]\, I1 => \GEN_DUAL_ADDR_CNT.bram_addr_int[15]_i_4__0_n_0\, I2 => \GEN_AR_PIPE_DUAL.GEN_ARADDR[13].axi_araddr_pipe_reg\, I3 => axi_araddr_full, I4 => s_axi_araddr(11), O => \^d\(11) ); \GEN_DUAL_ADDR_CNT.bram_addr_int[14]_i_1__0\: unisim.vcomponents.LUT5 generic map( INIT => X"B8BBB888" ) port map ( I0 => \save_init_bram_addr_ld_reg_n_0_[14]\, I1 => \GEN_DUAL_ADDR_CNT.bram_addr_int[15]_i_4__0_n_0\, I2 => \GEN_AR_PIPE_DUAL.GEN_ARADDR[14].axi_araddr_pipe_reg\, I3 => axi_araddr_full, I4 => s_axi_araddr(12), O => \^d\(12) ); \GEN_DUAL_ADDR_CNT.bram_addr_int[15]_i_1\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => \GEN_DUAL_ADDR_CNT.bram_addr_int[15]_i_3__0_n_0\, O => E(1) ); \GEN_DUAL_ADDR_CNT.bram_addr_int[15]_i_2__0\: unisim.vcomponents.LUT5 generic map( INIT => X"B8BBB888" ) port map ( I0 => \save_init_bram_addr_ld_reg_n_0_[15]\, I1 => \GEN_DUAL_ADDR_CNT.bram_addr_int[15]_i_4__0_n_0\, I2 => \GEN_AR_PIPE_DUAL.GEN_ARADDR[15].axi_araddr_pipe_reg\, I3 => axi_araddr_full, I4 => s_axi_araddr(13), O => \^d\(13) ); \GEN_DUAL_ADDR_CNT.bram_addr_int[15]_i_3__0\: unisim.vcomponents.LUT2 generic map( INIT => X"1" ) port map ( I0 => \^bram_addr_ld_en\, I1 => \GEN_DUAL_ADDR_CNT.bram_addr_int[15]_i_4__0_n_0\, O => \GEN_DUAL_ADDR_CNT.bram_addr_int[15]_i_3__0_n_0\ ); \GEN_DUAL_ADDR_CNT.bram_addr_int[15]_i_4__0\: unisim.vcomponents.LUT5 generic map( INIT => X"88A80000" ) port map ( I0 => \^gen_dual_addr_cnt.bram_addr_int_reg[11]\, I1 => \GEN_DUAL_ADDR_CNT.bram_addr_int[15]_i_5__0_n_0\, I2 => \save_init_bram_addr_ld[5]_i_2_n_0\, I3 => \^gen_dual_addr_cnt.bram_addr_int_reg[6]\, I4 => curr_wrap_burst_reg, O => \GEN_DUAL_ADDR_CNT.bram_addr_int[15]_i_4__0_n_0\ ); \GEN_DUAL_ADDR_CNT.bram_addr_int[15]_i_5__0\: unisim.vcomponents.LUT6 generic map( INIT => X"000000008F00A000" ) port map ( I0 => \GEN_DUAL_ADDR_CNT.bram_addr_int_reg[11]_1\(1), I1 => \GEN_DUAL_ADDR_CNT.bram_addr_int_reg[11]_1\(2), I2 => \wrap_burst_total_reg_n_0_[1]\, I3 => \GEN_DUAL_ADDR_CNT.bram_addr_int_reg[11]_1\(0), I4 => \wrap_burst_total_reg_n_0_[0]\, I5 => \wrap_burst_total_reg_n_0_[2]\, O => \GEN_DUAL_ADDR_CNT.bram_addr_int[15]_i_5__0_n_0\ ); \GEN_DUAL_ADDR_CNT.bram_addr_int[2]_i_1__0\: unisim.vcomponents.LUT6 generic map( INIT => X"00000000A808FD5D" ) port map ( I0 => \^bram_addr_ld_en\, I1 => s_axi_araddr(0), I2 => axi_araddr_full, I3 => \GEN_AR_PIPE_DUAL.GEN_ARADDR[2].axi_araddr_pipe_reg\, I4 => \GEN_DUAL_ADDR_CNT.bram_addr_int_reg[11]_1\(0), I5 => \GEN_DUAL_ADDR_CNT.bram_addr_int[15]_i_4__0_n_0\, O => \^d\(0) ); \GEN_DUAL_ADDR_CNT.bram_addr_int[3]_i_1__0\: unisim.vcomponents.LUT4 generic map( INIT => X"6F60" ) port map ( I0 => \GEN_DUAL_ADDR_CNT.bram_addr_int_reg[11]_1\(1), I1 => \GEN_DUAL_ADDR_CNT.bram_addr_int_reg[11]_1\(0), I2 => \GEN_DUAL_ADDR_CNT.bram_addr_int[15]_i_3__0_n_0\, I3 => \save_init_bram_addr_ld[3]_i_1__0_n_0\, O => \^d\(1) ); \GEN_DUAL_ADDR_CNT.bram_addr_int[4]_i_1__0\: unisim.vcomponents.LUT5 generic map( INIT => X"6AFF6A00" ) port map ( I0 => \GEN_DUAL_ADDR_CNT.bram_addr_int_reg[11]_1\(2), I1 => \GEN_DUAL_ADDR_CNT.bram_addr_int_reg[11]_1\(0), I2 => \GEN_DUAL_ADDR_CNT.bram_addr_int_reg[11]_1\(1), I3 => \GEN_DUAL_ADDR_CNT.bram_addr_int[15]_i_3__0_n_0\, I4 => \save_init_bram_addr_ld[4]_i_1__0_n_0\, O => \^d\(2) ); \GEN_DUAL_ADDR_CNT.bram_addr_int[5]_i_1__0\: unisim.vcomponents.LUT6 generic map( INIT => X"6AAAFFFF6AAA0000" ) port map ( I0 => \GEN_DUAL_ADDR_CNT.bram_addr_int_reg[11]_1\(3), I1 => \GEN_DUAL_ADDR_CNT.bram_addr_int_reg[11]_1\(2), I2 => \GEN_DUAL_ADDR_CNT.bram_addr_int_reg[11]_1\(0), I3 => \GEN_DUAL_ADDR_CNT.bram_addr_int_reg[11]_1\(1), I4 => \GEN_DUAL_ADDR_CNT.bram_addr_int[15]_i_3__0_n_0\, I5 => \save_init_bram_addr_ld[5]_i_1__0_n_0\, O => \^d\(3) ); \GEN_DUAL_ADDR_CNT.bram_addr_int[6]_i_1__0\: unisim.vcomponents.LUT4 generic map( INIT => X"9F90" ) port map ( I0 => \GEN_DUAL_ADDR_CNT.bram_addr_int_reg[11]_1\(4), I1 => \^gen_dual_addr_cnt.bram_addr_int_reg[6]\, I2 => \GEN_DUAL_ADDR_CNT.bram_addr_int[15]_i_3__0_n_0\, I3 => \save_init_bram_addr_ld[6]_i_1__0_n_0\, O => \^d\(4) ); \GEN_DUAL_ADDR_CNT.bram_addr_int[7]_i_1__0\: unisim.vcomponents.LUT5 generic map( INIT => X"9AFF9A00" ) port map ( I0 => \GEN_DUAL_ADDR_CNT.bram_addr_int_reg[11]_1\(5), I1 => \^gen_dual_addr_cnt.bram_addr_int_reg[6]\, I2 => \GEN_DUAL_ADDR_CNT.bram_addr_int_reg[11]_1\(4), I3 => \GEN_DUAL_ADDR_CNT.bram_addr_int[15]_i_3__0_n_0\, I4 => \save_init_bram_addr_ld[7]_i_1__0_n_0\, O => \^d\(5) ); \GEN_DUAL_ADDR_CNT.bram_addr_int[8]_i_1__0\: unisim.vcomponents.LUT6 generic map( INIT => X"A6AAFFFFA6AA0000" ) port map ( I0 => \GEN_DUAL_ADDR_CNT.bram_addr_int_reg[11]_1\(6), I1 => \GEN_DUAL_ADDR_CNT.bram_addr_int_reg[11]_1\(4), I2 => \^gen_dual_addr_cnt.bram_addr_int_reg[6]\, I3 => \GEN_DUAL_ADDR_CNT.bram_addr_int_reg[11]_1\(5), I4 => \GEN_DUAL_ADDR_CNT.bram_addr_int[15]_i_3__0_n_0\, I5 => \save_init_bram_addr_ld[8]_i_1__0_n_0\, O => \^d\(6) ); \GEN_DUAL_ADDR_CNT.bram_addr_int[8]_i_2\: unisim.vcomponents.LUT4 generic map( INIT => X"7FFF" ) port map ( I0 => \GEN_DUAL_ADDR_CNT.bram_addr_int_reg[11]_1\(1), I1 => \GEN_DUAL_ADDR_CNT.bram_addr_int_reg[11]_1\(0), I2 => \GEN_DUAL_ADDR_CNT.bram_addr_int_reg[11]_1\(2), I3 => \GEN_DUAL_ADDR_CNT.bram_addr_int_reg[11]_1\(3), O => \^gen_dual_addr_cnt.bram_addr_int_reg[6]\ ); \GEN_DUAL_ADDR_CNT.bram_addr_int[9]_i_1__0\: unisim.vcomponents.LUT5 generic map( INIT => X"9AFF9A00" ) port map ( I0 => \GEN_DUAL_ADDR_CNT.bram_addr_int_reg[11]_1\(7), I1 => \GEN_DUAL_ADDR_CNT.bram_addr_int_reg[6]_0\, I2 => \GEN_DUAL_ADDR_CNT.bram_addr_int_reg[11]_1\(6), I3 => \GEN_DUAL_ADDR_CNT.bram_addr_int[15]_i_3__0_n_0\, I4 => \save_init_bram_addr_ld[9]_i_1__0_n_0\, O => \^d\(7) ); \GEN_RDATA_NO_ECC.GEN_RDATA[31].axi_rdata_int[31]_i_4\: unisim.vcomponents.LUT2 generic map( INIT => X"8" ) port map ( I0 => axi_rvalid_int_reg, I1 => s_axi_rready, O => \^rd_adv_buf67_out\ ); axi_b2b_brst_i_2: unisim.vcomponents.LUT5 generic map( INIT => X"FFFDFFFF" ) port map ( I0 => axi_arsize_pipe_max, I1 => disable_b2b_brst, I2 => \GEN_AR_PIPE_DUAL.axi_arburst_pipe_fixed_reg\, I3 => axi_arlen_pipe_1_or_2, I4 => axi_araddr_full, O => \^axi_b2b_brst_reg\ ); bram_en_int_i_5: unisim.vcomponents.LUT2 generic map( INIT => X"B" ) port map ( I0 => \rd_data_sm_cs_reg[3]_0\(3), I1 => \rd_data_sm_cs_reg[3]_0\(2), O => \^rd_data_sm_cs_reg[3]\ ); bram_en_int_i_8: unisim.vcomponents.LUT6 generic map( INIT => X"0010000000000000" ) port map ( I0 => end_brst_rd, I1 => brst_zero, I2 => \rd_data_sm_cs_reg[3]_0\(2), I3 => \rd_data_sm_cs_reg[3]_0\(0), I4 => axi_rvalid_int_reg, I5 => s_axi_rready, O => \^gen_dual_addr_cnt.bram_addr_int_reg[11]_0\ ); bram_rst_b_INST_0: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => s_axi_aresetn, O => \^sr\(0) ); \rd_data_sm_cs[1]_i_2\: unisim.vcomponents.LUT6 generic map( INIT => X"000F000E000F0000" ) port map ( I0 => axi_rd_burst_two_reg, I1 => axi_rd_burst, I2 => \rd_data_sm_cs_reg[3]_0\(3), I3 => \rd_data_sm_cs_reg[3]_0\(2), I4 => \rd_data_sm_cs_reg[3]_0\(1), I5 => \rd_data_sm_cs_reg[3]_0\(0), O => \^rd_data_sm_cs_reg[1]\ ); \save_init_bram_addr_ld[10]_i_1__0\: unisim.vcomponents.LUT5 generic map( INIT => X"B8BBB888" ) port map ( I0 => \save_init_bram_addr_ld_reg_n_0_[10]\, I1 => \GEN_DUAL_ADDR_CNT.bram_addr_int[15]_i_4__0_n_0\, I2 => \GEN_AR_PIPE_DUAL.GEN_ARADDR[10].axi_araddr_pipe_reg\, I3 => axi_araddr_full, I4 => s_axi_araddr(8), O => \save_init_bram_addr_ld[10]_i_1__0_n_0\ ); \save_init_bram_addr_ld[11]_i_1__0\: unisim.vcomponents.LUT5 generic map( INIT => X"B8BBB888" ) port map ( I0 => \save_init_bram_addr_ld_reg_n_0_[11]\, I1 => \GEN_DUAL_ADDR_CNT.bram_addr_int[15]_i_4__0_n_0\, I2 => \GEN_AR_PIPE_DUAL.GEN_ARADDR[11].axi_araddr_pipe_reg\, I3 => axi_araddr_full, I4 => s_axi_araddr(9), O => \save_init_bram_addr_ld[11]_i_1__0_n_0\ ); \save_init_bram_addr_ld[15]_i_1__0\: unisim.vcomponents.LUT5 generic map( INIT => X"02AA0202" ) port map ( I0 => axi_aresetn_d2, I1 => rd_addr_sm_cs, I2 => \save_init_bram_addr_ld[15]_i_2__0_n_0\, I3 => \^save_init_bram_addr_ld_reg[15]_0\, I4 => last_bram_addr, O => \^bram_addr_ld_en\ ); \save_init_bram_addr_ld[15]_i_2__0\: unisim.vcomponents.LUT5 generic map( INIT => X"FEFEFEFF" ) port map ( I0 => ar_active, I1 => pend_rd_op, I2 => no_ar_ack, I3 => s_axi_arvalid, I4 => axi_araddr_full, O => \save_init_bram_addr_ld[15]_i_2__0_n_0\ ); \save_init_bram_addr_ld[15]_i_3__0\: unisim.vcomponents.LUT6 generic map( INIT => X"AABAAABAFFFFAABA" ) port map ( I0 => \^axi_b2b_brst_reg\, I1 => \rd_data_sm_cs_reg[3]_0\(0), I2 => \rd_data_sm_cs_reg[3]_0\(1), I3 => \^rd_data_sm_cs_reg[3]\, I4 => brst_zero, I5 => \^rd_adv_buf67_out\, O => \^save_init_bram_addr_ld_reg[15]_0\ ); \save_init_bram_addr_ld[3]_i_1__0\: unisim.vcomponents.LUT5 generic map( INIT => X"B8BBB888" ) port map ( I0 => \save_init_bram_addr_ld[3]_i_2_n_0\, I1 => \GEN_DUAL_ADDR_CNT.bram_addr_int[15]_i_4__0_n_0\, I2 => \GEN_AR_PIPE_DUAL.GEN_ARADDR[3].axi_araddr_pipe_reg\, I3 => axi_araddr_full, I4 => s_axi_araddr(1), O => \save_init_bram_addr_ld[3]_i_1__0_n_0\ ); \save_init_bram_addr_ld[3]_i_2\: unisim.vcomponents.LUT4 generic map( INIT => X"A282" ) port map ( I0 => \save_init_bram_addr_ld_reg_n_0_[3]\, I1 => \wrap_burst_total_reg_n_0_[1]\, I2 => \wrap_burst_total_reg_n_0_[2]\, I3 => \wrap_burst_total_reg_n_0_[0]\, O => \save_init_bram_addr_ld[3]_i_2_n_0\ ); \save_init_bram_addr_ld[4]_i_1__0\: unisim.vcomponents.LUT5 generic map( INIT => X"B8BBB888" ) port map ( I0 => \save_init_bram_addr_ld[4]_i_2_n_0\, I1 => \GEN_DUAL_ADDR_CNT.bram_addr_int[15]_i_4__0_n_0\, I2 => \GEN_AR_PIPE_DUAL.GEN_ARADDR[4].axi_araddr_pipe_reg\, I3 => axi_araddr_full, I4 => s_axi_araddr(2), O => \save_init_bram_addr_ld[4]_i_1__0_n_0\ ); \save_init_bram_addr_ld[4]_i_2\: unisim.vcomponents.LUT4 generic map( INIT => X"A28A" ) port map ( I0 => \save_init_bram_addr_ld_reg_n_0_[4]\, I1 => \wrap_burst_total_reg_n_0_[0]\, I2 => \wrap_burst_total_reg_n_0_[2]\, I3 => \wrap_burst_total_reg_n_0_[1]\, O => \save_init_bram_addr_ld[4]_i_2_n_0\ ); \save_init_bram_addr_ld[5]_i_1__0\: unisim.vcomponents.LUT6 generic map( INIT => X"2F202F2F2F202020" ) port map ( I0 => \save_init_bram_addr_ld_reg_n_0_[5]\, I1 => \save_init_bram_addr_ld[5]_i_2_n_0\, I2 => \GEN_DUAL_ADDR_CNT.bram_addr_int[15]_i_4__0_n_0\, I3 => \GEN_AR_PIPE_DUAL.GEN_ARADDR[5].axi_araddr_pipe_reg\, I4 => axi_araddr_full, I5 => s_axi_araddr(3), O => \save_init_bram_addr_ld[5]_i_1__0_n_0\ ); \save_init_bram_addr_ld[5]_i_2\: unisim.vcomponents.LUT3 generic map( INIT => X"04" ) port map ( I0 => \wrap_burst_total_reg_n_0_[0]\, I1 => \wrap_burst_total_reg_n_0_[2]\, I2 => \wrap_burst_total_reg_n_0_[1]\, O => \save_init_bram_addr_ld[5]_i_2_n_0\ ); \save_init_bram_addr_ld[6]_i_1__0\: unisim.vcomponents.LUT5 generic map( INIT => X"B8BBB888" ) port map ( I0 => \save_init_bram_addr_ld_reg_n_0_[6]\, I1 => \GEN_DUAL_ADDR_CNT.bram_addr_int[15]_i_4__0_n_0\, I2 => \GEN_AR_PIPE_DUAL.GEN_ARADDR[6].axi_araddr_pipe_reg\, I3 => axi_araddr_full, I4 => s_axi_araddr(4), O => \save_init_bram_addr_ld[6]_i_1__0_n_0\ ); \save_init_bram_addr_ld[7]_i_1__0\: unisim.vcomponents.LUT5 generic map( INIT => X"B8BBB888" ) port map ( I0 => \save_init_bram_addr_ld_reg_n_0_[7]\, I1 => \GEN_DUAL_ADDR_CNT.bram_addr_int[15]_i_4__0_n_0\, I2 => \GEN_AR_PIPE_DUAL.GEN_ARADDR[7].axi_araddr_pipe_reg\, I3 => axi_araddr_full, I4 => s_axi_araddr(5), O => \save_init_bram_addr_ld[7]_i_1__0_n_0\ ); \save_init_bram_addr_ld[8]_i_1__0\: unisim.vcomponents.LUT5 generic map( INIT => X"B8BBB888" ) port map ( I0 => \save_init_bram_addr_ld_reg_n_0_[8]\, I1 => \GEN_DUAL_ADDR_CNT.bram_addr_int[15]_i_4__0_n_0\, I2 => \GEN_AR_PIPE_DUAL.GEN_ARADDR[8].axi_araddr_pipe_reg\, I3 => axi_araddr_full, I4 => s_axi_araddr(6), O => \save_init_bram_addr_ld[8]_i_1__0_n_0\ ); \save_init_bram_addr_ld[9]_i_1__0\: unisim.vcomponents.LUT5 generic map( INIT => X"B8BBB888" ) port map ( I0 => \save_init_bram_addr_ld_reg_n_0_[9]\, I1 => \GEN_DUAL_ADDR_CNT.bram_addr_int[15]_i_4__0_n_0\, I2 => \GEN_AR_PIPE_DUAL.GEN_ARADDR[9].axi_araddr_pipe_reg\, I3 => axi_araddr_full, I4 => s_axi_araddr(7), O => \save_init_bram_addr_ld[9]_i_1__0_n_0\ ); \save_init_bram_addr_ld_reg[10]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \^bram_addr_ld_en\, D => \save_init_bram_addr_ld[10]_i_1__0_n_0\, Q => \save_init_bram_addr_ld_reg_n_0_[10]\, R => \^sr\(0) ); \save_init_bram_addr_ld_reg[11]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \^bram_addr_ld_en\, D => \save_init_bram_addr_ld[11]_i_1__0_n_0\, Q => \save_init_bram_addr_ld_reg_n_0_[11]\, R => \^sr\(0) ); \save_init_bram_addr_ld_reg[12]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \^bram_addr_ld_en\, D => \^d\(10), Q => \save_init_bram_addr_ld_reg_n_0_[12]\, R => \^sr\(0) ); \save_init_bram_addr_ld_reg[13]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \^bram_addr_ld_en\, D => \^d\(11), Q => \save_init_bram_addr_ld_reg_n_0_[13]\, R => \^sr\(0) ); \save_init_bram_addr_ld_reg[14]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \^bram_addr_ld_en\, D => \^d\(12), Q => \save_init_bram_addr_ld_reg_n_0_[14]\, R => \^sr\(0) ); \save_init_bram_addr_ld_reg[15]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \^bram_addr_ld_en\, D => \^d\(13), Q => \save_init_bram_addr_ld_reg_n_0_[15]\, R => \^sr\(0) ); \save_init_bram_addr_ld_reg[3]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \^bram_addr_ld_en\, D => \save_init_bram_addr_ld[3]_i_1__0_n_0\, Q => \save_init_bram_addr_ld_reg_n_0_[3]\, R => \^sr\(0) ); \save_init_bram_addr_ld_reg[4]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \^bram_addr_ld_en\, D => \save_init_bram_addr_ld[4]_i_1__0_n_0\, Q => \save_init_bram_addr_ld_reg_n_0_[4]\, R => \^sr\(0) ); \save_init_bram_addr_ld_reg[5]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \^bram_addr_ld_en\, D => \save_init_bram_addr_ld[5]_i_1__0_n_0\, Q => \save_init_bram_addr_ld_reg_n_0_[5]\, R => \^sr\(0) ); \save_init_bram_addr_ld_reg[6]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \^bram_addr_ld_en\, D => \save_init_bram_addr_ld[6]_i_1__0_n_0\, Q => \save_init_bram_addr_ld_reg_n_0_[6]\, R => \^sr\(0) ); \save_init_bram_addr_ld_reg[7]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \^bram_addr_ld_en\, D => \save_init_bram_addr_ld[7]_i_1__0_n_0\, Q => \save_init_bram_addr_ld_reg_n_0_[7]\, R => \^sr\(0) ); \save_init_bram_addr_ld_reg[8]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \^bram_addr_ld_en\, D => \save_init_bram_addr_ld[8]_i_1__0_n_0\, Q => \save_init_bram_addr_ld_reg_n_0_[8]\, R => \^sr\(0) ); \save_init_bram_addr_ld_reg[9]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \^bram_addr_ld_en\, D => \save_init_bram_addr_ld[9]_i_1__0_n_0\, Q => \save_init_bram_addr_ld_reg_n_0_[9]\, R => \^sr\(0) ); \wrap_burst_total[0]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"3202010100000000" ) port map ( I0 => \^wrap_burst_total_reg[0]_0\, I1 => \^wrap_burst_total_reg[0]_1\, I2 => \wrap_burst_total[0]_i_3__0_n_0\, I3 => Q(2), I4 => \^wrap_burst_total_reg[0]_2\, I5 => \^wrap_burst_total_reg[0]_3\, O => \wrap_burst_total[0]_i_1_n_0\ ); \wrap_burst_total[0]_i_2\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => Q(2), I1 => axi_araddr_full, I2 => s_axi_arlen(2), O => \^wrap_burst_total_reg[0]_0\ ); \wrap_burst_total[0]_i_3__0\: unisim.vcomponents.LUT2 generic map( INIT => X"2" ) port map ( I0 => axi_araddr_full, I1 => axi_arsize_pipe(0), O => \wrap_burst_total[0]_i_3__0_n_0\ ); \wrap_burst_total[0]_i_4\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => Q(1), I1 => axi_araddr_full, I2 => s_axi_arlen(1), O => \^wrap_burst_total_reg[0]_2\ ); \wrap_burst_total[0]_i_5\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => Q(0), I1 => axi_araddr_full, I2 => s_axi_arlen(0), O => \^wrap_burst_total_reg[0]_3\ ); \wrap_burst_total[1]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"220A880A000A880A" ) port map ( I0 => \wrap_burst_total[2]_i_2_n_0\, I1 => axi_arsize_pipe(0), I2 => s_axi_arlen(3), I3 => axi_araddr_full, I4 => Q(3), I5 => Q(2), O => \wrap_burst_total[1]_i_1_n_0\ ); \wrap_burst_total[2]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"8088008880000000" ) port map ( I0 => \wrap_burst_total[2]_i_2_n_0\, I1 => \^wrap_burst_total_reg[0]_1\, I2 => axi_arsize_pipe(0), I3 => axi_araddr_full, I4 => Q(2), I5 => s_axi_arlen(2), O => \wrap_burst_total[2]_i_1_n_0\ ); \wrap_burst_total[2]_i_2\: unisim.vcomponents.LUT5 generic map( INIT => X"CCA000A0" ) port map ( I0 => s_axi_arlen(1), I1 => Q(1), I2 => s_axi_arlen(0), I3 => axi_araddr_full, I4 => Q(0), O => \wrap_burst_total[2]_i_2_n_0\ ); \wrap_burst_total[2]_i_3\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => Q(3), I1 => axi_araddr_full, I2 => s_axi_arlen(3), O => \^wrap_burst_total_reg[0]_1\ ); \wrap_burst_total_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \^bram_addr_ld_en\, D => \wrap_burst_total[0]_i_1_n_0\, Q => \wrap_burst_total_reg_n_0_[0]\, R => \^sr\(0) ); \wrap_burst_total_reg[1]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \^bram_addr_ld_en\, D => \wrap_burst_total[1]_i_1_n_0\, Q => \wrap_burst_total_reg_n_0_[1]\, R => \^sr\(0) ); \wrap_burst_total_reg[2]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \^bram_addr_ld_en\, D => \wrap_burst_total[2]_i_1_n_0\, Q => \wrap_burst_total_reg_n_0_[2]\, R => \^sr\(0) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_rd_chnl is port ( bram_rst_a : out STD_LOGIC; s_axi_rdata : out STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_rlast : out STD_LOGIC; s_axi_rvalid : out STD_LOGIC; bram_en_b : out STD_LOGIC; Q : out STD_LOGIC_VECTOR ( 13 downto 0 ); s_axi_arready : out STD_LOGIC; s_axi_rid : out STD_LOGIC_VECTOR ( 11 downto 0 ); s_axi_araddr : in STD_LOGIC_VECTOR ( 13 downto 0 ); s_axi_aclk : in STD_LOGIC; \GEN_AWREADY.axi_aresetn_d2_reg\ : in STD_LOGIC; s_axi_rready : in STD_LOGIC; s_axi_aresetn : in STD_LOGIC; s_axi_arlen : in STD_LOGIC_VECTOR ( 7 downto 0 ); axi_aresetn_d2 : in STD_LOGIC; s_axi_arvalid : in STD_LOGIC; axi_aresetn_re_reg : in STD_LOGIC; s_axi_arid : in STD_LOGIC_VECTOR ( 11 downto 0 ); s_axi_arburst : in STD_LOGIC_VECTOR ( 1 downto 0 ); bram_rddata_b : in STD_LOGIC_VECTOR ( 31 downto 0 ) ); end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_rd_chnl; architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_rd_chnl is signal \/FSM_sequential_rlast_sm_cs[0]_i_2_n_0\ : STD_LOGIC; signal \/FSM_sequential_rlast_sm_cs[1]_i_2_n_0\ : STD_LOGIC; signal \/i__n_0\ : STD_LOGIC; signal \FSM_sequential_rlast_sm_cs[0]_i_1_n_0\ : STD_LOGIC; signal \FSM_sequential_rlast_sm_cs[1]_i_1_n_0\ : STD_LOGIC; signal \FSM_sequential_rlast_sm_cs[2]_i_1_n_0\ : STD_LOGIC; signal \GEN_ARREADY.axi_arready_int_i_1_n_0\ : STD_LOGIC; signal \GEN_ARREADY.axi_early_arready_int_i_2_n_0\ : STD_LOGIC; signal \GEN_ARREADY.axi_early_arready_int_i_3_n_0\ : STD_LOGIC; signal \GEN_AR_DUAL.ar_active_i_1_n_0\ : STD_LOGIC; signal \GEN_AR_DUAL.ar_active_i_2_n_0\ : STD_LOGIC; signal \GEN_AR_DUAL.ar_active_i_3_n_0\ : STD_LOGIC; signal \GEN_AR_DUAL.ar_active_i_4_n_0\ : STD_LOGIC; signal \GEN_AR_DUAL.rd_addr_sm_cs_i_1_n_0\ : STD_LOGIC; signal \GEN_AR_PIPE_DUAL.GEN_ARADDR[10].axi_araddr_pipe_reg\ : STD_LOGIC; signal \GEN_AR_PIPE_DUAL.GEN_ARADDR[11].axi_araddr_pipe_reg\ : STD_LOGIC; signal \GEN_AR_PIPE_DUAL.GEN_ARADDR[12].axi_araddr_pipe_reg\ : STD_LOGIC; signal \GEN_AR_PIPE_DUAL.GEN_ARADDR[13].axi_araddr_pipe_reg\ : STD_LOGIC; signal \GEN_AR_PIPE_DUAL.GEN_ARADDR[14].axi_araddr_pipe_reg\ : STD_LOGIC; signal \GEN_AR_PIPE_DUAL.GEN_ARADDR[15].axi_araddr_pipe_reg\ : STD_LOGIC; signal \GEN_AR_PIPE_DUAL.GEN_ARADDR[2].axi_araddr_pipe_reg\ : STD_LOGIC; signal \GEN_AR_PIPE_DUAL.GEN_ARADDR[3].axi_araddr_pipe_reg\ : STD_LOGIC; signal \GEN_AR_PIPE_DUAL.GEN_ARADDR[4].axi_araddr_pipe_reg\ : STD_LOGIC; signal \GEN_AR_PIPE_DUAL.GEN_ARADDR[5].axi_araddr_pipe_reg\ : STD_LOGIC; signal \GEN_AR_PIPE_DUAL.GEN_ARADDR[6].axi_araddr_pipe_reg\ : STD_LOGIC; signal \GEN_AR_PIPE_DUAL.GEN_ARADDR[7].axi_araddr_pipe_reg\ : STD_LOGIC; signal \GEN_AR_PIPE_DUAL.GEN_ARADDR[8].axi_araddr_pipe_reg\ : STD_LOGIC; signal \GEN_AR_PIPE_DUAL.GEN_ARADDR[9].axi_araddr_pipe_reg\ : STD_LOGIC; signal \GEN_AR_PIPE_DUAL.axi_araddr_full_i_1_n_0\ : STD_LOGIC; signal \GEN_AR_PIPE_DUAL.axi_arburst_pipe_fixed_i_1_n_0\ : STD_LOGIC; signal \GEN_AR_PIPE_DUAL.axi_arburst_pipe_fixed_reg_n_0\ : STD_LOGIC; signal \GEN_AR_PIPE_DUAL.axi_arlen_pipe[7]_i_2_n_0\ : STD_LOGIC; signal \GEN_AR_PIPE_DUAL.axi_arlen_pipe[7]_i_3_n_0\ : STD_LOGIC; signal \GEN_AR_PIPE_DUAL.axi_arlen_pipe_1_or_2_i_2_n_0\ : STD_LOGIC; signal \GEN_BRST_MAX_WO_NARROW.brst_cnt_max_i_1_n_0\ : STD_LOGIC; signal \GEN_DUAL_ADDR_CNT.bram_addr_int[10]_i_2_n_0\ : STD_LOGIC; signal \GEN_DUAL_ADDR_CNT.bram_addr_int[11]_i_4_n_0\ : STD_LOGIC; signal \GEN_RDATA_NO_ECC.GEN_RDATA[0].axi_rdata_int[0]_i_1_n_0\ : STD_LOGIC; signal \GEN_RDATA_NO_ECC.GEN_RDATA[10].axi_rdata_int[10]_i_1_n_0\ : STD_LOGIC; signal \GEN_RDATA_NO_ECC.GEN_RDATA[11].axi_rdata_int[11]_i_1_n_0\ : STD_LOGIC; signal \GEN_RDATA_NO_ECC.GEN_RDATA[12].axi_rdata_int[12]_i_1_n_0\ : STD_LOGIC; signal \GEN_RDATA_NO_ECC.GEN_RDATA[13].axi_rdata_int[13]_i_1_n_0\ : STD_LOGIC; signal \GEN_RDATA_NO_ECC.GEN_RDATA[14].axi_rdata_int[14]_i_1_n_0\ : STD_LOGIC; signal \GEN_RDATA_NO_ECC.GEN_RDATA[15].axi_rdata_int[15]_i_1_n_0\ : STD_LOGIC; signal \GEN_RDATA_NO_ECC.GEN_RDATA[16].axi_rdata_int[16]_i_1_n_0\ : STD_LOGIC; signal \GEN_RDATA_NO_ECC.GEN_RDATA[17].axi_rdata_int[17]_i_1_n_0\ : STD_LOGIC; signal \GEN_RDATA_NO_ECC.GEN_RDATA[18].axi_rdata_int[18]_i_1_n_0\ : STD_LOGIC; signal \GEN_RDATA_NO_ECC.GEN_RDATA[19].axi_rdata_int[19]_i_1_n_0\ : STD_LOGIC; signal \GEN_RDATA_NO_ECC.GEN_RDATA[1].axi_rdata_int[1]_i_1_n_0\ : STD_LOGIC; signal \GEN_RDATA_NO_ECC.GEN_RDATA[20].axi_rdata_int[20]_i_1_n_0\ : STD_LOGIC; signal \GEN_RDATA_NO_ECC.GEN_RDATA[21].axi_rdata_int[21]_i_1_n_0\ : STD_LOGIC; signal \GEN_RDATA_NO_ECC.GEN_RDATA[22].axi_rdata_int[22]_i_1_n_0\ : STD_LOGIC; signal \GEN_RDATA_NO_ECC.GEN_RDATA[23].axi_rdata_int[23]_i_1_n_0\ : STD_LOGIC; signal \GEN_RDATA_NO_ECC.GEN_RDATA[24].axi_rdata_int[24]_i_1_n_0\ : STD_LOGIC; signal \GEN_RDATA_NO_ECC.GEN_RDATA[25].axi_rdata_int[25]_i_1_n_0\ : STD_LOGIC; signal \GEN_RDATA_NO_ECC.GEN_RDATA[26].axi_rdata_int[26]_i_1_n_0\ : STD_LOGIC; signal \GEN_RDATA_NO_ECC.GEN_RDATA[27].axi_rdata_int[27]_i_1_n_0\ : STD_LOGIC; signal \GEN_RDATA_NO_ECC.GEN_RDATA[28].axi_rdata_int[28]_i_1_n_0\ : STD_LOGIC; signal \GEN_RDATA_NO_ECC.GEN_RDATA[29].axi_rdata_int[29]_i_1_n_0\ : STD_LOGIC; signal \GEN_RDATA_NO_ECC.GEN_RDATA[2].axi_rdata_int[2]_i_1_n_0\ : STD_LOGIC; signal \GEN_RDATA_NO_ECC.GEN_RDATA[30].axi_rdata_int[30]_i_1_n_0\ : STD_LOGIC; signal \GEN_RDATA_NO_ECC.GEN_RDATA[31].axi_rdata_int[31]_i_1_n_0\ : STD_LOGIC; signal \GEN_RDATA_NO_ECC.GEN_RDATA[31].axi_rdata_int[31]_i_2_n_0\ : STD_LOGIC; signal \GEN_RDATA_NO_ECC.GEN_RDATA[31].axi_rdata_int[31]_i_3_n_0\ : STD_LOGIC; signal \GEN_RDATA_NO_ECC.GEN_RDATA[3].axi_rdata_int[3]_i_1_n_0\ : STD_LOGIC; signal \GEN_RDATA_NO_ECC.GEN_RDATA[4].axi_rdata_int[4]_i_1_n_0\ : STD_LOGIC; signal \GEN_RDATA_NO_ECC.GEN_RDATA[5].axi_rdata_int[5]_i_1_n_0\ : STD_LOGIC; signal \GEN_RDATA_NO_ECC.GEN_RDATA[6].axi_rdata_int[6]_i_1_n_0\ : STD_LOGIC; signal \GEN_RDATA_NO_ECC.GEN_RDATA[7].axi_rdata_int[7]_i_1_n_0\ : STD_LOGIC; signal \GEN_RDATA_NO_ECC.GEN_RDATA[8].axi_rdata_int[8]_i_1_n_0\ : STD_LOGIC; signal \GEN_RDATA_NO_ECC.GEN_RDATA[9].axi_rdata_int[9]_i_1_n_0\ : STD_LOGIC; signal \GEN_RID.axi_rid_int[11]_i_1_n_0\ : STD_LOGIC; signal \GEN_RID.axi_rid_temp2_full_i_1_n_0\ : STD_LOGIC; signal \GEN_RID.axi_rid_temp[0]_i_1_n_0\ : STD_LOGIC; signal \GEN_RID.axi_rid_temp[10]_i_1_n_0\ : STD_LOGIC; signal \GEN_RID.axi_rid_temp[11]_i_1_n_0\ : STD_LOGIC; signal \GEN_RID.axi_rid_temp[11]_i_2_n_0\ : STD_LOGIC; signal \GEN_RID.axi_rid_temp[1]_i_1_n_0\ : STD_LOGIC; signal \GEN_RID.axi_rid_temp[2]_i_1_n_0\ : STD_LOGIC; signal \GEN_RID.axi_rid_temp[3]_i_1_n_0\ : STD_LOGIC; signal \GEN_RID.axi_rid_temp[4]_i_1_n_0\ : STD_LOGIC; signal \GEN_RID.axi_rid_temp[5]_i_1_n_0\ : STD_LOGIC; signal \GEN_RID.axi_rid_temp[6]_i_1_n_0\ : STD_LOGIC; signal \GEN_RID.axi_rid_temp[7]_i_1_n_0\ : STD_LOGIC; signal \GEN_RID.axi_rid_temp[8]_i_1_n_0\ : STD_LOGIC; signal \GEN_RID.axi_rid_temp[9]_i_1_n_0\ : STD_LOGIC; signal \GEN_RID.axi_rid_temp_full_i_1_n_0\ : STD_LOGIC; signal I_WRAP_BRST_n_1 : STD_LOGIC; signal I_WRAP_BRST_n_10 : STD_LOGIC; signal I_WRAP_BRST_n_11 : STD_LOGIC; signal I_WRAP_BRST_n_12 : STD_LOGIC; signal I_WRAP_BRST_n_13 : STD_LOGIC; signal I_WRAP_BRST_n_14 : STD_LOGIC; signal I_WRAP_BRST_n_15 : STD_LOGIC; signal I_WRAP_BRST_n_16 : STD_LOGIC; signal I_WRAP_BRST_n_17 : STD_LOGIC; signal I_WRAP_BRST_n_18 : STD_LOGIC; signal I_WRAP_BRST_n_19 : STD_LOGIC; signal I_WRAP_BRST_n_2 : STD_LOGIC; signal I_WRAP_BRST_n_20 : STD_LOGIC; signal I_WRAP_BRST_n_21 : STD_LOGIC; signal I_WRAP_BRST_n_23 : STD_LOGIC; signal I_WRAP_BRST_n_24 : STD_LOGIC; signal I_WRAP_BRST_n_25 : STD_LOGIC; signal I_WRAP_BRST_n_26 : STD_LOGIC; signal I_WRAP_BRST_n_27 : STD_LOGIC; signal I_WRAP_BRST_n_28 : STD_LOGIC; signal I_WRAP_BRST_n_3 : STD_LOGIC; signal I_WRAP_BRST_n_4 : STD_LOGIC; signal I_WRAP_BRST_n_6 : STD_LOGIC; signal I_WRAP_BRST_n_7 : STD_LOGIC; signal I_WRAP_BRST_n_8 : STD_LOGIC; signal I_WRAP_BRST_n_9 : STD_LOGIC; signal \^q\ : STD_LOGIC_VECTOR ( 13 downto 0 ); signal act_rd_burst : STD_LOGIC; signal act_rd_burst_i_1_n_0 : STD_LOGIC; signal act_rd_burst_i_3_n_0 : STD_LOGIC; signal act_rd_burst_i_4_n_0 : STD_LOGIC; signal act_rd_burst_set : STD_LOGIC; signal act_rd_burst_two : STD_LOGIC; signal act_rd_burst_two_i_1_n_0 : STD_LOGIC; signal ar_active : STD_LOGIC; signal araddr_pipe_ld43_out : STD_LOGIC; signal axi_araddr_full : STD_LOGIC; signal axi_arburst_pipe : STD_LOGIC_VECTOR ( 1 downto 0 ); signal axi_arid_pipe : STD_LOGIC_VECTOR ( 11 downto 0 ); signal axi_arlen_pipe : STD_LOGIC_VECTOR ( 7 downto 0 ); signal axi_arlen_pipe_1_or_2 : STD_LOGIC; signal axi_arready_int : STD_LOGIC; signal axi_arsize_pipe : STD_LOGIC_VECTOR ( 1 to 1 ); signal axi_arsize_pipe_max : STD_LOGIC; signal axi_arsize_pipe_max_i_1_n_0 : STD_LOGIC; signal axi_b2b_brst : STD_LOGIC; signal axi_b2b_brst_i_1_n_0 : STD_LOGIC; signal axi_b2b_brst_i_3_n_0 : STD_LOGIC; signal axi_early_arready_int : STD_LOGIC; signal axi_rd_burst : STD_LOGIC; signal axi_rd_burst_i_1_n_0 : STD_LOGIC; signal axi_rd_burst_i_2_n_0 : STD_LOGIC; signal axi_rd_burst_i_3_n_0 : STD_LOGIC; signal axi_rd_burst_two : STD_LOGIC; signal axi_rd_burst_two_i_1_n_0 : STD_LOGIC; signal axi_rd_burst_two_reg_n_0 : STD_LOGIC; signal axi_rid_temp : STD_LOGIC_VECTOR ( 11 downto 0 ); signal axi_rid_temp2 : STD_LOGIC_VECTOR ( 11 downto 0 ); signal axi_rid_temp20_in : STD_LOGIC_VECTOR ( 11 downto 0 ); signal axi_rid_temp2_full : STD_LOGIC; signal axi_rid_temp_full : STD_LOGIC; signal axi_rid_temp_full_d1 : STD_LOGIC; signal axi_rlast_int_i_1_n_0 : STD_LOGIC; signal axi_rlast_set : STD_LOGIC; signal axi_rvalid_clr_ok : STD_LOGIC; signal axi_rvalid_clr_ok_i_1_n_0 : STD_LOGIC; signal axi_rvalid_clr_ok_i_2_n_0 : STD_LOGIC; signal axi_rvalid_clr_ok_i_3_n_0 : STD_LOGIC; signal axi_rvalid_int_i_1_n_0 : STD_LOGIC; signal axi_rvalid_set : STD_LOGIC; signal axi_rvalid_set_cmb : STD_LOGIC; signal bram_addr_ld_en : STD_LOGIC; signal bram_addr_ld_en_mod : STD_LOGIC; signal \^bram_en_b\ : STD_LOGIC; signal bram_en_int_i_10_n_0 : STD_LOGIC; signal bram_en_int_i_11_n_0 : STD_LOGIC; signal bram_en_int_i_1_n_0 : STD_LOGIC; signal bram_en_int_i_2_n_0 : STD_LOGIC; signal bram_en_int_i_3_n_0 : STD_LOGIC; signal bram_en_int_i_4_n_0 : STD_LOGIC; signal bram_en_int_i_6_n_0 : STD_LOGIC; signal bram_en_int_i_7_n_0 : STD_LOGIC; signal bram_en_int_i_9_n_0 : STD_LOGIC; signal \^bram_rst_a\ : STD_LOGIC; signal brst_cnt : STD_LOGIC_VECTOR ( 7 downto 0 ); signal \brst_cnt[0]_i_1_n_0\ : STD_LOGIC; signal \brst_cnt[1]_i_1_n_0\ : STD_LOGIC; signal \brst_cnt[2]_i_1_n_0\ : STD_LOGIC; signal \brst_cnt[3]_i_1_n_0\ : STD_LOGIC; signal \brst_cnt[4]_i_1_n_0\ : STD_LOGIC; signal \brst_cnt[4]_i_2_n_0\ : STD_LOGIC; signal \brst_cnt[5]_i_1_n_0\ : STD_LOGIC; signal \brst_cnt[6]_i_1_n_0\ : STD_LOGIC; signal \brst_cnt[6]_i_2_n_0\ : STD_LOGIC; signal \brst_cnt[7]_i_1_n_0\ : STD_LOGIC; signal \brst_cnt[7]_i_2_n_0\ : STD_LOGIC; signal \brst_cnt[7]_i_3_n_0\ : STD_LOGIC; signal \brst_cnt[7]_i_4_n_0\ : STD_LOGIC; signal brst_cnt_max : STD_LOGIC; signal brst_cnt_max_d1 : STD_LOGIC; signal brst_one : STD_LOGIC; signal brst_one0 : STD_LOGIC; signal brst_one_i_1_n_0 : STD_LOGIC; signal brst_zero : STD_LOGIC; signal brst_zero_i_1_n_0 : STD_LOGIC; signal brst_zero_i_2_n_0 : STD_LOGIC; signal curr_fixed_burst : STD_LOGIC; signal curr_fixed_burst_reg : STD_LOGIC; signal curr_wrap_burst : STD_LOGIC; signal curr_wrap_burst_reg : STD_LOGIC; signal disable_b2b_brst : STD_LOGIC; signal disable_b2b_brst_cmb : STD_LOGIC; signal disable_b2b_brst_i_2_n_0 : STD_LOGIC; signal disable_b2b_brst_i_3_n_0 : STD_LOGIC; signal disable_b2b_brst_i_4_n_0 : STD_LOGIC; signal end_brst_rd : STD_LOGIC; signal end_brst_rd_clr : STD_LOGIC; signal end_brst_rd_clr_i_1_n_0 : STD_LOGIC; signal end_brst_rd_i_1_n_0 : STD_LOGIC; signal last_bram_addr : STD_LOGIC; signal last_bram_addr0 : STD_LOGIC; signal last_bram_addr_i_2_n_0 : STD_LOGIC; signal last_bram_addr_i_3_n_0 : STD_LOGIC; signal last_bram_addr_i_4_n_0 : STD_LOGIC; signal last_bram_addr_i_5_n_0 : STD_LOGIC; signal last_bram_addr_i_6_n_0 : STD_LOGIC; signal last_bram_addr_i_7_n_0 : STD_LOGIC; signal last_bram_addr_i_8_n_0 : STD_LOGIC; signal last_bram_addr_i_9_n_0 : STD_LOGIC; signal no_ar_ack : STD_LOGIC; signal no_ar_ack_i_1_n_0 : STD_LOGIC; signal p_0_in13_in : STD_LOGIC; signal p_13_out : STD_LOGIC; signal p_26_out : STD_LOGIC; signal p_48_out : STD_LOGIC; signal p_4_out : STD_LOGIC; signal p_9_out : STD_LOGIC; signal pend_rd_op : STD_LOGIC; signal pend_rd_op_i_1_n_0 : STD_LOGIC; signal pend_rd_op_i_2_n_0 : STD_LOGIC; signal pend_rd_op_i_3_n_0 : STD_LOGIC; signal pend_rd_op_i_4_n_0 : STD_LOGIC; signal pend_rd_op_i_5_n_0 : STD_LOGIC; signal pend_rd_op_i_6_n_0 : STD_LOGIC; signal pend_rd_op_i_7_n_0 : STD_LOGIC; signal pend_rd_op_i_8_n_0 : STD_LOGIC; signal pend_rd_op_i_9_n_0 : STD_LOGIC; signal rd_addr_sm_cs : STD_LOGIC; signal rd_adv_buf67_out : STD_LOGIC; signal rd_data_sm_cs : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \rd_data_sm_cs[0]_i_1_n_0\ : STD_LOGIC; signal \rd_data_sm_cs[0]_i_2_n_0\ : STD_LOGIC; signal \rd_data_sm_cs[0]_i_3_n_0\ : STD_LOGIC; signal \rd_data_sm_cs[0]_i_4_n_0\ : STD_LOGIC; signal \rd_data_sm_cs[1]_i_1_n_0\ : STD_LOGIC; signal \rd_data_sm_cs[1]_i_3_n_0\ : STD_LOGIC; signal \rd_data_sm_cs[2]_i_1_n_0\ : STD_LOGIC; signal \rd_data_sm_cs[2]_i_2_n_0\ : STD_LOGIC; signal \rd_data_sm_cs[2]_i_3_n_0\ : STD_LOGIC; signal \rd_data_sm_cs[2]_i_4_n_0\ : STD_LOGIC; signal \rd_data_sm_cs[2]_i_5_n_0\ : STD_LOGIC; signal \rd_data_sm_cs[3]_i_2_n_0\ : STD_LOGIC; signal \rd_data_sm_cs[3]_i_3_n_0\ : STD_LOGIC; signal \rd_data_sm_cs[3]_i_4_n_0\ : STD_LOGIC; signal \rd_data_sm_cs[3]_i_5_n_0\ : STD_LOGIC; signal \rd_data_sm_cs[3]_i_6_n_0\ : STD_LOGIC; signal \rd_data_sm_cs[3]_i_7_n_0\ : STD_LOGIC; signal rd_data_sm_ns : STD_LOGIC; signal rd_skid_buf : STD_LOGIC_VECTOR ( 31 downto 0 ); signal rd_skid_buf_ld : STD_LOGIC; signal rd_skid_buf_ld_cmb : STD_LOGIC; signal rd_skid_buf_ld_reg : STD_LOGIC; signal rddata_mux_sel : STD_LOGIC; signal rddata_mux_sel_cmb : STD_LOGIC; signal rddata_mux_sel_i_1_n_0 : STD_LOGIC; signal rddata_mux_sel_i_3_n_0 : STD_LOGIC; signal rlast_sm_cs : STD_LOGIC_VECTOR ( 2 downto 0 ); attribute RTL_KEEP : string; attribute RTL_KEEP of rlast_sm_cs : signal is "yes"; signal \^s_axi_rlast\ : STD_LOGIC; signal \^s_axi_rvalid\ : STD_LOGIC; attribute KEEP : string; attribute KEEP of \FSM_sequential_rlast_sm_cs_reg[0]\ : label is "yes"; attribute KEEP of \FSM_sequential_rlast_sm_cs_reg[1]\ : label is "yes"; attribute KEEP of \FSM_sequential_rlast_sm_cs_reg[2]\ : label is "yes"; attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \GEN_ARREADY.axi_arready_int_i_1\ : label is "soft_lutpair6"; attribute SOFT_HLUTNM of \GEN_ARREADY.axi_early_arready_int_i_3\ : label is "soft_lutpair6"; attribute SOFT_HLUTNM of \GEN_AR_PIPE_DUAL.axi_arlen_pipe[7]_i_2\ : label is "soft_lutpair19"; attribute SOFT_HLUTNM of \GEN_RDATA_NO_ECC.GEN_RDATA[0].axi_rdata_int[0]_i_1\ : label is "soft_lutpair20"; attribute SOFT_HLUTNM of \GEN_RDATA_NO_ECC.GEN_RDATA[10].axi_rdata_int[10]_i_1\ : label is "soft_lutpair34"; attribute SOFT_HLUTNM of \GEN_RDATA_NO_ECC.GEN_RDATA[11].axi_rdata_int[11]_i_1\ : label is "soft_lutpair35"; attribute SOFT_HLUTNM of \GEN_RDATA_NO_ECC.GEN_RDATA[12].axi_rdata_int[12]_i_1\ : label is "soft_lutpair36"; attribute SOFT_HLUTNM of \GEN_RDATA_NO_ECC.GEN_RDATA[13].axi_rdata_int[13]_i_1\ : label is "soft_lutpair37"; attribute SOFT_HLUTNM of \GEN_RDATA_NO_ECC.GEN_RDATA[14].axi_rdata_int[14]_i_1\ : label is "soft_lutpair38"; attribute SOFT_HLUTNM of \GEN_RDATA_NO_ECC.GEN_RDATA[15].axi_rdata_int[15]_i_1\ : label is "soft_lutpair33"; attribute SOFT_HLUTNM of \GEN_RDATA_NO_ECC.GEN_RDATA[16].axi_rdata_int[16]_i_1\ : label is "soft_lutpair34"; attribute SOFT_HLUTNM of \GEN_RDATA_NO_ECC.GEN_RDATA[17].axi_rdata_int[17]_i_1\ : label is "soft_lutpair39"; attribute SOFT_HLUTNM of \GEN_RDATA_NO_ECC.GEN_RDATA[18].axi_rdata_int[18]_i_1\ : label is "soft_lutpair40"; attribute SOFT_HLUTNM of \GEN_RDATA_NO_ECC.GEN_RDATA[19].axi_rdata_int[19]_i_1\ : label is "soft_lutpair41"; attribute SOFT_HLUTNM of \GEN_RDATA_NO_ECC.GEN_RDATA[1].axi_rdata_int[1]_i_1\ : label is "soft_lutpair21"; attribute SOFT_HLUTNM of \GEN_RDATA_NO_ECC.GEN_RDATA[20].axi_rdata_int[20]_i_1\ : label is "soft_lutpair42"; attribute SOFT_HLUTNM of \GEN_RDATA_NO_ECC.GEN_RDATA[21].axi_rdata_int[21]_i_1\ : label is "soft_lutpair42"; attribute SOFT_HLUTNM of \GEN_RDATA_NO_ECC.GEN_RDATA[22].axi_rdata_int[22]_i_1\ : label is "soft_lutpair43"; attribute SOFT_HLUTNM of \GEN_RDATA_NO_ECC.GEN_RDATA[23].axi_rdata_int[23]_i_1\ : label is "soft_lutpair43"; attribute SOFT_HLUTNM of \GEN_RDATA_NO_ECC.GEN_RDATA[24].axi_rdata_int[24]_i_1\ : label is "soft_lutpair41"; attribute SOFT_HLUTNM of \GEN_RDATA_NO_ECC.GEN_RDATA[25].axi_rdata_int[25]_i_1\ : label is "soft_lutpair40"; attribute SOFT_HLUTNM of \GEN_RDATA_NO_ECC.GEN_RDATA[26].axi_rdata_int[26]_i_1\ : label is "soft_lutpair39"; attribute SOFT_HLUTNM of \GEN_RDATA_NO_ECC.GEN_RDATA[27].axi_rdata_int[27]_i_1\ : label is "soft_lutpair38"; attribute SOFT_HLUTNM of \GEN_RDATA_NO_ECC.GEN_RDATA[28].axi_rdata_int[28]_i_1\ : label is "soft_lutpair37"; attribute SOFT_HLUTNM of \GEN_RDATA_NO_ECC.GEN_RDATA[29].axi_rdata_int[29]_i_1\ : label is "soft_lutpair36"; attribute SOFT_HLUTNM of \GEN_RDATA_NO_ECC.GEN_RDATA[2].axi_rdata_int[2]_i_1\ : label is "soft_lutpair20"; attribute SOFT_HLUTNM of \GEN_RDATA_NO_ECC.GEN_RDATA[30].axi_rdata_int[30]_i_1\ : label is "soft_lutpair35"; attribute SOFT_HLUTNM of \GEN_RDATA_NO_ECC.GEN_RDATA[31].axi_rdata_int[31]_i_2\ : label is "soft_lutpair22"; attribute SOFT_HLUTNM of \GEN_RDATA_NO_ECC.GEN_RDATA[31].axi_rdata_int[31]_i_3\ : label is "soft_lutpair18"; attribute SOFT_HLUTNM of \GEN_RDATA_NO_ECC.GEN_RDATA[3].axi_rdata_int[3]_i_1\ : label is "soft_lutpair21"; attribute SOFT_HLUTNM of \GEN_RDATA_NO_ECC.GEN_RDATA[4].axi_rdata_int[4]_i_1\ : label is "soft_lutpair22"; attribute SOFT_HLUTNM of \GEN_RDATA_NO_ECC.GEN_RDATA[5].axi_rdata_int[5]_i_1\ : label is "soft_lutpair24"; attribute SOFT_HLUTNM of \GEN_RDATA_NO_ECC.GEN_RDATA[6].axi_rdata_int[6]_i_1\ : label is "soft_lutpair24"; attribute SOFT_HLUTNM of \GEN_RDATA_NO_ECC.GEN_RDATA[7].axi_rdata_int[7]_i_1\ : label is "soft_lutpair26"; attribute SOFT_HLUTNM of \GEN_RDATA_NO_ECC.GEN_RDATA[8].axi_rdata_int[8]_i_1\ : label is "soft_lutpair26"; attribute SOFT_HLUTNM of \GEN_RDATA_NO_ECC.GEN_RDATA[9].axi_rdata_int[9]_i_1\ : label is "soft_lutpair33"; attribute SOFT_HLUTNM of \GEN_RID.axi_rid_temp2[0]_i_1\ : label is "soft_lutpair32"; attribute SOFT_HLUTNM of \GEN_RID.axi_rid_temp2[10]_i_1\ : label is "soft_lutpair27"; attribute SOFT_HLUTNM of \GEN_RID.axi_rid_temp2[11]_i_2\ : label is "soft_lutpair27"; attribute SOFT_HLUTNM of \GEN_RID.axi_rid_temp2[1]_i_1\ : label is "soft_lutpair32"; attribute SOFT_HLUTNM of \GEN_RID.axi_rid_temp2[2]_i_1\ : label is "soft_lutpair31"; attribute SOFT_HLUTNM of \GEN_RID.axi_rid_temp2[3]_i_1\ : label is "soft_lutpair31"; attribute SOFT_HLUTNM of \GEN_RID.axi_rid_temp2[4]_i_1\ : label is "soft_lutpair30"; attribute SOFT_HLUTNM of \GEN_RID.axi_rid_temp2[5]_i_1\ : label is "soft_lutpair30"; attribute SOFT_HLUTNM of \GEN_RID.axi_rid_temp2[6]_i_1\ : label is "soft_lutpair29"; attribute SOFT_HLUTNM of \GEN_RID.axi_rid_temp2[7]_i_1\ : label is "soft_lutpair29"; attribute SOFT_HLUTNM of \GEN_RID.axi_rid_temp2[8]_i_1\ : label is "soft_lutpair28"; attribute SOFT_HLUTNM of \GEN_RID.axi_rid_temp2[9]_i_1\ : label is "soft_lutpair28"; attribute SOFT_HLUTNM of act_rd_burst_i_4 : label is "soft_lutpair17"; attribute SOFT_HLUTNM of axi_rvalid_clr_ok_i_2 : label is "soft_lutpair11"; attribute SOFT_HLUTNM of axi_rvalid_clr_ok_i_3 : label is "soft_lutpair19"; attribute SOFT_HLUTNM of axi_rvalid_set_i_1 : label is "soft_lutpair16"; attribute SOFT_HLUTNM of \brst_cnt[4]_i_2\ : label is "soft_lutpair7"; attribute SOFT_HLUTNM of \brst_cnt[6]_i_1\ : label is "soft_lutpair10"; attribute SOFT_HLUTNM of \brst_cnt[6]_i_2\ : label is "soft_lutpair25"; attribute SOFT_HLUTNM of \brst_cnt[7]_i_3\ : label is "soft_lutpair25"; attribute SOFT_HLUTNM of \brst_cnt[7]_i_4\ : label is "soft_lutpair7"; attribute SOFT_HLUTNM of brst_zero_i_1 : label is "soft_lutpair15"; attribute SOFT_HLUTNM of brst_zero_i_2 : label is "soft_lutpair9"; attribute SOFT_HLUTNM of curr_fixed_burst_reg_i_1 : label is "soft_lutpair8"; attribute SOFT_HLUTNM of curr_wrap_burst_reg_i_1 : label is "soft_lutpair8"; attribute SOFT_HLUTNM of disable_b2b_brst_i_2 : label is "soft_lutpair17"; attribute SOFT_HLUTNM of last_bram_addr_i_4 : label is "soft_lutpair14"; attribute SOFT_HLUTNM of last_bram_addr_i_5 : label is "soft_lutpair23"; attribute SOFT_HLUTNM of last_bram_addr_i_7 : label is "soft_lutpair9"; attribute SOFT_HLUTNM of last_bram_addr_i_9 : label is "soft_lutpair10"; attribute SOFT_HLUTNM of pend_rd_op_i_4 : label is "soft_lutpair5"; attribute SOFT_HLUTNM of pend_rd_op_i_6 : label is "soft_lutpair13"; attribute SOFT_HLUTNM of pend_rd_op_i_7 : label is "soft_lutpair5"; attribute SOFT_HLUTNM of pend_rd_op_i_8 : label is "soft_lutpair14"; attribute SOFT_HLUTNM of pend_rd_op_i_9 : label is "soft_lutpair12"; attribute SOFT_HLUTNM of \rd_data_sm_cs[0]_i_3\ : label is "soft_lutpair12"; attribute SOFT_HLUTNM of \rd_data_sm_cs[2]_i_4\ : label is "soft_lutpair23"; attribute SOFT_HLUTNM of \rd_data_sm_cs[2]_i_5\ : label is "soft_lutpair15"; attribute SOFT_HLUTNM of \rd_data_sm_cs[3]_i_3\ : label is "soft_lutpair16"; attribute SOFT_HLUTNM of \rd_data_sm_cs[3]_i_4\ : label is "soft_lutpair11"; attribute SOFT_HLUTNM of \rd_data_sm_cs[3]_i_6\ : label is "soft_lutpair18"; attribute SOFT_HLUTNM of rddata_mux_sel_i_1 : label is "soft_lutpair13"; begin Q(13 downto 0) <= \^q\(13 downto 0); bram_en_b <= \^bram_en_b\; bram_rst_a <= \^bram_rst_a\; s_axi_rlast <= \^s_axi_rlast\; s_axi_rvalid <= \^s_axi_rvalid\; \/FSM_sequential_rlast_sm_cs[0]_i_2\: unisim.vcomponents.LUT6 generic map( INIT => X"0011001300130013" ) port map ( I0 => axi_rd_burst, I1 => rlast_sm_cs(1), I2 => act_rd_burst_two, I3 => axi_rd_burst_two_reg_n_0, I4 => \^s_axi_rvalid\, I5 => s_axi_rready, O => \/FSM_sequential_rlast_sm_cs[0]_i_2_n_0\ ); \/FSM_sequential_rlast_sm_cs[1]_i_2\: unisim.vcomponents.LUT6 generic map( INIT => X"003F007F003F0055" ) port map ( I0 => axi_rd_burst, I1 => s_axi_rready, I2 => \^s_axi_rvalid\, I3 => rlast_sm_cs(1), I4 => axi_rd_burst_two_reg_n_0, I5 => act_rd_burst_two, O => \/FSM_sequential_rlast_sm_cs[1]_i_2_n_0\ ); \/i_\: unisim.vcomponents.LUT6 generic map( INIT => X"F000F111F000E000" ) port map ( I0 => rlast_sm_cs(2), I1 => rlast_sm_cs(1), I2 => \^s_axi_rvalid\, I3 => s_axi_rready, I4 => rlast_sm_cs(0), I5 => last_bram_addr, O => \/i__n_0\ ); \/i___0\: unisim.vcomponents.LUT6 generic map( INIT => X"00008080000F8080" ) port map ( I0 => s_axi_rready, I1 => \^s_axi_rvalid\, I2 => rlast_sm_cs(0), I3 => rlast_sm_cs(1), I4 => rlast_sm_cs(2), I5 => \^s_axi_rlast\, O => axi_rlast_set ); \FSM_sequential_rlast_sm_cs[0]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"01FF0100" ) port map ( I0 => rlast_sm_cs(2), I1 => rlast_sm_cs(0), I2 => \/FSM_sequential_rlast_sm_cs[0]_i_2_n_0\, I3 => \/i__n_0\, I4 => rlast_sm_cs(0), O => \FSM_sequential_rlast_sm_cs[0]_i_1_n_0\ ); \FSM_sequential_rlast_sm_cs[1]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"01FF0100" ) port map ( I0 => rlast_sm_cs(2), I1 => rlast_sm_cs(0), I2 => \/FSM_sequential_rlast_sm_cs[1]_i_2_n_0\, I3 => \/i__n_0\, I4 => rlast_sm_cs(1), O => \FSM_sequential_rlast_sm_cs[1]_i_1_n_0\ ); \FSM_sequential_rlast_sm_cs[2]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"00A4FFFF00A40000" ) port map ( I0 => rlast_sm_cs(1), I1 => p_0_in13_in, I2 => rlast_sm_cs(0), I3 => rlast_sm_cs(2), I4 => \/i__n_0\, I5 => rlast_sm_cs(2), O => \FSM_sequential_rlast_sm_cs[2]_i_1_n_0\ ); \FSM_sequential_rlast_sm_cs[2]_i_2\: unisim.vcomponents.LUT2 generic map( INIT => X"1" ) port map ( I0 => axi_rd_burst_two_reg_n_0, I1 => axi_rd_burst, O => p_0_in13_in ); \FSM_sequential_rlast_sm_cs_reg[0]\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => '1', D => \FSM_sequential_rlast_sm_cs[0]_i_1_n_0\, Q => rlast_sm_cs(0), R => \^bram_rst_a\ ); \FSM_sequential_rlast_sm_cs_reg[1]\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => '1', D => \FSM_sequential_rlast_sm_cs[1]_i_1_n_0\, Q => rlast_sm_cs(1), R => \^bram_rst_a\ ); \FSM_sequential_rlast_sm_cs_reg[2]\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => '1', D => \FSM_sequential_rlast_sm_cs[2]_i_1_n_0\, Q => rlast_sm_cs(2), R => \^bram_rst_a\ ); \GEN_ARREADY.axi_arready_int_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"AAAAAEEE" ) port map ( I0 => p_9_out, I1 => axi_arready_int, I2 => s_axi_arvalid, I3 => axi_araddr_full, I4 => araddr_pipe_ld43_out, O => \GEN_ARREADY.axi_arready_int_i_1_n_0\ ); \GEN_ARREADY.axi_arready_int_i_2\: unisim.vcomponents.LUT4 generic map( INIT => X"BAAA" ) port map ( I0 => axi_aresetn_re_reg, I1 => axi_early_arready_int, I2 => axi_araddr_full, I3 => bram_addr_ld_en, O => p_9_out ); \GEN_ARREADY.axi_arready_int_reg\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => '1', D => \GEN_ARREADY.axi_arready_int_i_1_n_0\, Q => axi_arready_int, R => \^bram_rst_a\ ); \GEN_ARREADY.axi_early_arready_int_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"0000000000000200" ) port map ( I0 => \GEN_ARREADY.axi_early_arready_int_i_2_n_0\, I1 => \GEN_ARREADY.axi_early_arready_int_i_3_n_0\, I2 => rd_data_sm_cs(3), I3 => brst_one, I4 => axi_arready_int, I5 => I_WRAP_BRST_n_26, O => p_48_out ); \GEN_ARREADY.axi_early_arready_int_i_2\: unisim.vcomponents.LUT6 generic map( INIT => X"00CC304400000044" ) port map ( I0 => axi_rd_burst_two_reg_n_0, I1 => rd_data_sm_cs(1), I2 => \rd_data_sm_cs[2]_i_5_n_0\, I3 => rd_data_sm_cs(2), I4 => rd_data_sm_cs(0), I5 => rd_adv_buf67_out, O => \GEN_ARREADY.axi_early_arready_int_i_2_n_0\ ); \GEN_ARREADY.axi_early_arready_int_i_3\: unisim.vcomponents.LUT2 generic map( INIT => X"7" ) port map ( I0 => axi_araddr_full, I1 => s_axi_arvalid, O => \GEN_ARREADY.axi_early_arready_int_i_3_n_0\ ); \GEN_ARREADY.axi_early_arready_int_reg\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => '1', D => p_48_out, Q => axi_early_arready_int, R => \^bram_rst_a\ ); \GEN_AR_DUAL.ar_active_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"CDCDCDDDCCCCCCCC" ) port map ( I0 => \GEN_AR_DUAL.ar_active_i_2_n_0\, I1 => bram_addr_ld_en, I2 => \GEN_AR_DUAL.ar_active_i_3_n_0\, I3 => end_brst_rd, I4 => brst_zero, I5 => ar_active, O => \GEN_AR_DUAL.ar_active_i_1_n_0\ ); \GEN_AR_DUAL.ar_active_i_2\: unisim.vcomponents.LUT6 generic map( INIT => X"808880808088A280" ) port map ( I0 => pend_rd_op_i_6_n_0, I1 => rd_data_sm_cs(1), I2 => \GEN_AR_DUAL.ar_active_i_4_n_0\, I3 => rd_data_sm_cs(0), I4 => axi_rd_burst_two_reg_n_0, I5 => axi_rd_burst, O => \GEN_AR_DUAL.ar_active_i_2_n_0\ ); \GEN_AR_DUAL.ar_active_i_3\: unisim.vcomponents.LUT6 generic map( INIT => X"0010000000000000" ) port map ( I0 => rd_data_sm_cs(3), I1 => rd_data_sm_cs(1), I2 => rd_data_sm_cs(2), I3 => rd_data_sm_cs(0), I4 => \^s_axi_rvalid\, I5 => s_axi_rready, O => \GEN_AR_DUAL.ar_active_i_3_n_0\ ); \GEN_AR_DUAL.ar_active_i_4\: unisim.vcomponents.LUT6 generic map( INIT => X"8A88000000000000" ) port map ( I0 => I_WRAP_BRST_n_27, I1 => brst_zero, I2 => axi_b2b_brst, I3 => end_brst_rd, I4 => rd_adv_buf67_out, I5 => rd_data_sm_cs(0), O => \GEN_AR_DUAL.ar_active_i_4_n_0\ ); \GEN_AR_DUAL.ar_active_reg\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => '1', D => \GEN_AR_DUAL.ar_active_i_1_n_0\, Q => ar_active, R => \GEN_AWREADY.axi_aresetn_d2_reg\ ); \GEN_AR_DUAL.rd_addr_sm_cs_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"10001000F0F01000" ) port map ( I0 => rd_addr_sm_cs, I1 => axi_araddr_full, I2 => s_axi_arvalid, I3 => \GEN_AR_PIPE_DUAL.axi_arlen_pipe[7]_i_3_n_0\, I4 => last_bram_addr, I5 => I_WRAP_BRST_n_26, O => \GEN_AR_DUAL.rd_addr_sm_cs_i_1_n_0\ ); \GEN_AR_DUAL.rd_addr_sm_cs_reg\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => '1', D => \GEN_AR_DUAL.rd_addr_sm_cs_i_1_n_0\, Q => rd_addr_sm_cs, R => \GEN_AWREADY.axi_aresetn_d2_reg\ ); \GEN_AR_PIPE_DUAL.GEN_ARADDR[10].axi_araddr_pipe_reg[10]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => araddr_pipe_ld43_out, D => s_axi_araddr(8), Q => \GEN_AR_PIPE_DUAL.GEN_ARADDR[10].axi_araddr_pipe_reg\, R => '0' ); \GEN_AR_PIPE_DUAL.GEN_ARADDR[11].axi_araddr_pipe_reg[11]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => araddr_pipe_ld43_out, D => s_axi_araddr(9), Q => \GEN_AR_PIPE_DUAL.GEN_ARADDR[11].axi_araddr_pipe_reg\, R => '0' ); \GEN_AR_PIPE_DUAL.GEN_ARADDR[12].axi_araddr_pipe_reg[12]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => araddr_pipe_ld43_out, D => s_axi_araddr(10), Q => \GEN_AR_PIPE_DUAL.GEN_ARADDR[12].axi_araddr_pipe_reg\, R => '0' ); \GEN_AR_PIPE_DUAL.GEN_ARADDR[13].axi_araddr_pipe_reg[13]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => araddr_pipe_ld43_out, D => s_axi_araddr(11), Q => \GEN_AR_PIPE_DUAL.GEN_ARADDR[13].axi_araddr_pipe_reg\, R => '0' ); \GEN_AR_PIPE_DUAL.GEN_ARADDR[14].axi_araddr_pipe_reg[14]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => araddr_pipe_ld43_out, D => s_axi_araddr(12), Q => \GEN_AR_PIPE_DUAL.GEN_ARADDR[14].axi_araddr_pipe_reg\, R => '0' ); \GEN_AR_PIPE_DUAL.GEN_ARADDR[15].axi_araddr_pipe_reg[15]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => araddr_pipe_ld43_out, D => s_axi_araddr(13), Q => \GEN_AR_PIPE_DUAL.GEN_ARADDR[15].axi_araddr_pipe_reg\, R => '0' ); \GEN_AR_PIPE_DUAL.GEN_ARADDR[2].axi_araddr_pipe_reg[2]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => araddr_pipe_ld43_out, D => s_axi_araddr(0), Q => \GEN_AR_PIPE_DUAL.GEN_ARADDR[2].axi_araddr_pipe_reg\, R => '0' ); \GEN_AR_PIPE_DUAL.GEN_ARADDR[3].axi_araddr_pipe_reg[3]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => araddr_pipe_ld43_out, D => s_axi_araddr(1), Q => \GEN_AR_PIPE_DUAL.GEN_ARADDR[3].axi_araddr_pipe_reg\, R => '0' ); \GEN_AR_PIPE_DUAL.GEN_ARADDR[4].axi_araddr_pipe_reg[4]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => araddr_pipe_ld43_out, D => s_axi_araddr(2), Q => \GEN_AR_PIPE_DUAL.GEN_ARADDR[4].axi_araddr_pipe_reg\, R => '0' ); \GEN_AR_PIPE_DUAL.GEN_ARADDR[5].axi_araddr_pipe_reg[5]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => araddr_pipe_ld43_out, D => s_axi_araddr(3), Q => \GEN_AR_PIPE_DUAL.GEN_ARADDR[5].axi_araddr_pipe_reg\, R => '0' ); \GEN_AR_PIPE_DUAL.GEN_ARADDR[6].axi_araddr_pipe_reg[6]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => araddr_pipe_ld43_out, D => s_axi_araddr(4), Q => \GEN_AR_PIPE_DUAL.GEN_ARADDR[6].axi_araddr_pipe_reg\, R => '0' ); \GEN_AR_PIPE_DUAL.GEN_ARADDR[7].axi_araddr_pipe_reg[7]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => araddr_pipe_ld43_out, D => s_axi_araddr(5), Q => \GEN_AR_PIPE_DUAL.GEN_ARADDR[7].axi_araddr_pipe_reg\, R => '0' ); \GEN_AR_PIPE_DUAL.GEN_ARADDR[8].axi_araddr_pipe_reg[8]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => araddr_pipe_ld43_out, D => s_axi_araddr(6), Q => \GEN_AR_PIPE_DUAL.GEN_ARADDR[8].axi_araddr_pipe_reg\, R => '0' ); \GEN_AR_PIPE_DUAL.GEN_ARADDR[9].axi_araddr_pipe_reg[9]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => araddr_pipe_ld43_out, D => s_axi_araddr(7), Q => \GEN_AR_PIPE_DUAL.GEN_ARADDR[9].axi_araddr_pipe_reg\, R => '0' ); \GEN_AR_PIPE_DUAL.axi_araddr_full_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"00C08888CCCC8888" ) port map ( I0 => araddr_pipe_ld43_out, I1 => s_axi_aresetn, I2 => s_axi_arvalid, I3 => \GEN_AR_PIPE_DUAL.axi_arlen_pipe[7]_i_2_n_0\, I4 => axi_araddr_full, I5 => bram_addr_ld_en, O => \GEN_AR_PIPE_DUAL.axi_araddr_full_i_1_n_0\ ); \GEN_AR_PIPE_DUAL.axi_araddr_full_reg\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => '1', D => \GEN_AR_PIPE_DUAL.axi_araddr_full_i_1_n_0\, Q => axi_araddr_full, R => '0' ); \GEN_AR_PIPE_DUAL.axi_arburst_pipe_fixed_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"03AA" ) port map ( I0 => \GEN_AR_PIPE_DUAL.axi_arburst_pipe_fixed_reg_n_0\, I1 => s_axi_arburst(0), I2 => s_axi_arburst(1), I3 => araddr_pipe_ld43_out, O => \GEN_AR_PIPE_DUAL.axi_arburst_pipe_fixed_i_1_n_0\ ); \GEN_AR_PIPE_DUAL.axi_arburst_pipe_fixed_reg\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => '1', D => \GEN_AR_PIPE_DUAL.axi_arburst_pipe_fixed_i_1_n_0\, Q => \GEN_AR_PIPE_DUAL.axi_arburst_pipe_fixed_reg_n_0\, R => '0' ); \GEN_AR_PIPE_DUAL.axi_arburst_pipe_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => araddr_pipe_ld43_out, D => s_axi_arburst(0), Q => axi_arburst_pipe(0), R => '0' ); \GEN_AR_PIPE_DUAL.axi_arburst_pipe_reg[1]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => araddr_pipe_ld43_out, D => s_axi_arburst(1), Q => axi_arburst_pipe(1), R => '0' ); \GEN_AR_PIPE_DUAL.axi_arid_pipe_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => araddr_pipe_ld43_out, D => s_axi_arid(0), Q => axi_arid_pipe(0), R => '0' ); \GEN_AR_PIPE_DUAL.axi_arid_pipe_reg[10]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => araddr_pipe_ld43_out, D => s_axi_arid(10), Q => axi_arid_pipe(10), R => '0' ); \GEN_AR_PIPE_DUAL.axi_arid_pipe_reg[11]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => araddr_pipe_ld43_out, D => s_axi_arid(11), Q => axi_arid_pipe(11), R => '0' ); \GEN_AR_PIPE_DUAL.axi_arid_pipe_reg[1]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => araddr_pipe_ld43_out, D => s_axi_arid(1), Q => axi_arid_pipe(1), R => '0' ); \GEN_AR_PIPE_DUAL.axi_arid_pipe_reg[2]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => araddr_pipe_ld43_out, D => s_axi_arid(2), Q => axi_arid_pipe(2), R => '0' ); \GEN_AR_PIPE_DUAL.axi_arid_pipe_reg[3]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => araddr_pipe_ld43_out, D => s_axi_arid(3), Q => axi_arid_pipe(3), R => '0' ); \GEN_AR_PIPE_DUAL.axi_arid_pipe_reg[4]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => araddr_pipe_ld43_out, D => s_axi_arid(4), Q => axi_arid_pipe(4), R => '0' ); \GEN_AR_PIPE_DUAL.axi_arid_pipe_reg[5]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => araddr_pipe_ld43_out, D => s_axi_arid(5), Q => axi_arid_pipe(5), R => '0' ); \GEN_AR_PIPE_DUAL.axi_arid_pipe_reg[6]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => araddr_pipe_ld43_out, D => s_axi_arid(6), Q => axi_arid_pipe(6), R => '0' ); \GEN_AR_PIPE_DUAL.axi_arid_pipe_reg[7]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => araddr_pipe_ld43_out, D => s_axi_arid(7), Q => axi_arid_pipe(7), R => '0' ); \GEN_AR_PIPE_DUAL.axi_arid_pipe_reg[8]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => araddr_pipe_ld43_out, D => s_axi_arid(8), Q => axi_arid_pipe(8), R => '0' ); \GEN_AR_PIPE_DUAL.axi_arid_pipe_reg[9]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => araddr_pipe_ld43_out, D => s_axi_arid(9), Q => axi_arid_pipe(9), R => '0' ); \GEN_AR_PIPE_DUAL.axi_arlen_pipe[7]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"220022002A002200" ) port map ( I0 => axi_aresetn_d2, I1 => \GEN_AR_PIPE_DUAL.axi_arlen_pipe[7]_i_2_n_0\, I2 => rd_addr_sm_cs, I3 => s_axi_arvalid, I4 => \GEN_AR_PIPE_DUAL.axi_arlen_pipe[7]_i_3_n_0\, I5 => axi_araddr_full, O => araddr_pipe_ld43_out ); \GEN_AR_PIPE_DUAL.axi_arlen_pipe[7]_i_2\: unisim.vcomponents.LUT2 generic map( INIT => X"B" ) port map ( I0 => I_WRAP_BRST_n_26, I1 => last_bram_addr, O => \GEN_AR_PIPE_DUAL.axi_arlen_pipe[7]_i_2_n_0\ ); \GEN_AR_PIPE_DUAL.axi_arlen_pipe[7]_i_3\: unisim.vcomponents.LUT3 generic map( INIT => X"FE" ) port map ( I0 => no_ar_ack, I1 => pend_rd_op, I2 => ar_active, O => \GEN_AR_PIPE_DUAL.axi_arlen_pipe[7]_i_3_n_0\ ); \GEN_AR_PIPE_DUAL.axi_arlen_pipe_1_or_2_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"0001" ) port map ( I0 => s_axi_arlen(7), I1 => s_axi_arlen(1), I2 => s_axi_arlen(3), I3 => \GEN_AR_PIPE_DUAL.axi_arlen_pipe_1_or_2_i_2_n_0\, O => p_13_out ); \GEN_AR_PIPE_DUAL.axi_arlen_pipe_1_or_2_i_2\: unisim.vcomponents.LUT4 generic map( INIT => X"FFFE" ) port map ( I0 => s_axi_arlen(5), I1 => s_axi_arlen(4), I2 => s_axi_arlen(2), I3 => s_axi_arlen(6), O => \GEN_AR_PIPE_DUAL.axi_arlen_pipe_1_or_2_i_2_n_0\ ); \GEN_AR_PIPE_DUAL.axi_arlen_pipe_1_or_2_reg\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => araddr_pipe_ld43_out, D => p_13_out, Q => axi_arlen_pipe_1_or_2, R => '0' ); \GEN_AR_PIPE_DUAL.axi_arlen_pipe_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => araddr_pipe_ld43_out, D => s_axi_arlen(0), Q => axi_arlen_pipe(0), R => '0' ); \GEN_AR_PIPE_DUAL.axi_arlen_pipe_reg[1]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => araddr_pipe_ld43_out, D => s_axi_arlen(1), Q => axi_arlen_pipe(1), R => '0' ); \GEN_AR_PIPE_DUAL.axi_arlen_pipe_reg[2]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => araddr_pipe_ld43_out, D => s_axi_arlen(2), Q => axi_arlen_pipe(2), R => '0' ); \GEN_AR_PIPE_DUAL.axi_arlen_pipe_reg[3]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => araddr_pipe_ld43_out, D => s_axi_arlen(3), Q => axi_arlen_pipe(3), R => '0' ); \GEN_AR_PIPE_DUAL.axi_arlen_pipe_reg[4]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => araddr_pipe_ld43_out, D => s_axi_arlen(4), Q => axi_arlen_pipe(4), R => '0' ); \GEN_AR_PIPE_DUAL.axi_arlen_pipe_reg[5]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => araddr_pipe_ld43_out, D => s_axi_arlen(5), Q => axi_arlen_pipe(5), R => '0' ); \GEN_AR_PIPE_DUAL.axi_arlen_pipe_reg[6]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => araddr_pipe_ld43_out, D => s_axi_arlen(6), Q => axi_arlen_pipe(6), R => '0' ); \GEN_AR_PIPE_DUAL.axi_arlen_pipe_reg[7]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => araddr_pipe_ld43_out, D => s_axi_arlen(7), Q => axi_arlen_pipe(7), R => '0' ); \GEN_AR_PIPE_DUAL.axi_arsize_pipe_reg[1]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => araddr_pipe_ld43_out, D => '1', Q => axi_arsize_pipe(1), R => '0' ); \GEN_BRST_MAX_WO_NARROW.brst_cnt_max_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"00000000BAAA0000" ) port map ( I0 => brst_cnt_max, I1 => pend_rd_op, I2 => ar_active, I3 => brst_zero, I4 => s_axi_aresetn, I5 => bram_addr_ld_en, O => \GEN_BRST_MAX_WO_NARROW.brst_cnt_max_i_1_n_0\ ); \GEN_BRST_MAX_WO_NARROW.brst_cnt_max_reg\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => '1', D => \GEN_BRST_MAX_WO_NARROW.brst_cnt_max_i_1_n_0\, Q => brst_cnt_max, R => '0' ); \GEN_DUAL_ADDR_CNT.bram_addr_int[10]_i_2\: unisim.vcomponents.LUT6 generic map( INIT => X"7FFFFFFFFFFFFFFF" ) port map ( I0 => \^q\(4), I1 => \^q\(1), I2 => \^q\(0), I3 => \^q\(2), I4 => \^q\(3), I5 => \^q\(5), O => \GEN_DUAL_ADDR_CNT.bram_addr_int[10]_i_2_n_0\ ); \GEN_DUAL_ADDR_CNT.bram_addr_int[11]_i_4\: unisim.vcomponents.LUT5 generic map( INIT => X"F7FFFFFF" ) port map ( I0 => \^q\(6), I1 => \^q\(4), I2 => I_WRAP_BRST_n_23, I3 => \^q\(5), I4 => \^q\(7), O => \GEN_DUAL_ADDR_CNT.bram_addr_int[11]_i_4_n_0\ ); \GEN_DUAL_ADDR_CNT.bram_addr_int_reg[10]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => I_WRAP_BRST_n_6, D => I_WRAP_BRST_n_13, Q => \^q\(8), R => '0' ); \GEN_DUAL_ADDR_CNT.bram_addr_int_reg[11]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => I_WRAP_BRST_n_6, D => I_WRAP_BRST_n_12, Q => \^q\(9), R => '0' ); \GEN_DUAL_ADDR_CNT.bram_addr_int_reg[12]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => bram_addr_ld_en_mod, D => I_WRAP_BRST_n_11, Q => \^q\(10), R => '0' ); \GEN_DUAL_ADDR_CNT.bram_addr_int_reg[13]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => bram_addr_ld_en_mod, D => I_WRAP_BRST_n_10, Q => \^q\(11), R => '0' ); \GEN_DUAL_ADDR_CNT.bram_addr_int_reg[14]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => bram_addr_ld_en_mod, D => I_WRAP_BRST_n_9, Q => \^q\(12), R => '0' ); \GEN_DUAL_ADDR_CNT.bram_addr_int_reg[15]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => bram_addr_ld_en_mod, D => I_WRAP_BRST_n_8, Q => \^q\(13), R => '0' ); \GEN_DUAL_ADDR_CNT.bram_addr_int_reg[2]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => I_WRAP_BRST_n_6, D => I_WRAP_BRST_n_21, Q => \^q\(0), R => '0' ); \GEN_DUAL_ADDR_CNT.bram_addr_int_reg[3]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => I_WRAP_BRST_n_6, D => I_WRAP_BRST_n_20, Q => \^q\(1), R => '0' ); \GEN_DUAL_ADDR_CNT.bram_addr_int_reg[4]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => I_WRAP_BRST_n_6, D => I_WRAP_BRST_n_19, Q => \^q\(2), R => '0' ); \GEN_DUAL_ADDR_CNT.bram_addr_int_reg[5]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => I_WRAP_BRST_n_6, D => I_WRAP_BRST_n_18, Q => \^q\(3), R => '0' ); \GEN_DUAL_ADDR_CNT.bram_addr_int_reg[6]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => I_WRAP_BRST_n_6, D => I_WRAP_BRST_n_17, Q => \^q\(4), R => '0' ); \GEN_DUAL_ADDR_CNT.bram_addr_int_reg[7]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => I_WRAP_BRST_n_6, D => I_WRAP_BRST_n_16, Q => \^q\(5), R => '0' ); \GEN_DUAL_ADDR_CNT.bram_addr_int_reg[8]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => I_WRAP_BRST_n_6, D => I_WRAP_BRST_n_15, Q => \^q\(6), R => '0' ); \GEN_DUAL_ADDR_CNT.bram_addr_int_reg[9]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => I_WRAP_BRST_n_6, D => I_WRAP_BRST_n_14, Q => \^q\(7), R => '0' ); \GEN_RDATA_NO_ECC.GEN_RDATA[0].axi_rdata_int[0]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"AC" ) port map ( I0 => rd_skid_buf(0), I1 => bram_rddata_b(0), I2 => rddata_mux_sel, O => \GEN_RDATA_NO_ECC.GEN_RDATA[0].axi_rdata_int[0]_i_1_n_0\ ); \GEN_RDATA_NO_ECC.GEN_RDATA[0].axi_rdata_int_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \GEN_RDATA_NO_ECC.GEN_RDATA[31].axi_rdata_int[31]_i_1_n_0\, D => \GEN_RDATA_NO_ECC.GEN_RDATA[0].axi_rdata_int[0]_i_1_n_0\, Q => s_axi_rdata(0), R => \GEN_RID.axi_rid_int[11]_i_1_n_0\ ); \GEN_RDATA_NO_ECC.GEN_RDATA[10].axi_rdata_int[10]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"AC" ) port map ( I0 => rd_skid_buf(10), I1 => bram_rddata_b(10), I2 => rddata_mux_sel, O => \GEN_RDATA_NO_ECC.GEN_RDATA[10].axi_rdata_int[10]_i_1_n_0\ ); \GEN_RDATA_NO_ECC.GEN_RDATA[10].axi_rdata_int_reg[10]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \GEN_RDATA_NO_ECC.GEN_RDATA[31].axi_rdata_int[31]_i_1_n_0\, D => \GEN_RDATA_NO_ECC.GEN_RDATA[10].axi_rdata_int[10]_i_1_n_0\, Q => s_axi_rdata(10), R => \GEN_RID.axi_rid_int[11]_i_1_n_0\ ); \GEN_RDATA_NO_ECC.GEN_RDATA[11].axi_rdata_int[11]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"AC" ) port map ( I0 => rd_skid_buf(11), I1 => bram_rddata_b(11), I2 => rddata_mux_sel, O => \GEN_RDATA_NO_ECC.GEN_RDATA[11].axi_rdata_int[11]_i_1_n_0\ ); \GEN_RDATA_NO_ECC.GEN_RDATA[11].axi_rdata_int_reg[11]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \GEN_RDATA_NO_ECC.GEN_RDATA[31].axi_rdata_int[31]_i_1_n_0\, D => \GEN_RDATA_NO_ECC.GEN_RDATA[11].axi_rdata_int[11]_i_1_n_0\, Q => s_axi_rdata(11), R => \GEN_RID.axi_rid_int[11]_i_1_n_0\ ); \GEN_RDATA_NO_ECC.GEN_RDATA[12].axi_rdata_int[12]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"AC" ) port map ( I0 => rd_skid_buf(12), I1 => bram_rddata_b(12), I2 => rddata_mux_sel, O => \GEN_RDATA_NO_ECC.GEN_RDATA[12].axi_rdata_int[12]_i_1_n_0\ ); \GEN_RDATA_NO_ECC.GEN_RDATA[12].axi_rdata_int_reg[12]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \GEN_RDATA_NO_ECC.GEN_RDATA[31].axi_rdata_int[31]_i_1_n_0\, D => \GEN_RDATA_NO_ECC.GEN_RDATA[12].axi_rdata_int[12]_i_1_n_0\, Q => s_axi_rdata(12), R => \GEN_RID.axi_rid_int[11]_i_1_n_0\ ); \GEN_RDATA_NO_ECC.GEN_RDATA[13].axi_rdata_int[13]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"AC" ) port map ( I0 => rd_skid_buf(13), I1 => bram_rddata_b(13), I2 => rddata_mux_sel, O => \GEN_RDATA_NO_ECC.GEN_RDATA[13].axi_rdata_int[13]_i_1_n_0\ ); \GEN_RDATA_NO_ECC.GEN_RDATA[13].axi_rdata_int_reg[13]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \GEN_RDATA_NO_ECC.GEN_RDATA[31].axi_rdata_int[31]_i_1_n_0\, D => \GEN_RDATA_NO_ECC.GEN_RDATA[13].axi_rdata_int[13]_i_1_n_0\, Q => s_axi_rdata(13), R => \GEN_RID.axi_rid_int[11]_i_1_n_0\ ); \GEN_RDATA_NO_ECC.GEN_RDATA[14].axi_rdata_int[14]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"AC" ) port map ( I0 => rd_skid_buf(14), I1 => bram_rddata_b(14), I2 => rddata_mux_sel, O => \GEN_RDATA_NO_ECC.GEN_RDATA[14].axi_rdata_int[14]_i_1_n_0\ ); \GEN_RDATA_NO_ECC.GEN_RDATA[14].axi_rdata_int_reg[14]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \GEN_RDATA_NO_ECC.GEN_RDATA[31].axi_rdata_int[31]_i_1_n_0\, D => \GEN_RDATA_NO_ECC.GEN_RDATA[14].axi_rdata_int[14]_i_1_n_0\, Q => s_axi_rdata(14), R => \GEN_RID.axi_rid_int[11]_i_1_n_0\ ); \GEN_RDATA_NO_ECC.GEN_RDATA[15].axi_rdata_int[15]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"AC" ) port map ( I0 => rd_skid_buf(15), I1 => bram_rddata_b(15), I2 => rddata_mux_sel, O => \GEN_RDATA_NO_ECC.GEN_RDATA[15].axi_rdata_int[15]_i_1_n_0\ ); \GEN_RDATA_NO_ECC.GEN_RDATA[15].axi_rdata_int_reg[15]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \GEN_RDATA_NO_ECC.GEN_RDATA[31].axi_rdata_int[31]_i_1_n_0\, D => \GEN_RDATA_NO_ECC.GEN_RDATA[15].axi_rdata_int[15]_i_1_n_0\, Q => s_axi_rdata(15), R => \GEN_RID.axi_rid_int[11]_i_1_n_0\ ); \GEN_RDATA_NO_ECC.GEN_RDATA[16].axi_rdata_int[16]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"AC" ) port map ( I0 => rd_skid_buf(16), I1 => bram_rddata_b(16), I2 => rddata_mux_sel, O => \GEN_RDATA_NO_ECC.GEN_RDATA[16].axi_rdata_int[16]_i_1_n_0\ ); \GEN_RDATA_NO_ECC.GEN_RDATA[16].axi_rdata_int_reg[16]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \GEN_RDATA_NO_ECC.GEN_RDATA[31].axi_rdata_int[31]_i_1_n_0\, D => \GEN_RDATA_NO_ECC.GEN_RDATA[16].axi_rdata_int[16]_i_1_n_0\, Q => s_axi_rdata(16), R => \GEN_RID.axi_rid_int[11]_i_1_n_0\ ); \GEN_RDATA_NO_ECC.GEN_RDATA[17].axi_rdata_int[17]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"AC" ) port map ( I0 => rd_skid_buf(17), I1 => bram_rddata_b(17), I2 => rddata_mux_sel, O => \GEN_RDATA_NO_ECC.GEN_RDATA[17].axi_rdata_int[17]_i_1_n_0\ ); \GEN_RDATA_NO_ECC.GEN_RDATA[17].axi_rdata_int_reg[17]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \GEN_RDATA_NO_ECC.GEN_RDATA[31].axi_rdata_int[31]_i_1_n_0\, D => \GEN_RDATA_NO_ECC.GEN_RDATA[17].axi_rdata_int[17]_i_1_n_0\, Q => s_axi_rdata(17), R => \GEN_RID.axi_rid_int[11]_i_1_n_0\ ); \GEN_RDATA_NO_ECC.GEN_RDATA[18].axi_rdata_int[18]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"AC" ) port map ( I0 => rd_skid_buf(18), I1 => bram_rddata_b(18), I2 => rddata_mux_sel, O => \GEN_RDATA_NO_ECC.GEN_RDATA[18].axi_rdata_int[18]_i_1_n_0\ ); \GEN_RDATA_NO_ECC.GEN_RDATA[18].axi_rdata_int_reg[18]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \GEN_RDATA_NO_ECC.GEN_RDATA[31].axi_rdata_int[31]_i_1_n_0\, D => \GEN_RDATA_NO_ECC.GEN_RDATA[18].axi_rdata_int[18]_i_1_n_0\, Q => s_axi_rdata(18), R => \GEN_RID.axi_rid_int[11]_i_1_n_0\ ); \GEN_RDATA_NO_ECC.GEN_RDATA[19].axi_rdata_int[19]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"AC" ) port map ( I0 => rd_skid_buf(19), I1 => bram_rddata_b(19), I2 => rddata_mux_sel, O => \GEN_RDATA_NO_ECC.GEN_RDATA[19].axi_rdata_int[19]_i_1_n_0\ ); \GEN_RDATA_NO_ECC.GEN_RDATA[19].axi_rdata_int_reg[19]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \GEN_RDATA_NO_ECC.GEN_RDATA[31].axi_rdata_int[31]_i_1_n_0\, D => \GEN_RDATA_NO_ECC.GEN_RDATA[19].axi_rdata_int[19]_i_1_n_0\, Q => s_axi_rdata(19), R => \GEN_RID.axi_rid_int[11]_i_1_n_0\ ); \GEN_RDATA_NO_ECC.GEN_RDATA[1].axi_rdata_int[1]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"AC" ) port map ( I0 => rd_skid_buf(1), I1 => bram_rddata_b(1), I2 => rddata_mux_sel, O => \GEN_RDATA_NO_ECC.GEN_RDATA[1].axi_rdata_int[1]_i_1_n_0\ ); \GEN_RDATA_NO_ECC.GEN_RDATA[1].axi_rdata_int_reg[1]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \GEN_RDATA_NO_ECC.GEN_RDATA[31].axi_rdata_int[31]_i_1_n_0\, D => \GEN_RDATA_NO_ECC.GEN_RDATA[1].axi_rdata_int[1]_i_1_n_0\, Q => s_axi_rdata(1), R => \GEN_RID.axi_rid_int[11]_i_1_n_0\ ); \GEN_RDATA_NO_ECC.GEN_RDATA[20].axi_rdata_int[20]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"AC" ) port map ( I0 => rd_skid_buf(20), I1 => bram_rddata_b(20), I2 => rddata_mux_sel, O => \GEN_RDATA_NO_ECC.GEN_RDATA[20].axi_rdata_int[20]_i_1_n_0\ ); \GEN_RDATA_NO_ECC.GEN_RDATA[20].axi_rdata_int_reg[20]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \GEN_RDATA_NO_ECC.GEN_RDATA[31].axi_rdata_int[31]_i_1_n_0\, D => \GEN_RDATA_NO_ECC.GEN_RDATA[20].axi_rdata_int[20]_i_1_n_0\, Q => s_axi_rdata(20), R => \GEN_RID.axi_rid_int[11]_i_1_n_0\ ); \GEN_RDATA_NO_ECC.GEN_RDATA[21].axi_rdata_int[21]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"AC" ) port map ( I0 => rd_skid_buf(21), I1 => bram_rddata_b(21), I2 => rddata_mux_sel, O => \GEN_RDATA_NO_ECC.GEN_RDATA[21].axi_rdata_int[21]_i_1_n_0\ ); \GEN_RDATA_NO_ECC.GEN_RDATA[21].axi_rdata_int_reg[21]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \GEN_RDATA_NO_ECC.GEN_RDATA[31].axi_rdata_int[31]_i_1_n_0\, D => \GEN_RDATA_NO_ECC.GEN_RDATA[21].axi_rdata_int[21]_i_1_n_0\, Q => s_axi_rdata(21), R => \GEN_RID.axi_rid_int[11]_i_1_n_0\ ); \GEN_RDATA_NO_ECC.GEN_RDATA[22].axi_rdata_int[22]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"AC" ) port map ( I0 => rd_skid_buf(22), I1 => bram_rddata_b(22), I2 => rddata_mux_sel, O => \GEN_RDATA_NO_ECC.GEN_RDATA[22].axi_rdata_int[22]_i_1_n_0\ ); \GEN_RDATA_NO_ECC.GEN_RDATA[22].axi_rdata_int_reg[22]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \GEN_RDATA_NO_ECC.GEN_RDATA[31].axi_rdata_int[31]_i_1_n_0\, D => \GEN_RDATA_NO_ECC.GEN_RDATA[22].axi_rdata_int[22]_i_1_n_0\, Q => s_axi_rdata(22), R => \GEN_RID.axi_rid_int[11]_i_1_n_0\ ); \GEN_RDATA_NO_ECC.GEN_RDATA[23].axi_rdata_int[23]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"AC" ) port map ( I0 => rd_skid_buf(23), I1 => bram_rddata_b(23), I2 => rddata_mux_sel, O => \GEN_RDATA_NO_ECC.GEN_RDATA[23].axi_rdata_int[23]_i_1_n_0\ ); \GEN_RDATA_NO_ECC.GEN_RDATA[23].axi_rdata_int_reg[23]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \GEN_RDATA_NO_ECC.GEN_RDATA[31].axi_rdata_int[31]_i_1_n_0\, D => \GEN_RDATA_NO_ECC.GEN_RDATA[23].axi_rdata_int[23]_i_1_n_0\, Q => s_axi_rdata(23), R => \GEN_RID.axi_rid_int[11]_i_1_n_0\ ); \GEN_RDATA_NO_ECC.GEN_RDATA[24].axi_rdata_int[24]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"AC" ) port map ( I0 => rd_skid_buf(24), I1 => bram_rddata_b(24), I2 => rddata_mux_sel, O => \GEN_RDATA_NO_ECC.GEN_RDATA[24].axi_rdata_int[24]_i_1_n_0\ ); \GEN_RDATA_NO_ECC.GEN_RDATA[24].axi_rdata_int_reg[24]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \GEN_RDATA_NO_ECC.GEN_RDATA[31].axi_rdata_int[31]_i_1_n_0\, D => \GEN_RDATA_NO_ECC.GEN_RDATA[24].axi_rdata_int[24]_i_1_n_0\, Q => s_axi_rdata(24), R => \GEN_RID.axi_rid_int[11]_i_1_n_0\ ); \GEN_RDATA_NO_ECC.GEN_RDATA[25].axi_rdata_int[25]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"AC" ) port map ( I0 => rd_skid_buf(25), I1 => bram_rddata_b(25), I2 => rddata_mux_sel, O => \GEN_RDATA_NO_ECC.GEN_RDATA[25].axi_rdata_int[25]_i_1_n_0\ ); \GEN_RDATA_NO_ECC.GEN_RDATA[25].axi_rdata_int_reg[25]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \GEN_RDATA_NO_ECC.GEN_RDATA[31].axi_rdata_int[31]_i_1_n_0\, D => \GEN_RDATA_NO_ECC.GEN_RDATA[25].axi_rdata_int[25]_i_1_n_0\, Q => s_axi_rdata(25), R => \GEN_RID.axi_rid_int[11]_i_1_n_0\ ); \GEN_RDATA_NO_ECC.GEN_RDATA[26].axi_rdata_int[26]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"AC" ) port map ( I0 => rd_skid_buf(26), I1 => bram_rddata_b(26), I2 => rddata_mux_sel, O => \GEN_RDATA_NO_ECC.GEN_RDATA[26].axi_rdata_int[26]_i_1_n_0\ ); \GEN_RDATA_NO_ECC.GEN_RDATA[26].axi_rdata_int_reg[26]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \GEN_RDATA_NO_ECC.GEN_RDATA[31].axi_rdata_int[31]_i_1_n_0\, D => \GEN_RDATA_NO_ECC.GEN_RDATA[26].axi_rdata_int[26]_i_1_n_0\, Q => s_axi_rdata(26), R => \GEN_RID.axi_rid_int[11]_i_1_n_0\ ); \GEN_RDATA_NO_ECC.GEN_RDATA[27].axi_rdata_int[27]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"AC" ) port map ( I0 => rd_skid_buf(27), I1 => bram_rddata_b(27), I2 => rddata_mux_sel, O => \GEN_RDATA_NO_ECC.GEN_RDATA[27].axi_rdata_int[27]_i_1_n_0\ ); \GEN_RDATA_NO_ECC.GEN_RDATA[27].axi_rdata_int_reg[27]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \GEN_RDATA_NO_ECC.GEN_RDATA[31].axi_rdata_int[31]_i_1_n_0\, D => \GEN_RDATA_NO_ECC.GEN_RDATA[27].axi_rdata_int[27]_i_1_n_0\, Q => s_axi_rdata(27), R => \GEN_RID.axi_rid_int[11]_i_1_n_0\ ); \GEN_RDATA_NO_ECC.GEN_RDATA[28].axi_rdata_int[28]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"AC" ) port map ( I0 => rd_skid_buf(28), I1 => bram_rddata_b(28), I2 => rddata_mux_sel, O => \GEN_RDATA_NO_ECC.GEN_RDATA[28].axi_rdata_int[28]_i_1_n_0\ ); \GEN_RDATA_NO_ECC.GEN_RDATA[28].axi_rdata_int_reg[28]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \GEN_RDATA_NO_ECC.GEN_RDATA[31].axi_rdata_int[31]_i_1_n_0\, D => \GEN_RDATA_NO_ECC.GEN_RDATA[28].axi_rdata_int[28]_i_1_n_0\, Q => s_axi_rdata(28), R => \GEN_RID.axi_rid_int[11]_i_1_n_0\ ); \GEN_RDATA_NO_ECC.GEN_RDATA[29].axi_rdata_int[29]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"AC" ) port map ( I0 => rd_skid_buf(29), I1 => bram_rddata_b(29), I2 => rddata_mux_sel, O => \GEN_RDATA_NO_ECC.GEN_RDATA[29].axi_rdata_int[29]_i_1_n_0\ ); \GEN_RDATA_NO_ECC.GEN_RDATA[29].axi_rdata_int_reg[29]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \GEN_RDATA_NO_ECC.GEN_RDATA[31].axi_rdata_int[31]_i_1_n_0\, D => \GEN_RDATA_NO_ECC.GEN_RDATA[29].axi_rdata_int[29]_i_1_n_0\, Q => s_axi_rdata(29), R => \GEN_RID.axi_rid_int[11]_i_1_n_0\ ); \GEN_RDATA_NO_ECC.GEN_RDATA[2].axi_rdata_int[2]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"AC" ) port map ( I0 => rd_skid_buf(2), I1 => bram_rddata_b(2), I2 => rddata_mux_sel, O => \GEN_RDATA_NO_ECC.GEN_RDATA[2].axi_rdata_int[2]_i_1_n_0\ ); \GEN_RDATA_NO_ECC.GEN_RDATA[2].axi_rdata_int_reg[2]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \GEN_RDATA_NO_ECC.GEN_RDATA[31].axi_rdata_int[31]_i_1_n_0\, D => \GEN_RDATA_NO_ECC.GEN_RDATA[2].axi_rdata_int[2]_i_1_n_0\, Q => s_axi_rdata(2), R => \GEN_RID.axi_rid_int[11]_i_1_n_0\ ); \GEN_RDATA_NO_ECC.GEN_RDATA[30].axi_rdata_int[30]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"AC" ) port map ( I0 => rd_skid_buf(30), I1 => bram_rddata_b(30), I2 => rddata_mux_sel, O => \GEN_RDATA_NO_ECC.GEN_RDATA[30].axi_rdata_int[30]_i_1_n_0\ ); \GEN_RDATA_NO_ECC.GEN_RDATA[30].axi_rdata_int_reg[30]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \GEN_RDATA_NO_ECC.GEN_RDATA[31].axi_rdata_int[31]_i_1_n_0\, D => \GEN_RDATA_NO_ECC.GEN_RDATA[30].axi_rdata_int[30]_i_1_n_0\, Q => s_axi_rdata(30), R => \GEN_RID.axi_rid_int[11]_i_1_n_0\ ); \GEN_RDATA_NO_ECC.GEN_RDATA[31].axi_rdata_int[31]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"1414545410000404" ) port map ( I0 => rd_data_sm_cs(3), I1 => rd_data_sm_cs(1), I2 => rd_data_sm_cs(2), I3 => \GEN_RDATA_NO_ECC.GEN_RDATA[31].axi_rdata_int[31]_i_3_n_0\, I4 => rd_data_sm_cs(0), I5 => rd_adv_buf67_out, O => \GEN_RDATA_NO_ECC.GEN_RDATA[31].axi_rdata_int[31]_i_1_n_0\ ); \GEN_RDATA_NO_ECC.GEN_RDATA[31].axi_rdata_int[31]_i_2\: unisim.vcomponents.LUT3 generic map( INIT => X"AC" ) port map ( I0 => rd_skid_buf(31), I1 => bram_rddata_b(31), I2 => rddata_mux_sel, O => \GEN_RDATA_NO_ECC.GEN_RDATA[31].axi_rdata_int[31]_i_2_n_0\ ); \GEN_RDATA_NO_ECC.GEN_RDATA[31].axi_rdata_int[31]_i_3\: unisim.vcomponents.LUT2 generic map( INIT => X"1" ) port map ( I0 => act_rd_burst, I1 => act_rd_burst_two, O => \GEN_RDATA_NO_ECC.GEN_RDATA[31].axi_rdata_int[31]_i_3_n_0\ ); \GEN_RDATA_NO_ECC.GEN_RDATA[31].axi_rdata_int_reg[31]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \GEN_RDATA_NO_ECC.GEN_RDATA[31].axi_rdata_int[31]_i_1_n_0\, D => \GEN_RDATA_NO_ECC.GEN_RDATA[31].axi_rdata_int[31]_i_2_n_0\, Q => s_axi_rdata(31), R => \GEN_RID.axi_rid_int[11]_i_1_n_0\ ); \GEN_RDATA_NO_ECC.GEN_RDATA[3].axi_rdata_int[3]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"AC" ) port map ( I0 => rd_skid_buf(3), I1 => bram_rddata_b(3), I2 => rddata_mux_sel, O => \GEN_RDATA_NO_ECC.GEN_RDATA[3].axi_rdata_int[3]_i_1_n_0\ ); \GEN_RDATA_NO_ECC.GEN_RDATA[3].axi_rdata_int_reg[3]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \GEN_RDATA_NO_ECC.GEN_RDATA[31].axi_rdata_int[31]_i_1_n_0\, D => \GEN_RDATA_NO_ECC.GEN_RDATA[3].axi_rdata_int[3]_i_1_n_0\, Q => s_axi_rdata(3), R => \GEN_RID.axi_rid_int[11]_i_1_n_0\ ); \GEN_RDATA_NO_ECC.GEN_RDATA[4].axi_rdata_int[4]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"AC" ) port map ( I0 => rd_skid_buf(4), I1 => bram_rddata_b(4), I2 => rddata_mux_sel, O => \GEN_RDATA_NO_ECC.GEN_RDATA[4].axi_rdata_int[4]_i_1_n_0\ ); \GEN_RDATA_NO_ECC.GEN_RDATA[4].axi_rdata_int_reg[4]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \GEN_RDATA_NO_ECC.GEN_RDATA[31].axi_rdata_int[31]_i_1_n_0\, D => \GEN_RDATA_NO_ECC.GEN_RDATA[4].axi_rdata_int[4]_i_1_n_0\, Q => s_axi_rdata(4), R => \GEN_RID.axi_rid_int[11]_i_1_n_0\ ); \GEN_RDATA_NO_ECC.GEN_RDATA[5].axi_rdata_int[5]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"AC" ) port map ( I0 => rd_skid_buf(5), I1 => bram_rddata_b(5), I2 => rddata_mux_sel, O => \GEN_RDATA_NO_ECC.GEN_RDATA[5].axi_rdata_int[5]_i_1_n_0\ ); \GEN_RDATA_NO_ECC.GEN_RDATA[5].axi_rdata_int_reg[5]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \GEN_RDATA_NO_ECC.GEN_RDATA[31].axi_rdata_int[31]_i_1_n_0\, D => \GEN_RDATA_NO_ECC.GEN_RDATA[5].axi_rdata_int[5]_i_1_n_0\, Q => s_axi_rdata(5), R => \GEN_RID.axi_rid_int[11]_i_1_n_0\ ); \GEN_RDATA_NO_ECC.GEN_RDATA[6].axi_rdata_int[6]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"AC" ) port map ( I0 => rd_skid_buf(6), I1 => bram_rddata_b(6), I2 => rddata_mux_sel, O => \GEN_RDATA_NO_ECC.GEN_RDATA[6].axi_rdata_int[6]_i_1_n_0\ ); \GEN_RDATA_NO_ECC.GEN_RDATA[6].axi_rdata_int_reg[6]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \GEN_RDATA_NO_ECC.GEN_RDATA[31].axi_rdata_int[31]_i_1_n_0\, D => \GEN_RDATA_NO_ECC.GEN_RDATA[6].axi_rdata_int[6]_i_1_n_0\, Q => s_axi_rdata(6), R => \GEN_RID.axi_rid_int[11]_i_1_n_0\ ); \GEN_RDATA_NO_ECC.GEN_RDATA[7].axi_rdata_int[7]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"AC" ) port map ( I0 => rd_skid_buf(7), I1 => bram_rddata_b(7), I2 => rddata_mux_sel, O => \GEN_RDATA_NO_ECC.GEN_RDATA[7].axi_rdata_int[7]_i_1_n_0\ ); \GEN_RDATA_NO_ECC.GEN_RDATA[7].axi_rdata_int_reg[7]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \GEN_RDATA_NO_ECC.GEN_RDATA[31].axi_rdata_int[31]_i_1_n_0\, D => \GEN_RDATA_NO_ECC.GEN_RDATA[7].axi_rdata_int[7]_i_1_n_0\, Q => s_axi_rdata(7), R => \GEN_RID.axi_rid_int[11]_i_1_n_0\ ); \GEN_RDATA_NO_ECC.GEN_RDATA[8].axi_rdata_int[8]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"AC" ) port map ( I0 => rd_skid_buf(8), I1 => bram_rddata_b(8), I2 => rddata_mux_sel, O => \GEN_RDATA_NO_ECC.GEN_RDATA[8].axi_rdata_int[8]_i_1_n_0\ ); \GEN_RDATA_NO_ECC.GEN_RDATA[8].axi_rdata_int_reg[8]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \GEN_RDATA_NO_ECC.GEN_RDATA[31].axi_rdata_int[31]_i_1_n_0\, D => \GEN_RDATA_NO_ECC.GEN_RDATA[8].axi_rdata_int[8]_i_1_n_0\, Q => s_axi_rdata(8), R => \GEN_RID.axi_rid_int[11]_i_1_n_0\ ); \GEN_RDATA_NO_ECC.GEN_RDATA[9].axi_rdata_int[9]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"AC" ) port map ( I0 => rd_skid_buf(9), I1 => bram_rddata_b(9), I2 => rddata_mux_sel, O => \GEN_RDATA_NO_ECC.GEN_RDATA[9].axi_rdata_int[9]_i_1_n_0\ ); \GEN_RDATA_NO_ECC.GEN_RDATA[9].axi_rdata_int_reg[9]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \GEN_RDATA_NO_ECC.GEN_RDATA[31].axi_rdata_int[31]_i_1_n_0\, D => \GEN_RDATA_NO_ECC.GEN_RDATA[9].axi_rdata_int[9]_i_1_n_0\, Q => s_axi_rdata(9), R => \GEN_RID.axi_rid_int[11]_i_1_n_0\ ); \GEN_RDATA_NO_ECC.rd_skid_buf[31]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"AAAAAAAAAAAAAEAA" ) port map ( I0 => rd_skid_buf_ld_reg, I1 => rd_adv_buf67_out, I2 => rd_data_sm_cs(0), I3 => rd_data_sm_cs(2), I4 => rd_data_sm_cs(1), I5 => rd_data_sm_cs(3), O => rd_skid_buf_ld ); \GEN_RDATA_NO_ECC.rd_skid_buf_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => rd_skid_buf_ld, D => bram_rddata_b(0), Q => rd_skid_buf(0), R => \^bram_rst_a\ ); \GEN_RDATA_NO_ECC.rd_skid_buf_reg[10]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => rd_skid_buf_ld, D => bram_rddata_b(10), Q => rd_skid_buf(10), R => \^bram_rst_a\ ); \GEN_RDATA_NO_ECC.rd_skid_buf_reg[11]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => rd_skid_buf_ld, D => bram_rddata_b(11), Q => rd_skid_buf(11), R => \^bram_rst_a\ ); \GEN_RDATA_NO_ECC.rd_skid_buf_reg[12]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => rd_skid_buf_ld, D => bram_rddata_b(12), Q => rd_skid_buf(12), R => \^bram_rst_a\ ); \GEN_RDATA_NO_ECC.rd_skid_buf_reg[13]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => rd_skid_buf_ld, D => bram_rddata_b(13), Q => rd_skid_buf(13), R => \^bram_rst_a\ ); \GEN_RDATA_NO_ECC.rd_skid_buf_reg[14]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => rd_skid_buf_ld, D => bram_rddata_b(14), Q => rd_skid_buf(14), R => \^bram_rst_a\ ); \GEN_RDATA_NO_ECC.rd_skid_buf_reg[15]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => rd_skid_buf_ld, D => bram_rddata_b(15), Q => rd_skid_buf(15), R => \^bram_rst_a\ ); \GEN_RDATA_NO_ECC.rd_skid_buf_reg[16]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => rd_skid_buf_ld, D => bram_rddata_b(16), Q => rd_skid_buf(16), R => \^bram_rst_a\ ); \GEN_RDATA_NO_ECC.rd_skid_buf_reg[17]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => rd_skid_buf_ld, D => bram_rddata_b(17), Q => rd_skid_buf(17), R => \^bram_rst_a\ ); \GEN_RDATA_NO_ECC.rd_skid_buf_reg[18]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => rd_skid_buf_ld, D => bram_rddata_b(18), Q => rd_skid_buf(18), R => \^bram_rst_a\ ); \GEN_RDATA_NO_ECC.rd_skid_buf_reg[19]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => rd_skid_buf_ld, D => bram_rddata_b(19), Q => rd_skid_buf(19), R => \^bram_rst_a\ ); \GEN_RDATA_NO_ECC.rd_skid_buf_reg[1]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => rd_skid_buf_ld, D => bram_rddata_b(1), Q => rd_skid_buf(1), R => \^bram_rst_a\ ); \GEN_RDATA_NO_ECC.rd_skid_buf_reg[20]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => rd_skid_buf_ld, D => bram_rddata_b(20), Q => rd_skid_buf(20), R => \^bram_rst_a\ ); \GEN_RDATA_NO_ECC.rd_skid_buf_reg[21]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => rd_skid_buf_ld, D => bram_rddata_b(21), Q => rd_skid_buf(21), R => \^bram_rst_a\ ); \GEN_RDATA_NO_ECC.rd_skid_buf_reg[22]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => rd_skid_buf_ld, D => bram_rddata_b(22), Q => rd_skid_buf(22), R => \^bram_rst_a\ ); \GEN_RDATA_NO_ECC.rd_skid_buf_reg[23]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => rd_skid_buf_ld, D => bram_rddata_b(23), Q => rd_skid_buf(23), R => \^bram_rst_a\ ); \GEN_RDATA_NO_ECC.rd_skid_buf_reg[24]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => rd_skid_buf_ld, D => bram_rddata_b(24), Q => rd_skid_buf(24), R => \^bram_rst_a\ ); \GEN_RDATA_NO_ECC.rd_skid_buf_reg[25]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => rd_skid_buf_ld, D => bram_rddata_b(25), Q => rd_skid_buf(25), R => \^bram_rst_a\ ); \GEN_RDATA_NO_ECC.rd_skid_buf_reg[26]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => rd_skid_buf_ld, D => bram_rddata_b(26), Q => rd_skid_buf(26), R => \^bram_rst_a\ ); \GEN_RDATA_NO_ECC.rd_skid_buf_reg[27]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => rd_skid_buf_ld, D => bram_rddata_b(27), Q => rd_skid_buf(27), R => \^bram_rst_a\ ); \GEN_RDATA_NO_ECC.rd_skid_buf_reg[28]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => rd_skid_buf_ld, D => bram_rddata_b(28), Q => rd_skid_buf(28), R => \^bram_rst_a\ ); \GEN_RDATA_NO_ECC.rd_skid_buf_reg[29]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => rd_skid_buf_ld, D => bram_rddata_b(29), Q => rd_skid_buf(29), R => \^bram_rst_a\ ); \GEN_RDATA_NO_ECC.rd_skid_buf_reg[2]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => rd_skid_buf_ld, D => bram_rddata_b(2), Q => rd_skid_buf(2), R => \^bram_rst_a\ ); \GEN_RDATA_NO_ECC.rd_skid_buf_reg[30]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => rd_skid_buf_ld, D => bram_rddata_b(30), Q => rd_skid_buf(30), R => \^bram_rst_a\ ); \GEN_RDATA_NO_ECC.rd_skid_buf_reg[31]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => rd_skid_buf_ld, D => bram_rddata_b(31), Q => rd_skid_buf(31), R => \^bram_rst_a\ ); \GEN_RDATA_NO_ECC.rd_skid_buf_reg[3]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => rd_skid_buf_ld, D => bram_rddata_b(3), Q => rd_skid_buf(3), R => \^bram_rst_a\ ); \GEN_RDATA_NO_ECC.rd_skid_buf_reg[4]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => rd_skid_buf_ld, D => bram_rddata_b(4), Q => rd_skid_buf(4), R => \^bram_rst_a\ ); \GEN_RDATA_NO_ECC.rd_skid_buf_reg[5]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => rd_skid_buf_ld, D => bram_rddata_b(5), Q => rd_skid_buf(5), R => \^bram_rst_a\ ); \GEN_RDATA_NO_ECC.rd_skid_buf_reg[6]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => rd_skid_buf_ld, D => bram_rddata_b(6), Q => rd_skid_buf(6), R => \^bram_rst_a\ ); \GEN_RDATA_NO_ECC.rd_skid_buf_reg[7]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => rd_skid_buf_ld, D => bram_rddata_b(7), Q => rd_skid_buf(7), R => \^bram_rst_a\ ); \GEN_RDATA_NO_ECC.rd_skid_buf_reg[8]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => rd_skid_buf_ld, D => bram_rddata_b(8), Q => rd_skid_buf(8), R => \^bram_rst_a\ ); \GEN_RDATA_NO_ECC.rd_skid_buf_reg[9]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => rd_skid_buf_ld, D => bram_rddata_b(9), Q => rd_skid_buf(9), R => \^bram_rst_a\ ); \GEN_RID.axi_rid_int[11]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"08FF" ) port map ( I0 => s_axi_rready, I1 => \^s_axi_rlast\, I2 => axi_b2b_brst, I3 => s_axi_aresetn, O => \GEN_RID.axi_rid_int[11]_i_1_n_0\ ); \GEN_RID.axi_rid_int[11]_i_2\: unisim.vcomponents.LUT4 generic map( INIT => X"EAAA" ) port map ( I0 => axi_rvalid_set, I1 => s_axi_rready, I2 => \^s_axi_rlast\, I3 => axi_b2b_brst, O => p_4_out ); \GEN_RID.axi_rid_int_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => p_4_out, D => axi_rid_temp(0), Q => s_axi_rid(0), R => \GEN_RID.axi_rid_int[11]_i_1_n_0\ ); \GEN_RID.axi_rid_int_reg[10]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => p_4_out, D => axi_rid_temp(10), Q => s_axi_rid(10), R => \GEN_RID.axi_rid_int[11]_i_1_n_0\ ); \GEN_RID.axi_rid_int_reg[11]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => p_4_out, D => axi_rid_temp(11), Q => s_axi_rid(11), R => \GEN_RID.axi_rid_int[11]_i_1_n_0\ ); \GEN_RID.axi_rid_int_reg[1]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => p_4_out, D => axi_rid_temp(1), Q => s_axi_rid(1), R => \GEN_RID.axi_rid_int[11]_i_1_n_0\ ); \GEN_RID.axi_rid_int_reg[2]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => p_4_out, D => axi_rid_temp(2), Q => s_axi_rid(2), R => \GEN_RID.axi_rid_int[11]_i_1_n_0\ ); \GEN_RID.axi_rid_int_reg[3]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => p_4_out, D => axi_rid_temp(3), Q => s_axi_rid(3), R => \GEN_RID.axi_rid_int[11]_i_1_n_0\ ); \GEN_RID.axi_rid_int_reg[4]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => p_4_out, D => axi_rid_temp(4), Q => s_axi_rid(4), R => \GEN_RID.axi_rid_int[11]_i_1_n_0\ ); \GEN_RID.axi_rid_int_reg[5]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => p_4_out, D => axi_rid_temp(5), Q => s_axi_rid(5), R => \GEN_RID.axi_rid_int[11]_i_1_n_0\ ); \GEN_RID.axi_rid_int_reg[6]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => p_4_out, D => axi_rid_temp(6), Q => s_axi_rid(6), R => \GEN_RID.axi_rid_int[11]_i_1_n_0\ ); \GEN_RID.axi_rid_int_reg[7]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => p_4_out, D => axi_rid_temp(7), Q => s_axi_rid(7), R => \GEN_RID.axi_rid_int[11]_i_1_n_0\ ); \GEN_RID.axi_rid_int_reg[8]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => p_4_out, D => axi_rid_temp(8), Q => s_axi_rid(8), R => \GEN_RID.axi_rid_int[11]_i_1_n_0\ ); \GEN_RID.axi_rid_int_reg[9]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => p_4_out, D => axi_rid_temp(9), Q => s_axi_rid(9), R => \GEN_RID.axi_rid_int[11]_i_1_n_0\ ); \GEN_RID.axi_rid_temp2[0]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => axi_arid_pipe(0), I1 => axi_araddr_full, I2 => s_axi_arid(0), O => axi_rid_temp20_in(0) ); \GEN_RID.axi_rid_temp2[10]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => axi_arid_pipe(10), I1 => axi_araddr_full, I2 => s_axi_arid(10), O => axi_rid_temp20_in(10) ); \GEN_RID.axi_rid_temp2[11]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"8" ) port map ( I0 => axi_rid_temp_full, I1 => bram_addr_ld_en, O => p_26_out ); \GEN_RID.axi_rid_temp2[11]_i_2\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => axi_arid_pipe(11), I1 => axi_araddr_full, I2 => s_axi_arid(11), O => axi_rid_temp20_in(11) ); \GEN_RID.axi_rid_temp2[1]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => axi_arid_pipe(1), I1 => axi_araddr_full, I2 => s_axi_arid(1), O => axi_rid_temp20_in(1) ); \GEN_RID.axi_rid_temp2[2]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => axi_arid_pipe(2), I1 => axi_araddr_full, I2 => s_axi_arid(2), O => axi_rid_temp20_in(2) ); \GEN_RID.axi_rid_temp2[3]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => axi_arid_pipe(3), I1 => axi_araddr_full, I2 => s_axi_arid(3), O => axi_rid_temp20_in(3) ); \GEN_RID.axi_rid_temp2[4]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => axi_arid_pipe(4), I1 => axi_araddr_full, I2 => s_axi_arid(4), O => axi_rid_temp20_in(4) ); \GEN_RID.axi_rid_temp2[5]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => axi_arid_pipe(5), I1 => axi_araddr_full, I2 => s_axi_arid(5), O => axi_rid_temp20_in(5) ); \GEN_RID.axi_rid_temp2[6]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => axi_arid_pipe(6), I1 => axi_araddr_full, I2 => s_axi_arid(6), O => axi_rid_temp20_in(6) ); \GEN_RID.axi_rid_temp2[7]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => axi_arid_pipe(7), I1 => axi_araddr_full, I2 => s_axi_arid(7), O => axi_rid_temp20_in(7) ); \GEN_RID.axi_rid_temp2[8]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => axi_arid_pipe(8), I1 => axi_araddr_full, I2 => s_axi_arid(8), O => axi_rid_temp20_in(8) ); \GEN_RID.axi_rid_temp2[9]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => axi_arid_pipe(9), I1 => axi_araddr_full, I2 => s_axi_arid(9), O => axi_rid_temp20_in(9) ); \GEN_RID.axi_rid_temp2_full_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"08080000C8C800C0" ) port map ( I0 => bram_addr_ld_en, I1 => s_axi_aresetn, I2 => axi_rid_temp2_full, I3 => axi_rid_temp_full_d1, I4 => axi_rid_temp_full, I5 => p_4_out, O => \GEN_RID.axi_rid_temp2_full_i_1_n_0\ ); \GEN_RID.axi_rid_temp2_full_reg\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => '1', D => \GEN_RID.axi_rid_temp2_full_i_1_n_0\, Q => axi_rid_temp2_full, R => '0' ); \GEN_RID.axi_rid_temp2_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => p_26_out, D => axi_rid_temp20_in(0), Q => axi_rid_temp2(0), R => \^bram_rst_a\ ); \GEN_RID.axi_rid_temp2_reg[10]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => p_26_out, D => axi_rid_temp20_in(10), Q => axi_rid_temp2(10), R => \^bram_rst_a\ ); \GEN_RID.axi_rid_temp2_reg[11]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => p_26_out, D => axi_rid_temp20_in(11), Q => axi_rid_temp2(11), R => \^bram_rst_a\ ); \GEN_RID.axi_rid_temp2_reg[1]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => p_26_out, D => axi_rid_temp20_in(1), Q => axi_rid_temp2(1), R => \^bram_rst_a\ ); \GEN_RID.axi_rid_temp2_reg[2]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => p_26_out, D => axi_rid_temp20_in(2), Q => axi_rid_temp2(2), R => \^bram_rst_a\ ); \GEN_RID.axi_rid_temp2_reg[3]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => p_26_out, D => axi_rid_temp20_in(3), Q => axi_rid_temp2(3), R => \^bram_rst_a\ ); \GEN_RID.axi_rid_temp2_reg[4]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => p_26_out, D => axi_rid_temp20_in(4), Q => axi_rid_temp2(4), R => \^bram_rst_a\ ); \GEN_RID.axi_rid_temp2_reg[5]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => p_26_out, D => axi_rid_temp20_in(5), Q => axi_rid_temp2(5), R => \^bram_rst_a\ ); \GEN_RID.axi_rid_temp2_reg[6]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => p_26_out, D => axi_rid_temp20_in(6), Q => axi_rid_temp2(6), R => \^bram_rst_a\ ); \GEN_RID.axi_rid_temp2_reg[7]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => p_26_out, D => axi_rid_temp20_in(7), Q => axi_rid_temp2(7), R => \^bram_rst_a\ ); \GEN_RID.axi_rid_temp2_reg[8]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => p_26_out, D => axi_rid_temp20_in(8), Q => axi_rid_temp2(8), R => \^bram_rst_a\ ); \GEN_RID.axi_rid_temp2_reg[9]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => p_26_out, D => axi_rid_temp20_in(9), Q => axi_rid_temp2(9), R => \^bram_rst_a\ ); \GEN_RID.axi_rid_temp[0]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFB8FF0000B800" ) port map ( I0 => axi_arid_pipe(0), I1 => axi_araddr_full, I2 => s_axi_arid(0), I3 => bram_addr_ld_en, I4 => axi_rid_temp_full, I5 => axi_rid_temp2(0), O => \GEN_RID.axi_rid_temp[0]_i_1_n_0\ ); \GEN_RID.axi_rid_temp[10]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFB8FF0000B800" ) port map ( I0 => axi_arid_pipe(10), I1 => axi_araddr_full, I2 => s_axi_arid(10), I3 => bram_addr_ld_en, I4 => axi_rid_temp_full, I5 => axi_rid_temp2(10), O => \GEN_RID.axi_rid_temp[10]_i_1_n_0\ ); \GEN_RID.axi_rid_temp[11]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"A0FFA0E0" ) port map ( I0 => p_4_out, I1 => axi_rid_temp_full_d1, I2 => axi_rid_temp2_full, I3 => axi_rid_temp_full, I4 => bram_addr_ld_en, O => \GEN_RID.axi_rid_temp[11]_i_1_n_0\ ); \GEN_RID.axi_rid_temp[11]_i_2\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFB8FF0000B800" ) port map ( I0 => axi_arid_pipe(11), I1 => axi_araddr_full, I2 => s_axi_arid(11), I3 => bram_addr_ld_en, I4 => axi_rid_temp_full, I5 => axi_rid_temp2(11), O => \GEN_RID.axi_rid_temp[11]_i_2_n_0\ ); \GEN_RID.axi_rid_temp[1]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFB8FF0000B800" ) port map ( I0 => axi_arid_pipe(1), I1 => axi_araddr_full, I2 => s_axi_arid(1), I3 => bram_addr_ld_en, I4 => axi_rid_temp_full, I5 => axi_rid_temp2(1), O => \GEN_RID.axi_rid_temp[1]_i_1_n_0\ ); \GEN_RID.axi_rid_temp[2]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFB8FF0000B800" ) port map ( I0 => axi_arid_pipe(2), I1 => axi_araddr_full, I2 => s_axi_arid(2), I3 => bram_addr_ld_en, I4 => axi_rid_temp_full, I5 => axi_rid_temp2(2), O => \GEN_RID.axi_rid_temp[2]_i_1_n_0\ ); \GEN_RID.axi_rid_temp[3]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFB8FF0000B800" ) port map ( I0 => axi_arid_pipe(3), I1 => axi_araddr_full, I2 => s_axi_arid(3), I3 => bram_addr_ld_en, I4 => axi_rid_temp_full, I5 => axi_rid_temp2(3), O => \GEN_RID.axi_rid_temp[3]_i_1_n_0\ ); \GEN_RID.axi_rid_temp[4]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFB8FF0000B800" ) port map ( I0 => axi_arid_pipe(4), I1 => axi_araddr_full, I2 => s_axi_arid(4), I3 => bram_addr_ld_en, I4 => axi_rid_temp_full, I5 => axi_rid_temp2(4), O => \GEN_RID.axi_rid_temp[4]_i_1_n_0\ ); \GEN_RID.axi_rid_temp[5]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFB8FF0000B800" ) port map ( I0 => axi_arid_pipe(5), I1 => axi_araddr_full, I2 => s_axi_arid(5), I3 => bram_addr_ld_en, I4 => axi_rid_temp_full, I5 => axi_rid_temp2(5), O => \GEN_RID.axi_rid_temp[5]_i_1_n_0\ ); \GEN_RID.axi_rid_temp[6]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFB8FF0000B800" ) port map ( I0 => axi_arid_pipe(6), I1 => axi_araddr_full, I2 => s_axi_arid(6), I3 => bram_addr_ld_en, I4 => axi_rid_temp_full, I5 => axi_rid_temp2(6), O => \GEN_RID.axi_rid_temp[6]_i_1_n_0\ ); \GEN_RID.axi_rid_temp[7]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFB8FF0000B800" ) port map ( I0 => axi_arid_pipe(7), I1 => axi_araddr_full, I2 => s_axi_arid(7), I3 => bram_addr_ld_en, I4 => axi_rid_temp_full, I5 => axi_rid_temp2(7), O => \GEN_RID.axi_rid_temp[7]_i_1_n_0\ ); \GEN_RID.axi_rid_temp[8]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFB8FF0000B800" ) port map ( I0 => axi_arid_pipe(8), I1 => axi_araddr_full, I2 => s_axi_arid(8), I3 => bram_addr_ld_en, I4 => axi_rid_temp_full, I5 => axi_rid_temp2(8), O => \GEN_RID.axi_rid_temp[8]_i_1_n_0\ ); \GEN_RID.axi_rid_temp[9]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFB8FF0000B800" ) port map ( I0 => axi_arid_pipe(9), I1 => axi_araddr_full, I2 => s_axi_arid(9), I3 => bram_addr_ld_en, I4 => axi_rid_temp_full, I5 => axi_rid_temp2(9), O => \GEN_RID.axi_rid_temp[9]_i_1_n_0\ ); \GEN_RID.axi_rid_temp_full_d1_reg\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => '1', D => axi_rid_temp_full, Q => axi_rid_temp_full_d1, R => \^bram_rst_a\ ); \GEN_RID.axi_rid_temp_full_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"F0F0F0E000F0A0A0" ) port map ( I0 => bram_addr_ld_en, I1 => axi_rid_temp_full_d1, I2 => s_axi_aresetn, I3 => p_4_out, I4 => axi_rid_temp_full, I5 => axi_rid_temp2_full, O => \GEN_RID.axi_rid_temp_full_i_1_n_0\ ); \GEN_RID.axi_rid_temp_full_reg\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => '1', D => \GEN_RID.axi_rid_temp_full_i_1_n_0\, Q => axi_rid_temp_full, R => '0' ); \GEN_RID.axi_rid_temp_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \GEN_RID.axi_rid_temp[11]_i_1_n_0\, D => \GEN_RID.axi_rid_temp[0]_i_1_n_0\, Q => axi_rid_temp(0), R => \^bram_rst_a\ ); \GEN_RID.axi_rid_temp_reg[10]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \GEN_RID.axi_rid_temp[11]_i_1_n_0\, D => \GEN_RID.axi_rid_temp[10]_i_1_n_0\, Q => axi_rid_temp(10), R => \^bram_rst_a\ ); \GEN_RID.axi_rid_temp_reg[11]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \GEN_RID.axi_rid_temp[11]_i_1_n_0\, D => \GEN_RID.axi_rid_temp[11]_i_2_n_0\, Q => axi_rid_temp(11), R => \^bram_rst_a\ ); \GEN_RID.axi_rid_temp_reg[1]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \GEN_RID.axi_rid_temp[11]_i_1_n_0\, D => \GEN_RID.axi_rid_temp[1]_i_1_n_0\, Q => axi_rid_temp(1), R => \^bram_rst_a\ ); \GEN_RID.axi_rid_temp_reg[2]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \GEN_RID.axi_rid_temp[11]_i_1_n_0\, D => \GEN_RID.axi_rid_temp[2]_i_1_n_0\, Q => axi_rid_temp(2), R => \^bram_rst_a\ ); \GEN_RID.axi_rid_temp_reg[3]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \GEN_RID.axi_rid_temp[11]_i_1_n_0\, D => \GEN_RID.axi_rid_temp[3]_i_1_n_0\, Q => axi_rid_temp(3), R => \^bram_rst_a\ ); \GEN_RID.axi_rid_temp_reg[4]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \GEN_RID.axi_rid_temp[11]_i_1_n_0\, D => \GEN_RID.axi_rid_temp[4]_i_1_n_0\, Q => axi_rid_temp(4), R => \^bram_rst_a\ ); \GEN_RID.axi_rid_temp_reg[5]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \GEN_RID.axi_rid_temp[11]_i_1_n_0\, D => \GEN_RID.axi_rid_temp[5]_i_1_n_0\, Q => axi_rid_temp(5), R => \^bram_rst_a\ ); \GEN_RID.axi_rid_temp_reg[6]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \GEN_RID.axi_rid_temp[11]_i_1_n_0\, D => \GEN_RID.axi_rid_temp[6]_i_1_n_0\, Q => axi_rid_temp(6), R => \^bram_rst_a\ ); \GEN_RID.axi_rid_temp_reg[7]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \GEN_RID.axi_rid_temp[11]_i_1_n_0\, D => \GEN_RID.axi_rid_temp[7]_i_1_n_0\, Q => axi_rid_temp(7), R => \^bram_rst_a\ ); \GEN_RID.axi_rid_temp_reg[8]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \GEN_RID.axi_rid_temp[11]_i_1_n_0\, D => \GEN_RID.axi_rid_temp[8]_i_1_n_0\, Q => axi_rid_temp(8), R => \^bram_rst_a\ ); \GEN_RID.axi_rid_temp_reg[9]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \GEN_RID.axi_rid_temp[11]_i_1_n_0\, D => \GEN_RID.axi_rid_temp[9]_i_1_n_0\, Q => axi_rid_temp(9), R => \^bram_rst_a\ ); I_WRAP_BRST: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_wrap_brst_0 port map ( D(13) => I_WRAP_BRST_n_8, D(12) => I_WRAP_BRST_n_9, D(11) => I_WRAP_BRST_n_10, D(10) => I_WRAP_BRST_n_11, D(9) => I_WRAP_BRST_n_12, D(8) => I_WRAP_BRST_n_13, D(7) => I_WRAP_BRST_n_14, D(6) => I_WRAP_BRST_n_15, D(5) => I_WRAP_BRST_n_16, D(4) => I_WRAP_BRST_n_17, D(3) => I_WRAP_BRST_n_18, D(2) => I_WRAP_BRST_n_19, D(1) => I_WRAP_BRST_n_20, D(0) => I_WRAP_BRST_n_21, E(1) => bram_addr_ld_en_mod, E(0) => I_WRAP_BRST_n_6, \GEN_AR_PIPE_DUAL.GEN_ARADDR[10].axi_araddr_pipe_reg\ => \GEN_AR_PIPE_DUAL.GEN_ARADDR[10].axi_araddr_pipe_reg\, \GEN_AR_PIPE_DUAL.GEN_ARADDR[11].axi_araddr_pipe_reg\ => \GEN_AR_PIPE_DUAL.GEN_ARADDR[11].axi_araddr_pipe_reg\, \GEN_AR_PIPE_DUAL.GEN_ARADDR[12].axi_araddr_pipe_reg\ => \GEN_AR_PIPE_DUAL.GEN_ARADDR[12].axi_araddr_pipe_reg\, \GEN_AR_PIPE_DUAL.GEN_ARADDR[13].axi_araddr_pipe_reg\ => \GEN_AR_PIPE_DUAL.GEN_ARADDR[13].axi_araddr_pipe_reg\, \GEN_AR_PIPE_DUAL.GEN_ARADDR[14].axi_araddr_pipe_reg\ => \GEN_AR_PIPE_DUAL.GEN_ARADDR[14].axi_araddr_pipe_reg\, \GEN_AR_PIPE_DUAL.GEN_ARADDR[15].axi_araddr_pipe_reg\ => \GEN_AR_PIPE_DUAL.GEN_ARADDR[15].axi_araddr_pipe_reg\, \GEN_AR_PIPE_DUAL.GEN_ARADDR[2].axi_araddr_pipe_reg\ => \GEN_AR_PIPE_DUAL.GEN_ARADDR[2].axi_araddr_pipe_reg\, \GEN_AR_PIPE_DUAL.GEN_ARADDR[3].axi_araddr_pipe_reg\ => \GEN_AR_PIPE_DUAL.GEN_ARADDR[3].axi_araddr_pipe_reg\, \GEN_AR_PIPE_DUAL.GEN_ARADDR[4].axi_araddr_pipe_reg\ => \GEN_AR_PIPE_DUAL.GEN_ARADDR[4].axi_araddr_pipe_reg\, \GEN_AR_PIPE_DUAL.GEN_ARADDR[5].axi_araddr_pipe_reg\ => \GEN_AR_PIPE_DUAL.GEN_ARADDR[5].axi_araddr_pipe_reg\, \GEN_AR_PIPE_DUAL.GEN_ARADDR[6].axi_araddr_pipe_reg\ => \GEN_AR_PIPE_DUAL.GEN_ARADDR[6].axi_araddr_pipe_reg\, \GEN_AR_PIPE_DUAL.GEN_ARADDR[7].axi_araddr_pipe_reg\ => \GEN_AR_PIPE_DUAL.GEN_ARADDR[7].axi_araddr_pipe_reg\, \GEN_AR_PIPE_DUAL.GEN_ARADDR[8].axi_araddr_pipe_reg\ => \GEN_AR_PIPE_DUAL.GEN_ARADDR[8].axi_araddr_pipe_reg\, \GEN_AR_PIPE_DUAL.GEN_ARADDR[9].axi_araddr_pipe_reg\ => \GEN_AR_PIPE_DUAL.GEN_ARADDR[9].axi_araddr_pipe_reg\, \GEN_AR_PIPE_DUAL.axi_arburst_pipe_fixed_reg\ => \GEN_AR_PIPE_DUAL.axi_arburst_pipe_fixed_reg_n_0\, \GEN_DUAL_ADDR_CNT.bram_addr_int_reg[11]\ => I_WRAP_BRST_n_7, \GEN_DUAL_ADDR_CNT.bram_addr_int_reg[11]_0\ => I_WRAP_BRST_n_25, \GEN_DUAL_ADDR_CNT.bram_addr_int_reg[11]_1\(9 downto 0) => \^q\(9 downto 0), \GEN_DUAL_ADDR_CNT.bram_addr_int_reg[6]\ => I_WRAP_BRST_n_23, \GEN_DUAL_ADDR_CNT.bram_addr_int_reg[6]_0\ => \GEN_DUAL_ADDR_CNT.bram_addr_int[10]_i_2_n_0\, \GEN_DUAL_ADDR_CNT.bram_addr_int_reg[8]\ => \GEN_DUAL_ADDR_CNT.bram_addr_int[11]_i_4_n_0\, Q(3 downto 0) => axi_arlen_pipe(3 downto 0), SR(0) => \^bram_rst_a\, ar_active => ar_active, axi_araddr_full => axi_araddr_full, axi_aresetn_d2 => axi_aresetn_d2, axi_arlen_pipe_1_or_2 => axi_arlen_pipe_1_or_2, axi_arsize_pipe(0) => axi_arsize_pipe(1), axi_arsize_pipe_max => axi_arsize_pipe_max, axi_b2b_brst => axi_b2b_brst, axi_b2b_brst_reg => I_WRAP_BRST_n_27, axi_rd_burst => axi_rd_burst, axi_rd_burst_two_reg => axi_rd_burst_two_reg_n_0, axi_rvalid_int_reg => \^s_axi_rvalid\, bram_addr_ld_en => bram_addr_ld_en, brst_zero => brst_zero, curr_fixed_burst_reg => curr_fixed_burst_reg, curr_wrap_burst_reg => curr_wrap_burst_reg, disable_b2b_brst => disable_b2b_brst, end_brst_rd => end_brst_rd, last_bram_addr => last_bram_addr, no_ar_ack => no_ar_ack, pend_rd_op => pend_rd_op, rd_addr_sm_cs => rd_addr_sm_cs, rd_adv_buf67_out => rd_adv_buf67_out, \rd_data_sm_cs_reg[1]\ => I_WRAP_BRST_n_24, \rd_data_sm_cs_reg[3]\ => I_WRAP_BRST_n_28, \rd_data_sm_cs_reg[3]_0\(3 downto 0) => rd_data_sm_cs(3 downto 0), s_axi_aclk => s_axi_aclk, s_axi_araddr(13 downto 0) => s_axi_araddr(13 downto 0), s_axi_aresetn => s_axi_aresetn, s_axi_arlen(3 downto 0) => s_axi_arlen(3 downto 0), s_axi_arvalid => s_axi_arvalid, s_axi_rready => s_axi_rready, \save_init_bram_addr_ld_reg[15]_0\ => I_WRAP_BRST_n_26, \wrap_burst_total_reg[0]_0\ => I_WRAP_BRST_n_1, \wrap_burst_total_reg[0]_1\ => I_WRAP_BRST_n_2, \wrap_burst_total_reg[0]_2\ => I_WRAP_BRST_n_3, \wrap_burst_total_reg[0]_3\ => I_WRAP_BRST_n_4 ); act_rd_burst_i_1: unisim.vcomponents.LUT6 generic map( INIT => X"000000002EEE22E2" ) port map ( I0 => act_rd_burst, I1 => act_rd_burst_set, I2 => bram_addr_ld_en, I3 => axi_rd_burst_two, I4 => axi_rd_burst, I5 => act_rd_burst_i_3_n_0, O => act_rd_burst_i_1_n_0 ); act_rd_burst_i_2: unisim.vcomponents.LUT6 generic map( INIT => X"A8A8AAA8A8A8A8A8" ) port map ( I0 => pend_rd_op_i_6_n_0, I1 => act_rd_burst_i_4_n_0, I2 => axi_b2b_brst_i_3_n_0, I3 => \rd_data_sm_cs[2]_i_4_n_0\, I4 => last_bram_addr_i_7_n_0, I5 => bram_addr_ld_en, O => act_rd_burst_set ); act_rd_burst_i_3: unisim.vcomponents.LUT6 generic map( INIT => X"04000010FFFFFFFF" ) port map ( I0 => \rd_data_sm_cs[3]_i_6_n_0\, I1 => rd_data_sm_cs(2), I2 => rd_data_sm_cs(3), I3 => rd_data_sm_cs(1), I4 => rd_data_sm_cs(0), I5 => s_axi_aresetn, O => act_rd_burst_i_3_n_0 ); act_rd_burst_i_4: unisim.vcomponents.LUT4 generic map( INIT => X"4440" ) port map ( I0 => rd_data_sm_cs(1), I1 => rd_data_sm_cs(0), I2 => axi_rd_burst, I3 => axi_rd_burst_two_reg_n_0, O => act_rd_burst_i_4_n_0 ); act_rd_burst_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => '1', D => act_rd_burst_i_1_n_0, Q => act_rd_burst, R => '0' ); act_rd_burst_two_i_1: unisim.vcomponents.LUT6 generic map( INIT => X"00000000E2EEE222" ) port map ( I0 => act_rd_burst_two, I1 => act_rd_burst_set, I2 => axi_rd_burst_two, I3 => bram_addr_ld_en, I4 => axi_rd_burst_two_reg_n_0, I5 => act_rd_burst_i_3_n_0, O => act_rd_burst_two_i_1_n_0 ); act_rd_burst_two_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => '1', D => act_rd_burst_two_i_1_n_0, Q => act_rd_burst_two, R => '0' ); axi_arsize_pipe_max_i_1: unisim.vcomponents.LUT2 generic map( INIT => X"E" ) port map ( I0 => araddr_pipe_ld43_out, I1 => axi_arsize_pipe_max, O => axi_arsize_pipe_max_i_1_n_0 ); axi_arsize_pipe_max_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => '1', D => axi_arsize_pipe_max_i_1_n_0, Q => axi_arsize_pipe_max, R => \^bram_rst_a\ ); axi_b2b_brst_i_1: unisim.vcomponents.LUT6 generic map( INIT => X"CC0CCC55CC0CCCCC" ) port map ( I0 => I_WRAP_BRST_n_27, I1 => axi_b2b_brst, I2 => disable_b2b_brst_i_2_n_0, I3 => rd_data_sm_cs(3), I4 => rd_data_sm_cs(2), I5 => axi_b2b_brst_i_3_n_0, O => axi_b2b_brst_i_1_n_0 ); axi_b2b_brst_i_3: unisim.vcomponents.LUT6 generic map( INIT => X"0000000088880080" ) port map ( I0 => \rd_data_sm_cs[0]_i_3_n_0\, I1 => rd_adv_buf67_out, I2 => end_brst_rd, I3 => axi_b2b_brst, I4 => brst_zero, I5 => I_WRAP_BRST_n_27, O => axi_b2b_brst_i_3_n_0 ); axi_b2b_brst_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => '1', D => axi_b2b_brst_i_1_n_0, Q => axi_b2b_brst, R => \^bram_rst_a\ ); axi_rd_burst_i_1: unisim.vcomponents.LUT5 generic map( INIT => X"303000A0" ) port map ( I0 => axi_rd_burst, I1 => axi_rd_burst_i_2_n_0, I2 => s_axi_aresetn, I3 => brst_zero, I4 => bram_addr_ld_en, O => axi_rd_burst_i_1_n_0 ); axi_rd_burst_i_2: unisim.vcomponents.LUT6 generic map( INIT => X"0000000000000004" ) port map ( I0 => \brst_cnt[6]_i_2_n_0\, I1 => axi_rd_burst_i_3_n_0, I2 => I_WRAP_BRST_n_3, I3 => \brst_cnt[7]_i_3_n_0\, I4 => I_WRAP_BRST_n_2, I5 => I_WRAP_BRST_n_1, O => axi_rd_burst_i_2_n_0 ); axi_rd_burst_i_3: unisim.vcomponents.LUT5 generic map( INIT => X"00053305" ) port map ( I0 => s_axi_arlen(5), I1 => axi_arlen_pipe(5), I2 => s_axi_arlen(4), I3 => axi_araddr_full, I4 => axi_arlen_pipe(4), O => axi_rd_burst_i_3_n_0 ); axi_rd_burst_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => '1', D => axi_rd_burst_i_1_n_0, Q => axi_rd_burst, R => '0' ); axi_rd_burst_two_i_1: unisim.vcomponents.LUT5 generic map( INIT => X"C0C000A0" ) port map ( I0 => axi_rd_burst_two_reg_n_0, I1 => axi_rd_burst_two, I2 => s_axi_aresetn, I3 => brst_zero, I4 => bram_addr_ld_en, O => axi_rd_burst_two_i_1_n_0 ); axi_rd_burst_two_i_2: unisim.vcomponents.LUT4 generic map( INIT => X"A808" ) port map ( I0 => axi_rd_burst_i_2_n_0, I1 => s_axi_arlen(0), I2 => axi_araddr_full, I3 => axi_arlen_pipe(0), O => axi_rd_burst_two ); axi_rd_burst_two_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => '1', D => axi_rd_burst_two_i_1_n_0, Q => axi_rd_burst_two_reg_n_0, R => '0' ); axi_rlast_int_i_1: unisim.vcomponents.LUT4 generic map( INIT => X"88A8" ) port map ( I0 => s_axi_aresetn, I1 => axi_rlast_set, I2 => \^s_axi_rlast\, I3 => s_axi_rready, O => axi_rlast_int_i_1_n_0 ); axi_rlast_int_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => '1', D => axi_rlast_int_i_1_n_0, Q => \^s_axi_rlast\, R => '0' ); axi_rvalid_clr_ok_i_1: unisim.vcomponents.LUT6 generic map( INIT => X"00000000FFFFEEEA" ) port map ( I0 => axi_rvalid_clr_ok, I1 => last_bram_addr, I2 => disable_b2b_brst, I3 => disable_b2b_brst_cmb, I4 => axi_rvalid_clr_ok_i_2_n_0, I5 => axi_rvalid_clr_ok_i_3_n_0, O => axi_rvalid_clr_ok_i_1_n_0 ); axi_rvalid_clr_ok_i_2: unisim.vcomponents.LUT5 generic map( INIT => X"AAAABAAA" ) port map ( I0 => bram_addr_ld_en, I1 => rd_data_sm_cs(3), I2 => rd_data_sm_cs(2), I3 => rd_data_sm_cs(0), I4 => rd_data_sm_cs(1), O => axi_rvalid_clr_ok_i_2_n_0 ); axi_rvalid_clr_ok_i_3: unisim.vcomponents.LUT3 generic map( INIT => X"4F" ) port map ( I0 => I_WRAP_BRST_n_26, I1 => bram_addr_ld_en, I2 => s_axi_aresetn, O => axi_rvalid_clr_ok_i_3_n_0 ); axi_rvalid_clr_ok_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => '1', D => axi_rvalid_clr_ok_i_1_n_0, Q => axi_rvalid_clr_ok, R => '0' ); axi_rvalid_int_i_1: unisim.vcomponents.LUT6 generic map( INIT => X"00E0E0E0E0E0E0E0" ) port map ( I0 => \^s_axi_rvalid\, I1 => axi_rvalid_set, I2 => s_axi_aresetn, I3 => axi_rvalid_clr_ok, I4 => \^s_axi_rlast\, I5 => s_axi_rready, O => axi_rvalid_int_i_1_n_0 ); axi_rvalid_int_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => '1', D => axi_rvalid_int_i_1_n_0, Q => \^s_axi_rvalid\, R => '0' ); axi_rvalid_set_i_1: unisim.vcomponents.LUT4 generic map( INIT => X"0100" ) port map ( I0 => rd_data_sm_cs(2), I1 => rd_data_sm_cs(3), I2 => rd_data_sm_cs(1), I3 => rd_data_sm_cs(0), O => axi_rvalid_set_cmb ); axi_rvalid_set_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => '1', D => axi_rvalid_set_cmb, Q => axi_rvalid_set, R => \^bram_rst_a\ ); bram_en_int_i_1: unisim.vcomponents.LUT6 generic map( INIT => X"EEEEFFFEEEEE000E" ) port map ( I0 => bram_en_int_i_2_n_0, I1 => bram_en_int_i_3_n_0, I2 => bram_en_int_i_4_n_0, I3 => I_WRAP_BRST_n_28, I4 => bram_en_int_i_6_n_0, I5 => \^bram_en_b\, O => bram_en_int_i_1_n_0 ); bram_en_int_i_10: unisim.vcomponents.LUT6 generic map( INIT => X"FFFF777FFFFFFFFF" ) port map ( I0 => \^s_axi_rvalid\, I1 => s_axi_rready, I2 => act_rd_burst, I3 => act_rd_burst_two, I4 => rd_data_sm_cs(1), I5 => rd_data_sm_cs(0), O => bram_en_int_i_10_n_0 ); bram_en_int_i_11: unisim.vcomponents.LUT6 generic map( INIT => X"D0D000F0D0D0F0F0" ) port map ( I0 => \rd_data_sm_cs[3]_i_7_n_0\, I1 => I_WRAP_BRST_n_27, I2 => rd_data_sm_cs(1), I3 => brst_one, I4 => rd_adv_buf67_out, I5 => \rd_data_sm_cs[2]_i_5_n_0\, O => bram_en_int_i_11_n_0 ); bram_en_int_i_2: unisim.vcomponents.LUT6 generic map( INIT => X"00000000FDF50000" ) port map ( I0 => rd_data_sm_cs(2), I1 => pend_rd_op, I2 => bram_addr_ld_en, I3 => rd_adv_buf67_out, I4 => rd_data_sm_cs(1), I5 => bram_en_int_i_7_n_0, O => bram_en_int_i_2_n_0 ); bram_en_int_i_3: unisim.vcomponents.LUT6 generic map( INIT => X"AAAAEEAFAAAAAAEE" ) port map ( I0 => I_WRAP_BRST_n_25, I1 => bram_addr_ld_en, I2 => p_0_in13_in, I3 => rd_data_sm_cs(2), I4 => rd_data_sm_cs(1), I5 => rd_data_sm_cs(0), O => bram_en_int_i_3_n_0 ); bram_en_int_i_4: unisim.vcomponents.LUT6 generic map( INIT => X"000F007F0000007F" ) port map ( I0 => pend_rd_op, I1 => rd_adv_buf67_out, I2 => \rd_data_sm_cs[0]_i_3_n_0\, I3 => bram_en_int_i_9_n_0, I4 => bram_addr_ld_en, I5 => bram_en_int_i_10_n_0, O => bram_en_int_i_4_n_0 ); bram_en_int_i_6: unisim.vcomponents.LUT6 generic map( INIT => X"1010111111111110" ) port map ( I0 => rd_data_sm_cs(2), I1 => rd_data_sm_cs(3), I2 => bram_en_int_i_11_n_0, I3 => bram_addr_ld_en, I4 => rd_data_sm_cs(1), I5 => rd_data_sm_cs(0), O => bram_en_int_i_6_n_0 ); bram_en_int_i_7: unisim.vcomponents.LUT6 generic map( INIT => X"5500050544444444" ) port map ( I0 => rd_data_sm_cs(2), I1 => axi_rd_burst_two_reg_n_0, I2 => \rd_data_sm_cs[2]_i_5_n_0\, I3 => \rd_data_sm_cs[3]_i_7_n_0\, I4 => rd_adv_buf67_out, I5 => rd_data_sm_cs(0), O => bram_en_int_i_7_n_0 ); bram_en_int_i_9: unisim.vcomponents.LUT6 generic map( INIT => X"1111111111111000" ) port map ( I0 => rd_data_sm_cs(0), I1 => rd_data_sm_cs(1), I2 => \^s_axi_rvalid\, I3 => s_axi_rready, I4 => brst_zero, I5 => end_brst_rd, O => bram_en_int_i_9_n_0 ); bram_en_int_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => '1', D => bram_en_int_i_1_n_0, Q => \^bram_en_b\, R => \^bram_rst_a\ ); \brst_cnt[0]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"D1DDD111" ) port map ( I0 => brst_cnt(0), I1 => bram_addr_ld_en, I2 => axi_arlen_pipe(0), I3 => axi_araddr_full, I4 => s_axi_arlen(0), O => \brst_cnt[0]_i_1_n_0\ ); \brst_cnt[1]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"B8FFB800B800B8FF" ) port map ( I0 => axi_arlen_pipe(1), I1 => axi_araddr_full, I2 => s_axi_arlen(1), I3 => bram_addr_ld_en, I4 => brst_cnt(0), I5 => brst_cnt(1), O => \brst_cnt[1]_i_1_n_0\ ); \brst_cnt[2]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"B8B8B88B" ) port map ( I0 => I_WRAP_BRST_n_1, I1 => bram_addr_ld_en, I2 => brst_cnt(2), I3 => brst_cnt(1), I4 => brst_cnt(0), O => \brst_cnt[2]_i_1_n_0\ ); \brst_cnt[3]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"B8B8B8B8B8B8B88B" ) port map ( I0 => I_WRAP_BRST_n_2, I1 => bram_addr_ld_en, I2 => brst_cnt(3), I3 => brst_cnt(2), I4 => brst_cnt(0), I5 => brst_cnt(1), O => \brst_cnt[3]_i_1_n_0\ ); \brst_cnt[4]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"B800B8FFB8FFB800" ) port map ( I0 => axi_arlen_pipe(4), I1 => axi_araddr_full, I2 => s_axi_arlen(4), I3 => bram_addr_ld_en, I4 => brst_cnt(4), I5 => \brst_cnt[4]_i_2_n_0\, O => \brst_cnt[4]_i_1_n_0\ ); \brst_cnt[4]_i_2\: unisim.vcomponents.LUT4 generic map( INIT => X"0001" ) port map ( I0 => brst_cnt(2), I1 => brst_cnt(0), I2 => brst_cnt(1), I3 => brst_cnt(3), O => \brst_cnt[4]_i_2_n_0\ ); \brst_cnt[5]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"B800B8FFB8FFB800" ) port map ( I0 => axi_arlen_pipe(5), I1 => axi_araddr_full, I2 => s_axi_arlen(5), I3 => bram_addr_ld_en, I4 => brst_cnt(5), I5 => \brst_cnt[7]_i_4_n_0\, O => \brst_cnt[5]_i_1_n_0\ ); \brst_cnt[6]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"B88BB8B8" ) port map ( I0 => \brst_cnt[6]_i_2_n_0\, I1 => bram_addr_ld_en, I2 => brst_cnt(6), I3 => brst_cnt(5), I4 => \brst_cnt[7]_i_4_n_0\, O => \brst_cnt[6]_i_1_n_0\ ); \brst_cnt[6]_i_2\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => axi_arlen_pipe(6), I1 => axi_araddr_full, I2 => s_axi_arlen(6), O => \brst_cnt[6]_i_2_n_0\ ); \brst_cnt[7]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"E" ) port map ( I0 => bram_addr_ld_en, I1 => I_WRAP_BRST_n_7, O => \brst_cnt[7]_i_1_n_0\ ); \brst_cnt[7]_i_2\: unisim.vcomponents.LUT6 generic map( INIT => X"B8B8B88BB8B8B8B8" ) port map ( I0 => \brst_cnt[7]_i_3_n_0\, I1 => bram_addr_ld_en, I2 => brst_cnt(7), I3 => brst_cnt(6), I4 => brst_cnt(5), I5 => \brst_cnt[7]_i_4_n_0\, O => \brst_cnt[7]_i_2_n_0\ ); \brst_cnt[7]_i_3\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => axi_arlen_pipe(7), I1 => axi_araddr_full, I2 => s_axi_arlen(7), O => \brst_cnt[7]_i_3_n_0\ ); \brst_cnt[7]_i_4\: unisim.vcomponents.LUT5 generic map( INIT => X"00000001" ) port map ( I0 => brst_cnt(3), I1 => brst_cnt(1), I2 => brst_cnt(0), I3 => brst_cnt(2), I4 => brst_cnt(4), O => \brst_cnt[7]_i_4_n_0\ ); brst_cnt_max_d1_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => '1', D => brst_cnt_max, Q => brst_cnt_max_d1, R => \^bram_rst_a\ ); \brst_cnt_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \brst_cnt[7]_i_1_n_0\, D => \brst_cnt[0]_i_1_n_0\, Q => brst_cnt(0), R => \^bram_rst_a\ ); \brst_cnt_reg[1]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \brst_cnt[7]_i_1_n_0\, D => \brst_cnt[1]_i_1_n_0\, Q => brst_cnt(1), R => \^bram_rst_a\ ); \brst_cnt_reg[2]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \brst_cnt[7]_i_1_n_0\, D => \brst_cnt[2]_i_1_n_0\, Q => brst_cnt(2), R => \^bram_rst_a\ ); \brst_cnt_reg[3]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \brst_cnt[7]_i_1_n_0\, D => \brst_cnt[3]_i_1_n_0\, Q => brst_cnt(3), R => \^bram_rst_a\ ); \brst_cnt_reg[4]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \brst_cnt[7]_i_1_n_0\, D => \brst_cnt[4]_i_1_n_0\, Q => brst_cnt(4), R => \^bram_rst_a\ ); \brst_cnt_reg[5]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \brst_cnt[7]_i_1_n_0\, D => \brst_cnt[5]_i_1_n_0\, Q => brst_cnt(5), R => \^bram_rst_a\ ); \brst_cnt_reg[6]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \brst_cnt[7]_i_1_n_0\, D => \brst_cnt[6]_i_1_n_0\, Q => brst_cnt(6), R => \^bram_rst_a\ ); \brst_cnt_reg[7]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \brst_cnt[7]_i_1_n_0\, D => \brst_cnt[7]_i_2_n_0\, Q => brst_cnt(7), R => \^bram_rst_a\ ); brst_one_i_1: unisim.vcomponents.LUT6 generic map( INIT => X"00000000E0EE0000" ) port map ( I0 => brst_one, I1 => brst_one0, I2 => axi_rd_burst_two, I3 => bram_addr_ld_en, I4 => s_axi_aresetn, I5 => last_bram_addr_i_6_n_0, O => brst_one_i_1_n_0 ); brst_one_i_2: unisim.vcomponents.LUT6 generic map( INIT => X"80FF808080808080" ) port map ( I0 => bram_addr_ld_en, I1 => I_WRAP_BRST_n_4, I2 => axi_rd_burst_i_2_n_0, I3 => brst_cnt(0), I4 => brst_cnt(1), I5 => last_bram_addr_i_8_n_0, O => brst_one0 ); brst_one_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => '1', D => brst_one_i_1_n_0, Q => brst_one, R => '0' ); brst_zero_i_1: unisim.vcomponents.LUT4 generic map( INIT => X"00E0" ) port map ( I0 => brst_zero, I1 => last_bram_addr_i_6_n_0, I2 => s_axi_aresetn, I3 => brst_zero_i_2_n_0, O => brst_zero_i_1_n_0 ); brst_zero_i_2: unisim.vcomponents.LUT5 generic map( INIT => X"8A80AAAA" ) port map ( I0 => bram_addr_ld_en, I1 => axi_arlen_pipe(0), I2 => axi_araddr_full, I3 => s_axi_arlen(0), I4 => axi_rd_burst_i_2_n_0, O => brst_zero_i_2_n_0 ); brst_zero_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => '1', D => brst_zero_i_1_n_0, Q => brst_zero, R => '0' ); curr_fixed_burst_reg_i_1: unisim.vcomponents.LUT5 generic map( INIT => X"00053305" ) port map ( I0 => s_axi_arburst(0), I1 => axi_arburst_pipe(0), I2 => s_axi_arburst(1), I3 => axi_araddr_full, I4 => axi_arburst_pipe(1), O => curr_fixed_burst ); curr_fixed_burst_reg_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => bram_addr_ld_en, D => curr_fixed_burst, Q => curr_fixed_burst_reg, R => \^bram_rst_a\ ); curr_wrap_burst_reg_i_1: unisim.vcomponents.LUT5 generic map( INIT => X"000ACC0A" ) port map ( I0 => s_axi_arburst(1), I1 => axi_arburst_pipe(1), I2 => s_axi_arburst(0), I3 => axi_araddr_full, I4 => axi_arburst_pipe(0), O => curr_wrap_burst ); curr_wrap_burst_reg_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => bram_addr_ld_en, D => curr_wrap_burst, Q => curr_wrap_burst_reg, R => \^bram_rst_a\ ); disable_b2b_brst_i_1: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFFFFF000D0000" ) port map ( I0 => axi_rd_burst, I1 => axi_rd_burst_two_reg_n_0, I2 => rd_data_sm_cs(2), I3 => rd_data_sm_cs(3), I4 => disable_b2b_brst_i_2_n_0, I5 => disable_b2b_brst_i_3_n_0, O => disable_b2b_brst_cmb ); disable_b2b_brst_i_2: unisim.vcomponents.LUT2 generic map( INIT => X"2" ) port map ( I0 => rd_data_sm_cs(0), I1 => rd_data_sm_cs(1), O => disable_b2b_brst_i_2_n_0 ); disable_b2b_brst_i_3: unisim.vcomponents.LUT6 generic map( INIT => X"F6EF0000F6EFF6EF" ) port map ( I0 => rd_data_sm_cs(2), I1 => rd_data_sm_cs(1), I2 => rd_data_sm_cs(3), I3 => rd_data_sm_cs(0), I4 => disable_b2b_brst, I5 => disable_b2b_brst_i_4_n_0, O => disable_b2b_brst_i_3_n_0 ); disable_b2b_brst_i_4: unisim.vcomponents.LUT6 generic map( INIT => X"DFDFDFDFDFDFDFFF" ) port map ( I0 => pend_rd_op_i_6_n_0, I1 => rd_adv_buf67_out, I2 => rd_data_sm_cs(0), I3 => brst_zero, I4 => end_brst_rd, I5 => brst_one, O => disable_b2b_brst_i_4_n_0 ); disable_b2b_brst_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => '1', D => disable_b2b_brst_cmb, Q => disable_b2b_brst, R => \^bram_rst_a\ ); end_brst_rd_clr_i_1: unisim.vcomponents.LUT6 generic map( INIT => X"FEFEFEFF10100000" ) port map ( I0 => rd_data_sm_cs(3), I1 => rd_data_sm_cs(1), I2 => rd_data_sm_cs(2), I3 => bram_addr_ld_en, I4 => rd_data_sm_cs(0), I5 => end_brst_rd_clr, O => end_brst_rd_clr_i_1_n_0 ); end_brst_rd_clr_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => '1', D => end_brst_rd_clr_i_1_n_0, Q => end_brst_rd_clr, R => \^bram_rst_a\ ); end_brst_rd_i_1: unisim.vcomponents.LUT5 generic map( INIT => X"0020F020" ) port map ( I0 => brst_cnt_max, I1 => brst_cnt_max_d1, I2 => s_axi_aresetn, I3 => end_brst_rd, I4 => end_brst_rd_clr, O => end_brst_rd_i_1_n_0 ); end_brst_rd_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => '1', D => end_brst_rd_i_1_n_0, Q => end_brst_rd, R => '0' ); last_bram_addr_i_1: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFFFFF1F110000" ) port map ( I0 => last_bram_addr_i_2_n_0, I1 => rd_data_sm_cs(2), I2 => last_bram_addr_i_3_n_0, I3 => last_bram_addr_i_4_n_0, I4 => last_bram_addr_i_5_n_0, I5 => last_bram_addr_i_6_n_0, O => last_bram_addr0 ); last_bram_addr_i_2: unisim.vcomponents.LUT6 generic map( INIT => X"EF00EFFFEFFFEFFF" ) port map ( I0 => axi_rd_burst, I1 => axi_rd_burst_two_reg_n_0, I2 => rd_adv_buf67_out, I3 => rd_data_sm_cs(3), I4 => bram_addr_ld_en, I5 => last_bram_addr_i_7_n_0, O => last_bram_addr_i_2_n_0 ); last_bram_addr_i_3: unisim.vcomponents.LUT6 generic map( INIT => X"DDDDDDDDFFFCFFFF" ) port map ( I0 => last_bram_addr_i_7_n_0, I1 => I_WRAP_BRST_n_28, I2 => axi_rd_burst, I3 => axi_rd_burst_two_reg_n_0, I4 => pend_rd_op, I5 => bram_addr_ld_en, O => last_bram_addr_i_3_n_0 ); last_bram_addr_i_4: unisim.vcomponents.LUT4 generic map( INIT => X"8880" ) port map ( I0 => s_axi_rready, I1 => \^s_axi_rvalid\, I2 => bram_addr_ld_en, I3 => pend_rd_op, O => last_bram_addr_i_4_n_0 ); last_bram_addr_i_5: unisim.vcomponents.LUT3 generic map( INIT => X"81" ) port map ( I0 => rd_data_sm_cs(2), I1 => rd_data_sm_cs(1), I2 => rd_data_sm_cs(0), O => last_bram_addr_i_5_n_0 ); last_bram_addr_i_6: unisim.vcomponents.LUT3 generic map( INIT => X"08" ) port map ( I0 => last_bram_addr_i_8_n_0, I1 => brst_cnt(0), I2 => brst_cnt(1), O => last_bram_addr_i_6_n_0 ); last_bram_addr_i_7: unisim.vcomponents.LUT4 generic map( INIT => X"02A2" ) port map ( I0 => axi_rd_burst_i_2_n_0, I1 => s_axi_arlen(0), I2 => axi_araddr_full, I3 => axi_arlen_pipe(0), O => last_bram_addr_i_7_n_0 ); last_bram_addr_i_8: unisim.vcomponents.LUT6 generic map( INIT => X"0000000000000002" ) port map ( I0 => I_WRAP_BRST_n_7, I1 => last_bram_addr_i_9_n_0, I2 => brst_cnt(3), I3 => brst_cnt(2), I4 => brst_cnt(4), I5 => brst_cnt(7), O => last_bram_addr_i_8_n_0 ); last_bram_addr_i_9: unisim.vcomponents.LUT2 generic map( INIT => X"E" ) port map ( I0 => brst_cnt(6), I1 => brst_cnt(5), O => last_bram_addr_i_9_n_0 ); last_bram_addr_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => '1', D => last_bram_addr0, Q => last_bram_addr, R => \^bram_rst_a\ ); no_ar_ack_i_1: unisim.vcomponents.LUT6 generic map( INIT => X"AAAAAAAA88C8AAAA" ) port map ( I0 => no_ar_ack, I1 => rd_data_sm_cs(1), I2 => bram_addr_ld_en, I3 => rd_adv_buf67_out, I4 => rd_data_sm_cs(0), I5 => I_WRAP_BRST_n_28, O => no_ar_ack_i_1_n_0 ); no_ar_ack_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => '1', D => no_ar_ack_i_1_n_0, Q => no_ar_ack, R => \^bram_rst_a\ ); pend_rd_op_i_1: unisim.vcomponents.LUT6 generic map( INIT => X"EFAAEFEF20AA2020" ) port map ( I0 => pend_rd_op_i_2_n_0, I1 => pend_rd_op_i_3_n_0, I2 => pend_rd_op_i_4_n_0, I3 => pend_rd_op_i_5_n_0, I4 => pend_rd_op_i_6_n_0, I5 => pend_rd_op, O => pend_rd_op_i_1_n_0 ); pend_rd_op_i_2: unisim.vcomponents.LUT6 generic map( INIT => X"0FFCC8C80CCCC8C8" ) port map ( I0 => p_0_in13_in, I1 => bram_addr_ld_en, I2 => rd_data_sm_cs(1), I3 => rd_data_sm_cs(0), I4 => rd_data_sm_cs(2), I5 => pend_rd_op_i_7_n_0, O => pend_rd_op_i_2_n_0 ); pend_rd_op_i_3: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFFFFF00030005" ) port map ( I0 => pend_rd_op_i_8_n_0, I1 => pend_rd_op_i_7_n_0, I2 => bram_addr_ld_en, I3 => rd_data_sm_cs(1), I4 => rd_data_sm_cs(0), I5 => I_WRAP_BRST_n_28, O => pend_rd_op_i_3_n_0 ); pend_rd_op_i_4: unisim.vcomponents.LUT5 generic map( INIT => X"FFFF00EA" ) port map ( I0 => bram_addr_ld_en, I1 => end_brst_rd, I2 => ar_active, I3 => rd_data_sm_cs(0), I4 => pend_rd_op_i_9_n_0, O => pend_rd_op_i_4_n_0 ); pend_rd_op_i_5: unisim.vcomponents.LUT6 generic map( INIT => X"0303070733F3FFFF" ) port map ( I0 => p_0_in13_in, I1 => rd_data_sm_cs(0), I2 => rd_data_sm_cs(1), I3 => \^s_axi_rlast\, I4 => pend_rd_op, I5 => bram_addr_ld_en, O => pend_rd_op_i_5_n_0 ); pend_rd_op_i_6: unisim.vcomponents.LUT2 generic map( INIT => X"1" ) port map ( I0 => rd_data_sm_cs(3), I1 => rd_data_sm_cs(2), O => pend_rd_op_i_6_n_0 ); pend_rd_op_i_7: unisim.vcomponents.LUT2 generic map( INIT => X"8" ) port map ( I0 => ar_active, I1 => end_brst_rd, O => pend_rd_op_i_7_n_0 ); pend_rd_op_i_8: unisim.vcomponents.LUT2 generic map( INIT => X"8" ) port map ( I0 => pend_rd_op, I1 => \^s_axi_rlast\, O => pend_rd_op_i_8_n_0 ); pend_rd_op_i_9: unisim.vcomponents.LUT5 generic map( INIT => X"8000FFFF" ) port map ( I0 => pend_rd_op, I1 => s_axi_rready, I2 => \^s_axi_rvalid\, I3 => rd_data_sm_cs(0), I4 => rd_data_sm_cs(1), O => pend_rd_op_i_9_n_0 ); pend_rd_op_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => '1', D => pend_rd_op_i_1_n_0, Q => pend_rd_op, R => \^bram_rst_a\ ); \rd_data_sm_cs[0]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFFFFF54005555" ) port map ( I0 => \rd_data_sm_cs[0]_i_2_n_0\, I1 => pend_rd_op, I2 => bram_addr_ld_en, I3 => rd_adv_buf67_out, I4 => \rd_data_sm_cs[0]_i_3_n_0\, I5 => \rd_data_sm_cs[0]_i_4_n_0\, O => \rd_data_sm_cs[0]_i_1_n_0\ ); \rd_data_sm_cs[0]_i_2\: unisim.vcomponents.LUT6 generic map( INIT => X"FEAAAAAAFEAAFEAA" ) port map ( I0 => I_WRAP_BRST_n_28, I1 => act_rd_burst_two, I2 => act_rd_burst, I3 => disable_b2b_brst_i_2_n_0, I4 => bram_addr_ld_en, I5 => rd_adv_buf67_out, O => \rd_data_sm_cs[0]_i_2_n_0\ ); \rd_data_sm_cs[0]_i_3\: unisim.vcomponents.LUT2 generic map( INIT => X"8" ) port map ( I0 => rd_data_sm_cs(1), I1 => rd_data_sm_cs(0), O => \rd_data_sm_cs[0]_i_3_n_0\ ); \rd_data_sm_cs[0]_i_4\: unisim.vcomponents.LUT6 generic map( INIT => X"000300BF0003008F" ) port map ( I0 => rd_adv_buf67_out, I1 => rd_data_sm_cs(1), I2 => rd_data_sm_cs(0), I3 => rd_data_sm_cs(2), I4 => rd_data_sm_cs(3), I5 => p_0_in13_in, O => \rd_data_sm_cs[0]_i_4_n_0\ ); \rd_data_sm_cs[1]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"AABAAABAFFFFAABA" ) port map ( I0 => \rd_data_sm_cs[2]_i_2_n_0\, I1 => I_WRAP_BRST_n_28, I2 => \rd_data_sm_cs[2]_i_5_n_0\, I3 => rd_data_sm_cs(0), I4 => I_WRAP_BRST_n_24, I5 => \rd_data_sm_cs[1]_i_3_n_0\, O => \rd_data_sm_cs[1]_i_1_n_0\ ); \rd_data_sm_cs[1]_i_3\: unisim.vcomponents.LUT6 generic map( INIT => X"C0CCCCCC88888888" ) port map ( I0 => axi_rd_burst_two_reg_n_0, I1 => rd_data_sm_cs(1), I2 => I_WRAP_BRST_n_27, I3 => s_axi_rready, I4 => \^s_axi_rvalid\, I5 => rd_data_sm_cs(0), O => \rd_data_sm_cs[1]_i_3_n_0\ ); \rd_data_sm_cs[2]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"AAABAAABAEAFAAAB" ) port map ( I0 => \rd_data_sm_cs[2]_i_2_n_0\, I1 => rd_data_sm_cs(2), I2 => rd_data_sm_cs(3), I3 => \rd_data_sm_cs[2]_i_3_n_0\, I4 => \rd_data_sm_cs[2]_i_4_n_0\, I5 => \rd_data_sm_cs[2]_i_5_n_0\, O => \rd_data_sm_cs[2]_i_1_n_0\ ); \rd_data_sm_cs[2]_i_2\: unisim.vcomponents.LUT6 generic map( INIT => X"000000000DF00000" ) port map ( I0 => bram_addr_ld_en, I1 => \rd_data_sm_cs[3]_i_6_n_0\, I2 => rd_data_sm_cs(1), I3 => rd_data_sm_cs(0), I4 => rd_data_sm_cs(2), I5 => rd_data_sm_cs(3), O => \rd_data_sm_cs[2]_i_2_n_0\ ); \rd_data_sm_cs[2]_i_3\: unisim.vcomponents.LUT6 generic map( INIT => X"00C0FFFF33F3BBBB" ) port map ( I0 => axi_rd_burst, I1 => rd_data_sm_cs(0), I2 => rd_adv_buf67_out, I3 => I_WRAP_BRST_n_27, I4 => rd_data_sm_cs(1), I5 => axi_rd_burst_two_reg_n_0, O => \rd_data_sm_cs[2]_i_3_n_0\ ); \rd_data_sm_cs[2]_i_4\: unisim.vcomponents.LUT2 generic map( INIT => X"1" ) port map ( I0 => rd_data_sm_cs(1), I1 => rd_data_sm_cs(0), O => \rd_data_sm_cs[2]_i_4_n_0\ ); \rd_data_sm_cs[2]_i_5\: unisim.vcomponents.LUT2 generic map( INIT => X"1" ) port map ( I0 => brst_zero, I1 => end_brst_rd, O => \rd_data_sm_cs[2]_i_5_n_0\ ); \rd_data_sm_cs[3]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"8F80FF8F8F80F080" ) port map ( I0 => s_axi_rready, I1 => \^s_axi_rvalid\, I2 => \rd_data_sm_cs[3]_i_3_n_0\, I3 => bram_addr_ld_en, I4 => \rd_data_sm_cs[3]_i_4_n_0\, I5 => \rd_data_sm_cs[3]_i_5_n_0\, O => rd_data_sm_ns ); \rd_data_sm_cs[3]_i_2\: unisim.vcomponents.LUT6 generic map( INIT => X"0000004050005040" ) port map ( I0 => I_WRAP_BRST_n_28, I1 => bram_addr_ld_en, I2 => rd_data_sm_cs(0), I3 => rd_data_sm_cs(1), I4 => \rd_data_sm_cs[3]_i_6_n_0\, I5 => rd_adv_buf67_out, O => \rd_data_sm_cs[3]_i_2_n_0\ ); \rd_data_sm_cs[3]_i_3\: unisim.vcomponents.LUT4 generic map( INIT => X"4052" ) port map ( I0 => rd_data_sm_cs(3), I1 => rd_data_sm_cs(1), I2 => rd_data_sm_cs(2), I3 => rd_data_sm_cs(0), O => \rd_data_sm_cs[3]_i_3_n_0\ ); \rd_data_sm_cs[3]_i_4\: unisim.vcomponents.LUT4 generic map( INIT => X"0035" ) port map ( I0 => rd_data_sm_cs(1), I1 => rd_data_sm_cs(3), I2 => rd_data_sm_cs(2), I3 => rd_data_sm_cs(0), O => \rd_data_sm_cs[3]_i_4_n_0\ ); \rd_data_sm_cs[3]_i_5\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFFFFFFF5EFFFF" ) port map ( I0 => rd_data_sm_cs(0), I1 => rd_data_sm_cs(2), I2 => rd_data_sm_cs(1), I3 => rd_data_sm_cs(3), I4 => rd_adv_buf67_out, I5 => \rd_data_sm_cs[3]_i_7_n_0\, O => \rd_data_sm_cs[3]_i_5_n_0\ ); \rd_data_sm_cs[3]_i_6\: unisim.vcomponents.LUT4 generic map( INIT => X"1FFF" ) port map ( I0 => act_rd_burst_two, I1 => act_rd_burst, I2 => s_axi_rready, I3 => \^s_axi_rvalid\, O => \rd_data_sm_cs[3]_i_6_n_0\ ); \rd_data_sm_cs[3]_i_7\: unisim.vcomponents.LUT3 generic map( INIT => X"BA" ) port map ( I0 => brst_zero, I1 => axi_b2b_brst, I2 => end_brst_rd, O => \rd_data_sm_cs[3]_i_7_n_0\ ); \rd_data_sm_cs_reg[0]\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => rd_data_sm_ns, D => \rd_data_sm_cs[0]_i_1_n_0\, Q => rd_data_sm_cs(0), R => \^bram_rst_a\ ); \rd_data_sm_cs_reg[1]\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => rd_data_sm_ns, D => \rd_data_sm_cs[1]_i_1_n_0\, Q => rd_data_sm_cs(1), R => \^bram_rst_a\ ); \rd_data_sm_cs_reg[2]\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => rd_data_sm_ns, D => \rd_data_sm_cs[2]_i_1_n_0\, Q => rd_data_sm_cs(2), R => \^bram_rst_a\ ); \rd_data_sm_cs_reg[3]\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => rd_data_sm_ns, D => \rd_data_sm_cs[3]_i_2_n_0\, Q => rd_data_sm_cs(3), R => \^bram_rst_a\ ); rd_skid_buf_ld_reg_i_1: unisim.vcomponents.LUT6 generic map( INIT => X"1110011001100110" ) port map ( I0 => rd_data_sm_cs(3), I1 => rd_data_sm_cs(2), I2 => rd_data_sm_cs(0), I3 => rd_data_sm_cs(1), I4 => s_axi_rready, I5 => \^s_axi_rvalid\, O => rd_skid_buf_ld_cmb ); rd_skid_buf_ld_reg_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => '1', D => rd_skid_buf_ld_cmb, Q => rd_skid_buf_ld_reg, R => \^bram_rst_a\ ); rddata_mux_sel_i_1: unisim.vcomponents.LUT4 generic map( INIT => X"FE02" ) port map ( I0 => rddata_mux_sel_cmb, I1 => rd_data_sm_cs(3), I2 => rddata_mux_sel_i_3_n_0, I3 => rddata_mux_sel, O => rddata_mux_sel_i_1_n_0 ); rddata_mux_sel_i_2: unisim.vcomponents.LUT6 generic map( INIT => X"F0F010F00F00F000" ) port map ( I0 => act_rd_burst, I1 => act_rd_burst_two, I2 => rd_data_sm_cs(2), I3 => rd_data_sm_cs(0), I4 => rd_data_sm_cs(1), I5 => rd_adv_buf67_out, O => rddata_mux_sel_cmb ); rddata_mux_sel_i_3: unisim.vcomponents.LUT6 generic map( INIT => X"F700070FF70F070F" ) port map ( I0 => \^s_axi_rvalid\, I1 => s_axi_rready, I2 => rd_data_sm_cs(0), I3 => rd_data_sm_cs(2), I4 => rd_data_sm_cs(1), I5 => axi_rd_burst_two_reg_n_0, O => rddata_mux_sel_i_3_n_0 ); rddata_mux_sel_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => '1', D => rddata_mux_sel_i_1_n_0, Q => rddata_mux_sel, R => \^bram_rst_a\ ); s_axi_arready_INST_0: unisim.vcomponents.LUT4 generic map( INIT => X"EAAA" ) port map ( I0 => axi_arready_int, I1 => \^s_axi_rvalid\, I2 => s_axi_rready, I3 => axi_early_arready_int, O => s_axi_arready ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_wr_chnl is port ( axi_aresetn_d2 : out STD_LOGIC; axi_aresetn_re_reg : out STD_LOGIC; bram_en_a : out STD_LOGIC; bram_wrdata_a : out STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_bvalid : out STD_LOGIC; \GEN_AW_DUAL.aw_active_reg_0\ : out STD_LOGIC; s_axi_wready : out STD_LOGIC; s_axi_awready : out STD_LOGIC; bram_addr_a : out STD_LOGIC_VECTOR ( 13 downto 0 ); s_axi_bid : out STD_LOGIC_VECTOR ( 11 downto 0 ); bram_we_a : out STD_LOGIC_VECTOR ( 3 downto 0 ); SR : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_aclk : in STD_LOGIC; s_axi_awaddr : in STD_LOGIC_VECTOR ( 13 downto 0 ); s_axi_aresetn : in STD_LOGIC; s_axi_wdata : in STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_wvalid : in STD_LOGIC; s_axi_wlast : in STD_LOGIC; s_axi_bready : in STD_LOGIC; s_axi_awburst : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_awid : in STD_LOGIC_VECTOR ( 11 downto 0 ); s_axi_awvalid : in STD_LOGIC; s_axi_awlen : in STD_LOGIC_VECTOR ( 7 downto 0 ); s_axi_wstrb : in STD_LOGIC_VECTOR ( 3 downto 0 ) ); end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_wr_chnl; architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_wr_chnl is signal BID_FIFO_n_0 : STD_LOGIC; signal BID_FIFO_n_10 : STD_LOGIC; signal BID_FIFO_n_11 : STD_LOGIC; signal BID_FIFO_n_12 : STD_LOGIC; signal BID_FIFO_n_13 : STD_LOGIC; signal BID_FIFO_n_14 : STD_LOGIC; signal BID_FIFO_n_15 : STD_LOGIC; signal BID_FIFO_n_3 : STD_LOGIC; signal BID_FIFO_n_4 : STD_LOGIC; signal BID_FIFO_n_5 : STD_LOGIC; signal BID_FIFO_n_6 : STD_LOGIC; signal BID_FIFO_n_7 : STD_LOGIC; signal BID_FIFO_n_8 : STD_LOGIC; signal BID_FIFO_n_9 : STD_LOGIC; signal \FSM_sequential_GEN_WDATA_SM_NO_ECC_DUAL_REG_WREADY.wr_data_sm_cs[0]_i_1_n_0\ : STD_LOGIC; signal \FSM_sequential_GEN_WDATA_SM_NO_ECC_DUAL_REG_WREADY.wr_data_sm_cs[0]_i_2_n_0\ : STD_LOGIC; signal \FSM_sequential_GEN_WDATA_SM_NO_ECC_DUAL_REG_WREADY.wr_data_sm_cs[1]_i_1_n_0\ : STD_LOGIC; signal \FSM_sequential_GEN_WDATA_SM_NO_ECC_DUAL_REG_WREADY.wr_data_sm_cs[1]_i_2_n_0\ : STD_LOGIC; signal \FSM_sequential_GEN_WDATA_SM_NO_ECC_DUAL_REG_WREADY.wr_data_sm_cs[2]_i_1_n_0\ : STD_LOGIC; signal \FSM_sequential_GEN_WDATA_SM_NO_ECC_DUAL_REG_WREADY.wr_data_sm_cs[2]_i_2_n_0\ : STD_LOGIC; signal \FSM_sequential_GEN_WDATA_SM_NO_ECC_DUAL_REG_WREADY.wr_data_sm_cs[2]_i_3_n_0\ : STD_LOGIC; signal \GEN_AWREADY.axi_awready_int_i_1_n_0\ : STD_LOGIC; signal \GEN_AWREADY.axi_awready_int_i_2_n_0\ : STD_LOGIC; signal \GEN_AWREADY.axi_awready_int_i_3_n_0\ : STD_LOGIC; signal \GEN_AW_DUAL.aw_active_i_2_n_0\ : STD_LOGIC; signal \^gen_aw_dual.aw_active_reg_0\ : STD_LOGIC; signal \GEN_AW_DUAL.wr_addr_sm_cs_i_1_n_0\ : STD_LOGIC; signal \GEN_AW_DUAL.wr_addr_sm_cs_i_2_n_0\ : STD_LOGIC; signal \GEN_AW_PIPE_DUAL.GEN_AWADDR[10].axi_awaddr_pipe_reg\ : STD_LOGIC; signal \GEN_AW_PIPE_DUAL.GEN_AWADDR[11].axi_awaddr_pipe_reg\ : STD_LOGIC; signal \GEN_AW_PIPE_DUAL.GEN_AWADDR[12].axi_awaddr_pipe_reg\ : STD_LOGIC; signal \GEN_AW_PIPE_DUAL.GEN_AWADDR[13].axi_awaddr_pipe_reg\ : STD_LOGIC; signal \GEN_AW_PIPE_DUAL.GEN_AWADDR[14].axi_awaddr_pipe_reg\ : STD_LOGIC; signal \GEN_AW_PIPE_DUAL.GEN_AWADDR[15].axi_awaddr_pipe_reg\ : STD_LOGIC; signal \GEN_AW_PIPE_DUAL.GEN_AWADDR[2].axi_awaddr_pipe_reg\ : STD_LOGIC; signal \GEN_AW_PIPE_DUAL.GEN_AWADDR[3].axi_awaddr_pipe_reg\ : STD_LOGIC; signal \GEN_AW_PIPE_DUAL.GEN_AWADDR[4].axi_awaddr_pipe_reg\ : STD_LOGIC; signal \GEN_AW_PIPE_DUAL.GEN_AWADDR[5].axi_awaddr_pipe_reg\ : STD_LOGIC; signal \GEN_AW_PIPE_DUAL.GEN_AWADDR[6].axi_awaddr_pipe_reg\ : STD_LOGIC; signal \GEN_AW_PIPE_DUAL.GEN_AWADDR[7].axi_awaddr_pipe_reg\ : STD_LOGIC; signal \GEN_AW_PIPE_DUAL.GEN_AWADDR[8].axi_awaddr_pipe_reg\ : STD_LOGIC; signal \GEN_AW_PIPE_DUAL.GEN_AWADDR[9].axi_awaddr_pipe_reg\ : STD_LOGIC; signal \GEN_AW_PIPE_DUAL.axi_awaddr_full_i_1_n_0\ : STD_LOGIC; signal \GEN_AW_PIPE_DUAL.axi_awburst_pipe_fixed_i_1_n_0\ : STD_LOGIC; signal \GEN_AW_PIPE_DUAL.axi_awburst_pipe_fixed_reg_n_0\ : STD_LOGIC; signal \GEN_AW_PIPE_DUAL.axi_awlen_pipe[7]_i_1_n_0\ : STD_LOGIC; signal \GEN_AW_PIPE_DUAL.axi_awlen_pipe_1_or_2_i_2_n_0\ : STD_LOGIC; signal \GEN_DUAL_ADDR_CNT.bram_addr_int[10]_i_2__0_n_0\ : STD_LOGIC; signal \GEN_DUAL_ADDR_CNT.bram_addr_int[11]_i_3__0_n_0\ : STD_LOGIC; signal \GEN_WDATA_SM_NO_ECC_DUAL_REG_WREADY.bram_en_int_i_2_n_0\ : STD_LOGIC; signal \GEN_WDATA_SM_NO_ECC_DUAL_REG_WREADY.clr_bram_we_i_2_n_0\ : STD_LOGIC; signal \GEN_WDATA_SM_NO_ECC_DUAL_REG_WREADY.delay_aw_active_clr_i_1_n_0\ : STD_LOGIC; signal \GEN_WDATA_SM_NO_ECC_DUAL_REG_WREADY.delay_aw_active_clr_i_2_n_0\ : STD_LOGIC; signal \GEN_WDATA_SM_NO_ECC_DUAL_REG_WREADY.delay_aw_active_clr_i_3_n_0\ : STD_LOGIC; signal \GEN_WR_NO_ECC.bram_we_int[3]_i_1_n_0\ : STD_LOGIC; signal \GEN_WR_NO_ECC.bram_we_int[3]_i_2_n_0\ : STD_LOGIC; signal \I_RD_CHNL/axi_aresetn_d1\ : STD_LOGIC; signal I_WRAP_BRST_n_0 : STD_LOGIC; signal I_WRAP_BRST_n_10 : STD_LOGIC; signal I_WRAP_BRST_n_11 : STD_LOGIC; signal I_WRAP_BRST_n_12 : STD_LOGIC; signal I_WRAP_BRST_n_13 : STD_LOGIC; signal I_WRAP_BRST_n_14 : STD_LOGIC; signal I_WRAP_BRST_n_15 : STD_LOGIC; signal I_WRAP_BRST_n_16 : STD_LOGIC; signal I_WRAP_BRST_n_17 : STD_LOGIC; signal I_WRAP_BRST_n_19 : STD_LOGIC; signal I_WRAP_BRST_n_2 : STD_LOGIC; signal I_WRAP_BRST_n_20 : STD_LOGIC; signal I_WRAP_BRST_n_21 : STD_LOGIC; signal I_WRAP_BRST_n_22 : STD_LOGIC; signal I_WRAP_BRST_n_23 : STD_LOGIC; signal I_WRAP_BRST_n_7 : STD_LOGIC; signal I_WRAP_BRST_n_8 : STD_LOGIC; signal I_WRAP_BRST_n_9 : STD_LOGIC; signal aw_active : STD_LOGIC; signal \^axi_aresetn_d2\ : STD_LOGIC; signal axi_aresetn_re : STD_LOGIC; signal \^axi_aresetn_re_reg\ : STD_LOGIC; signal axi_awaddr_full : STD_LOGIC; signal axi_awburst_pipe : STD_LOGIC_VECTOR ( 1 downto 0 ); signal axi_awid_pipe : STD_LOGIC_VECTOR ( 11 downto 0 ); signal axi_awlen_pipe : STD_LOGIC_VECTOR ( 7 downto 0 ); signal axi_awlen_pipe_1_or_2 : STD_LOGIC; signal axi_awsize_pipe : STD_LOGIC_VECTOR ( 1 to 1 ); signal axi_bvalid_int_i_1_n_0 : STD_LOGIC; signal axi_wdata_full_cmb : STD_LOGIC; signal axi_wdata_full_cmb114_out : STD_LOGIC; signal axi_wdata_full_reg : STD_LOGIC; signal axi_wr_burst : STD_LOGIC; signal axi_wr_burst_cmb : STD_LOGIC; signal axi_wr_burst_cmb0 : STD_LOGIC; signal axi_wr_burst_i_1_n_0 : STD_LOGIC; signal axi_wr_burst_i_3_n_0 : STD_LOGIC; signal axi_wready_int_mod_i_1_n_0 : STD_LOGIC; signal axi_wready_int_mod_i_3_n_0 : STD_LOGIC; signal bid_gets_fifo_load : STD_LOGIC; signal bid_gets_fifo_load_d1 : STD_LOGIC; signal bid_gets_fifo_load_d1_i_2_n_0 : STD_LOGIC; signal \^bram_addr_a\ : STD_LOGIC_VECTOR ( 13 downto 0 ); signal bram_addr_inc : STD_LOGIC; signal bram_addr_ld : STD_LOGIC_VECTOR ( 13 downto 10 ); signal bram_addr_ld_en : STD_LOGIC; signal bram_addr_ld_en_mod : STD_LOGIC; signal bram_addr_rst_cmb : STD_LOGIC; signal bram_en_cmb : STD_LOGIC; signal bvalid_cnt : STD_LOGIC_VECTOR ( 2 downto 0 ); signal \bvalid_cnt[0]_i_1_n_0\ : STD_LOGIC; signal \bvalid_cnt[1]_i_1_n_0\ : STD_LOGIC; signal \bvalid_cnt[2]_i_1_n_0\ : STD_LOGIC; signal bvalid_cnt_inc : STD_LOGIC; signal bvalid_cnt_inc11_out : STD_LOGIC; signal clr_bram_we : STD_LOGIC; signal clr_bram_we_cmb : STD_LOGIC; signal curr_awlen_reg_1_or_2 : STD_LOGIC; signal curr_awlen_reg_1_or_20 : STD_LOGIC; signal curr_awlen_reg_1_or_2_i_2_n_0 : STD_LOGIC; signal curr_awlen_reg_1_or_2_i_3_n_0 : STD_LOGIC; signal curr_fixed_burst : STD_LOGIC; signal curr_fixed_burst_reg : STD_LOGIC; signal curr_wrap_burst : STD_LOGIC; signal curr_wrap_burst_reg : STD_LOGIC; signal delay_aw_active_clr : STD_LOGIC; signal last_data_ack_mod : STD_LOGIC; signal p_18_out : STD_LOGIC; signal p_9_out : STD_LOGIC; signal \^s_axi_awready\ : STD_LOGIC; signal \^s_axi_bvalid\ : STD_LOGIC; signal \^s_axi_wready\ : STD_LOGIC; signal wr_addr_sm_cs : STD_LOGIC; signal wr_data_sm_cs : STD_LOGIC_VECTOR ( 2 downto 0 ); attribute RTL_KEEP : string; attribute RTL_KEEP of wr_data_sm_cs : signal is "yes"; attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \FSM_sequential_GEN_WDATA_SM_NO_ECC_DUAL_REG_WREADY.wr_data_sm_cs[0]_i_1\ : label is "soft_lutpair65"; attribute SOFT_HLUTNM of \FSM_sequential_GEN_WDATA_SM_NO_ECC_DUAL_REG_WREADY.wr_data_sm_cs[0]_i_3\ : label is "soft_lutpair63"; attribute SOFT_HLUTNM of \FSM_sequential_GEN_WDATA_SM_NO_ECC_DUAL_REG_WREADY.wr_data_sm_cs[2]_i_1\ : label is "soft_lutpair65"; attribute KEEP : string; attribute KEEP of \FSM_sequential_GEN_WDATA_SM_NO_ECC_DUAL_REG_WREADY.wr_data_sm_cs_reg[0]\ : label is "yes"; attribute KEEP of \FSM_sequential_GEN_WDATA_SM_NO_ECC_DUAL_REG_WREADY.wr_data_sm_cs_reg[1]\ : label is "yes"; attribute KEEP of \FSM_sequential_GEN_WDATA_SM_NO_ECC_DUAL_REG_WREADY.wr_data_sm_cs_reg[2]\ : label is "yes"; attribute SOFT_HLUTNM of \GEN_AW_DUAL.last_data_ack_mod_i_1\ : label is "soft_lutpair64"; attribute SOFT_HLUTNM of \GEN_WDATA_SM_NO_ECC_DUAL_REG_WREADY.clr_bram_we_i_2\ : label is "soft_lutpair64"; attribute SOFT_HLUTNM of bid_gets_fifo_load_d1_i_2 : label is "soft_lutpair63"; attribute SOFT_HLUTNM of curr_fixed_burst_reg_i_2 : label is "soft_lutpair62"; attribute SOFT_HLUTNM of curr_wrap_burst_reg_i_2 : label is "soft_lutpair62"; begin \GEN_AW_DUAL.aw_active_reg_0\ <= \^gen_aw_dual.aw_active_reg_0\; axi_aresetn_d2 <= \^axi_aresetn_d2\; axi_aresetn_re_reg <= \^axi_aresetn_re_reg\; bram_addr_a(13 downto 0) <= \^bram_addr_a\(13 downto 0); s_axi_awready <= \^s_axi_awready\; s_axi_bvalid <= \^s_axi_bvalid\; s_axi_wready <= \^s_axi_wready\; BID_FIFO: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_SRL_FIFO port map ( D(11) => BID_FIFO_n_4, D(10) => BID_FIFO_n_5, D(9) => BID_FIFO_n_6, D(8) => BID_FIFO_n_7, D(7) => BID_FIFO_n_8, D(6) => BID_FIFO_n_9, D(5) => BID_FIFO_n_10, D(4) => BID_FIFO_n_11, D(3) => BID_FIFO_n_12, D(2) => BID_FIFO_n_13, D(1) => BID_FIFO_n_14, D(0) => BID_FIFO_n_15, E(0) => BID_FIFO_n_0, \GEN_AWREADY.axi_aresetn_d2_reg\ => \^axi_aresetn_d2\, \GEN_AW_PIPE_DUAL.axi_awburst_pipe_fixed_reg\ => \GEN_AW_PIPE_DUAL.axi_awburst_pipe_fixed_reg_n_0\, Q(11 downto 0) => axi_awid_pipe(11 downto 0), SR(0) => SR(0), aw_active => aw_active, axi_awaddr_full => axi_awaddr_full, axi_awlen_pipe_1_or_2 => axi_awlen_pipe_1_or_2, axi_bvalid_int_reg => \^s_axi_bvalid\, axi_wdata_full_cmb114_out => axi_wdata_full_cmb114_out, axi_wr_burst => axi_wr_burst, bid_gets_fifo_load => bid_gets_fifo_load, bid_gets_fifo_load_d1 => bid_gets_fifo_load_d1, bid_gets_fifo_load_d1_reg => BID_FIFO_n_3, bram_addr_ld_en => bram_addr_ld_en, bvalid_cnt(2 downto 0) => bvalid_cnt(2 downto 0), bvalid_cnt_inc => bvalid_cnt_inc, \bvalid_cnt_reg[1]\ => bid_gets_fifo_load_d1_i_2_n_0, \bvalid_cnt_reg[2]\ => I_WRAP_BRST_n_20, \bvalid_cnt_reg[2]_0\ => I_WRAP_BRST_n_19, curr_awlen_reg_1_or_2 => curr_awlen_reg_1_or_2, last_data_ack_mod => last_data_ack_mod, \out\(2 downto 0) => wr_data_sm_cs(2 downto 0), s_axi_aclk => s_axi_aclk, s_axi_awid(11 downto 0) => s_axi_awid(11 downto 0), s_axi_awready => \^s_axi_awready\, s_axi_awvalid => s_axi_awvalid, s_axi_bready => s_axi_bready, s_axi_wlast => s_axi_wlast, s_axi_wvalid => s_axi_wvalid, wr_addr_sm_cs => wr_addr_sm_cs ); \FSM_sequential_GEN_WDATA_SM_NO_ECC_DUAL_REG_WREADY.wr_data_sm_cs[0]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \FSM_sequential_GEN_WDATA_SM_NO_ECC_DUAL_REG_WREADY.wr_data_sm_cs[0]_i_2_n_0\, I1 => \FSM_sequential_GEN_WDATA_SM_NO_ECC_DUAL_REG_WREADY.wr_data_sm_cs[2]_i_3_n_0\, I2 => wr_data_sm_cs(0), O => \FSM_sequential_GEN_WDATA_SM_NO_ECC_DUAL_REG_WREADY.wr_data_sm_cs[0]_i_1_n_0\ ); \FSM_sequential_GEN_WDATA_SM_NO_ECC_DUAL_REG_WREADY.wr_data_sm_cs[0]_i_2\: unisim.vcomponents.LUT5 generic map( INIT => X"05051F1A" ) port map ( I0 => wr_data_sm_cs(1), I1 => axi_wr_burst_cmb0, I2 => wr_data_sm_cs(0), I3 => axi_wdata_full_cmb114_out, I4 => wr_data_sm_cs(2), O => \FSM_sequential_GEN_WDATA_SM_NO_ECC_DUAL_REG_WREADY.wr_data_sm_cs[0]_i_2_n_0\ ); \FSM_sequential_GEN_WDATA_SM_NO_ECC_DUAL_REG_WREADY.wr_data_sm_cs[0]_i_3\: unisim.vcomponents.LUT4 generic map( INIT => X"5515" ) port map ( I0 => I_WRAP_BRST_n_21, I1 => bvalid_cnt(2), I2 => bvalid_cnt(1), I3 => bvalid_cnt(0), O => axi_wr_burst_cmb0 ); \FSM_sequential_GEN_WDATA_SM_NO_ECC_DUAL_REG_WREADY.wr_data_sm_cs[1]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \FSM_sequential_GEN_WDATA_SM_NO_ECC_DUAL_REG_WREADY.wr_data_sm_cs[1]_i_2_n_0\, I1 => \FSM_sequential_GEN_WDATA_SM_NO_ECC_DUAL_REG_WREADY.wr_data_sm_cs[2]_i_3_n_0\, I2 => wr_data_sm_cs(1), O => \FSM_sequential_GEN_WDATA_SM_NO_ECC_DUAL_REG_WREADY.wr_data_sm_cs[1]_i_1_n_0\ ); \FSM_sequential_GEN_WDATA_SM_NO_ECC_DUAL_REG_WREADY.wr_data_sm_cs[1]_i_2\: unisim.vcomponents.LUT6 generic map( INIT => X"0000554000555540" ) port map ( I0 => wr_data_sm_cs(1), I1 => s_axi_wlast, I2 => axi_wdata_full_cmb114_out, I3 => wr_data_sm_cs(0), I4 => wr_data_sm_cs(2), I5 => axi_wr_burst, O => \FSM_sequential_GEN_WDATA_SM_NO_ECC_DUAL_REG_WREADY.wr_data_sm_cs[1]_i_2_n_0\ ); \FSM_sequential_GEN_WDATA_SM_NO_ECC_DUAL_REG_WREADY.wr_data_sm_cs[2]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \FSM_sequential_GEN_WDATA_SM_NO_ECC_DUAL_REG_WREADY.wr_data_sm_cs[2]_i_2_n_0\, I1 => \FSM_sequential_GEN_WDATA_SM_NO_ECC_DUAL_REG_WREADY.wr_data_sm_cs[2]_i_3_n_0\, I2 => wr_data_sm_cs(2), O => \FSM_sequential_GEN_WDATA_SM_NO_ECC_DUAL_REG_WREADY.wr_data_sm_cs[2]_i_1_n_0\ ); \FSM_sequential_GEN_WDATA_SM_NO_ECC_DUAL_REG_WREADY.wr_data_sm_cs[2]_i_2\: unisim.vcomponents.LUT5 generic map( INIT => X"44010001" ) port map ( I0 => wr_data_sm_cs(2), I1 => wr_data_sm_cs(1), I2 => axi_wdata_full_cmb114_out, I3 => wr_data_sm_cs(0), I4 => s_axi_wvalid, O => \FSM_sequential_GEN_WDATA_SM_NO_ECC_DUAL_REG_WREADY.wr_data_sm_cs[2]_i_2_n_0\ ); \FSM_sequential_GEN_WDATA_SM_NO_ECC_DUAL_REG_WREADY.wr_data_sm_cs[2]_i_3\: unisim.vcomponents.LUT6 generic map( INIT => X"7774777774744444" ) port map ( I0 => \GEN_WDATA_SM_NO_ECC_DUAL_REG_WREADY.bram_en_int_i_2_n_0\, I1 => wr_data_sm_cs(2), I2 => wr_data_sm_cs(1), I3 => s_axi_wlast, I4 => wr_data_sm_cs(0), I5 => s_axi_wvalid, O => \FSM_sequential_GEN_WDATA_SM_NO_ECC_DUAL_REG_WREADY.wr_data_sm_cs[2]_i_3_n_0\ ); \FSM_sequential_GEN_WDATA_SM_NO_ECC_DUAL_REG_WREADY.wr_data_sm_cs_reg[0]\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => '1', D => \FSM_sequential_GEN_WDATA_SM_NO_ECC_DUAL_REG_WREADY.wr_data_sm_cs[0]_i_1_n_0\, Q => wr_data_sm_cs(0), R => SR(0) ); \FSM_sequential_GEN_WDATA_SM_NO_ECC_DUAL_REG_WREADY.wr_data_sm_cs_reg[1]\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => '1', D => \FSM_sequential_GEN_WDATA_SM_NO_ECC_DUAL_REG_WREADY.wr_data_sm_cs[1]_i_1_n_0\, Q => wr_data_sm_cs(1), R => SR(0) ); \FSM_sequential_GEN_WDATA_SM_NO_ECC_DUAL_REG_WREADY.wr_data_sm_cs_reg[2]\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => '1', D => \FSM_sequential_GEN_WDATA_SM_NO_ECC_DUAL_REG_WREADY.wr_data_sm_cs[2]_i_1_n_0\, Q => wr_data_sm_cs(2), R => SR(0) ); \GEN_AWREADY.axi_aresetn_d1_reg\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => '1', D => s_axi_aresetn, Q => \I_RD_CHNL/axi_aresetn_d1\, R => '0' ); \GEN_AWREADY.axi_aresetn_d2_reg\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => '1', D => \I_RD_CHNL/axi_aresetn_d1\, Q => \^axi_aresetn_d2\, R => '0' ); \GEN_AWREADY.axi_aresetn_re_reg_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"2" ) port map ( I0 => s_axi_aresetn, I1 => \I_RD_CHNL/axi_aresetn_d1\, O => axi_aresetn_re ); \GEN_AWREADY.axi_aresetn_re_reg_reg\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => '1', D => axi_aresetn_re, Q => \^axi_aresetn_re_reg\, R => '0' ); \GEN_AWREADY.axi_awready_int_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFBFBFFFFFAA00" ) port map ( I0 => axi_awaddr_full, I1 => \GEN_AWREADY.axi_awready_int_i_2_n_0\, I2 => \^axi_aresetn_d2\, I3 => bram_addr_ld_en, I4 => \^axi_aresetn_re_reg\, I5 => \^s_axi_awready\, O => \GEN_AWREADY.axi_awready_int_i_1_n_0\ ); \GEN_AWREADY.axi_awready_int_i_2\: unisim.vcomponents.LUT6 generic map( INIT => X"5444444400000000" ) port map ( I0 => \GEN_AWREADY.axi_awready_int_i_3_n_0\, I1 => aw_active, I2 => bvalid_cnt(1), I3 => bvalid_cnt(0), I4 => bvalid_cnt(2), I5 => s_axi_awvalid, O => \GEN_AWREADY.axi_awready_int_i_2_n_0\ ); \GEN_AWREADY.axi_awready_int_i_3\: unisim.vcomponents.LUT6 generic map( INIT => X"AABABABABABABABA" ) port map ( I0 => wr_addr_sm_cs, I1 => I_WRAP_BRST_n_21, I2 => last_data_ack_mod, I3 => bvalid_cnt(2), I4 => bvalid_cnt(0), I5 => bvalid_cnt(1), O => \GEN_AWREADY.axi_awready_int_i_3_n_0\ ); \GEN_AWREADY.axi_awready_int_reg\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => '1', D => \GEN_AWREADY.axi_awready_int_i_1_n_0\, Q => \^s_axi_awready\, R => SR(0) ); \GEN_AW_DUAL.aw_active_i_1\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => \^axi_aresetn_d2\, O => \^gen_aw_dual.aw_active_reg_0\ ); \GEN_AW_DUAL.aw_active_i_2\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFF7FFFFFF0000" ) port map ( I0 => wr_data_sm_cs(1), I1 => wr_data_sm_cs(0), I2 => wr_data_sm_cs(2), I3 => delay_aw_active_clr, I4 => bram_addr_ld_en, I5 => aw_active, O => \GEN_AW_DUAL.aw_active_i_2_n_0\ ); \GEN_AW_DUAL.aw_active_reg\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => '1', D => \GEN_AW_DUAL.aw_active_i_2_n_0\, Q => aw_active, R => \^gen_aw_dual.aw_active_reg_0\ ); \GEN_AW_DUAL.last_data_ack_mod_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"80" ) port map ( I0 => \^s_axi_wready\, I1 => s_axi_wlast, I2 => s_axi_wvalid, O => p_18_out ); \GEN_AW_DUAL.last_data_ack_mod_reg\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => '1', D => p_18_out, Q => last_data_ack_mod, R => SR(0) ); \GEN_AW_DUAL.wr_addr_sm_cs_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"0010001000100000" ) port map ( I0 => \GEN_AW_DUAL.wr_addr_sm_cs_i_2_n_0\, I1 => wr_addr_sm_cs, I2 => s_axi_awvalid, I3 => axi_awaddr_full, I4 => I_WRAP_BRST_n_20, I5 => aw_active, O => \GEN_AW_DUAL.wr_addr_sm_cs_i_1_n_0\ ); \GEN_AW_DUAL.wr_addr_sm_cs_i_2\: unisim.vcomponents.LUT6 generic map( INIT => X"0000000000000040" ) port map ( I0 => I_WRAP_BRST_n_20, I1 => last_data_ack_mod, I2 => axi_awaddr_full, I3 => \GEN_AW_PIPE_DUAL.axi_awburst_pipe_fixed_reg_n_0\, I4 => axi_awlen_pipe_1_or_2, I5 => curr_awlen_reg_1_or_2, O => \GEN_AW_DUAL.wr_addr_sm_cs_i_2_n_0\ ); \GEN_AW_DUAL.wr_addr_sm_cs_reg\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => '1', D => \GEN_AW_DUAL.wr_addr_sm_cs_i_1_n_0\, Q => wr_addr_sm_cs, R => \^gen_aw_dual.aw_active_reg_0\ ); \GEN_AW_PIPE_DUAL.GEN_AWADDR[10].axi_awaddr_pipe_reg[10]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \GEN_AW_PIPE_DUAL.axi_awlen_pipe[7]_i_1_n_0\, D => s_axi_awaddr(8), Q => \GEN_AW_PIPE_DUAL.GEN_AWADDR[10].axi_awaddr_pipe_reg\, R => '0' ); \GEN_AW_PIPE_DUAL.GEN_AWADDR[11].axi_awaddr_pipe_reg[11]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \GEN_AW_PIPE_DUAL.axi_awlen_pipe[7]_i_1_n_0\, D => s_axi_awaddr(9), Q => \GEN_AW_PIPE_DUAL.GEN_AWADDR[11].axi_awaddr_pipe_reg\, R => '0' ); \GEN_AW_PIPE_DUAL.GEN_AWADDR[12].axi_awaddr_pipe_reg[12]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \GEN_AW_PIPE_DUAL.axi_awlen_pipe[7]_i_1_n_0\, D => s_axi_awaddr(10), Q => \GEN_AW_PIPE_DUAL.GEN_AWADDR[12].axi_awaddr_pipe_reg\, R => '0' ); \GEN_AW_PIPE_DUAL.GEN_AWADDR[13].axi_awaddr_pipe_reg[13]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \GEN_AW_PIPE_DUAL.axi_awlen_pipe[7]_i_1_n_0\, D => s_axi_awaddr(11), Q => \GEN_AW_PIPE_DUAL.GEN_AWADDR[13].axi_awaddr_pipe_reg\, R => '0' ); \GEN_AW_PIPE_DUAL.GEN_AWADDR[14].axi_awaddr_pipe_reg[14]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \GEN_AW_PIPE_DUAL.axi_awlen_pipe[7]_i_1_n_0\, D => s_axi_awaddr(12), Q => \GEN_AW_PIPE_DUAL.GEN_AWADDR[14].axi_awaddr_pipe_reg\, R => '0' ); \GEN_AW_PIPE_DUAL.GEN_AWADDR[15].axi_awaddr_pipe_reg[15]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \GEN_AW_PIPE_DUAL.axi_awlen_pipe[7]_i_1_n_0\, D => s_axi_awaddr(13), Q => \GEN_AW_PIPE_DUAL.GEN_AWADDR[15].axi_awaddr_pipe_reg\, R => '0' ); \GEN_AW_PIPE_DUAL.GEN_AWADDR[2].axi_awaddr_pipe_reg[2]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \GEN_AW_PIPE_DUAL.axi_awlen_pipe[7]_i_1_n_0\, D => s_axi_awaddr(0), Q => \GEN_AW_PIPE_DUAL.GEN_AWADDR[2].axi_awaddr_pipe_reg\, R => '0' ); \GEN_AW_PIPE_DUAL.GEN_AWADDR[3].axi_awaddr_pipe_reg[3]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \GEN_AW_PIPE_DUAL.axi_awlen_pipe[7]_i_1_n_0\, D => s_axi_awaddr(1), Q => \GEN_AW_PIPE_DUAL.GEN_AWADDR[3].axi_awaddr_pipe_reg\, R => '0' ); \GEN_AW_PIPE_DUAL.GEN_AWADDR[4].axi_awaddr_pipe_reg[4]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \GEN_AW_PIPE_DUAL.axi_awlen_pipe[7]_i_1_n_0\, D => s_axi_awaddr(2), Q => \GEN_AW_PIPE_DUAL.GEN_AWADDR[4].axi_awaddr_pipe_reg\, R => '0' ); \GEN_AW_PIPE_DUAL.GEN_AWADDR[5].axi_awaddr_pipe_reg[5]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \GEN_AW_PIPE_DUAL.axi_awlen_pipe[7]_i_1_n_0\, D => s_axi_awaddr(3), Q => \GEN_AW_PIPE_DUAL.GEN_AWADDR[5].axi_awaddr_pipe_reg\, R => '0' ); \GEN_AW_PIPE_DUAL.GEN_AWADDR[6].axi_awaddr_pipe_reg[6]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \GEN_AW_PIPE_DUAL.axi_awlen_pipe[7]_i_1_n_0\, D => s_axi_awaddr(4), Q => \GEN_AW_PIPE_DUAL.GEN_AWADDR[6].axi_awaddr_pipe_reg\, R => '0' ); \GEN_AW_PIPE_DUAL.GEN_AWADDR[7].axi_awaddr_pipe_reg[7]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \GEN_AW_PIPE_DUAL.axi_awlen_pipe[7]_i_1_n_0\, D => s_axi_awaddr(5), Q => \GEN_AW_PIPE_DUAL.GEN_AWADDR[7].axi_awaddr_pipe_reg\, R => '0' ); \GEN_AW_PIPE_DUAL.GEN_AWADDR[8].axi_awaddr_pipe_reg[8]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \GEN_AW_PIPE_DUAL.axi_awlen_pipe[7]_i_1_n_0\, D => s_axi_awaddr(6), Q => \GEN_AW_PIPE_DUAL.GEN_AWADDR[8].axi_awaddr_pipe_reg\, R => '0' ); \GEN_AW_PIPE_DUAL.GEN_AWADDR[9].axi_awaddr_pipe_reg[9]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \GEN_AW_PIPE_DUAL.axi_awlen_pipe[7]_i_1_n_0\, D => s_axi_awaddr(7), Q => \GEN_AW_PIPE_DUAL.GEN_AWADDR[9].axi_awaddr_pipe_reg\, R => '0' ); \GEN_AW_PIPE_DUAL.axi_awaddr_full_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"4000EA00" ) port map ( I0 => axi_awaddr_full, I1 => \GEN_AWREADY.axi_awready_int_i_2_n_0\, I2 => \^axi_aresetn_d2\, I3 => s_axi_aresetn, I4 => bram_addr_ld_en, O => \GEN_AW_PIPE_DUAL.axi_awaddr_full_i_1_n_0\ ); \GEN_AW_PIPE_DUAL.axi_awaddr_full_reg\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => '1', D => \GEN_AW_PIPE_DUAL.axi_awaddr_full_i_1_n_0\, Q => axi_awaddr_full, R => '0' ); \GEN_AW_PIPE_DUAL.axi_awburst_pipe_fixed_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"BF00BF00BF00FF40" ) port map ( I0 => axi_awaddr_full, I1 => \GEN_AWREADY.axi_awready_int_i_2_n_0\, I2 => \^axi_aresetn_d2\, I3 => \GEN_AW_PIPE_DUAL.axi_awburst_pipe_fixed_reg_n_0\, I4 => s_axi_awburst(0), I5 => s_axi_awburst(1), O => \GEN_AW_PIPE_DUAL.axi_awburst_pipe_fixed_i_1_n_0\ ); \GEN_AW_PIPE_DUAL.axi_awburst_pipe_fixed_reg\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => '1', D => \GEN_AW_PIPE_DUAL.axi_awburst_pipe_fixed_i_1_n_0\, Q => \GEN_AW_PIPE_DUAL.axi_awburst_pipe_fixed_reg_n_0\, R => '0' ); \GEN_AW_PIPE_DUAL.axi_awburst_pipe_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \GEN_AW_PIPE_DUAL.axi_awlen_pipe[7]_i_1_n_0\, D => s_axi_awburst(0), Q => axi_awburst_pipe(0), R => '0' ); \GEN_AW_PIPE_DUAL.axi_awburst_pipe_reg[1]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \GEN_AW_PIPE_DUAL.axi_awlen_pipe[7]_i_1_n_0\, D => s_axi_awburst(1), Q => axi_awburst_pipe(1), R => '0' ); \GEN_AW_PIPE_DUAL.axi_awid_pipe_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \GEN_AW_PIPE_DUAL.axi_awlen_pipe[7]_i_1_n_0\, D => s_axi_awid(0), Q => axi_awid_pipe(0), R => '0' ); \GEN_AW_PIPE_DUAL.axi_awid_pipe_reg[10]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \GEN_AW_PIPE_DUAL.axi_awlen_pipe[7]_i_1_n_0\, D => s_axi_awid(10), Q => axi_awid_pipe(10), R => '0' ); \GEN_AW_PIPE_DUAL.axi_awid_pipe_reg[11]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \GEN_AW_PIPE_DUAL.axi_awlen_pipe[7]_i_1_n_0\, D => s_axi_awid(11), Q => axi_awid_pipe(11), R => '0' ); \GEN_AW_PIPE_DUAL.axi_awid_pipe_reg[1]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \GEN_AW_PIPE_DUAL.axi_awlen_pipe[7]_i_1_n_0\, D => s_axi_awid(1), Q => axi_awid_pipe(1), R => '0' ); \GEN_AW_PIPE_DUAL.axi_awid_pipe_reg[2]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \GEN_AW_PIPE_DUAL.axi_awlen_pipe[7]_i_1_n_0\, D => s_axi_awid(2), Q => axi_awid_pipe(2), R => '0' ); \GEN_AW_PIPE_DUAL.axi_awid_pipe_reg[3]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \GEN_AW_PIPE_DUAL.axi_awlen_pipe[7]_i_1_n_0\, D => s_axi_awid(3), Q => axi_awid_pipe(3), R => '0' ); \GEN_AW_PIPE_DUAL.axi_awid_pipe_reg[4]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \GEN_AW_PIPE_DUAL.axi_awlen_pipe[7]_i_1_n_0\, D => s_axi_awid(4), Q => axi_awid_pipe(4), R => '0' ); \GEN_AW_PIPE_DUAL.axi_awid_pipe_reg[5]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \GEN_AW_PIPE_DUAL.axi_awlen_pipe[7]_i_1_n_0\, D => s_axi_awid(5), Q => axi_awid_pipe(5), R => '0' ); \GEN_AW_PIPE_DUAL.axi_awid_pipe_reg[6]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \GEN_AW_PIPE_DUAL.axi_awlen_pipe[7]_i_1_n_0\, D => s_axi_awid(6), Q => axi_awid_pipe(6), R => '0' ); \GEN_AW_PIPE_DUAL.axi_awid_pipe_reg[7]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \GEN_AW_PIPE_DUAL.axi_awlen_pipe[7]_i_1_n_0\, D => s_axi_awid(7), Q => axi_awid_pipe(7), R => '0' ); \GEN_AW_PIPE_DUAL.axi_awid_pipe_reg[8]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \GEN_AW_PIPE_DUAL.axi_awlen_pipe[7]_i_1_n_0\, D => s_axi_awid(8), Q => axi_awid_pipe(8), R => '0' ); \GEN_AW_PIPE_DUAL.axi_awid_pipe_reg[9]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \GEN_AW_PIPE_DUAL.axi_awlen_pipe[7]_i_1_n_0\, D => s_axi_awid(9), Q => axi_awid_pipe(9), R => '0' ); \GEN_AW_PIPE_DUAL.axi_awlen_pipe[7]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"40" ) port map ( I0 => axi_awaddr_full, I1 => \GEN_AWREADY.axi_awready_int_i_2_n_0\, I2 => \^axi_aresetn_d2\, O => \GEN_AW_PIPE_DUAL.axi_awlen_pipe[7]_i_1_n_0\ ); \GEN_AW_PIPE_DUAL.axi_awlen_pipe_1_or_2_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"0002" ) port map ( I0 => \GEN_AW_PIPE_DUAL.axi_awlen_pipe_1_or_2_i_2_n_0\, I1 => s_axi_awlen(3), I2 => s_axi_awlen(2), I3 => s_axi_awlen(1), O => p_9_out ); \GEN_AW_PIPE_DUAL.axi_awlen_pipe_1_or_2_i_2\: unisim.vcomponents.LUT4 generic map( INIT => X"0001" ) port map ( I0 => s_axi_awlen(4), I1 => s_axi_awlen(6), I2 => s_axi_awlen(7), I3 => s_axi_awlen(5), O => \GEN_AW_PIPE_DUAL.axi_awlen_pipe_1_or_2_i_2_n_0\ ); \GEN_AW_PIPE_DUAL.axi_awlen_pipe_1_or_2_reg\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \GEN_AW_PIPE_DUAL.axi_awlen_pipe[7]_i_1_n_0\, D => p_9_out, Q => axi_awlen_pipe_1_or_2, R => '0' ); \GEN_AW_PIPE_DUAL.axi_awlen_pipe_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \GEN_AW_PIPE_DUAL.axi_awlen_pipe[7]_i_1_n_0\, D => s_axi_awlen(0), Q => axi_awlen_pipe(0), R => '0' ); \GEN_AW_PIPE_DUAL.axi_awlen_pipe_reg[1]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \GEN_AW_PIPE_DUAL.axi_awlen_pipe[7]_i_1_n_0\, D => s_axi_awlen(1), Q => axi_awlen_pipe(1), R => '0' ); \GEN_AW_PIPE_DUAL.axi_awlen_pipe_reg[2]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \GEN_AW_PIPE_DUAL.axi_awlen_pipe[7]_i_1_n_0\, D => s_axi_awlen(2), Q => axi_awlen_pipe(2), R => '0' ); \GEN_AW_PIPE_DUAL.axi_awlen_pipe_reg[3]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \GEN_AW_PIPE_DUAL.axi_awlen_pipe[7]_i_1_n_0\, D => s_axi_awlen(3), Q => axi_awlen_pipe(3), R => '0' ); \GEN_AW_PIPE_DUAL.axi_awlen_pipe_reg[4]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \GEN_AW_PIPE_DUAL.axi_awlen_pipe[7]_i_1_n_0\, D => s_axi_awlen(4), Q => axi_awlen_pipe(4), R => '0' ); \GEN_AW_PIPE_DUAL.axi_awlen_pipe_reg[5]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \GEN_AW_PIPE_DUAL.axi_awlen_pipe[7]_i_1_n_0\, D => s_axi_awlen(5), Q => axi_awlen_pipe(5), R => '0' ); \GEN_AW_PIPE_DUAL.axi_awlen_pipe_reg[6]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \GEN_AW_PIPE_DUAL.axi_awlen_pipe[7]_i_1_n_0\, D => s_axi_awlen(6), Q => axi_awlen_pipe(6), R => '0' ); \GEN_AW_PIPE_DUAL.axi_awlen_pipe_reg[7]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \GEN_AW_PIPE_DUAL.axi_awlen_pipe[7]_i_1_n_0\, D => s_axi_awlen(7), Q => axi_awlen_pipe(7), R => '0' ); \GEN_AW_PIPE_DUAL.axi_awsize_pipe_reg[1]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \GEN_AW_PIPE_DUAL.axi_awlen_pipe[7]_i_1_n_0\, D => '1', Q => axi_awsize_pipe(1), R => '0' ); \GEN_DUAL_ADDR_CNT.bram_addr_int[10]_i_2__0\: unisim.vcomponents.LUT6 generic map( INIT => X"7FFFFFFFFFFFFFFF" ) port map ( I0 => \^bram_addr_a\(4), I1 => \^bram_addr_a\(1), I2 => \^bram_addr_a\(0), I3 => \^bram_addr_a\(2), I4 => \^bram_addr_a\(3), I5 => \^bram_addr_a\(5), O => \GEN_DUAL_ADDR_CNT.bram_addr_int[10]_i_2__0_n_0\ ); \GEN_DUAL_ADDR_CNT.bram_addr_int[11]_i_3__0\: unisim.vcomponents.LUT5 generic map( INIT => X"F7FFFFFF" ) port map ( I0 => \^bram_addr_a\(6), I1 => \^bram_addr_a\(4), I2 => I_WRAP_BRST_n_17, I3 => \^bram_addr_a\(5), I4 => \^bram_addr_a\(7), O => \GEN_DUAL_ADDR_CNT.bram_addr_int[11]_i_3__0_n_0\ ); \GEN_DUAL_ADDR_CNT.bram_addr_int[15]_i_4\: unisim.vcomponents.LUT4 generic map( INIT => X"1000" ) port map ( I0 => wr_data_sm_cs(1), I1 => wr_data_sm_cs(2), I2 => wr_data_sm_cs(0), I3 => s_axi_wvalid, O => bram_addr_inc ); \GEN_DUAL_ADDR_CNT.bram_addr_int[15]_i_5\: unisim.vcomponents.LUT4 generic map( INIT => X"1000" ) port map ( I0 => s_axi_wvalid, I1 => wr_data_sm_cs(2), I2 => wr_data_sm_cs(0), I3 => wr_data_sm_cs(1), O => bram_addr_rst_cmb ); \GEN_DUAL_ADDR_CNT.bram_addr_int_reg[10]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => I_WRAP_BRST_n_2, D => I_WRAP_BRST_n_8, Q => \^bram_addr_a\(8), R => I_WRAP_BRST_n_0 ); \GEN_DUAL_ADDR_CNT.bram_addr_int_reg[11]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => I_WRAP_BRST_n_2, D => I_WRAP_BRST_n_7, Q => \^bram_addr_a\(9), R => I_WRAP_BRST_n_0 ); \GEN_DUAL_ADDR_CNT.bram_addr_int_reg[12]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => bram_addr_ld_en_mod, D => bram_addr_ld(10), Q => \^bram_addr_a\(10), R => I_WRAP_BRST_n_0 ); \GEN_DUAL_ADDR_CNT.bram_addr_int_reg[13]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => bram_addr_ld_en_mod, D => bram_addr_ld(11), Q => \^bram_addr_a\(11), R => I_WRAP_BRST_n_0 ); \GEN_DUAL_ADDR_CNT.bram_addr_int_reg[14]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => bram_addr_ld_en_mod, D => bram_addr_ld(12), Q => \^bram_addr_a\(12), R => I_WRAP_BRST_n_0 ); \GEN_DUAL_ADDR_CNT.bram_addr_int_reg[15]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => bram_addr_ld_en_mod, D => bram_addr_ld(13), Q => \^bram_addr_a\(13), R => I_WRAP_BRST_n_0 ); \GEN_DUAL_ADDR_CNT.bram_addr_int_reg[2]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => I_WRAP_BRST_n_2, D => I_WRAP_BRST_n_16, Q => \^bram_addr_a\(0), R => I_WRAP_BRST_n_0 ); \GEN_DUAL_ADDR_CNT.bram_addr_int_reg[3]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => I_WRAP_BRST_n_2, D => I_WRAP_BRST_n_15, Q => \^bram_addr_a\(1), R => I_WRAP_BRST_n_0 ); \GEN_DUAL_ADDR_CNT.bram_addr_int_reg[4]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => I_WRAP_BRST_n_2, D => I_WRAP_BRST_n_14, Q => \^bram_addr_a\(2), R => I_WRAP_BRST_n_0 ); \GEN_DUAL_ADDR_CNT.bram_addr_int_reg[5]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => I_WRAP_BRST_n_2, D => I_WRAP_BRST_n_13, Q => \^bram_addr_a\(3), R => I_WRAP_BRST_n_0 ); \GEN_DUAL_ADDR_CNT.bram_addr_int_reg[6]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => I_WRAP_BRST_n_2, D => I_WRAP_BRST_n_12, Q => \^bram_addr_a\(4), R => I_WRAP_BRST_n_0 ); \GEN_DUAL_ADDR_CNT.bram_addr_int_reg[7]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => I_WRAP_BRST_n_2, D => I_WRAP_BRST_n_11, Q => \^bram_addr_a\(5), R => I_WRAP_BRST_n_0 ); \GEN_DUAL_ADDR_CNT.bram_addr_int_reg[8]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => I_WRAP_BRST_n_2, D => I_WRAP_BRST_n_10, Q => \^bram_addr_a\(6), R => I_WRAP_BRST_n_0 ); \GEN_DUAL_ADDR_CNT.bram_addr_int_reg[9]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => I_WRAP_BRST_n_2, D => I_WRAP_BRST_n_9, Q => \^bram_addr_a\(7), R => I_WRAP_BRST_n_0 ); \GEN_WDATA_SM_NO_ECC_DUAL_REG_WREADY.axi_wdata_full_reg_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"15FF1500" ) port map ( I0 => axi_wdata_full_cmb114_out, I1 => axi_awaddr_full, I2 => bram_addr_ld_en, I3 => wr_data_sm_cs(2), I4 => axi_wready_int_mod_i_3_n_0, O => axi_wdata_full_cmb ); \GEN_WDATA_SM_NO_ECC_DUAL_REG_WREADY.axi_wdata_full_reg_reg\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => '1', D => axi_wdata_full_cmb, Q => axi_wdata_full_reg, R => SR(0) ); \GEN_WDATA_SM_NO_ECC_DUAL_REG_WREADY.bram_en_int_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"4777477444444444" ) port map ( I0 => \GEN_WDATA_SM_NO_ECC_DUAL_REG_WREADY.bram_en_int_i_2_n_0\, I1 => wr_data_sm_cs(2), I2 => wr_data_sm_cs(1), I3 => wr_data_sm_cs(0), I4 => axi_wdata_full_cmb114_out, I5 => s_axi_wvalid, O => bram_en_cmb ); \GEN_WDATA_SM_NO_ECC_DUAL_REG_WREADY.bram_en_int_i_2\: unisim.vcomponents.LUT3 generic map( INIT => X"15" ) port map ( I0 => axi_wdata_full_cmb114_out, I1 => axi_awaddr_full, I2 => bram_addr_ld_en, O => \GEN_WDATA_SM_NO_ECC_DUAL_REG_WREADY.bram_en_int_i_2_n_0\ ); \GEN_WDATA_SM_NO_ECC_DUAL_REG_WREADY.bram_en_int_reg\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => '1', D => bram_en_cmb, Q => bram_en_a, R => SR(0) ); \GEN_WDATA_SM_NO_ECC_DUAL_REG_WREADY.clr_bram_we_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"0010001000101110" ) port map ( I0 => wr_data_sm_cs(0), I1 => wr_data_sm_cs(1), I2 => \GEN_WDATA_SM_NO_ECC_DUAL_REG_WREADY.clr_bram_we_i_2_n_0\, I3 => wr_data_sm_cs(2), I4 => \GEN_WDATA_SM_NO_ECC_DUAL_REG_WREADY.bram_en_int_i_2_n_0\, I5 => axi_wr_burst, O => clr_bram_we_cmb ); \GEN_WDATA_SM_NO_ECC_DUAL_REG_WREADY.clr_bram_we_i_2\: unisim.vcomponents.LUT3 generic map( INIT => X"80" ) port map ( I0 => axi_wdata_full_cmb114_out, I1 => s_axi_wlast, I2 => s_axi_wvalid, O => \GEN_WDATA_SM_NO_ECC_DUAL_REG_WREADY.clr_bram_we_i_2_n_0\ ); \GEN_WDATA_SM_NO_ECC_DUAL_REG_WREADY.clr_bram_we_reg\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => '1', D => clr_bram_we_cmb, Q => clr_bram_we, R => SR(0) ); \GEN_WDATA_SM_NO_ECC_DUAL_REG_WREADY.delay_aw_active_clr_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"FEAAFEFF02AA0200" ) port map ( I0 => \GEN_WDATA_SM_NO_ECC_DUAL_REG_WREADY.delay_aw_active_clr_i_2_n_0\, I1 => axi_wr_burst, I2 => \GEN_WDATA_SM_NO_ECC_DUAL_REG_WREADY.bram_en_int_i_2_n_0\, I3 => wr_data_sm_cs(2), I4 => \GEN_WDATA_SM_NO_ECC_DUAL_REG_WREADY.delay_aw_active_clr_i_3_n_0\, I5 => delay_aw_active_clr, O => \GEN_WDATA_SM_NO_ECC_DUAL_REG_WREADY.delay_aw_active_clr_i_1_n_0\ ); \GEN_WDATA_SM_NO_ECC_DUAL_REG_WREADY.delay_aw_active_clr_i_2\: unisim.vcomponents.LUT5 generic map( INIT => X"0000222E" ) port map ( I0 => s_axi_wlast, I1 => wr_data_sm_cs(2), I2 => \GEN_WDATA_SM_NO_ECC_DUAL_REG_WREADY.bram_en_int_i_2_n_0\, I3 => wr_data_sm_cs(0), I4 => wr_data_sm_cs(1), O => \GEN_WDATA_SM_NO_ECC_DUAL_REG_WREADY.delay_aw_active_clr_i_2_n_0\ ); \GEN_WDATA_SM_NO_ECC_DUAL_REG_WREADY.delay_aw_active_clr_i_3\: unisim.vcomponents.LUT6 generic map( INIT => X"8B338B0088008800" ) port map ( I0 => delay_aw_active_clr, I1 => wr_data_sm_cs(1), I2 => axi_wr_burst_cmb0, I3 => wr_data_sm_cs(0), I4 => axi_wdata_full_cmb114_out, I5 => bvalid_cnt_inc11_out, O => \GEN_WDATA_SM_NO_ECC_DUAL_REG_WREADY.delay_aw_active_clr_i_3_n_0\ ); \GEN_WDATA_SM_NO_ECC_DUAL_REG_WREADY.delay_aw_active_clr_i_4\: unisim.vcomponents.LUT2 generic map( INIT => X"8" ) port map ( I0 => s_axi_wvalid, I1 => s_axi_wlast, O => bvalid_cnt_inc11_out ); \GEN_WDATA_SM_NO_ECC_DUAL_REG_WREADY.delay_aw_active_clr_reg\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => '1', D => \GEN_WDATA_SM_NO_ECC_DUAL_REG_WREADY.delay_aw_active_clr_i_1_n_0\, Q => delay_aw_active_clr, R => SR(0) ); \GEN_WRDATA[0].bram_wrdata_int_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \GEN_WR_NO_ECC.bram_we_int[3]_i_2_n_0\, D => s_axi_wdata(0), Q => bram_wrdata_a(0), R => '0' ); \GEN_WRDATA[10].bram_wrdata_int_reg[10]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \GEN_WR_NO_ECC.bram_we_int[3]_i_2_n_0\, D => s_axi_wdata(10), Q => bram_wrdata_a(10), R => '0' ); \GEN_WRDATA[11].bram_wrdata_int_reg[11]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \GEN_WR_NO_ECC.bram_we_int[3]_i_2_n_0\, D => s_axi_wdata(11), Q => bram_wrdata_a(11), R => '0' ); \GEN_WRDATA[12].bram_wrdata_int_reg[12]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \GEN_WR_NO_ECC.bram_we_int[3]_i_2_n_0\, D => s_axi_wdata(12), Q => bram_wrdata_a(12), R => '0' ); \GEN_WRDATA[13].bram_wrdata_int_reg[13]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \GEN_WR_NO_ECC.bram_we_int[3]_i_2_n_0\, D => s_axi_wdata(13), Q => bram_wrdata_a(13), R => '0' ); \GEN_WRDATA[14].bram_wrdata_int_reg[14]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \GEN_WR_NO_ECC.bram_we_int[3]_i_2_n_0\, D => s_axi_wdata(14), Q => bram_wrdata_a(14), R => '0' ); \GEN_WRDATA[15].bram_wrdata_int_reg[15]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \GEN_WR_NO_ECC.bram_we_int[3]_i_2_n_0\, D => s_axi_wdata(15), Q => bram_wrdata_a(15), R => '0' ); \GEN_WRDATA[16].bram_wrdata_int_reg[16]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \GEN_WR_NO_ECC.bram_we_int[3]_i_2_n_0\, D => s_axi_wdata(16), Q => bram_wrdata_a(16), R => '0' ); \GEN_WRDATA[17].bram_wrdata_int_reg[17]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \GEN_WR_NO_ECC.bram_we_int[3]_i_2_n_0\, D => s_axi_wdata(17), Q => bram_wrdata_a(17), R => '0' ); \GEN_WRDATA[18].bram_wrdata_int_reg[18]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \GEN_WR_NO_ECC.bram_we_int[3]_i_2_n_0\, D => s_axi_wdata(18), Q => bram_wrdata_a(18), R => '0' ); \GEN_WRDATA[19].bram_wrdata_int_reg[19]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \GEN_WR_NO_ECC.bram_we_int[3]_i_2_n_0\, D => s_axi_wdata(19), Q => bram_wrdata_a(19), R => '0' ); \GEN_WRDATA[1].bram_wrdata_int_reg[1]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \GEN_WR_NO_ECC.bram_we_int[3]_i_2_n_0\, D => s_axi_wdata(1), Q => bram_wrdata_a(1), R => '0' ); \GEN_WRDATA[20].bram_wrdata_int_reg[20]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \GEN_WR_NO_ECC.bram_we_int[3]_i_2_n_0\, D => s_axi_wdata(20), Q => bram_wrdata_a(20), R => '0' ); \GEN_WRDATA[21].bram_wrdata_int_reg[21]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \GEN_WR_NO_ECC.bram_we_int[3]_i_2_n_0\, D => s_axi_wdata(21), Q => bram_wrdata_a(21), R => '0' ); \GEN_WRDATA[22].bram_wrdata_int_reg[22]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \GEN_WR_NO_ECC.bram_we_int[3]_i_2_n_0\, D => s_axi_wdata(22), Q => bram_wrdata_a(22), R => '0' ); \GEN_WRDATA[23].bram_wrdata_int_reg[23]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \GEN_WR_NO_ECC.bram_we_int[3]_i_2_n_0\, D => s_axi_wdata(23), Q => bram_wrdata_a(23), R => '0' ); \GEN_WRDATA[24].bram_wrdata_int_reg[24]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \GEN_WR_NO_ECC.bram_we_int[3]_i_2_n_0\, D => s_axi_wdata(24), Q => bram_wrdata_a(24), R => '0' ); \GEN_WRDATA[25].bram_wrdata_int_reg[25]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \GEN_WR_NO_ECC.bram_we_int[3]_i_2_n_0\, D => s_axi_wdata(25), Q => bram_wrdata_a(25), R => '0' ); \GEN_WRDATA[26].bram_wrdata_int_reg[26]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \GEN_WR_NO_ECC.bram_we_int[3]_i_2_n_0\, D => s_axi_wdata(26), Q => bram_wrdata_a(26), R => '0' ); \GEN_WRDATA[27].bram_wrdata_int_reg[27]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \GEN_WR_NO_ECC.bram_we_int[3]_i_2_n_0\, D => s_axi_wdata(27), Q => bram_wrdata_a(27), R => '0' ); \GEN_WRDATA[28].bram_wrdata_int_reg[28]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \GEN_WR_NO_ECC.bram_we_int[3]_i_2_n_0\, D => s_axi_wdata(28), Q => bram_wrdata_a(28), R => '0' ); \GEN_WRDATA[29].bram_wrdata_int_reg[29]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \GEN_WR_NO_ECC.bram_we_int[3]_i_2_n_0\, D => s_axi_wdata(29), Q => bram_wrdata_a(29), R => '0' ); \GEN_WRDATA[2].bram_wrdata_int_reg[2]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \GEN_WR_NO_ECC.bram_we_int[3]_i_2_n_0\, D => s_axi_wdata(2), Q => bram_wrdata_a(2), R => '0' ); \GEN_WRDATA[30].bram_wrdata_int_reg[30]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \GEN_WR_NO_ECC.bram_we_int[3]_i_2_n_0\, D => s_axi_wdata(30), Q => bram_wrdata_a(30), R => '0' ); \GEN_WRDATA[31].bram_wrdata_int_reg[31]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \GEN_WR_NO_ECC.bram_we_int[3]_i_2_n_0\, D => s_axi_wdata(31), Q => bram_wrdata_a(31), R => '0' ); \GEN_WRDATA[3].bram_wrdata_int_reg[3]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \GEN_WR_NO_ECC.bram_we_int[3]_i_2_n_0\, D => s_axi_wdata(3), Q => bram_wrdata_a(3), R => '0' ); \GEN_WRDATA[4].bram_wrdata_int_reg[4]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \GEN_WR_NO_ECC.bram_we_int[3]_i_2_n_0\, D => s_axi_wdata(4), Q => bram_wrdata_a(4), R => '0' ); \GEN_WRDATA[5].bram_wrdata_int_reg[5]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \GEN_WR_NO_ECC.bram_we_int[3]_i_2_n_0\, D => s_axi_wdata(5), Q => bram_wrdata_a(5), R => '0' ); \GEN_WRDATA[6].bram_wrdata_int_reg[6]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \GEN_WR_NO_ECC.bram_we_int[3]_i_2_n_0\, D => s_axi_wdata(6), Q => bram_wrdata_a(6), R => '0' ); \GEN_WRDATA[7].bram_wrdata_int_reg[7]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \GEN_WR_NO_ECC.bram_we_int[3]_i_2_n_0\, D => s_axi_wdata(7), Q => bram_wrdata_a(7), R => '0' ); \GEN_WRDATA[8].bram_wrdata_int_reg[8]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \GEN_WR_NO_ECC.bram_we_int[3]_i_2_n_0\, D => s_axi_wdata(8), Q => bram_wrdata_a(8), R => '0' ); \GEN_WRDATA[9].bram_wrdata_int_reg[9]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \GEN_WR_NO_ECC.bram_we_int[3]_i_2_n_0\, D => s_axi_wdata(9), Q => bram_wrdata_a(9), R => '0' ); \GEN_WR_NO_ECC.bram_we_int[3]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"D0FF" ) port map ( I0 => s_axi_wvalid, I1 => wr_data_sm_cs(2), I2 => clr_bram_we, I3 => s_axi_aresetn, O => \GEN_WR_NO_ECC.bram_we_int[3]_i_1_n_0\ ); \GEN_WR_NO_ECC.bram_we_int[3]_i_2\: unisim.vcomponents.LUT2 generic map( INIT => X"2" ) port map ( I0 => s_axi_wvalid, I1 => wr_data_sm_cs(2), O => \GEN_WR_NO_ECC.bram_we_int[3]_i_2_n_0\ ); \GEN_WR_NO_ECC.bram_we_int_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \GEN_WR_NO_ECC.bram_we_int[3]_i_2_n_0\, D => s_axi_wstrb(0), Q => bram_we_a(0), R => \GEN_WR_NO_ECC.bram_we_int[3]_i_1_n_0\ ); \GEN_WR_NO_ECC.bram_we_int_reg[1]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \GEN_WR_NO_ECC.bram_we_int[3]_i_2_n_0\, D => s_axi_wstrb(1), Q => bram_we_a(1), R => \GEN_WR_NO_ECC.bram_we_int[3]_i_1_n_0\ ); \GEN_WR_NO_ECC.bram_we_int_reg[2]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \GEN_WR_NO_ECC.bram_we_int[3]_i_2_n_0\, D => s_axi_wstrb(2), Q => bram_we_a(2), R => \GEN_WR_NO_ECC.bram_we_int[3]_i_1_n_0\ ); \GEN_WR_NO_ECC.bram_we_int_reg[3]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \GEN_WR_NO_ECC.bram_we_int[3]_i_2_n_0\, D => s_axi_wstrb(3), Q => bram_we_a(3), R => \GEN_WR_NO_ECC.bram_we_int[3]_i_1_n_0\ ); I_WRAP_BRST: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_wrap_brst port map ( D(13 downto 10) => bram_addr_ld(13 downto 10), D(9) => I_WRAP_BRST_n_7, D(8) => I_WRAP_BRST_n_8, D(7) => I_WRAP_BRST_n_9, D(6) => I_WRAP_BRST_n_10, D(5) => I_WRAP_BRST_n_11, D(4) => I_WRAP_BRST_n_12, D(3) => I_WRAP_BRST_n_13, D(2) => I_WRAP_BRST_n_14, D(1) => I_WRAP_BRST_n_15, D(0) => I_WRAP_BRST_n_16, E(0) => I_WRAP_BRST_n_2, \GEN_AWREADY.axi_aresetn_d2_reg\ => \^axi_aresetn_d2\, \GEN_AW_PIPE_DUAL.GEN_AWADDR[10].axi_awaddr_pipe_reg\ => \GEN_AW_PIPE_DUAL.GEN_AWADDR[10].axi_awaddr_pipe_reg\, \GEN_AW_PIPE_DUAL.GEN_AWADDR[11].axi_awaddr_pipe_reg\ => \GEN_AW_PIPE_DUAL.GEN_AWADDR[11].axi_awaddr_pipe_reg\, \GEN_AW_PIPE_DUAL.GEN_AWADDR[12].axi_awaddr_pipe_reg\ => \GEN_AW_PIPE_DUAL.GEN_AWADDR[12].axi_awaddr_pipe_reg\, \GEN_AW_PIPE_DUAL.GEN_AWADDR[13].axi_awaddr_pipe_reg\ => \GEN_AW_PIPE_DUAL.GEN_AWADDR[13].axi_awaddr_pipe_reg\, \GEN_AW_PIPE_DUAL.GEN_AWADDR[14].axi_awaddr_pipe_reg\ => \GEN_AW_PIPE_DUAL.GEN_AWADDR[14].axi_awaddr_pipe_reg\, \GEN_AW_PIPE_DUAL.GEN_AWADDR[15].axi_awaddr_pipe_reg\ => \GEN_AW_PIPE_DUAL.GEN_AWADDR[15].axi_awaddr_pipe_reg\, \GEN_AW_PIPE_DUAL.GEN_AWADDR[2].axi_awaddr_pipe_reg\ => \GEN_AW_PIPE_DUAL.GEN_AWADDR[2].axi_awaddr_pipe_reg\, \GEN_AW_PIPE_DUAL.GEN_AWADDR[3].axi_awaddr_pipe_reg\ => \GEN_AW_PIPE_DUAL.GEN_AWADDR[3].axi_awaddr_pipe_reg\, \GEN_AW_PIPE_DUAL.GEN_AWADDR[4].axi_awaddr_pipe_reg\ => \GEN_AW_PIPE_DUAL.GEN_AWADDR[4].axi_awaddr_pipe_reg\, \GEN_AW_PIPE_DUAL.GEN_AWADDR[5].axi_awaddr_pipe_reg\ => \GEN_AW_PIPE_DUAL.GEN_AWADDR[5].axi_awaddr_pipe_reg\, \GEN_AW_PIPE_DUAL.GEN_AWADDR[6].axi_awaddr_pipe_reg\ => \GEN_AW_PIPE_DUAL.GEN_AWADDR[6].axi_awaddr_pipe_reg\, \GEN_AW_PIPE_DUAL.GEN_AWADDR[7].axi_awaddr_pipe_reg\ => \GEN_AW_PIPE_DUAL.GEN_AWADDR[7].axi_awaddr_pipe_reg\, \GEN_AW_PIPE_DUAL.GEN_AWADDR[8].axi_awaddr_pipe_reg\ => \GEN_AW_PIPE_DUAL.GEN_AWADDR[8].axi_awaddr_pipe_reg\, \GEN_AW_PIPE_DUAL.GEN_AWADDR[9].axi_awaddr_pipe_reg\ => \GEN_AW_PIPE_DUAL.GEN_AWADDR[9].axi_awaddr_pipe_reg\, \GEN_AW_PIPE_DUAL.axi_awburst_pipe_fixed_reg\ => \GEN_AW_PIPE_DUAL.axi_awburst_pipe_fixed_reg_n_0\, \GEN_DUAL_ADDR_CNT.bram_addr_int_reg[6]\ => \GEN_DUAL_ADDR_CNT.bram_addr_int[10]_i_2__0_n_0\, \GEN_DUAL_ADDR_CNT.bram_addr_int_reg[8]\ => I_WRAP_BRST_n_17, \GEN_DUAL_ADDR_CNT.bram_addr_int_reg[8]_0\ => \GEN_DUAL_ADDR_CNT.bram_addr_int[11]_i_3__0_n_0\, Q(3 downto 0) => axi_awlen_pipe(3 downto 0), SR(0) => I_WRAP_BRST_n_0, aw_active => aw_active, axi_awaddr_full => axi_awaddr_full, axi_awlen_pipe_1_or_2 => axi_awlen_pipe_1_or_2, axi_awsize_pipe(0) => axi_awsize_pipe(1), bram_addr_a(9 downto 0) => \^bram_addr_a\(9 downto 0), bram_addr_inc => bram_addr_inc, bram_addr_ld_en => bram_addr_ld_en, bram_addr_ld_en_mod => bram_addr_ld_en_mod, bram_addr_rst_cmb => bram_addr_rst_cmb, bvalid_cnt(2 downto 0) => bvalid_cnt(2 downto 0), curr_awlen_reg_1_or_2 => curr_awlen_reg_1_or_2, curr_fixed_burst => curr_fixed_burst, curr_fixed_burst_reg => curr_fixed_burst_reg, curr_fixed_burst_reg_reg => I_WRAP_BRST_n_22, curr_wrap_burst => curr_wrap_burst, curr_wrap_burst_reg => curr_wrap_burst_reg, curr_wrap_burst_reg_reg => I_WRAP_BRST_n_23, last_data_ack_mod => last_data_ack_mod, \out\(2 downto 0) => wr_data_sm_cs(2 downto 0), s_axi_aclk => s_axi_aclk, s_axi_aresetn => s_axi_aresetn, s_axi_aresetn_0(0) => SR(0), s_axi_awaddr(13 downto 0) => s_axi_awaddr(13 downto 0), s_axi_awlen(3 downto 0) => s_axi_awlen(3 downto 0), s_axi_awvalid => s_axi_awvalid, s_axi_wvalid => s_axi_wvalid, \save_init_bram_addr_ld_reg[15]_0\ => I_WRAP_BRST_n_19, \save_init_bram_addr_ld_reg[15]_1\ => I_WRAP_BRST_n_20, \save_init_bram_addr_ld_reg[15]_2\ => I_WRAP_BRST_n_21, wr_addr_sm_cs => wr_addr_sm_cs ); \axi_bid_int_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => BID_FIFO_n_0, D => BID_FIFO_n_15, Q => s_axi_bid(0), R => SR(0) ); \axi_bid_int_reg[10]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => BID_FIFO_n_0, D => BID_FIFO_n_5, Q => s_axi_bid(10), R => SR(0) ); \axi_bid_int_reg[11]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => BID_FIFO_n_0, D => BID_FIFO_n_4, Q => s_axi_bid(11), R => SR(0) ); \axi_bid_int_reg[1]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => BID_FIFO_n_0, D => BID_FIFO_n_14, Q => s_axi_bid(1), R => SR(0) ); \axi_bid_int_reg[2]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => BID_FIFO_n_0, D => BID_FIFO_n_13, Q => s_axi_bid(2), R => SR(0) ); \axi_bid_int_reg[3]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => BID_FIFO_n_0, D => BID_FIFO_n_12, Q => s_axi_bid(3), R => SR(0) ); \axi_bid_int_reg[4]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => BID_FIFO_n_0, D => BID_FIFO_n_11, Q => s_axi_bid(4), R => SR(0) ); \axi_bid_int_reg[5]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => BID_FIFO_n_0, D => BID_FIFO_n_10, Q => s_axi_bid(5), R => SR(0) ); \axi_bid_int_reg[6]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => BID_FIFO_n_0, D => BID_FIFO_n_9, Q => s_axi_bid(6), R => SR(0) ); \axi_bid_int_reg[7]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => BID_FIFO_n_0, D => BID_FIFO_n_8, Q => s_axi_bid(7), R => SR(0) ); \axi_bid_int_reg[8]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => BID_FIFO_n_0, D => BID_FIFO_n_7, Q => s_axi_bid(8), R => SR(0) ); \axi_bid_int_reg[9]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => BID_FIFO_n_0, D => BID_FIFO_n_6, Q => s_axi_bid(9), R => SR(0) ); axi_bvalid_int_i_1: unisim.vcomponents.LUT6 generic map( INIT => X"AAAAAAAAAAAA8A88" ) port map ( I0 => s_axi_aresetn, I1 => bvalid_cnt_inc, I2 => BID_FIFO_n_3, I3 => bvalid_cnt(0), I4 => bvalid_cnt(2), I5 => bvalid_cnt(1), O => axi_bvalid_int_i_1_n_0 ); axi_bvalid_int_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => '1', D => axi_bvalid_int_i_1_n_0, Q => \^s_axi_bvalid\, R => '0' ); axi_wr_burst_i_1: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => axi_wr_burst_cmb, I1 => axi_wr_burst_i_3_n_0, I2 => axi_wr_burst, O => axi_wr_burst_i_1_n_0 ); axi_wr_burst_i_2: unisim.vcomponents.LUT5 generic map( INIT => X"3088FCBB" ) port map ( I0 => s_axi_wvalid, I1 => wr_data_sm_cs(1), I2 => axi_wr_burst_cmb0, I3 => wr_data_sm_cs(0), I4 => s_axi_wlast, O => axi_wr_burst_cmb ); axi_wr_burst_i_3: unisim.vcomponents.LUT6 generic map( INIT => X"00000000AAAAA222" ) port map ( I0 => s_axi_wvalid, I1 => wr_data_sm_cs(0), I2 => axi_wr_burst_cmb0, I3 => s_axi_wlast, I4 => wr_data_sm_cs(1), I5 => wr_data_sm_cs(2), O => axi_wr_burst_i_3_n_0 ); axi_wr_burst_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => '1', D => axi_wr_burst_i_1_n_0, Q => axi_wr_burst, R => SR(0) ); axi_wready_int_mod_i_1: unisim.vcomponents.LUT6 generic map( INIT => X"EA00EAFF00000000" ) port map ( I0 => axi_wdata_full_cmb114_out, I1 => axi_awaddr_full, I2 => bram_addr_ld_en, I3 => wr_data_sm_cs(2), I4 => axi_wready_int_mod_i_3_n_0, I5 => s_axi_aresetn, O => axi_wready_int_mod_i_1_n_0 ); axi_wready_int_mod_i_3: unisim.vcomponents.LUT5 generic map( INIT => X"F8F9F0F0" ) port map ( I0 => wr_data_sm_cs(1), I1 => wr_data_sm_cs(0), I2 => axi_wdata_full_reg, I3 => axi_wdata_full_cmb114_out, I4 => s_axi_wvalid, O => axi_wready_int_mod_i_3_n_0 ); axi_wready_int_mod_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => '1', D => axi_wready_int_mod_i_1_n_0, Q => \^s_axi_wready\, R => '0' ); bid_gets_fifo_load_d1_i_2: unisim.vcomponents.LUT3 generic map( INIT => X"EF" ) port map ( I0 => bvalid_cnt(1), I1 => bvalid_cnt(2), I2 => bvalid_cnt(0), O => bid_gets_fifo_load_d1_i_2_n_0 ); bid_gets_fifo_load_d1_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => '1', D => bid_gets_fifo_load, Q => bid_gets_fifo_load_d1, R => SR(0) ); \bvalid_cnt[0]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"95956A6A95956AAA" ) port map ( I0 => bvalid_cnt_inc, I1 => s_axi_bready, I2 => \^s_axi_bvalid\, I3 => bvalid_cnt(2), I4 => bvalid_cnt(0), I5 => bvalid_cnt(1), O => \bvalid_cnt[0]_i_1_n_0\ ); \bvalid_cnt[1]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"D5D5BFBF2A2A4000" ) port map ( I0 => bvalid_cnt_inc, I1 => s_axi_bready, I2 => \^s_axi_bvalid\, I3 => bvalid_cnt(2), I4 => bvalid_cnt(0), I5 => bvalid_cnt(1), O => \bvalid_cnt[1]_i_1_n_0\ ); \bvalid_cnt[2]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"D52AFF00FF00BF00" ) port map ( I0 => bvalid_cnt_inc, I1 => s_axi_bready, I2 => \^s_axi_bvalid\, I3 => bvalid_cnt(2), I4 => bvalid_cnt(0), I5 => bvalid_cnt(1), O => \bvalid_cnt[2]_i_1_n_0\ ); \bvalid_cnt_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => '1', D => \bvalid_cnt[0]_i_1_n_0\, Q => bvalid_cnt(0), R => SR(0) ); \bvalid_cnt_reg[1]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => '1', D => \bvalid_cnt[1]_i_1_n_0\, Q => bvalid_cnt(1), R => SR(0) ); \bvalid_cnt_reg[2]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => '1', D => \bvalid_cnt[2]_i_1_n_0\, Q => bvalid_cnt(2), R => SR(0) ); curr_awlen_reg_1_or_2_i_1: unisim.vcomponents.LUT6 generic map( INIT => X"00A0000000A0E0E0" ) port map ( I0 => curr_awlen_reg_1_or_2_i_2_n_0, I1 => \GEN_AW_PIPE_DUAL.axi_awlen_pipe_1_or_2_i_2_n_0\, I2 => curr_awlen_reg_1_or_2_i_3_n_0, I3 => axi_awlen_pipe(3), I4 => axi_awaddr_full, I5 => s_axi_awlen(3), O => curr_awlen_reg_1_or_20 ); curr_awlen_reg_1_or_2_i_2: unisim.vcomponents.LUT5 generic map( INIT => X"00000004" ) port map ( I0 => axi_awlen_pipe(7), I1 => axi_awaddr_full, I2 => axi_awlen_pipe(5), I3 => axi_awlen_pipe(4), I4 => axi_awlen_pipe(6), O => curr_awlen_reg_1_or_2_i_2_n_0 ); curr_awlen_reg_1_or_2_i_3: unisim.vcomponents.LUT5 generic map( INIT => X"00053305" ) port map ( I0 => s_axi_awlen(2), I1 => axi_awlen_pipe(2), I2 => s_axi_awlen(1), I3 => axi_awaddr_full, I4 => axi_awlen_pipe(1), O => curr_awlen_reg_1_or_2_i_3_n_0 ); curr_awlen_reg_1_or_2_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => bram_addr_ld_en, D => curr_awlen_reg_1_or_20, Q => curr_awlen_reg_1_or_2, R => SR(0) ); curr_fixed_burst_reg_i_2: unisim.vcomponents.LUT5 generic map( INIT => X"00053305" ) port map ( I0 => s_axi_awburst(1), I1 => axi_awburst_pipe(1), I2 => s_axi_awburst(0), I3 => axi_awaddr_full, I4 => axi_awburst_pipe(0), O => curr_fixed_burst ); curr_fixed_burst_reg_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => '1', D => I_WRAP_BRST_n_22, Q => curr_fixed_burst_reg, R => '0' ); curr_wrap_burst_reg_i_2: unisim.vcomponents.LUT5 generic map( INIT => X"000ACC0A" ) port map ( I0 => s_axi_awburst(1), I1 => axi_awburst_pipe(1), I2 => s_axi_awburst(0), I3 => axi_awaddr_full, I4 => axi_awburst_pipe(0), O => curr_wrap_burst ); curr_wrap_burst_reg_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => '1', D => I_WRAP_BRST_n_23, Q => curr_wrap_burst_reg, R => '0' ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_full_axi is port ( s_axi_rvalid : out STD_LOGIC; s_axi_rlast : out STD_LOGIC; s_axi_bvalid : out STD_LOGIC; s_axi_awready : out STD_LOGIC; bram_rst_a : out STD_LOGIC; bram_addr_a : out STD_LOGIC_VECTOR ( 13 downto 0 ); s_axi_bid : out STD_LOGIC_VECTOR ( 11 downto 0 ); bram_en_a : out STD_LOGIC; bram_we_a : out STD_LOGIC_VECTOR ( 3 downto 0 ); bram_wrdata_a : out STD_LOGIC_VECTOR ( 31 downto 0 ); bram_addr_b : out STD_LOGIC_VECTOR ( 13 downto 0 ); s_axi_rid : out STD_LOGIC_VECTOR ( 11 downto 0 ); s_axi_rdata : out STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_wready : out STD_LOGIC; s_axi_arready : out STD_LOGIC; bram_en_b : out STD_LOGIC; s_axi_aresetn : in STD_LOGIC; s_axi_wvalid : in STD_LOGIC; s_axi_wlast : in STD_LOGIC; s_axi_rready : in STD_LOGIC; s_axi_bready : in STD_LOGIC; s_axi_awburst : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_aclk : in STD_LOGIC; s_axi_awlen : in STD_LOGIC_VECTOR ( 7 downto 0 ); s_axi_awaddr : in STD_LOGIC_VECTOR ( 13 downto 0 ); s_axi_awid : in STD_LOGIC_VECTOR ( 11 downto 0 ); s_axi_wstrb : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_wdata : in STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_arlen : in STD_LOGIC_VECTOR ( 7 downto 0 ); s_axi_araddr : in STD_LOGIC_VECTOR ( 13 downto 0 ); s_axi_arid : in STD_LOGIC_VECTOR ( 11 downto 0 ); bram_rddata_b : in STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_arburst : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_awvalid : in STD_LOGIC; s_axi_arvalid : in STD_LOGIC ); end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_full_axi; architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_full_axi is signal I_WR_CHNL_n_36 : STD_LOGIC; signal axi_aresetn_d2 : STD_LOGIC; signal axi_aresetn_re_reg : STD_LOGIC; signal \^bram_rst_a\ : STD_LOGIC; begin bram_rst_a <= \^bram_rst_a\; I_RD_CHNL: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_rd_chnl port map ( \GEN_AWREADY.axi_aresetn_d2_reg\ => I_WR_CHNL_n_36, Q(13 downto 0) => bram_addr_b(13 downto 0), axi_aresetn_d2 => axi_aresetn_d2, axi_aresetn_re_reg => axi_aresetn_re_reg, bram_en_b => bram_en_b, bram_rddata_b(31 downto 0) => bram_rddata_b(31 downto 0), bram_rst_a => \^bram_rst_a\, s_axi_aclk => s_axi_aclk, s_axi_araddr(13 downto 0) => s_axi_araddr(13 downto 0), s_axi_arburst(1 downto 0) => s_axi_arburst(1 downto 0), s_axi_aresetn => s_axi_aresetn, s_axi_arid(11 downto 0) => s_axi_arid(11 downto 0), s_axi_arlen(7 downto 0) => s_axi_arlen(7 downto 0), s_axi_arready => s_axi_arready, s_axi_arvalid => s_axi_arvalid, s_axi_rdata(31 downto 0) => s_axi_rdata(31 downto 0), s_axi_rid(11 downto 0) => s_axi_rid(11 downto 0), s_axi_rlast => s_axi_rlast, s_axi_rready => s_axi_rready, s_axi_rvalid => s_axi_rvalid ); I_WR_CHNL: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_wr_chnl port map ( \GEN_AW_DUAL.aw_active_reg_0\ => I_WR_CHNL_n_36, SR(0) => \^bram_rst_a\, axi_aresetn_d2 => axi_aresetn_d2, axi_aresetn_re_reg => axi_aresetn_re_reg, bram_addr_a(13 downto 0) => bram_addr_a(13 downto 0), bram_en_a => bram_en_a, bram_we_a(3 downto 0) => bram_we_a(3 downto 0), bram_wrdata_a(31 downto 0) => bram_wrdata_a(31 downto 0), s_axi_aclk => s_axi_aclk, s_axi_aresetn => s_axi_aresetn, s_axi_awaddr(13 downto 0) => s_axi_awaddr(13 downto 0), s_axi_awburst(1 downto 0) => s_axi_awburst(1 downto 0), s_axi_awid(11 downto 0) => s_axi_awid(11 downto 0), s_axi_awlen(7 downto 0) => s_axi_awlen(7 downto 0), s_axi_awready => s_axi_awready, s_axi_awvalid => s_axi_awvalid, s_axi_bid(11 downto 0) => s_axi_bid(11 downto 0), s_axi_bready => s_axi_bready, s_axi_bvalid => s_axi_bvalid, s_axi_wdata(31 downto 0) => s_axi_wdata(31 downto 0), s_axi_wlast => s_axi_wlast, s_axi_wready => s_axi_wready, s_axi_wstrb(3 downto 0) => s_axi_wstrb(3 downto 0), s_axi_wvalid => s_axi_wvalid ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_bram_ctrl_top is port ( s_axi_rvalid : out STD_LOGIC; s_axi_rlast : out STD_LOGIC; s_axi_bvalid : out STD_LOGIC; s_axi_awready : out STD_LOGIC; bram_rst_a : out STD_LOGIC; bram_addr_a : out STD_LOGIC_VECTOR ( 13 downto 0 ); s_axi_bid : out STD_LOGIC_VECTOR ( 11 downto 0 ); bram_en_a : out STD_LOGIC; bram_we_a : out STD_LOGIC_VECTOR ( 3 downto 0 ); bram_wrdata_a : out STD_LOGIC_VECTOR ( 31 downto 0 ); bram_addr_b : out STD_LOGIC_VECTOR ( 13 downto 0 ); s_axi_rid : out STD_LOGIC_VECTOR ( 11 downto 0 ); s_axi_rdata : out STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_wready : out STD_LOGIC; s_axi_arready : out STD_LOGIC; bram_en_b : out STD_LOGIC; s_axi_aresetn : in STD_LOGIC; s_axi_wvalid : in STD_LOGIC; s_axi_wlast : in STD_LOGIC; s_axi_rready : in STD_LOGIC; s_axi_bready : in STD_LOGIC; s_axi_awburst : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_aclk : in STD_LOGIC; s_axi_awlen : in STD_LOGIC_VECTOR ( 7 downto 0 ); s_axi_awaddr : in STD_LOGIC_VECTOR ( 13 downto 0 ); s_axi_awid : in STD_LOGIC_VECTOR ( 11 downto 0 ); s_axi_wstrb : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_wdata : in STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_arlen : in STD_LOGIC_VECTOR ( 7 downto 0 ); s_axi_araddr : in STD_LOGIC_VECTOR ( 13 downto 0 ); s_axi_arid : in STD_LOGIC_VECTOR ( 11 downto 0 ); bram_rddata_b : in STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_arburst : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_awvalid : in STD_LOGIC; s_axi_arvalid : in STD_LOGIC ); end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_bram_ctrl_top; architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_bram_ctrl_top is begin \GEN_AXI4.I_FULL_AXI\: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_full_axi port map ( bram_addr_a(13 downto 0) => bram_addr_a(13 downto 0), bram_addr_b(13 downto 0) => bram_addr_b(13 downto 0), bram_en_a => bram_en_a, bram_en_b => bram_en_b, bram_rddata_b(31 downto 0) => bram_rddata_b(31 downto 0), bram_rst_a => bram_rst_a, bram_we_a(3 downto 0) => bram_we_a(3 downto 0), bram_wrdata_a(31 downto 0) => bram_wrdata_a(31 downto 0), s_axi_aclk => s_axi_aclk, s_axi_araddr(13 downto 0) => s_axi_araddr(13 downto 0), s_axi_arburst(1 downto 0) => s_axi_arburst(1 downto 0), s_axi_aresetn => s_axi_aresetn, s_axi_arid(11 downto 0) => s_axi_arid(11 downto 0), s_axi_arlen(7 downto 0) => s_axi_arlen(7 downto 0), s_axi_arready => s_axi_arready, s_axi_arvalid => s_axi_arvalid, s_axi_awaddr(13 downto 0) => s_axi_awaddr(13 downto 0), s_axi_awburst(1 downto 0) => s_axi_awburst(1 downto 0), s_axi_awid(11 downto 0) => s_axi_awid(11 downto 0), s_axi_awlen(7 downto 0) => s_axi_awlen(7 downto 0), s_axi_awready => s_axi_awready, s_axi_awvalid => s_axi_awvalid, s_axi_bid(11 downto 0) => s_axi_bid(11 downto 0), s_axi_bready => s_axi_bready, s_axi_bvalid => s_axi_bvalid, s_axi_rdata(31 downto 0) => s_axi_rdata(31 downto 0), s_axi_rid(11 downto 0) => s_axi_rid(11 downto 0), s_axi_rlast => s_axi_rlast, s_axi_rready => s_axi_rready, s_axi_rvalid => s_axi_rvalid, s_axi_wdata(31 downto 0) => s_axi_wdata(31 downto 0), s_axi_wlast => s_axi_wlast, s_axi_wready => s_axi_wready, s_axi_wstrb(3 downto 0) => s_axi_wstrb(3 downto 0), s_axi_wvalid => s_axi_wvalid ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_bram_ctrl is port ( s_axi_aclk : in STD_LOGIC; s_axi_aresetn : in STD_LOGIC; ecc_interrupt : out STD_LOGIC; ecc_ue : out STD_LOGIC; s_axi_awid : in STD_LOGIC_VECTOR ( 11 downto 0 ); s_axi_awaddr : in STD_LOGIC_VECTOR ( 15 downto 0 ); s_axi_awlen : in STD_LOGIC_VECTOR ( 7 downto 0 ); s_axi_awsize : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_awburst : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_awlock : in STD_LOGIC; s_axi_awcache : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_awprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_awvalid : in STD_LOGIC; s_axi_awready : out STD_LOGIC; s_axi_wdata : in STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_wstrb : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_wlast : in STD_LOGIC; s_axi_wvalid : in STD_LOGIC; s_axi_wready : out STD_LOGIC; s_axi_bid : out STD_LOGIC_VECTOR ( 11 downto 0 ); s_axi_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_bvalid : out STD_LOGIC; s_axi_bready : in STD_LOGIC; s_axi_arid : in STD_LOGIC_VECTOR ( 11 downto 0 ); s_axi_araddr : in STD_LOGIC_VECTOR ( 15 downto 0 ); s_axi_arlen : in STD_LOGIC_VECTOR ( 7 downto 0 ); s_axi_arsize : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_arburst : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_arlock : in STD_LOGIC; s_axi_arcache : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_arprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_arvalid : in STD_LOGIC; s_axi_arready : out STD_LOGIC; s_axi_rid : out STD_LOGIC_VECTOR ( 11 downto 0 ); s_axi_rdata : out STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_rlast : out STD_LOGIC; s_axi_rvalid : out STD_LOGIC; s_axi_rready : in STD_LOGIC; s_axi_ctrl_awvalid : in STD_LOGIC; s_axi_ctrl_awready : out STD_LOGIC; s_axi_ctrl_awaddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_ctrl_wdata : in STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_ctrl_wvalid : in STD_LOGIC; s_axi_ctrl_wready : out STD_LOGIC; s_axi_ctrl_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_ctrl_bvalid : out STD_LOGIC; s_axi_ctrl_bready : in STD_LOGIC; s_axi_ctrl_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_ctrl_arvalid : in STD_LOGIC; s_axi_ctrl_arready : out STD_LOGIC; s_axi_ctrl_rdata : out STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_ctrl_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_ctrl_rvalid : out STD_LOGIC; s_axi_ctrl_rready : in STD_LOGIC; bram_rst_a : out STD_LOGIC; bram_clk_a : out STD_LOGIC; bram_en_a : out STD_LOGIC; bram_we_a : out STD_LOGIC_VECTOR ( 3 downto 0 ); bram_addr_a : out STD_LOGIC_VECTOR ( 15 downto 0 ); bram_wrdata_a : out STD_LOGIC_VECTOR ( 31 downto 0 ); bram_rddata_a : in STD_LOGIC_VECTOR ( 31 downto 0 ); bram_rst_b : out STD_LOGIC; bram_clk_b : out STD_LOGIC; bram_en_b : out STD_LOGIC; bram_we_b : out STD_LOGIC_VECTOR ( 3 downto 0 ); bram_addr_b : out STD_LOGIC_VECTOR ( 15 downto 0 ); bram_wrdata_b : out STD_LOGIC_VECTOR ( 31 downto 0 ); bram_rddata_b : in STD_LOGIC_VECTOR ( 31 downto 0 ) ); attribute C_BRAM_ADDR_WIDTH : integer; attribute C_BRAM_ADDR_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_bram_ctrl : entity is 14; attribute C_BRAM_INST_MODE : string; attribute C_BRAM_INST_MODE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_bram_ctrl : entity is "EXTERNAL"; attribute C_ECC : integer; attribute C_ECC of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_bram_ctrl : entity is 0; attribute C_ECC_ONOFF_RESET_VALUE : integer; attribute C_ECC_ONOFF_RESET_VALUE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_bram_ctrl : entity is 0; attribute C_ECC_TYPE : integer; attribute C_ECC_TYPE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_bram_ctrl : entity is 0; attribute C_FAMILY : string; attribute C_FAMILY of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_bram_ctrl : entity is "zynq"; attribute C_FAULT_INJECT : integer; attribute C_FAULT_INJECT of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_bram_ctrl : entity is 0; attribute C_MEMORY_DEPTH : integer; attribute C_MEMORY_DEPTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_bram_ctrl : entity is 16384; attribute C_SELECT_XPM : integer; attribute C_SELECT_XPM of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_bram_ctrl : entity is 0; attribute C_SINGLE_PORT_BRAM : integer; attribute C_SINGLE_PORT_BRAM of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_bram_ctrl : entity is 0; attribute C_S_AXI_ADDR_WIDTH : integer; attribute C_S_AXI_ADDR_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_bram_ctrl : entity is 16; attribute C_S_AXI_CTRL_ADDR_WIDTH : integer; attribute C_S_AXI_CTRL_ADDR_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_bram_ctrl : entity is 32; attribute C_S_AXI_CTRL_DATA_WIDTH : integer; attribute C_S_AXI_CTRL_DATA_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_bram_ctrl : entity is 32; attribute C_S_AXI_DATA_WIDTH : integer; attribute C_S_AXI_DATA_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_bram_ctrl : entity is 32; attribute C_S_AXI_ID_WIDTH : integer; attribute C_S_AXI_ID_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_bram_ctrl : entity is 12; attribute C_S_AXI_PROTOCOL : string; attribute C_S_AXI_PROTOCOL of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_bram_ctrl : entity is "AXI4"; attribute C_S_AXI_SUPPORTS_NARROW_BURST : integer; attribute C_S_AXI_SUPPORTS_NARROW_BURST of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_bram_ctrl : entity is 0; attribute downgradeipidentifiedwarnings : string; attribute downgradeipidentifiedwarnings of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_bram_ctrl : entity is "yes"; end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_bram_ctrl; architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_bram_ctrl is signal \<const0>\ : STD_LOGIC; signal \^bram_addr_a\ : STD_LOGIC_VECTOR ( 15 downto 2 ); signal \^bram_addr_b\ : STD_LOGIC_VECTOR ( 15 downto 2 ); signal \^bram_rst_a\ : STD_LOGIC; signal \^s_axi_aclk\ : STD_LOGIC; begin \^s_axi_aclk\ <= s_axi_aclk; bram_addr_a(15 downto 2) <= \^bram_addr_a\(15 downto 2); bram_addr_a(1) <= \<const0>\; bram_addr_a(0) <= \<const0>\; bram_addr_b(15 downto 2) <= \^bram_addr_b\(15 downto 2); bram_addr_b(1) <= \<const0>\; bram_addr_b(0) <= \<const0>\; bram_clk_a <= \^s_axi_aclk\; bram_clk_b <= \^s_axi_aclk\; bram_rst_a <= \^bram_rst_a\; bram_rst_b <= \^bram_rst_a\; bram_we_b(3) <= \<const0>\; bram_we_b(2) <= \<const0>\; bram_we_b(1) <= \<const0>\; bram_we_b(0) <= \<const0>\; bram_wrdata_b(31) <= \<const0>\; bram_wrdata_b(30) <= \<const0>\; bram_wrdata_b(29) <= \<const0>\; bram_wrdata_b(28) <= \<const0>\; bram_wrdata_b(27) <= \<const0>\; bram_wrdata_b(26) <= \<const0>\; bram_wrdata_b(25) <= \<const0>\; bram_wrdata_b(24) <= \<const0>\; bram_wrdata_b(23) <= \<const0>\; bram_wrdata_b(22) <= \<const0>\; bram_wrdata_b(21) <= \<const0>\; bram_wrdata_b(20) <= \<const0>\; bram_wrdata_b(19) <= \<const0>\; bram_wrdata_b(18) <= \<const0>\; bram_wrdata_b(17) <= \<const0>\; bram_wrdata_b(16) <= \<const0>\; bram_wrdata_b(15) <= \<const0>\; bram_wrdata_b(14) <= \<const0>\; bram_wrdata_b(13) <= \<const0>\; bram_wrdata_b(12) <= \<const0>\; bram_wrdata_b(11) <= \<const0>\; bram_wrdata_b(10) <= \<const0>\; bram_wrdata_b(9) <= \<const0>\; bram_wrdata_b(8) <= \<const0>\; bram_wrdata_b(7) <= \<const0>\; bram_wrdata_b(6) <= \<const0>\; bram_wrdata_b(5) <= \<const0>\; bram_wrdata_b(4) <= \<const0>\; bram_wrdata_b(3) <= \<const0>\; bram_wrdata_b(2) <= \<const0>\; bram_wrdata_b(1) <= \<const0>\; bram_wrdata_b(0) <= \<const0>\; ecc_interrupt <= \<const0>\; ecc_ue <= \<const0>\; s_axi_bresp(1) <= \<const0>\; s_axi_bresp(0) <= \<const0>\; s_axi_ctrl_arready <= \<const0>\; s_axi_ctrl_awready <= \<const0>\; s_axi_ctrl_bresp(1) <= \<const0>\; s_axi_ctrl_bresp(0) <= \<const0>\; s_axi_ctrl_bvalid <= \<const0>\; s_axi_ctrl_rdata(31) <= \<const0>\; s_axi_ctrl_rdata(30) <= \<const0>\; s_axi_ctrl_rdata(29) <= \<const0>\; s_axi_ctrl_rdata(28) <= \<const0>\; s_axi_ctrl_rdata(27) <= \<const0>\; s_axi_ctrl_rdata(26) <= \<const0>\; s_axi_ctrl_rdata(25) <= \<const0>\; s_axi_ctrl_rdata(24) <= \<const0>\; s_axi_ctrl_rdata(23) <= \<const0>\; s_axi_ctrl_rdata(22) <= \<const0>\; s_axi_ctrl_rdata(21) <= \<const0>\; s_axi_ctrl_rdata(20) <= \<const0>\; s_axi_ctrl_rdata(19) <= \<const0>\; s_axi_ctrl_rdata(18) <= \<const0>\; s_axi_ctrl_rdata(17) <= \<const0>\; s_axi_ctrl_rdata(16) <= \<const0>\; s_axi_ctrl_rdata(15) <= \<const0>\; s_axi_ctrl_rdata(14) <= \<const0>\; s_axi_ctrl_rdata(13) <= \<const0>\; s_axi_ctrl_rdata(12) <= \<const0>\; s_axi_ctrl_rdata(11) <= \<const0>\; s_axi_ctrl_rdata(10) <= \<const0>\; s_axi_ctrl_rdata(9) <= \<const0>\; s_axi_ctrl_rdata(8) <= \<const0>\; s_axi_ctrl_rdata(7) <= \<const0>\; s_axi_ctrl_rdata(6) <= \<const0>\; s_axi_ctrl_rdata(5) <= \<const0>\; s_axi_ctrl_rdata(4) <= \<const0>\; s_axi_ctrl_rdata(3) <= \<const0>\; s_axi_ctrl_rdata(2) <= \<const0>\; s_axi_ctrl_rdata(1) <= \<const0>\; s_axi_ctrl_rdata(0) <= \<const0>\; s_axi_ctrl_rresp(1) <= \<const0>\; s_axi_ctrl_rresp(0) <= \<const0>\; s_axi_ctrl_rvalid <= \<const0>\; s_axi_ctrl_wready <= \<const0>\; s_axi_rresp(1) <= \<const0>\; s_axi_rresp(0) <= \<const0>\; GND: unisim.vcomponents.GND port map ( G => \<const0>\ ); \gext_inst.abcv4_0_ext_inst\: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_bram_ctrl_top port map ( bram_addr_a(13 downto 0) => \^bram_addr_a\(15 downto 2), bram_addr_b(13 downto 0) => \^bram_addr_b\(15 downto 2), bram_en_a => bram_en_a, bram_en_b => bram_en_b, bram_rddata_b(31 downto 0) => bram_rddata_b(31 downto 0), bram_rst_a => \^bram_rst_a\, bram_we_a(3 downto 0) => bram_we_a(3 downto 0), bram_wrdata_a(31 downto 0) => bram_wrdata_a(31 downto 0), s_axi_aclk => \^s_axi_aclk\, s_axi_araddr(13 downto 0) => s_axi_araddr(15 downto 2), s_axi_arburst(1 downto 0) => s_axi_arburst(1 downto 0), s_axi_aresetn => s_axi_aresetn, s_axi_arid(11 downto 0) => s_axi_arid(11 downto 0), s_axi_arlen(7 downto 0) => s_axi_arlen(7 downto 0), s_axi_arready => s_axi_arready, s_axi_arvalid => s_axi_arvalid, s_axi_awaddr(13 downto 0) => s_axi_awaddr(15 downto 2), s_axi_awburst(1 downto 0) => s_axi_awburst(1 downto 0), s_axi_awid(11 downto 0) => s_axi_awid(11 downto 0), s_axi_awlen(7 downto 0) => s_axi_awlen(7 downto 0), s_axi_awready => s_axi_awready, s_axi_awvalid => s_axi_awvalid, s_axi_bid(11 downto 0) => s_axi_bid(11 downto 0), s_axi_bready => s_axi_bready, s_axi_bvalid => s_axi_bvalid, s_axi_rdata(31 downto 0) => s_axi_rdata(31 downto 0), s_axi_rid(11 downto 0) => s_axi_rid(11 downto 0), s_axi_rlast => s_axi_rlast, s_axi_rready => s_axi_rready, s_axi_rvalid => s_axi_rvalid, s_axi_wdata(31 downto 0) => s_axi_wdata(31 downto 0), s_axi_wlast => s_axi_wlast, s_axi_wready => s_axi_wready, s_axi_wstrb(3 downto 0) => s_axi_wstrb(3 downto 0), s_axi_wvalid => s_axi_wvalid ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix is port ( s_axi_aclk : in STD_LOGIC; s_axi_aresetn : in STD_LOGIC; s_axi_awid : in STD_LOGIC_VECTOR ( 11 downto 0 ); s_axi_awaddr : in STD_LOGIC_VECTOR ( 15 downto 0 ); s_axi_awlen : in STD_LOGIC_VECTOR ( 7 downto 0 ); s_axi_awsize : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_awburst : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_awlock : in STD_LOGIC; s_axi_awcache : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_awprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_awvalid : in STD_LOGIC; s_axi_awready : out STD_LOGIC; s_axi_wdata : in STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_wstrb : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_wlast : in STD_LOGIC; s_axi_wvalid : in STD_LOGIC; s_axi_wready : out STD_LOGIC; s_axi_bid : out STD_LOGIC_VECTOR ( 11 downto 0 ); s_axi_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_bvalid : out STD_LOGIC; s_axi_bready : in STD_LOGIC; s_axi_arid : in STD_LOGIC_VECTOR ( 11 downto 0 ); s_axi_araddr : in STD_LOGIC_VECTOR ( 15 downto 0 ); s_axi_arlen : in STD_LOGIC_VECTOR ( 7 downto 0 ); s_axi_arsize : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_arburst : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_arlock : in STD_LOGIC; s_axi_arcache : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_arprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_arvalid : in STD_LOGIC; s_axi_arready : out STD_LOGIC; s_axi_rid : out STD_LOGIC_VECTOR ( 11 downto 0 ); s_axi_rdata : out STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_rlast : out STD_LOGIC; s_axi_rvalid : out STD_LOGIC; s_axi_rready : in STD_LOGIC; bram_rst_a : out STD_LOGIC; bram_clk_a : out STD_LOGIC; bram_en_a : out STD_LOGIC; bram_we_a : out STD_LOGIC_VECTOR ( 3 downto 0 ); bram_addr_a : out STD_LOGIC_VECTOR ( 15 downto 0 ); bram_wrdata_a : out STD_LOGIC_VECTOR ( 31 downto 0 ); bram_rddata_a : in STD_LOGIC_VECTOR ( 31 downto 0 ); bram_rst_b : out STD_LOGIC; bram_clk_b : out STD_LOGIC; bram_en_b : out STD_LOGIC; bram_we_b : out STD_LOGIC_VECTOR ( 3 downto 0 ); bram_addr_b : out STD_LOGIC_VECTOR ( 15 downto 0 ); bram_wrdata_b : out STD_LOGIC_VECTOR ( 31 downto 0 ); bram_rddata_b : in STD_LOGIC_VECTOR ( 31 downto 0 ) ); attribute NotValidForBitStream : boolean; attribute NotValidForBitStream of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix : entity is true; attribute CHECK_LICENSE_TYPE : string; attribute CHECK_LICENSE_TYPE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix : entity is "zqynq_lab_1_design_axi_bram_ctrl_0_0,axi_bram_ctrl,{}"; attribute downgradeipidentifiedwarnings : string; attribute downgradeipidentifiedwarnings of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix : entity is "yes"; attribute x_core_info : string; attribute x_core_info of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix : entity is "axi_bram_ctrl,Vivado 2017.2"; end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix; architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix is signal NLW_U0_ecc_interrupt_UNCONNECTED : STD_LOGIC; signal NLW_U0_ecc_ue_UNCONNECTED : STD_LOGIC; signal NLW_U0_s_axi_ctrl_arready_UNCONNECTED : STD_LOGIC; signal NLW_U0_s_axi_ctrl_awready_UNCONNECTED : STD_LOGIC; signal NLW_U0_s_axi_ctrl_bvalid_UNCONNECTED : STD_LOGIC; signal NLW_U0_s_axi_ctrl_rvalid_UNCONNECTED : STD_LOGIC; signal NLW_U0_s_axi_ctrl_wready_UNCONNECTED : STD_LOGIC; signal NLW_U0_s_axi_ctrl_bresp_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_U0_s_axi_ctrl_rdata_UNCONNECTED : STD_LOGIC_VECTOR ( 31 downto 0 ); signal NLW_U0_s_axi_ctrl_rresp_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); attribute C_BRAM_ADDR_WIDTH : integer; attribute C_BRAM_ADDR_WIDTH of U0 : label is 14; attribute C_BRAM_INST_MODE : string; attribute C_BRAM_INST_MODE of U0 : label is "EXTERNAL"; attribute C_ECC : integer; attribute C_ECC of U0 : label is 0; attribute C_ECC_ONOFF_RESET_VALUE : integer; attribute C_ECC_ONOFF_RESET_VALUE of U0 : label is 0; attribute C_ECC_TYPE : integer; attribute C_ECC_TYPE of U0 : label is 0; attribute C_FAMILY : string; attribute C_FAMILY of U0 : label is "zynq"; attribute C_FAULT_INJECT : integer; attribute C_FAULT_INJECT of U0 : label is 0; attribute C_MEMORY_DEPTH : integer; attribute C_MEMORY_DEPTH of U0 : label is 16384; attribute C_SELECT_XPM : integer; attribute C_SELECT_XPM of U0 : label is 0; attribute C_SINGLE_PORT_BRAM : integer; attribute C_SINGLE_PORT_BRAM of U0 : label is 0; attribute C_S_AXI_ADDR_WIDTH : integer; attribute C_S_AXI_ADDR_WIDTH of U0 : label is 16; attribute C_S_AXI_CTRL_ADDR_WIDTH : integer; attribute C_S_AXI_CTRL_ADDR_WIDTH of U0 : label is 32; attribute C_S_AXI_CTRL_DATA_WIDTH : integer; attribute C_S_AXI_CTRL_DATA_WIDTH of U0 : label is 32; attribute C_S_AXI_DATA_WIDTH : integer; attribute C_S_AXI_DATA_WIDTH of U0 : label is 32; attribute C_S_AXI_ID_WIDTH : integer; attribute C_S_AXI_ID_WIDTH of U0 : label is 12; attribute C_S_AXI_PROTOCOL : string; attribute C_S_AXI_PROTOCOL of U0 : label is "AXI4"; attribute C_S_AXI_SUPPORTS_NARROW_BURST : integer; attribute C_S_AXI_SUPPORTS_NARROW_BURST of U0 : label is 0; attribute downgradeipidentifiedwarnings of U0 : label is "yes"; begin U0: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_bram_ctrl port map ( bram_addr_a(15 downto 0) => bram_addr_a(15 downto 0), bram_addr_b(15 downto 0) => bram_addr_b(15 downto 0), bram_clk_a => bram_clk_a, bram_clk_b => bram_clk_b, bram_en_a => bram_en_a, bram_en_b => bram_en_b, bram_rddata_a(31 downto 0) => bram_rddata_a(31 downto 0), bram_rddata_b(31 downto 0) => bram_rddata_b(31 downto 0), bram_rst_a => bram_rst_a, bram_rst_b => bram_rst_b, bram_we_a(3 downto 0) => bram_we_a(3 downto 0), bram_we_b(3 downto 0) => bram_we_b(3 downto 0), bram_wrdata_a(31 downto 0) => bram_wrdata_a(31 downto 0), bram_wrdata_b(31 downto 0) => bram_wrdata_b(31 downto 0), ecc_interrupt => NLW_U0_ecc_interrupt_UNCONNECTED, ecc_ue => NLW_U0_ecc_ue_UNCONNECTED, s_axi_aclk => s_axi_aclk, s_axi_araddr(15 downto 0) => s_axi_araddr(15 downto 0), s_axi_arburst(1 downto 0) => s_axi_arburst(1 downto 0), s_axi_arcache(3 downto 0) => s_axi_arcache(3 downto 0), s_axi_aresetn => s_axi_aresetn, s_axi_arid(11 downto 0) => s_axi_arid(11 downto 0), s_axi_arlen(7 downto 0) => s_axi_arlen(7 downto 0), s_axi_arlock => s_axi_arlock, s_axi_arprot(2 downto 0) => s_axi_arprot(2 downto 0), s_axi_arready => s_axi_arready, s_axi_arsize(2 downto 0) => s_axi_arsize(2 downto 0), s_axi_arvalid => s_axi_arvalid, s_axi_awaddr(15 downto 0) => s_axi_awaddr(15 downto 0), s_axi_awburst(1 downto 0) => s_axi_awburst(1 downto 0), s_axi_awcache(3 downto 0) => s_axi_awcache(3 downto 0), s_axi_awid(11 downto 0) => s_axi_awid(11 downto 0), s_axi_awlen(7 downto 0) => s_axi_awlen(7 downto 0), s_axi_awlock => s_axi_awlock, s_axi_awprot(2 downto 0) => s_axi_awprot(2 downto 0), s_axi_awready => s_axi_awready, s_axi_awsize(2 downto 0) => s_axi_awsize(2 downto 0), s_axi_awvalid => s_axi_awvalid, s_axi_bid(11 downto 0) => s_axi_bid(11 downto 0), s_axi_bready => s_axi_bready, s_axi_bresp(1 downto 0) => s_axi_bresp(1 downto 0), s_axi_bvalid => s_axi_bvalid, s_axi_ctrl_araddr(31 downto 0) => B"00000000000000000000000000000000", s_axi_ctrl_arready => NLW_U0_s_axi_ctrl_arready_UNCONNECTED, s_axi_ctrl_arvalid => '0', s_axi_ctrl_awaddr(31 downto 0) => B"00000000000000000000000000000000", s_axi_ctrl_awready => NLW_U0_s_axi_ctrl_awready_UNCONNECTED, s_axi_ctrl_awvalid => '0', s_axi_ctrl_bready => '0', s_axi_ctrl_bresp(1 downto 0) => NLW_U0_s_axi_ctrl_bresp_UNCONNECTED(1 downto 0), s_axi_ctrl_bvalid => NLW_U0_s_axi_ctrl_bvalid_UNCONNECTED, s_axi_ctrl_rdata(31 downto 0) => NLW_U0_s_axi_ctrl_rdata_UNCONNECTED(31 downto 0), s_axi_ctrl_rready => '0', s_axi_ctrl_rresp(1 downto 0) => NLW_U0_s_axi_ctrl_rresp_UNCONNECTED(1 downto 0), s_axi_ctrl_rvalid => NLW_U0_s_axi_ctrl_rvalid_UNCONNECTED, s_axi_ctrl_wdata(31 downto 0) => B"00000000000000000000000000000000", s_axi_ctrl_wready => NLW_U0_s_axi_ctrl_wready_UNCONNECTED, s_axi_ctrl_wvalid => '0', s_axi_rdata(31 downto 0) => s_axi_rdata(31 downto 0), s_axi_rid(11 downto 0) => s_axi_rid(11 downto 0), s_axi_rlast => s_axi_rlast, s_axi_rready => s_axi_rready, s_axi_rresp(1 downto 0) => s_axi_rresp(1 downto 0), s_axi_rvalid => s_axi_rvalid, s_axi_wdata(31 downto 0) => s_axi_wdata(31 downto 0), s_axi_wlast => s_axi_wlast, s_axi_wready => s_axi_wready, s_axi_wstrb(3 downto 0) => s_axi_wstrb(3 downto 0), s_axi_wvalid => s_axi_wvalid ); end STRUCTURE;
--===========================================================================-- -- -- -- LED Flasher -- -- -- --===========================================================================-- -- -- File name : flasher.vhd -- -- Entity name : flasher -- -- Purpose : Implements a long counter used to flash a LED -- to indicate code has loaded correctly -- -- Dependencies : ieee.std_logic_1164 -- ieee.numeric_std -- ieee.std_logic_unsigned -- -- Author : John E. Kent -- -- Email : [email protected] -- -- Web : http://opencores.org/project,system09 -- -- -- Copyright (C) 2010 John Kent -- -- This program is free software: you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation, either version 3 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program. If not, see <http://www.gnu.org/licenses/>. -- --===========================================================================-- -- -- -- Revision History -- -- -- --===========================================================================-- -- -- Version Author Date Changes -- -- 0.1 John Kent 2010-08-28 Made separate module -- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use ieee.std_logic_unsigned.all; --library unisim; -- use unisim.vcomponents.all; ----------------------------------------------------------------------- -- Entity for B3_SRAM -- ----------------------------------------------------------------------- entity flasher is port ( clk : in std_logic; -- Clock input rst : in std_logic; -- Reset input (active high) LED : out Std_Logic -- LED output ); end flasher; --================== End of entity ==============================-- ------------------------------------------------------------------------------- -- Architecture for Flasher ------------------------------------------------------------------------------- architecture rtl of flasher is -- Flashing LED test signals signal countL : std_logic_vector(23 downto 0); begin -- -- LED Flasher to indicate code has loaded -- my_LED_Flasher : process (clk, rst, CountL ) begin if falling_edge(clk) then if rst = '1' then countL <= (others=>'0'); else countL <= countL + 1; end if; end if; LED <= countL(23); end process; end rtl;
entity const8 is end entity; architecture test of const8 is begin p1: process is variable s : string(1 to 12); begin s := (1 to 11 => "some string", others => NUL); wait for 1 ns; assert s = "some string" & NUL; s := ("foo", "bar", "baz", others => NUL); wait for 1 ns; report s; assert s = "foobarbaz" & NUL & NUL & NUL; wait; end process; end architecture;
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use work.arch_defs.all; use work.utils.all; entity mmio_vga is port( -- static addr : in addr_t; din: in word_t; dout: out word_t; size : in std_logic_vector(1 downto 0); -- is also enable when = "00" wr : in std_logic; en : in std_logic; memclk : in std_logic; trap : out traps_t := TRAP_NONE; -- I/O vgaclk, rst : in std_logic; r, g, b : out std_logic_vector (3 downto 0); hsync, vsync : out std_logic ); end mmio_vga; architecture mmio of mmio_vga is component sync port ( clk : in std_logic; en : in std_logic; hsync, vsync : out std_logic; retracing : out std_logic; col : out std_logic_vector (9 downto 0); -- 640 = 10_1000_0000b row : out std_logic_vector (8 downto 0) -- 480 = 1_1110_0000b ); end component; component vram port ( x : in std_logic_vector (9 downto 0); -- 640 = 10_1000_0000b y : in std_logic_vector (8 downto 0); -- 480 = 1_1110_0000b retracing : in std_logic; -- I/O r, g, b : out std_logic_vector (3 downto 0); -- Bus access to VRAM bus_addr : in addr_t; bus_din : in word_t; bus_dout : out word_t; bus_wr : in std_logic; bus_clk : in std_logic ); end component; constant reading : std_logic := '0'; constant writing : std_logic := '1'; signal retracing : std_logic; signal row : std_logic_vector (8 downto 0); signal col : std_logic_vector (9 downto 0); -- XXX The original idea was having multiple VGA modes -- Each VGA mode consists of a tuple of a Sync mode -- which defines the control signals and thereby the resolution -- and a shader, which accesses the VRAM in a specific way -- e.g. you can pair a 1024x768 sync with a shader that use a color palette -- While this would be cleaner, I think there's no way around having the -- shader work at double the VGA frequency, so it may access the VRAM. -- As I got no time for that, the mode code is commented out. --subtype vga_sync_t is std_logic_vector(1 downto 0); --subtype vga_shader_t is std_logic_vector(1 downto 0); --constant VGA_SYNC_NONE : vga_sync_t := (others => '0'); --constant VGA_VRAM_NONE : vga_shader_t := (others => '0'); --constant VGA_SYNC_640_480 : vga_sync_t := "01"; --constant VGA_SHADER_640_480 : vga_shader_t := "01"; --type vga_mode_t is record sync : vga_sync_t; shader : vga_shader_t; end record; --type vga_mode_table_t is array (natural range <>) of vga_mode_t; --constant modes : vga_mode_table_t := ( --(VGA_SYNC_NONE, VGA_SHADER_NONE), --(VGA_SYNC_640_480, VGA_SHADER_640_480), --(VGA_SYNC_NONE, VGA_SHADER_NONE) --); signal mode_idx : std_logic_vector(1 downto 0) := "01"; signal bus_access_vram : std_logic := '0'; signal bus_vram_din, bus_vram_dout, data_out : word_t; signal bus_vram_addr : word_t; signal bus_writing_vram : ctrl_t := '0'; begin -- FIXME: make shader selectable inst_sync_640_480: sync port map (clk => vgaclk, en => '1', hsync => hsync, vsync => vsync, retracing => retracing, col => col, row => row); --inst_vram: dualport_bram generic map(WORD_WIDTH => 8, ADDR_WIDTH => 8) bus_writing_vram <= wr and bus_access_vram and en; bus_vram_din <= din when bus_access_vram = '1'; bus_vram_addr <= addr when bus_access_vram = '1'; inst_vram: vram port map (x => col, y => row, retracing => retracing, r => r, g => g, b => b, bus_addr => bus_vram_addr, bus_din => bus_vram_din, bus_dout => bus_vram_dout, bus_wr => bus_writing_vram, bus_clk => memclk ); dout <= data_out when en = '1' and wr = '0' and bus_access_vram = '0' else bus_vram_dout when en = '1' and wr = '0' and bus_access_vram = '1' else HI_Z; process(memclk) begin if rising_edge(memclk) and en = '1' and size /= "00" then case addr(31 downto 24) is -- 0x14xx_xxxx is IO configuration space -- TODO use work.memory_map.mmap instead of hardcoded address base when X"14"=> bus_access_vram <= '0'; case addr(3 downto 0) is when X"0" => -- vga_mode if wr = writing then mode_idx <= din(mode_idx'High downto mode_idx'Low); else zeroextend(data_out, mode_idx); end if; when others => null; end case; -- 0x10xx_xxxx is IO memory space when X"10" => -- forward to BRAM bus_access_vram <= '1'; when others => trap <= TRAP_SEGFAULT; end case; end if; end process; end mmio;
---------------------------------------------------------------------------------- -- Company: TU Wien - ECS Group -- -- Engineer: Florian Huemer -- -- -- -- Create Date: 2011 -- -- Design Name: -- -- Module Name: -- -- Project Name: -- -- Description: -- ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -- LIBRARIES -- ---------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use work.math_pkg.all; use work.video_ram_pkg.all; use work.font_pkg.all; use work.display_controller_pkg.all; use work.cursor_controller_pkg.all; ---------------------------------------------------------------------------------- -- ENTITY -- ---------------------------------------------------------------------------------- entity textmode_controller_1c is generic ( ROW_COUNT : integer := 30; COLUM_COUNT : integer := 100; CLK_FREQ : integer := 25000000 ); port ( clk : in std_logic; res_n : in std_logic; wr : in std_logic; busy : out std_logic; instr : in std_logic_vector(7 downto 0); instr_data : in std_logic_vector(15 downto 0); hd : out std_logic; -- horizontal sync signal vd : out std_logic; -- vertical sync signal den : out std_logic; -- data enable r : out std_logic_vector(7 downto 0); -- pixel color value (red) g : out std_logic_vector(7 downto 0); -- pixel color value (green) b : out std_logic_vector(7 downto 0); -- pixel color value (blue) grest : out std_logic -- display reset ); end entity textmode_controller_1c; ---------------------------------------------------------------------------------- -- ARCHITECTURE -- ---------------------------------------------------------------------------------- architecture struct of textmode_controller_1c is component textmode_controller_fsm is generic ( ROW_COUNT : integer; COLUM_COUNT : integer ); port ( clk : in std_logic; res_n : in std_logic; wr : in std_logic; busy : out std_logic; instr : in std_logic_vector(7 downto 0); instr_data : in std_logic_vector(15 downto 0); scroll_offset : out std_logic_vector(log2c(ROW_COUNT)-1 downto 0); cursor_position_row : out std_logic_vector(log2c(ROW_COUNT)-1 downto 0); cursor_position_colum : out std_logic_vector(log2c(COLUM_COUNT)-1 downto 0); cursor_color : out std_logic_vector(3 downto 0); cursor_state : out std_logic_vector(1 downto 0); video_ram_addr : out std_logic_vector( (log2c(COLUM_COUNT)+log2c(ROW_COUNT)-1) downto 0); video_ram_data : out std_logic_vector(15 downto 0); video_ram_wr : out std_logic ); end component textmode_controller_fsm; constant ADDR_WIDTH_RAM : integer := log2c(COLUM_COUNT)+log2c(ROW_COUNT); --signals between controller and video ram signal wr_address_ram : std_logic_vector(ADDR_WIDTH_RAM-1 downto 0); signal wr_ram : std_logic; signal wr_data_ram : std_logic_vector(15 downto 0); signal scroll_offset_ram : std_logic_vector(log2c(ROW_COUNT)-1 downto 0); --signals between video ram and display controller signal rd_data_char_ram : std_logic_vector(7 downto 0); signal rd_data_bg_cc_in_ram : std_logic_vector(3 downto 0); signal rd_data_bg_cc_out_ram : std_logic_vector(3 downto 0); signal rd_data_fg_ram : std_logic_vector(3 downto 0); signal vram_out_addr_row : std_logic_vector(log2c(ROW_COUNT)-1 downto 0); signal vram_out_addr_colum : std_logic_vector(log2c(COLUM_COUNT)-1 downto 0); signal vram_out_addr : std_logic_vector(log2c(COLUM_COUNT)+log2c(ROW_COUNT)-1 downto 0); signal rd_ram : std_logic; --signals between font rom and display controller signal rom_decoded_char : std_logic_vector(7 downto 0); signal font_rom_addr : std_logic_vector(11 downto 0); -- signals between controller and cursor controller signal cursor_position_row : std_logic_vector(log2c(ROW_COUNT)-1 downto 0); signal cursor_position_colum : std_logic_vector(log2c(COLUM_COUNT)-1 downto 0); signal cursor_color : std_logic_vector(3 downto 0); signal cursor_state : std_logic_vector(1 downto 0); begin controller : textmode_controller_fsm generic map ( ROW_COUNT => ROW_COUNT, COLUM_COUNT => COLUM_COUNT ) port map ( clk => clk, res_n => res_n, wr => wr, busy => busy, instr => instr, instr_data => instr_data, video_ram_addr => wr_address_ram, video_ram_data => wr_data_ram, video_ram_wr => wr_ram, scroll_offset => scroll_offset_ram, cursor_position_row => cursor_position_row, cursor_position_colum => cursor_position_colum, cursor_color => cursor_color, cursor_state => cursor_state ); vram_out_addr <= vram_out_addr_colum & vram_out_addr_row; video_ram_inst : video_ram generic map ( DATA_WIDTH => 16, ROW_COUNT => ROW_COUNT, COLUM_COUNT => COLUM_COUNT ) port map ( clk => clk, data_in => wr_data_ram, addr_wr => wr_address_ram, wr => wr_ram, data_out(7 downto 0) => rd_data_char_ram, data_out(11 downto 8) => rd_data_bg_cc_in_ram, data_out(15 downto 12) => rd_data_fg_ram, addr_rd => vram_out_addr, rd => rd_ram, scroll_offset => scroll_offset_ram ); font_rom_inst : font_rom port map ( vga_clk => clk, char => font_rom_addr(11 downto 4), char_height_pixel => font_rom_addr(3 downto 0), decoded_char => rom_decoded_char ); display_controller_inst : display_controller port map ( clk => clk, res_n => res_n, -- connection video ram vram_addr_row => vram_out_addr_row, vram_addr_colum => vram_out_addr_colum, vram_data(7 downto 0) => rd_data_char_ram, --character vram_data(11 downto 8) => rd_data_bg_cc_out_ram, -- background color vram_data(15 downto 12) => rd_data_fg_ram, -- foreground color vram_rd => rd_ram, -- connection to font rom char => font_rom_addr(11 downto 4), char_height_pixel => font_rom_addr(3 downto 0), decoded_char => rom_decoded_char, -- connection to display hd => hd, vd => vd, den => den, r => r, g => g, b => b, grest => grest ); cursor_controller_inst : cursor_controller generic map ( CLK_FREQ => CLK_FREQ, BLINK_PERIOD => 1000 ms, ROW_COUNT => ROW_COUNT, COLUM_COUNT => COLUM_COUNT, COLOR_WIDTH => 4 ) port map ( clk => clk, res_n => res_n, cursor_state => cursor_state, cursor_color => cursor_color, position_row => cursor_position_row, position_colum => cursor_position_colum, vram_addr_row => vram_out_addr_row, vram_addr_colum => vram_out_addr_colum, vram_rd => rd_ram, vram_data_color_in => rd_data_bg_cc_in_ram, vram_data_color_out => rd_data_bg_cc_out_ram ); end architecture struct; -- EOF --
---------------------------------------------------------------------------------- -- Company: TU Wien - ECS Group -- -- Engineer: Florian Huemer -- -- -- -- Create Date: 2011 -- -- Design Name: -- -- Module Name: -- -- Project Name: -- -- Description: -- ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -- LIBRARIES -- ---------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use work.math_pkg.all; use work.video_ram_pkg.all; use work.font_pkg.all; use work.display_controller_pkg.all; use work.cursor_controller_pkg.all; ---------------------------------------------------------------------------------- -- ENTITY -- ---------------------------------------------------------------------------------- entity textmode_controller_1c is generic ( ROW_COUNT : integer := 30; COLUM_COUNT : integer := 100; CLK_FREQ : integer := 25000000 ); port ( clk : in std_logic; res_n : in std_logic; wr : in std_logic; busy : out std_logic; instr : in std_logic_vector(7 downto 0); instr_data : in std_logic_vector(15 downto 0); hd : out std_logic; -- horizontal sync signal vd : out std_logic; -- vertical sync signal den : out std_logic; -- data enable r : out std_logic_vector(7 downto 0); -- pixel color value (red) g : out std_logic_vector(7 downto 0); -- pixel color value (green) b : out std_logic_vector(7 downto 0); -- pixel color value (blue) grest : out std_logic -- display reset ); end entity textmode_controller_1c; ---------------------------------------------------------------------------------- -- ARCHITECTURE -- ---------------------------------------------------------------------------------- architecture struct of textmode_controller_1c is component textmode_controller_fsm is generic ( ROW_COUNT : integer; COLUM_COUNT : integer ); port ( clk : in std_logic; res_n : in std_logic; wr : in std_logic; busy : out std_logic; instr : in std_logic_vector(7 downto 0); instr_data : in std_logic_vector(15 downto 0); scroll_offset : out std_logic_vector(log2c(ROW_COUNT)-1 downto 0); cursor_position_row : out std_logic_vector(log2c(ROW_COUNT)-1 downto 0); cursor_position_colum : out std_logic_vector(log2c(COLUM_COUNT)-1 downto 0); cursor_color : out std_logic_vector(3 downto 0); cursor_state : out std_logic_vector(1 downto 0); video_ram_addr : out std_logic_vector( (log2c(COLUM_COUNT)+log2c(ROW_COUNT)-1) downto 0); video_ram_data : out std_logic_vector(15 downto 0); video_ram_wr : out std_logic ); end component textmode_controller_fsm; constant ADDR_WIDTH_RAM : integer := log2c(COLUM_COUNT)+log2c(ROW_COUNT); --signals between controller and video ram signal wr_address_ram : std_logic_vector(ADDR_WIDTH_RAM-1 downto 0); signal wr_ram : std_logic; signal wr_data_ram : std_logic_vector(15 downto 0); signal scroll_offset_ram : std_logic_vector(log2c(ROW_COUNT)-1 downto 0); --signals between video ram and display controller signal rd_data_char_ram : std_logic_vector(7 downto 0); signal rd_data_bg_cc_in_ram : std_logic_vector(3 downto 0); signal rd_data_bg_cc_out_ram : std_logic_vector(3 downto 0); signal rd_data_fg_ram : std_logic_vector(3 downto 0); signal vram_out_addr_row : std_logic_vector(log2c(ROW_COUNT)-1 downto 0); signal vram_out_addr_colum : std_logic_vector(log2c(COLUM_COUNT)-1 downto 0); signal vram_out_addr : std_logic_vector(log2c(COLUM_COUNT)+log2c(ROW_COUNT)-1 downto 0); signal rd_ram : std_logic; --signals between font rom and display controller signal rom_decoded_char : std_logic_vector(7 downto 0); signal font_rom_addr : std_logic_vector(11 downto 0); -- signals between controller and cursor controller signal cursor_position_row : std_logic_vector(log2c(ROW_COUNT)-1 downto 0); signal cursor_position_colum : std_logic_vector(log2c(COLUM_COUNT)-1 downto 0); signal cursor_color : std_logic_vector(3 downto 0); signal cursor_state : std_logic_vector(1 downto 0); begin controller : textmode_controller_fsm generic map ( ROW_COUNT => ROW_COUNT, COLUM_COUNT => COLUM_COUNT ) port map ( clk => clk, res_n => res_n, wr => wr, busy => busy, instr => instr, instr_data => instr_data, video_ram_addr => wr_address_ram, video_ram_data => wr_data_ram, video_ram_wr => wr_ram, scroll_offset => scroll_offset_ram, cursor_position_row => cursor_position_row, cursor_position_colum => cursor_position_colum, cursor_color => cursor_color, cursor_state => cursor_state ); vram_out_addr <= vram_out_addr_colum & vram_out_addr_row; video_ram_inst : video_ram generic map ( DATA_WIDTH => 16, ROW_COUNT => ROW_COUNT, COLUM_COUNT => COLUM_COUNT ) port map ( clk => clk, data_in => wr_data_ram, addr_wr => wr_address_ram, wr => wr_ram, data_out(7 downto 0) => rd_data_char_ram, data_out(11 downto 8) => rd_data_bg_cc_in_ram, data_out(15 downto 12) => rd_data_fg_ram, addr_rd => vram_out_addr, rd => rd_ram, scroll_offset => scroll_offset_ram ); font_rom_inst : font_rom port map ( vga_clk => clk, char => font_rom_addr(11 downto 4), char_height_pixel => font_rom_addr(3 downto 0), decoded_char => rom_decoded_char ); display_controller_inst : display_controller port map ( clk => clk, res_n => res_n, -- connection video ram vram_addr_row => vram_out_addr_row, vram_addr_colum => vram_out_addr_colum, vram_data(7 downto 0) => rd_data_char_ram, --character vram_data(11 downto 8) => rd_data_bg_cc_out_ram, -- background color vram_data(15 downto 12) => rd_data_fg_ram, -- foreground color vram_rd => rd_ram, -- connection to font rom char => font_rom_addr(11 downto 4), char_height_pixel => font_rom_addr(3 downto 0), decoded_char => rom_decoded_char, -- connection to display hd => hd, vd => vd, den => den, r => r, g => g, b => b, grest => grest ); cursor_controller_inst : cursor_controller generic map ( CLK_FREQ => CLK_FREQ, BLINK_PERIOD => 1000 ms, ROW_COUNT => ROW_COUNT, COLUM_COUNT => COLUM_COUNT, COLOR_WIDTH => 4 ) port map ( clk => clk, res_n => res_n, cursor_state => cursor_state, cursor_color => cursor_color, position_row => cursor_position_row, position_colum => cursor_position_colum, vram_addr_row => vram_out_addr_row, vram_addr_colum => vram_out_addr_colum, vram_rd => rd_ram, vram_data_color_in => rd_data_bg_cc_in_ram, vram_data_color_out => rd_data_bg_cc_out_ram ); end architecture struct; -- EOF --
entity anon01_sub is port (i : bit_vector (7 downto 0); o : out bit_vector (7 downto 0)); end anon01_sub; architecture behav of anon01_sub is begin o <= i xor x"a5"; end behav; entity anon01 is port (i : bit_vector (6 downto 0); o : out bit_vector (6 downto 0)); end anon01; architecture behav of anon01 is signal res : bit_vector (7 downto 0); begin dut: entity work.anon01_sub port map (i => '0' & i, o => res); o <= res (6 downto 0); end behav;
-- This testbench should work unmodified. library ieee; use ieee.std_logic_1164.all; library work; entity testbench_diviseur is end entity; architecture behaviorial of testbench_diviseur is component diviseur is port( ck: in std_logic; go: in std_logic; n: in std_logic_vector(7 downto 0); p: in std_logic_vector(7 downto 0); q: out std_logic_vector(7 downto 0); ok: out std_logic ); end component; signal ck, go, ok: std_logic; signal n, p, q: std_logic_vector(7 downto 0); begin -- Instantiate the Unit Under Test (UUT) uut: diviseur port map( ck => ck, go => go, n => n, p =>p, q =>q, ok => ok ); -- a clock process clock_process: process begin ck <= '1'; wait for 0.5 ns; ck <= '0'; wait for 0.5 ns; end process; -- A test process test_process: process begin n <= "00000100"; -- 5 p <= "00000101"; -- 5 go <= '0'; wait for 2.2 ns; go <= '1'; wait for 25 ns; n <= "00000101"; -- 5 p <= "00000101"; -- 5 go <= '0'; wait for 2.2 ns; go <= '1'; wait for 25 ns; n <= "00001010"; -- 10 p <= "00000101"; -- 5 go <= '0'; wait for 2.2 ns; go <= '1'; wait for 25 ns; end process; end behaviorial;
------------------------------------------------------------------------------ -- This file is a part of the GRLIB VHDL IP LIBRARY -- Copyright (C) 2003 - 2008, Gaisler Research -- Copyright (C) 2008 - 2014, Aeroflex Gaisler -- Copyright (C) 2015 - 2016, Cobham Gaisler -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation; either version 2 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ----------------------------------------------------------------------------- -- Entity: grethm -- File: grethm.vhd -- Author: Jiri Gaisler -- Description: Module to select between greth and greth1g ------------------------------------------------------------------------------ library ieee; library grlib; library gaisler; use ieee.std_logic_1164.all; use grlib.stdlib.all; use grlib.amba.all; library techmap; use techmap.gencomp.all; use gaisler.net.all; entity grethm is generic( hindex : integer := 0; pindex : integer := 0; paddr : integer := 0; pmask : integer := 16#FFF#; pirq : integer := 0; memtech : integer := 0; ifg_gap : integer := 24; attempt_limit : integer := 16; backoff_limit : integer := 10; slot_time : integer := 128; mdcscaler : integer range 0 to 255 := 25; enable_mdio : integer range 0 to 1 := 0; fifosize : integer range 4 to 64 := 8; nsync : integer range 1 to 2 := 2; edcl : integer range 0 to 3 := 0; edclbufsz : integer range 1 to 64 := 1; burstlength : integer range 4 to 128 := 32; macaddrh : integer := 16#00005E#; macaddrl : integer := 16#000000#; ipaddrh : integer := 16#c0a8#; ipaddrl : integer := 16#0035#; phyrstadr : integer range 0 to 32 := 0; rmii : integer range 0 to 1 := 0; sim : integer range 0 to 1 := 0; giga : integer range 0 to 1 := 0; oepol : integer range 0 to 1 := 0; scanen : integer range 0 to 1 := 0; ft : integer range 0 to 2 := 0; edclft : integer range 0 to 2 := 0; mdint_pol : integer range 0 to 1 := 0; enable_mdint : integer range 0 to 1 := 0; multicast : integer range 0 to 1 := 0; ramdebug : integer range 0 to 2 := 0; mdiohold : integer := 1; maxsize : integer := 1500; gmiimode : integer range 0 to 1 := 0 ); port( rst : in std_ulogic; clk : in std_ulogic; ahbmi : in ahb_mst_in_type; ahbmo : out ahb_mst_out_type; apbi : in apb_slv_in_type; apbo : out apb_slv_out_type; ethi : in eth_in_type; etho : out eth_out_type ); end entity; architecture rtl of grethm is begin m100 : if giga = 0 generate u0 : greth generic map ( hindex => hindex, pindex => pindex, paddr => paddr, pmask => pmask, pirq => pirq, memtech => memtech, ifg_gap => ifg_gap, attempt_limit => attempt_limit, backoff_limit => backoff_limit, slot_time => slot_time, mdcscaler => mdcscaler, enable_mdio => enable_mdio, fifosize => fifosize, nsync => nsync, edcl => edcl, edclbufsz => edclbufsz, macaddrh => macaddrh, macaddrl => macaddrl, ipaddrh => ipaddrh, ipaddrl => ipaddrl, phyrstadr => phyrstadr, rmii => rmii, oepol => oepol, scanen => scanen, ft => ft, edclft => edclft, mdint_pol => mdint_pol, enable_mdint => enable_mdint, multicast => multicast, ramdebug => ramdebug, mdiohold => mdiohold, maxsize => maxsize, gmiimode => gmiimode ) port map ( rst => rst, clk => clk, ahbmi => ahbmi, ahbmo => ahbmo, apbi => apbi, apbo => apbo, ethi => ethi, etho => etho); end generate; m1000 : if giga = 1 generate u0 : greth_gbit generic map ( hindex => hindex, pindex => pindex, paddr => paddr, pmask => pmask, pirq => pirq, memtech => memtech, ifg_gap => ifg_gap, attempt_limit => attempt_limit, backoff_limit => backoff_limit, slot_time => slot_time, mdcscaler => mdcscaler, nsync => nsync, edcl => edcl, edclbufsz => edclbufsz, burstlength => burstlength, macaddrh => macaddrh, macaddrl => macaddrl, ipaddrh => ipaddrh, ipaddrl => ipaddrl, phyrstadr => phyrstadr, sim => sim, oepol => oepol, scanen => scanen, ft => ft, edclft => edclft, mdint_pol => mdint_pol, enable_mdint => enable_mdint, multicast => multicast, ramdebug => ramdebug, mdiohold => mdiohold, gmiimode => gmiimode ) port map ( rst => rst, clk => clk, ahbmi => ahbmi, ahbmo => ahbmo, apbi => apbi, apbo => apbo, ethi => ethi, etho => etho); end generate; end architecture;
library ieee; use ieee.std_logic_1164.all; entity ent is end; architecture a of ent is component c is port ( p : in std_logic_vector(7 downto 0) ); end component; begin inst: component c port map ( p => x"00" ); end;
library IEEE; use IEEE.std_logic_1164.all; entity divisor is port( clock : in std_logic; zera : in std_logic; conta : in std_logic; amostra : out std_logic; conta4 : out std_logic_vector(1 downto 0); conta8 : out std_logic_vector(2 downto 0)); end divisor; architecture structure of divisor is component contador_mod4 is port( clock : in std_logic; zera : in std_logic; conta : in std_logic; contagem : out std_logic_vector(1 downto 0); fim : out std_logic); end component; component contador_mod8 is port( clock : in std_logic; zera : in std_logic; conta : in std_logic; contagem : out std_logic_vector(2 downto 0); fim : out std_logic); end component; signal s1, s2 : std_logic; begin c1 : contador_mod4 port map (clock, zera, conta, conta4, s1); c2 : contador_mod8 port map(clock, zera, conta, conta8, s2); amostra <= s1 xor s2; end structure;
---------------------------------------------------------------------------------- -- Company: ITESM CQ -- Engineer: Miguel Gonzalez A01203712 -- -- Create Date: 17:00:57 11/21/2015 -- Design Name: -- Module Name: Output_robot - Behavioral -- Project Name: -- Target Devices: -- Tool versions: -- Description: Output for robot -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Revision 1.1.0 - Main Robot Implementation -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; library work; use work.PKG_ROBOT_SUMO.all; entity Output_robot is port ( in_pres_state : in robot_state_values; out_action : out STD_LOGIC_VECTOR(2 downto 0)); end Output_robot; architecture Behavioral of Output_robot is begin -- Proceso que describe el bloque "Output Logic" outputs: process (in_pres_state) begin case in_pres_state is when ROBOT_DETECT => out_action <= "000"; when ROBOT_FOWARD => out_action <= "001"; when ROBOT_REVERSE => out_action <= "010"; when ROBOT_STOP => out_action <= "011"; when others => out_action <= "000"; end case; end process outputs; end Behavioral;
library ieee; use ieee.std_logic_1164.all; use IEEE.numeric_std.all; entity befunge_alu is generic( word_size : integer := 8 ); port( clk : in std_logic; reset : in std_logic; a : in std_logic_vector(word_size-1 downto 0); b : in std_logic_vector(word_size-1 downto 0); result : out std_logic_vector(word_size-1 downto 0); op : in std_logic_vector(2 downto 0); en : in std_logic; working : out std_logic ); end befunge_alu; architecture alu_v1 of befunge_alu is constant zero : std_logic_vector(word_size - 1 downto 0) := (others => '0'); signal en_shadow : std_logic; signal div_rfd : std_logic; signal div_quotient : std_logic_vector(word_size-1 downto 0); signal div_remainder : std_logic_vector(word_size-1 downto 0); component div_gen_v3_0 is port ( rfd : out STD_LOGIC; clk : in STD_LOGIC := 'X'; dividend : in STD_LOGIC_VECTOR ( 7 downto 0 ); quotient : out STD_LOGIC_VECTOR ( 7 downto 0 ); divisor : in STD_LOGIC_VECTOR ( 7 downto 0 ); fractional : out STD_LOGIC_VECTOR ( 7 downto 0 ) ); end component; begin divider : div_gen_v3_0 port map ( div_rfd, clk, b, div_quotient, a, div_remainder ); process(reset,clk) variable result_int : std_logic_vector((word_size * 2) -1 downto 0); variable div_wait : integer range 0 to 12; variable div_or_mod : std_logic; begin if(reset = '1') then result <= (others => '0'); en_shadow <= '0'; working <= '0';--This flag should be used to stall the cpu for multi cycle instructions (mod and div) div_wait := 0; div_or_mod := '0'; else if rising_edge(clk) then en_shadow <= en; if ( en = '1' and en_shadow = '0' ) then working <= '1'; if ( op = "000" ) then -- + add result <= std_logic_vector(Unsigned(a) + Unsigned(b)); elsif ( op = "001" ) then -- - subract result <= std_logic_vector(Unsigned(a) - Unsigned(b)); elsif ( op = "010" ) then -- * multiply result_int := std_logic_vector(Unsigned(a) * Unsigned(b)); result <= result_int(word_size-1 downto 0); elsif ( op = "011" ) then -- / divide (hard!) div_wait := 12; div_or_mod := '0'; -- result <= std_logic_vector(Unsigned(a) / Unsigned(b)); elsif ( op = "100" ) then -- % modulue (hard!) div_wait := 12; div_or_mod := '1'; --result <= std_logic_vector(Unsigned(a) % Unsigned(b)); elsif ( op = "101" ) then -- ! not if (a /= zero) then result <= (others => '0'); else result <= "00000001"; end if; elsif ( op = "110" ) then -- ' greater than result <= (others => '0'); end if; elsif (div_wait = 1) then if (div_or_mod = '0') then result <= div_quotient; else result <= div_remainder; end if; div_wait := div_wait - 1; elsif (div_wait > 0) then div_wait := div_wait -1; elsif (div_wait = 0) then working <= '0'; end if; end if; end if; end process; end alu_v1;
-------------------------------------------------------------------------------- -- Author: Parham Alvani ([email protected]) -- -- Create Date: 31-03-2016 -- Module Name: fitness.vhd -------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; entity fitness is port (s : in string (1 to 120); clk, reset : in std_logic; a, b : out std_logic_vector (4 downto 0); done : out std_logic); end entity fitness; architecture rtl of fitness is begin process (clk) variable I : integer := 1; begin if clk'event and clk = '1' then if I < 120 then if s(I) = ' ' then a <= "11010"; -- a = 26 else a <= std_logic_vector(to_unsigned(character'pos(s(I)) - 96, 5)); -- a = s[i] - 'a' end if; if s(I + 1) = ' ' then b <= "11010"; -- b = 26 else b <= std_logic_vector(to_unsigned(character'pos(s(I + 1)) - 96, 5)); -- b = s[i + 1] - 'a' end if; I := I + 1; end if; end if; end process; end architecture rtl;
library IEEE; use IEEE.std_logic_1164.all; USE ieee.std_logic_unsigned.ALL; USE ieee.std_logic_misc.all; use IEEE.Numeric_Std.all; use work.pico_cpu.all; --DPU entity entity DPU is generic (BitWidth: integer); port ( Data_in_mem: in std_logic_vector (BitWidth-1 downto 0); Data_in: in std_logic_vector (BitWidth-1 downto 0); clk: in std_logic; Command: in std_logic_vector (10 downto 0); Reg_in_sel: in std_logic_vector (7 downto 0); Reg_out_sel: in std_logic_vector (2 downto 0); rst: in std_logic; DPU_Flags: out std_logic_vector (3 downto 0); Result: out std_logic_vector (BitWidth-1 downto 0) ); end DPU; --Architecture of the DPU architecture RTL of DPU is --------------------------------------------- -- Signals --------------------------------------------- signal ACC_in,ACC_out: std_logic_vector (BitWidth-1 downto 0) := (others=>'0'); signal Reg_out: std_logic_vector (BitWidth-1 downto 0):= (others=>'0'); signal Mux_Out: std_logic_vector (BitWidth-1 downto 0):= (others=>'0'); --------------------------------------------- -- Flags --------------------------------------------- signal EQ_Flag_out, EQ_Flag_in: std_logic := '0'; signal OV_Flag_out, OV_Flag_in: std_logic := '0'; signal Z_Flag_out, Z_Flag_in: std_logic := '0'; signal C_flag_in, C_flag_out, Cout:std_logic := '0'; signal OV_Flag_Value :std_logic := '0'; --------------------------------------------- -- Opcode Aliases --------------------------------------------- alias Mux_Cont : std_logic_vector (1 downto 0) is Command (1 downto 0); alias ALUCommand : std_logic_vector (3 downto 0) is Command (5 downto 2); alias SetFlag : std_logic_vector (2 downto 0) is Command (8 downto 6); alias B_Mux_Cont : std_logic_vector (1 downto 0) is Command (10 downto 9); begin ALU_comp: ALU generic map (BitWidth => BitWidth) port map (ACC_out, Mux_Out, ALUCommand,C_flag_out,Cout,ACC_in); RegFile_comp: RegisterFile generic map (BitWidth => BitWidth) port map (clk, rst,Data_in_mem,Data_in,ACC_out,B_Mux_Cont,Reg_in_sel,Reg_out_sel,Reg_out); --------------------------------------------- -- Registers and Flags --------------------------------------------- process (clk,rst) begin if rst = '1' then ACC_out<=(others =>'0'); OV_Flag_out <= '0'; Z_Flag_out <= '0'; EQ_Flag_out <= '0'; C_flag_out <= '0'; elsif clk'event and clk='1' then ACC_out<=ACC_in; OV_Flag_out <= OV_Flag_in; Z_Flag_out <= Z_Flag_in; EQ_Flag_out <= EQ_Flag_in; C_flag_out <= C_flag_in; end if; end process; --------------------------------------------- -- ALU 2nd Input multiplexer --------------------------------------------- process (Data_in_mem,Data_in,Reg_out,Mux_Cont) begin case Mux_Cont is when "00" => Mux_Out <= Data_in_mem; when "01" => Mux_Out <= Data_in; when "10" => Mux_Out <= Reg_out; when "11" => Mux_Out <= std_logic_vector(to_unsigned(1, BitWidth )); when others => Mux_Out <= std_logic_vector(to_unsigned(0, BitWidth )); end case; end process; OV_Flag_Value <= (ACC_in(BitWidth-1 ) and Mux_Out(BitWidth-1 ) and (not ACC_out(BitWidth-1 ))) or ((not ACC_in(BitWidth-1 )) and (not Mux_Out(BitWidth-1)) and ACC_out(BitWidth-1)); --------------------------------------------- -- Flag controls --------------------------------------------- process(SetFlag, ALUCommand, ACC_in, OV_Flag_Value, Data_in, Cout, ACC_out, EQ_Flag_out, Z_Flag_out, C_flag_out, OV_Flag_out) begin ---------------------------------- if SetFlag = "011" then EQ_Flag_in <= '0'; else if ALUCommand /= "0010" then if (ACC_out = Data_in) then EQ_Flag_in <= '1'; else EQ_Flag_in <= '0'; end if; else EQ_Flag_in <= EQ_Flag_out; end if; end if; ---------------------------------- if SetFlag = "001" then Z_Flag_in <= '0'; else if ALUCommand /= "0010" then Z_Flag_in <= not (or_reduce(ACC_in)); else Z_Flag_in <= Z_Flag_out; end if; end if; ---------------------------------- if SetFlag = "100" then C_flag_in <= '0'; else if ALUCommand /= "0010" and ALUCommand /= "1110" and ALUCommand /= "1111" then C_flag_in <= Cout; elsif ALUCommand = "1110" then --RRC C_flag_in <= ACC_out(0); elsif ALUCommand = "1111" then --RLC C_flag_in <= ACC_out(BitWidth-1); else C_flag_in <= C_flag_out; END IF; end if; ---------------------------------- if SetFlag = "010" then OV_Flag_in <= '0'; else if (ALUCommand = "0000" or ALUCommand = "0001") then OV_Flag_in <= OV_Flag_Value; else OV_Flag_in <= OV_Flag_out; end if; end if; ---------------------------------- end process; Result <= ACC_out; DPU_Flags <= C_flag_out & EQ_Flag_out & Z_Flag_out & OV_Flag_out; end RTL;