text
stringlengths 938
1.05M
|
---|
(************************************************************************)
(* v * The Coq Proof Assistant / The Coq Development Team *)
(* <O___,, * CNRS-Ecole Polytechnique-INRIA Futurs-Universite Paris Sud *)
(* \VV/ **************************************************************)
(* // * This file is distributed under the terms of the *)
(* * GNU Lesser General Public License Version 2.1 *)
(************************************************************************)
(*i $Id$ i*)
(** Properties of decidable propositions *)
Definition decidable (P:Prop) := P \/ ~ P.
Theorem dec_not_not : forall P:Prop, decidable P -> (~ P -> False) -> P.
Proof.
unfold decidable; tauto.
Qed.
Theorem dec_True : decidable True.
Proof.
unfold decidable; auto.
Qed.
Theorem dec_False : decidable False.
Proof.
unfold decidable, not; auto.
Qed.
Theorem dec_or :
forall A B:Prop, decidable A -> decidable B -> decidable (A \/ B).
Proof.
unfold decidable; tauto.
Qed.
Theorem dec_and :
forall A B:Prop, decidable A -> decidable B -> decidable (A /\ B).
Proof.
unfold decidable; tauto.
Qed.
Theorem dec_not : forall A:Prop, decidable A -> decidable (~ A).
Proof.
unfold decidable; tauto.
Qed.
Theorem dec_imp :
forall A B:Prop, decidable A -> decidable B -> decidable (A -> B).
Proof.
unfold decidable; tauto.
Qed.
Theorem dec_iff :
forall A B:Prop, decidable A -> decidable B -> decidable (A<->B).
Proof.
unfold decidable; tauto.
Qed.
Theorem not_not : forall P:Prop, decidable P -> ~ ~ P -> P.
Proof.
unfold decidable; tauto.
Qed.
Theorem not_or : forall A B:Prop, ~ (A \/ B) -> ~ A /\ ~ B.
Proof.
tauto.
Qed.
Theorem not_and : forall A B:Prop, decidable A -> ~ (A /\ B) -> ~ A \/ ~ B.
Proof.
unfold decidable; tauto.
Qed.
Theorem not_imp : forall A B:Prop, decidable A -> ~ (A -> B) -> A /\ ~ B.
Proof.
unfold decidable; tauto.
Qed.
Theorem imp_simp : forall A B:Prop, decidable A -> (A -> B) -> ~ A \/ B.
Proof.
unfold decidable; tauto.
Qed.
(** Results formulated with iff, used in FSetDecide.
Negation are expanded since it is unclear whether setoid rewrite
will always perform conversion. *)
(** We begin with lemmas that, when read from left to right,
can be understood as ways to eliminate uses of [not]. *)
Theorem not_true_iff : (True -> False) <-> False.
Proof.
tauto.
Qed.
Theorem not_false_iff : (False -> False) <-> True.
Proof.
tauto.
Qed.
Theorem not_not_iff : forall A:Prop, decidable A ->
(((A -> False) -> False) <-> A).
Proof.
unfold decidable; tauto.
Qed.
Theorem contrapositive : forall A B:Prop, decidable A ->
(((A -> False) -> (B -> False)) <-> (B -> A)).
Proof.
unfold decidable; tauto.
Qed.
Lemma or_not_l_iff_1 : forall A B: Prop, decidable A ->
((A -> False) \/ B <-> (A -> B)).
Proof.
unfold decidable. tauto.
Qed.
Lemma or_not_l_iff_2 : forall A B: Prop, decidable B ->
((A -> False) \/ B <-> (A -> B)).
Proof.
unfold decidable. tauto.
Qed.
Lemma or_not_r_iff_1 : forall A B: Prop, decidable A ->
(A \/ (B -> False) <-> (B -> A)).
Proof.
unfold decidable. tauto.
Qed.
Lemma or_not_r_iff_2 : forall A B: Prop, decidable B ->
(A \/ (B -> False) <-> (B -> A)).
Proof.
unfold decidable. tauto.
Qed.
Lemma imp_not_l : forall A B: Prop, decidable A ->
(((A -> False) -> B) <-> (A \/ B)).
Proof.
unfold decidable. tauto.
Qed.
(** Moving Negations Around:
We have four lemmas that, when read from left to right,
describe how to push negations toward the leaves of a
proposition and, when read from right to left, describe
how to pull negations toward the top of a proposition. *)
Theorem not_or_iff : forall A B:Prop,
(A \/ B -> False) <-> (A -> False) /\ (B -> False).
Proof.
tauto.
Qed.
Lemma not_and_iff : forall A B:Prop,
(A /\ B -> False) <-> (A -> B -> False).
Proof.
tauto.
Qed.
Lemma not_imp_iff : forall A B:Prop, decidable A ->
(((A -> B) -> False) <-> A /\ (B -> False)).
Proof.
unfold decidable. tauto.
Qed.
Lemma not_imp_rev_iff : forall A B : Prop, decidable A ->
(((A -> B) -> False) <-> (B -> False) /\ A).
Proof.
unfold decidable. tauto.
Qed.
(** With the following hint database, we can leverage [auto] to check
decidability of propositions. *)
Hint Resolve dec_True dec_False dec_or dec_and dec_imp dec_not dec_iff
: decidable_prop.
(** [solve_decidable using lib] will solve goals about the
decidability of a proposition, assisted by an auxiliary
database of lemmas. The database is intended to contain
lemmas stating the decidability of base propositions,
(e.g., the decidability of equality on a particular
inductive type). *)
Tactic Notation "solve_decidable" "using" ident(db) :=
match goal with
| |- decidable _ =>
solve [ auto 100 with decidable_prop db ]
end.
Tactic Notation "solve_decidable" :=
solve_decidable using core.
|
/**
* Copyright 2020 The SkyWater PDK Authors
*
* Licensed under the Apache License, Version 2.0 (the "License");
* you may not use this file except in compliance with the License.
* You may obtain a copy of the License at
*
* https://www.apache.org/licenses/LICENSE-2.0
*
* Unless required by applicable law or agreed to in writing, software
* distributed under the License is distributed on an "AS IS" BASIS,
* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
* See the License for the specific language governing permissions and
* limitations under the License.
*
* SPDX-License-Identifier: Apache-2.0
*/
`ifndef SKY130_FD_SC_LS__MUX4_BLACKBOX_V
`define SKY130_FD_SC_LS__MUX4_BLACKBOX_V
/**
* mux4: 4-input multiplexer.
*
* Verilog stub definition (black box without power pins).
*
* WARNING: This file is autogenerated, do not modify directly!
*/
`timescale 1ns / 1ps
`default_nettype none
(* blackbox *)
module sky130_fd_sc_ls__mux4 (
X ,
A0,
A1,
A2,
A3,
S0,
S1
);
output X ;
input A0;
input A1;
input A2;
input A3;
input S0;
input S1;
// Voltage supply signals
supply1 VPWR;
supply0 VGND;
supply1 VPB ;
supply0 VNB ;
endmodule
`default_nettype wire
`endif // SKY130_FD_SC_LS__MUX4_BLACKBOX_V
|
(************************************************************************)
(* * The Coq Proof Assistant / The Coq Development Team *)
(* v * INRIA, CNRS and contributors - Copyright 1999-2019 *)
(* <O___,, * (see CREDITS file for the list of authors) *)
(* \VV/ **************************************************************)
(* // * This file is distributed under the terms of the *)
(* * GNU Lesser General Public License Version 2.1 *)
(* * (see LICENSE file for the text of the license) *)
(************************************************************************)
(** Properties of decidable propositions *)
Definition decidable (P:Prop) := P \/ ~ P.
Theorem dec_not_not : forall P:Prop, decidable P -> (~ P -> False) -> P.
Proof.
unfold decidable; tauto.
Qed.
Theorem dec_True : decidable True.
Proof.
unfold decidable; auto.
Qed.
Theorem dec_False : decidable False.
Proof.
unfold decidable, not; auto.
Qed.
Theorem dec_or :
forall A B:Prop, decidable A -> decidable B -> decidable (A \/ B).
Proof.
unfold decidable; tauto.
Qed.
Theorem dec_and :
forall A B:Prop, decidable A -> decidable B -> decidable (A /\ B).
Proof.
unfold decidable; tauto.
Qed.
Theorem dec_not : forall A:Prop, decidable A -> decidable (~ A).
Proof.
unfold decidable; tauto.
Qed.
Theorem dec_imp :
forall A B:Prop, decidable A -> decidable B -> decidable (A -> B).
Proof.
unfold decidable; tauto.
Qed.
Theorem dec_iff :
forall A B:Prop, decidable A -> decidable B -> decidable (A<->B).
Proof.
unfold decidable. tauto.
Qed.
Theorem not_not : forall P:Prop, decidable P -> ~ ~ P -> P.
Proof.
unfold decidable; tauto.
Qed.
Theorem not_or : forall A B:Prop, ~ (A \/ B) -> ~ A /\ ~ B.
Proof.
tauto.
Qed.
Theorem not_and : forall A B:Prop, decidable A -> ~ (A /\ B) -> ~ A \/ ~ B.
Proof.
unfold decidable; tauto.
Qed.
Theorem not_imp : forall A B:Prop, decidable A -> ~ (A -> B) -> A /\ ~ B.
Proof.
unfold decidable; tauto.
Qed.
Theorem imp_simp : forall A B:Prop, decidable A -> (A -> B) -> ~ A \/ B.
Proof.
unfold decidable; tauto.
Qed.
Theorem not_iff :
forall A B:Prop, decidable A -> decidable B ->
~ (A <-> B) -> (A /\ ~ B) \/ (~ A /\ B).
Proof.
unfold decidable; tauto.
Qed.
Register dec_True as core.dec.True.
Register dec_False as core.dec.False.
Register dec_or as core.dec.or.
Register dec_and as core.dec.and.
Register dec_not as core.dec.not.
Register dec_imp as core.dec.imp.
Register dec_iff as core.dec.iff.
Register dec_not_not as core.dec.not_not.
Register not_not as core.dec.dec_not_not.
Register not_or as core.dec.not_or.
Register not_and as core.dec.not_and.
Register not_imp as core.dec.not_imp.
Register imp_simp as core.dec.imp_simp.
Register not_iff as core.dec.not_iff.
(** Results formulated with iff, used in FSetDecide.
Negation are expanded since it is unclear whether setoid rewrite
will always perform conversion. *)
(** We begin with lemmas that, when read from left to right,
can be understood as ways to eliminate uses of [not]. *)
Theorem not_true_iff : (True -> False) <-> False.
Proof.
tauto.
Qed.
Theorem not_false_iff : (False -> False) <-> True.
Proof.
tauto.
Qed.
Theorem not_not_iff : forall A:Prop, decidable A ->
(((A -> False) -> False) <-> A).
Proof.
unfold decidable; tauto.
Qed.
Theorem contrapositive : forall A B:Prop, decidable A ->
(((A -> False) -> (B -> False)) <-> (B -> A)).
Proof.
unfold decidable; tauto.
Qed.
Lemma or_not_l_iff_1 : forall A B: Prop, decidable A ->
((A -> False) \/ B <-> (A -> B)).
Proof.
unfold decidable. tauto.
Qed.
Lemma or_not_l_iff_2 : forall A B: Prop, decidable B ->
((A -> False) \/ B <-> (A -> B)).
Proof.
unfold decidable. tauto.
Qed.
Lemma or_not_r_iff_1 : forall A B: Prop, decidable A ->
(A \/ (B -> False) <-> (B -> A)).
Proof.
unfold decidable. tauto.
Qed.
Lemma or_not_r_iff_2 : forall A B: Prop, decidable B ->
(A \/ (B -> False) <-> (B -> A)).
Proof.
unfold decidable. tauto.
Qed.
Lemma imp_not_l : forall A B: Prop, decidable A ->
(((A -> False) -> B) <-> (A \/ B)).
Proof.
unfold decidable. tauto.
Qed.
(** Moving Negations Around:
We have four lemmas that, when read from left to right,
describe how to push negations toward the leaves of a
proposition and, when read from right to left, describe
how to pull negations toward the top of a proposition. *)
Theorem not_or_iff : forall A B:Prop,
(A \/ B -> False) <-> (A -> False) /\ (B -> False).
Proof.
tauto.
Qed.
Lemma not_and_iff : forall A B:Prop,
(A /\ B -> False) <-> (A -> B -> False).
Proof.
tauto.
Qed.
Lemma not_imp_iff : forall A B:Prop, decidable A ->
(((A -> B) -> False) <-> A /\ (B -> False)).
Proof.
unfold decidable. tauto.
Qed.
Lemma not_imp_rev_iff : forall A B : Prop, decidable A ->
(((A -> B) -> False) <-> (B -> False) /\ A).
Proof.
unfold decidable. tauto.
Qed.
(* Functional relations on decidable co-domains are decidable *)
Theorem dec_functional_relation :
forall (X Y : Type) (A:X->Y->Prop), (forall y y' : Y, decidable (y=y')) ->
(forall x, exists! y, A x y) -> forall x y, decidable (A x y).
Proof.
intros X Y A Hdec H x y.
destruct (H x) as (y',(Hex,Huniq)).
destruct (Hdec y y') as [->|Hnot]; firstorder.
Qed.
(** With the following hint database, we can leverage [auto] to check
decidability of propositions. *)
Hint Resolve dec_True dec_False dec_or dec_and dec_imp dec_not dec_iff
: decidable_prop.
(** [solve_decidable using lib] will solve goals about the
decidability of a proposition, assisted by an auxiliary
database of lemmas. The database is intended to contain
lemmas stating the decidability of base propositions,
(e.g., the decidability of equality on a particular
inductive type). *)
Tactic Notation "solve_decidable" "using" ident(db) :=
match goal with
| |- decidable _ =>
solve [ auto 100 with decidable_prop db ]
end.
Tactic Notation "solve_decidable" :=
solve_decidable using core.
|
// From: "Ma, Zhenqiang" <[email protected]>
module test (
// Ports for module A
input i_A_outsidei,
output o_A_outsideo,
// Ports for module B
input i_B_outsidei,
output o_B_outsideo );
/*AUTOWIRE*/
// Beginning of automatic wires (for undeclared instantiated-module outputs)
wire A_internal; // From u0 of moduleA.v
wire A_outsideo; // From u0 of moduleA.v
wire o_B_internal; // From u1 of moduleB.v
// End of automatics
//-----------------------------------------------------------------------------
// instantiate module A
//-----------------------------------------------------------------------------
/* moduleA AUTO_TEMPLATE (
.[iot]_\(.*\) (@"(vl-prefix-i-o \\"\1\\")"\1[]),
); */
moduleA u0(
/*AUTOINST*/
// Outputs
.o_A_outsideo (A_outsideo), // Templated
.o_A_internal (A_internal), // Templated
// Inputs
.i_A_outsidei (A_outsidei), // Templated
.i_B_internal (B_internal)); // Templated
//-----------------------------------------------------------------------------
// instantiate module B
//-----------------------------------------------------------------------------
/* moduleB AUTO_TEMPLATE (
.[iot]_\(.*\) (@"(vl-prefix-i-o vl-dir)"\1[]),
); */
moduleB u1(
/*AUTOINST*/
// Outputs
.o_B_outsideo (o_B_outsideo), // Templated
.o_B_internal (o_B_internal), // Templated
// Inputs
.i_B_outsidei (i_B_outsidei), // Templated
.i_A_internal (i_A_internal)); // Templated
endmodule
module moduleA (
input i_A_outsidei,
output o_A_outsideo,
input i_B_internal,
output o_A_internal
);
/*AUTOTIEOFF*/
// Beginning of automatic tieoffs (for this module's unterminated outputs)
wire o_A_internal = 1'h0;
wire o_A_outsideo = 1'h0;
// End of automatics
endmodule
module moduleB (
input i_B_outsidei,
output o_B_outsideo,
input i_A_internal,
output o_B_internal
);
/*AUTOTIEOFF*/
// Beginning of automatic tieoffs (for this module's unterminated outputs)
wire o_B_internal = 1'h0;
wire o_B_outsideo = 1'h0;
// End of automatics
endmodule
/*
Local Variables:
eval:
(defun vl-prefix-i-o (dir)
(cond ((equal dir "input")
"i_")
((equal dir "output")
"o_")
((equal dir "inout")
"t_")
(t "")))
End:
*/
|
/**
* Copyright 2020 The SkyWater PDK Authors
*
* Licensed under the Apache License, Version 2.0 (the "License");
* you may not use this file except in compliance with the License.
* You may obtain a copy of the License at
*
* https://www.apache.org/licenses/LICENSE-2.0
*
* Unless required by applicable law or agreed to in writing, software
* distributed under the License is distributed on an "AS IS" BASIS,
* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
* See the License for the specific language governing permissions and
* limitations under the License.
*
* SPDX-License-Identifier: Apache-2.0
*/
`ifndef SKY130_FD_SC_HS__FA_PP_BLACKBOX_V
`define SKY130_FD_SC_HS__FA_PP_BLACKBOX_V
/**
* fa: Full adder.
*
* Verilog stub definition (black box with power pins).
*
* WARNING: This file is autogenerated, do not modify directly!
*/
`timescale 1ns / 1ps
`default_nettype none
(* blackbox *)
module sky130_fd_sc_hs__fa (
COUT,
SUM ,
A ,
B ,
CIN ,
VPWR,
VGND
);
output COUT;
output SUM ;
input A ;
input B ;
input CIN ;
input VPWR;
input VGND;
endmodule
`default_nettype wire
`endif // SKY130_FD_SC_HS__FA_PP_BLACKBOX_V
|
/// data :2016/2/24
/// engineer :ZhaiShaoMin
/// module name :cache_controler_network_side
/// module function :generate correct input data
/// and ctrl signals for cache accesses!
module dcache_cpu_network_ctrler(
//global ctrl signals
clk,
rst,
//input from arbiter_for_dcache
flits_in,
v_flits_in,
v_cpu_req,
// input from cpu access regs used for cpu_side wait state:shrep or exrep or SH_exrep or invrep
cpu_addr_for_wait,
v_cpu_addr_for_wait,
cpu_access_head,
//input from dc_upload_req regs : fsm_state to tell dcache whether it's idle
d_req_state,
//input from dc_upload_rep regs : fsm state to tell dcache whether it's idle
d_rep_state,
// input from d_m_areg(=>data cache to mem access regs) :fsm state. used to tell dcache whether it's idle
m_fsm_state,
//output to cpu access regs saying that data cache doesn't need cpu_addr anymore!
done_access_cpu_addr,
//output to tell arbiter that data cache has been accessed!
dcache_done_access,
//output to d_m_areg when the generated msg is a local msg
flits_d_m_areg, // at most 11 flits
v_flits_d_m_areg,
//output to dc_upload_req regs
flits_dc_upload_req, // always 3 flits
v_flits_dc_upload_req,
en_flit_max_req,
flit_max_req,
//output to dc_upload_rep regs
flits_dc_upload_rep, // at most 11 flits
v_flits_dc_upload_rep,
en_flit_max_rep,
flit_max_rep,
// output to cpu tell whether cpu access has done
data_cpu,
v_rep_cpu
);
/// msg type parameter
///////////request cmd
parameter shreq_cmd=5'b00000;
parameter exreq_cmd=5'b00001;
parameter SCexreq_cmd=5'b00010;
parameter instreq_cmd=5'b00110;
parameter wbreq_cmd=5'b00011;
parameter invreq_cmd=5'b00100;
parameter flushreq_cmd=5'b00101;
parameter SCinvreq_cmd=5'b00110;
//////////reply cmd
parameter wbrep_cmd=5'b10000;
parameter C2Hinvrep_cmd=5'b10001;
parameter flushrep_cmd=5'b10010;
parameter ATflurep_cmd=5'b10011;
parameter shrep_cmd=5'b11000;
parameter exrep_cmd=5'b11001;
parameter SH_exrep_cmd=5'b11010;
parameter SCflurep_cmd=5'b11100;
parameter instrep_cmd=5'b10100;
parameter C2Cinvrep_cmd=5'b11011;
parameter nackrep_cmd=5'b10101;
parameter flushfail_rep_cmd=5'b10110;
parameter wbfail_rep_cmd=5'b10111;
//para
parameter local_id=2'b00;
//global ctrl signals
input clk;
input rst;
//input from arbiter_for_dcache
input [143:0] flits_in;
input v_flits_in;
input v_cpu_req;
// input from cpu access regs used for cpu_side wait state:shrep or exrep or SH_exrep or invrep
input [31:0] cpu_addr_for_wait;
input v_cpu_addr_for_wait;
input [3:0] cpu_access_head;
//input from dc_upload_req regs : fsm_state to tell dcache whether it's idle
input d_req_state;
//input from dc_upload_rep regs : fsm state to tell dcache whether it's idle
input d_rep_state;
// input from d_m_areg(=>data cache to mem access regs) :fsm state. used to tell dcache whether it's idle
input m_fsm_state;
//output to cpu access regs saying that data cache doesn't need cpu_addr anymore!
output done_access_cpu_addr;
//output to tell arbiter that data cache has been accessed!
output dcache_done_access;
//output to d_m_areg when the generated msg is a local msg
output [175:0] flits_d_m_areg; // at most 11 flits
output v_flits_d_m_areg;
//output to dc_upload_req regs
output [47:0] flits_dc_upload_req; // always 3 flits
output v_flits_dc_upload_req;
output en_flit_max_req;
output [1:0] flit_max_req;
//output to dc_upload_rep regs
output [175:0] flits_dc_upload_rep; // at most 11 flits
output v_flits_dc_upload_rep;
output en_flit_max_rep;
output [3:0] flit_max_rep;
// output to cpu tell whether cpu access has done
output [31:0] data_cpu;
output v_rep_cpu;
// datapath of data cache
wire [5:0] state_tag_out;
reg [5:0] state_tag_in1;
reg data_we;
reg data_re1;
reg tag_we1;
reg tag_re1;
wire [127:0] data_read;
reg [127:0] data_write;
reg [31:0] seled_addr;
wire tag_we;
wire tag_re;
wire data_re;
wire [5:0] state_tag_in;
/////////////////////////////////////////////////////////////////////////
//////////////tag_ram and data_ram////////////////////////////////////
////////////////////////////////////////////////////////////////////////
SP_BRAM_SRd #(32,6,5) tag_ram(.clk(clk), .we(tag_we), .re(tag_re), .a(seled_addr[8:4]), .di(state_tag_in), .dout(state_tag_out));
SP_BRAM_SRd #(32,128,5) data_ram(.clk(clk), .we(data_we), .re(data_re), .a(seled_addr[8:4]), .di(data_write), .dout(data_read));
///////////////////////////////////////////////////////////
////////////////////////cpuside FSM////////////////////////
///////////////////////////////////////////////////////////
// fsm state constant!
parameter cpu_idle=6'b000001;
parameter cpu_compare_tag=6'b000010;
parameter cpu_gen_shreq=6'b000100;
parameter cpu_gen_exreq=6'b001000;
parameter cpu_wait_shrep=6'b010000;
parameter cpu_wait_exrep=6'b100000;
// msg type
parameter shreq_type=2'b00;
parameter exreq_type=2'b01;
//parameter Invrep_type=3'b000;
parameter autoflushrep_type=3'b001;
parameter wbreq_type=3'b010;
parameter invrep_type=3'b011;
parameter flushrep_type=3'b100;
parameter wbfail_rep_type=3'b101;
//parameter C2Cinvrep_type=3'b110;
parameter flushfail_rep_type=3'b110;
parameter wbrep_type=3'b111;
//parameter for outer fsm state
parameter m_idle=1'b0;
parameter d_req_idle=1'b0;
parameter d_rep_idle=1'b0;
// local_remote
reg [31:0] llsc_addr;
reg llsc_flag;
reg req_local_remote;
reg rep_local_remote;
reg rep_done;
reg req_done;
reg [2:0] rep_type_reg;
reg [3:0] now_past;
reg [3:0] inv_vector_end_reg;
reg [3:0] inv_vector_working_reg;
reg [5:0] cstate;
reg [5:0] nstate;
reg [127:0] cpu_wr_data;
reg [31:0] data_cpu;
reg addr_sel;
reg data_sel;
reg [127:0] data_out;
reg v_rep_cpu;
reg en_delayed_state_tag;
reg [3:0] delayed_state_tag_in;
reg [3:0] delayed_state_tag;
reg oneORmore;
reg oneORmore_reg;
reg set_req_done;
reg v_flits_dc_upload_req;
reg [47:0] flits_dc_upload_req;
reg set_rep_done;
reg [4:0] seled_exreq;
reg rst_llsc_flag;
reg en_inv_vector_end;
reg [3:0] inv_vector_end;
reg en_inv_vector_working;
reg [3:0] inv_vector_working;
reg rst_inv_vector;
reg [2:0] rep_type1;
reg en_rep_type1;
reg en_flit_max_rep1;
reg [3:0] flit_max_rep1;
reg en_flit_max_req;
reg [1:0] flit_max_req;
reg [31:0] llsc_addr_in;
reg set_llsc_addr_flag;
reg [175:0] flits_d_m_areg1;
reg v_flits_d_m_areg1;
reg [175:0] flits_dc_upload_rep1;
reg v_flits_dc_upload_rep1;
reg done_access_cpu_addr;
always@(*)
begin
done_access_cpu_addr=1'b0;
data_sel=1'b0;
data_we=1'b0;
data_re1=1'b0;
tag_re1=1'b0;
tag_we1=1'b0;
addr_sel=1'b1;
nstate=cstate;
v_rep_cpu=1'b0;
req_local_remote=1'b1;
rep_local_remote=1'b1;
en_delayed_state_tag=1'b0;
delayed_state_tag_in=4'b0000;
data_cpu = data_read[31:0];
state_tag_in1=6'b000000;
oneORmore=1'b0;
v_flits_d_m_areg1=1'b0;
flits_d_m_areg1=176'h0000;
set_req_done=1'b0;
v_flits_dc_upload_req=1'b0;
flits_dc_upload_req=48'h0000;
set_rep_done=1'b0;
v_flits_dc_upload_rep1=1'b0;
flits_dc_upload_rep1=176'h0000;
seled_exreq=exreq_cmd;
rst_llsc_flag=1'b0;
en_inv_vector_end=1'b0;
inv_vector_end=4'b0000;
en_inv_vector_working=1'b0;
inv_vector_working=4'b0000;
rst_inv_vector=1'b0;
rep_type1=3'b000;
en_rep_type1=1'b0;
en_flit_max_rep1=1'b0;
flit_max_rep1=4'b0010;
en_flit_max_req=1'b0;
flit_max_req=2'b10;
llsc_addr_in=seled_addr;
set_llsc_addr_flag=1'b0;
////////////////////////////////////////////////////////////////////
////////////select addr to index tag_ram and data_ram///////////////
case(addr_sel)
1'b0:seled_addr=flits_in[127:96];
1'b1:seled_addr=cpu_addr_for_wait;
default:seled_addr=flits_in[127:96];
endcase
////////////////////////////////////////////////////////////////////////////////////////////
///////////select correct data among readed data ,Infifos data and mem data to write////////
case(data_sel)
1'b0:data_write=flits_in[127:0];
1'b1:data_write=cpu_wr_data;
default:data_write=cpu_wr_data;
endcase
//////////////////////////////////////////////////
/*modify correct word (32-bit) based on address*/
cpu_wr_data = data_read;
case(seled_addr[3:2])
2'b00:cpu_wr_data[31:0] = flits_in[107:76];
2'b01:cpu_wr_data[63:32] = flits_in[107:76];
2'b10:cpu_wr_data[95:64] = flits_in[107:76];
2'b11:cpu_wr_data[127:96] = flits_in[107:76];
endcase
/////////////////////////////////////////////////
/*read out correct word(32-bit) from cache (to CPU)*/
case(seled_addr[3:2])
2'b00:data_cpu = data_read[31:0];
2'b01:data_cpu = data_read[63:32];
2'b10:data_cpu = data_read[95:64];
2'b11:data_cpu = data_read[127:96];
endcase
case(cstate)
cpu_idle:
begin
if(v_cpu_req)
begin
nstate=cpu_compare_tag;
end
end
cpu_compare_tag:
begin
tag_re1=1'b1;
addr_sel=1'b1;
data_re1=1'b1;
if(seled_addr[12:9]==state_tag_out[3:0]&&flits_in[143:142]==2'b01)
// flits_in[143:140] 143:r/w,0/1; 142:v,1; 141: ll/ld,0/1; 140:sc/st,0/1.
begin
if(state_tag_out[5]==1'b1)//read hit //state_tag_out[5:4]: inv:00 , pending :01 , sh:10 , ex:11;
begin
if(flits_in[141]==1'b0) // 0 :link load ;1 normal load
begin
set_llsc_addr_flag=1'b1;
llsc_addr_in=seled_addr;
end
//gen read hit ctrl signals
v_rep_cpu=1'b1;
nstate=cpu_idle;
end
else // state is inv ,so read miss
// NOTE:the core only allow one outstanding cache access,
// so there won't be a case that cpu aceesses see apending state!
begin
if(seled_addr[12:11]==local_id)
begin
req_local_remote=1'b0;
end // local 0 ;remote 1; default :remote ?
/*generate new tag*/
tag_we1=1'b1;
/*new tag*/
state_tag_in1 = {2'b01,seled_addr[12:9]};
en_delayed_state_tag=1'b1;
delayed_state_tag_in=state_tag_out[3:0];
//// need_gen_shreq=1'b1;
// gen_msg_ld_wr=1'b0; //// 0: read miss ; 1: write miss;
nstate=cpu_gen_shreq;
// oneORmore=1'b0;
end
end
else if(seled_addr[12:9]!=state_tag_out[3:0]&&flits_in[143:142]==2'b01)
// if needed ,evict the data in the addr
begin// read miss
nstate=cpu_gen_shreq;
/*generate new tag*/
tag_we1= 1'b1;
/*new tag*/
state_tag_in1 = {2'b01,seled_addr[12:9]};
en_delayed_state_tag=1'b1;
delayed_state_tag_in=state_tag_out[3:0];
// req_type=shreq_type;
// en_req_type=1'b1;
// need_gen_shreq=1'b1;
// output to local or home
if(seled_addr[12:11]==local_id)
begin
req_local_remote=1'b0;
end // local 0 ;remote 1; default :remote ?
if(state_tag_out[5:4]==2'b00) //inv : no need to gen rep!
begin
oneORmore=1'b0;
end
else if(state_tag_out[5:4]==2'b10) //sh :need to be invalided back to home!
begin
en_rep_type1=1'b1;
rep_type1=invrep_type;
oneORmore=1'b1;
end
else if(state_tag_out[5:4]==2'b11) // ex :need to be flushed back to home!
begin
en_rep_type1=1'b1;
rep_type1=autoflushrep_type;
// oneORmore=1'b1;
end
end
if(seled_addr[12:9]==state_tag_out[3:0]&&flits_in[143:142]==2'b11) //cpu_req 11:wt; 01:rd
begin
if(state_tag_out[5:4]==2'b11)
begin
//gen write hit ctrl signals
v_rep_cpu=1'b1;
data_sel=1'b1;
data_we=1'b1;
nstate=cpu_idle;
end
else if(state_tag_out[5:4]==2'b10||state_tag_out[5:4]==2'b01)
// since we want to write and data now is shared ,we need to gen exrep
begin
if(seled_addr[12:11]==local_id)
begin
req_local_remote=1'b0;
end // local 0 ;remote 1; default :remote ?
// req_type=exreq_type;
// en_req_type=1'b1;
nstate=cpu_gen_exreq;
end
end
else if(seled_addr[12:9]!=state_tag_out[3:0]&&flits_in[143:142]==2'b11)
begin // write miss
nstate=cpu_gen_exreq;
/*generate new tag*/
tag_we1 = 1'b1;
/*new tag*/
state_tag_in1 = {2'b01,seled_addr[12:9]};
en_delayed_state_tag=1'b1;
delayed_state_tag_in=state_tag_out[3:0];
// req_type=exreq_type;
// en_req_type=1'b1;
// need_gen_shreq=1'b1;
// req output to local or home
if(seled_addr[12:11]==local_id)
begin
req_local_remote=1'b0;
end // local 0 ;remote 1; default :remote ?
// rep output to local or home
if(state_tag_out[3:2]==local_id)
begin
rep_local_remote=1'b0;
end // local 0 ;remote 1; default :remote ?
/* if(state_tag_out[5:4]==2'b00) //inv : no need to gen rep!
begin
oneORmore=1'b0;
end
else*/ if(state_tag_out[5:4]==2'b10) //sh :need to be invalided back to home!
begin
en_rep_type1=1'b1;
rep_type1=invrep_type;
oneORmore=1'b1;
end
else if(state_tag_out[5:4]==2'b11) // ex :need to be flushed back to home!
begin
en_rep_type1=1'b1;
rep_type1=autoflushrep_type;
oneORmore=1'b1;
end
end // end of write miss
end // end of compare_tag
cpu_gen_shreq:
begin// gen sh msg to home!
addr_sel=1'b1;
if(oneORmore_reg==1'b1)
begin
if(req_local_remote==1'b0&&m_fsm_state==m_idle&&~req_done)
begin
v_flits_d_m_areg1=1'b1;
flits_d_m_areg1={seled_addr[12:11],1'b0,local_id,1'b1,shreq_cmd,5'b00000,seled_addr,128'hzzzz};
set_req_done=1'b1;
end
if(req_local_remote==1'b1&&d_req_state==d_req_idle&&~req_done)
begin
en_flit_max_req=1'b1;
flit_max_req=2'b10;
v_flits_dc_upload_req=1'b1;
flits_dc_upload_req={seled_addr[12:11],1'b0,local_id,1'b1,shreq_cmd,5'b00000,seled_addr};
set_req_done=1'b1;
end
if(rep_local_remote==1'b0&&m_fsm_state==m_idle&&~rep_done)
begin
if(rep_type_reg==invrep_type)
flits_d_m_areg1={state_tag_out[3:2],1'b0,local_id,1'b1,C2Hinvrep_cmd,5'b00000,
seled_addr[31:13],delayed_state_tag,seled_addr[8:0],128'hzzzz};
//evicted addr ,so addr flits is {seled_addr[31:13],state_tag_out[12:9],seled_addr[8:0]}!
else if(rep_type_reg==autoflushrep_type)
flits_d_m_areg1={state_tag_out[3:2],1'b0,local_id,1'b1,ATflurep_cmd,5'b00000,
seled_addr[31:13],delayed_state_tag,seled_addr[8:0],data_read};
////////////////////////////////////////////////////////////////////////////
// note: if the evicted data is llsc data ,we need to reset the llsc flag!//
////////////////////////////////////////////////////////////////////////////
if({seled_addr[31:13],state_tag_out[3:0],seled_addr[8:0]}==llsc_addr&&llsc_flag)
rst_llsc_flag=1'b1;
v_flits_d_m_areg1=1'b1;
set_rep_done=1'b1;
end
if(rep_local_remote==1'b1&&d_rep_state==d_rep_idle&&~rep_done)
begin
if(rep_type_reg==invrep_type)
begin
en_flit_max_rep1=1'b1;
flit_max_rep1=4'b0010;
flits_dc_upload_rep1={state_tag_out[3:2],1'b0,local_id,1'b1,C2Hinvrep_cmd,5'b00000,
seled_addr[31:13],state_tag_out[3:0],seled_addr[8:0],128'hzzzz};
end
else if(rep_type_reg==autoflushrep_type)
begin
en_flit_max_rep1=1'b1;
flit_max_rep1=4'b1010;
flits_dc_upload_rep1={state_tag_out[3:2],1'b0,local_id,1'b1,ATflurep_cmd,5'b00000,
seled_addr[31:13],state_tag_out[3:0],seled_addr[8:0],data_read};
end
////////////////////////////////////////////////////////////////////////////
// note: if the evicted data is llsc data ,we need to reset the llsc flag!//
if({seled_addr[31:13],state_tag_out[3:0],seled_addr[8:0]}==llsc_addr&&llsc_flag)
rst_llsc_flag=1'b1;
////////////////////////////////////////////////////////////////////////////
v_flits_dc_upload_rep1=1'b1;
set_rep_done=1'b1;
end
if(set_req_done&&set_rep_done||req_done&&set_rep_done||set_req_done&&rep_done)
nstate=cpu_wait_shrep;
end
if(oneORmore_reg==1'b0)
begin
if(req_local_remote==1'b0&&m_fsm_state==m_idle&&~req_done)
begin
v_flits_d_m_areg1=1'b1;
flits_d_m_areg1={seled_addr[12:11],1'b0,local_id,1'b1,shreq_cmd,5'b00000,seled_addr,128'hzzzz};
set_req_done=1'b1;
end
if(req_local_remote==1'b1&&d_req_state==d_req_state&&~req_done)
begin
en_flit_max_req=1'b1;
flit_max_req=2'b10;
v_flits_dc_upload_req=1'b1;
flits_dc_upload_req={seled_addr[12:11],1'b0,local_id,1'b1,shreq_cmd,5'b00000,seled_addr};
set_req_done=1'b1;
end
if(set_req_done)
nstate=cpu_wait_shrep;
end
end
cpu_gen_exreq:
begin
addr_sel=1'b1;
if(oneORmore_reg==1'b1) //exreq and inv/flush rep if SCexreq ,then scexe req
begin
if(flits_in[143:142]==2'b11&&flits_in[140]==1'b0)
seled_exreq=SCexreq_cmd;
else
seled_exreq=exreq_cmd;
if(req_local_remote==1'b0&&m_fsm_state==m_idle&&~req_done)
begin
set_req_done=1'b1;
v_flits_d_m_areg1=1'b1;
flits_d_m_areg1={state_tag_out[3:2],1'b0,local_id,1'b1,seled_exreq,5'b00000,seled_addr,128'hzzzz};
end
if(req_local_remote==1'b1&&d_req_state==d_req_idle&&~req_done)
begin
en_flit_max_req=1'b1;
flit_max_req=2'b10;
set_req_done=1'b1;
v_flits_dc_upload_req=1'b1;
flits_dc_upload_req={state_tag_out[3:2],1'b0,local_id,1'b1,seled_exreq,5'b00000,seled_addr};
end
if(rep_local_remote==1'b0&&m_fsm_state==m_idle&&~rep_done)
begin
if(rep_type_reg==invrep_type)
begin
flits_d_m_areg1={state_tag_out[3:2],1'b0,local_id,1'b1,C2Hinvrep_cmd,5'b00000,
seled_addr[31:13],state_tag_out[3:0],seled_addr[8:0],128'hzzzz};
//evicted addr ,so addr flits is {seled_addr[31:13],state_tag_out[12:9],seled_addr[8:0]}!
end
else if(rep_type_reg==autoflushrep_type)
begin
flits_d_m_areg1={state_tag_out[3:2],1'b0,local_id,1'b1,ATflurep_cmd,5'b00000,
seled_addr[31:13],state_tag_out[3:0],seled_addr[8:0],data_read};
end
////////////////////////////////////////////////////////////////////////////
// note: if the evicted data is llsc data ,we need to reset the llsc flag!//
////////////////////////////////////////////////////////////////////////////
if({seled_addr[31:13],state_tag_out[3:0],seled_addr[8:0]}==llsc_addr&&llsc_flag)
rst_llsc_flag=1'b1;
v_flits_d_m_areg1=1'b1;
set_rep_done=1'b1;
end
if(rep_local_remote==1'b1&&d_rep_state==d_rep_idle&&~rep_done)
begin
if(rep_type_reg==invrep_type)
begin
en_flit_max_rep1=1'b1;
flit_max_rep1=4'b0010;
flits_dc_upload_rep1={state_tag_out[3:2],1'b0,local_id,1'b1,C2Hinvrep_cmd,5'b00000,
seled_addr[31:13],state_tag_out[3:0],seled_addr[8:0],128'hzzzz};
end
else if(rep_type_reg==autoflushrep_type)
begin
en_flit_max_rep1=1'b1;
flit_max_rep1=4'b1010;
flits_dc_upload_rep1={state_tag_out[3:2],1'b0,local_id,1'b1,ATflurep_cmd,5'b00000,
seled_addr[31:13],state_tag_out[3:0],seled_addr[8:0],data_read};
end
////////////////////////////////////////////////////////////////////////////
// note: if the evicted data is llsc data ,we need to reset the llsc flag!//
////////////////////////////////////////////////////////////////////////////
if({seled_addr[31:13],state_tag_out[3:0],seled_addr[8:0]}==llsc_addr&&llsc_flag)
rst_llsc_flag=1'b1;
v_flits_dc_upload_rep1=1'b1;
set_rep_done=1'b1;
end
if(set_req_done&&set_rep_done||req_done&&set_rep_done||set_req_done&&rep_done)
nstate=cpu_wait_exrep;
end
if(oneORmore_reg==1'b0)
begin
if(flits_in[143:142]==2'b11&&flits_in[140]==1'b0)
seled_exreq=SCexreq_cmd;
else
seled_exreq=exreq_cmd;
if(req_local_remote==1'b0&&m_fsm_state==m_idle&&~req_done)
begin
set_req_done=1'b1;
v_flits_d_m_areg1=1'b1;
flits_d_m_areg1={state_tag_out[3:2],1'b0,local_id,1'b1,seled_exreq,5'b00000,seled_addr,128'hzzzz};
end
if(req_local_remote==1'b1&&d_req_state==d_req_idle&&~req_done)
begin
en_flit_max_req=1'b1;
flit_max_req=2'b10;
set_req_done=1'b1;
v_flits_dc_upload_req=1'b1;
flits_dc_upload_req={state_tag_out[3:2],1'b0,local_id,1'b1,seled_exreq,5'b00000,seled_addr};
end
if(set_req_done)
nstate=cpu_wait_exrep;
end
end
cpu_wait_shrep:
begin //wait for shrep msgs from cache or home!
addr_sel=1'b1;
if(v_cpu_req==1'b0&&v_flits_in==1'b1&&flits_in[137:133]==shrep_cmd)
begin
tag_re1=1'b1;
tag_we1=1'b1;
state_tag_in1={2'b10,state_tag_out[3:0]};
data_sel=1'b0;
data_we=1'b1;
nstate=cpu_idle;
done_access_cpu_addr=1'b1;
end
else if(v_cpu_req==1'b0&&v_flits_in==1'b1&&flits_in[137:133]==nackrep_cmd)
begin
if(req_local_remote==1'b0&&m_fsm_state==m_idle&&~req_done)
begin
v_flits_d_m_areg1=1'b1;
flits_d_m_areg1={flits_in[140:139],1'b0,local_id,1'b1,shreq_cmd,5'b00000,seled_addr,128'hzzzz};
set_req_done=1'b1;
end
if(req_local_remote==1'b1&&d_req_state==d_req_state&&~req_done)
begin
en_flit_max_req=1'b1;
flit_max_req=2'b10;
v_flits_dc_upload_req=1'b1;
flits_dc_upload_req={flits_in[140:139],1'b0,local_id,1'b1,shreq_cmd,5'b00000,seled_addr};
set_req_done=1'b1;
end
if(set_req_done)
nstate=cpu_wait_shrep;
end
end
cpu_wait_exrep:
begin // wait for exreps from mem or cache
// or wait for sh->exrep and his invreps from the original sharers!
if(v_cpu_req==1'b0&&v_flits_in==1'b1)
begin
tag_re1=1'b1;
addr_sel=1'b1;
if(v_cpu_req==1'b0&&v_flits_in==1'b1&&flits_in[137:133]==nackrep_cmd)
begin
if(cpu_access_head[3:2]==2'b11&&cpu_access_head[0]==1'b0)
seled_exreq=SCexreq_cmd;
else
seled_exreq=exreq_cmd;
if(req_local_remote==1'b0&&m_fsm_state==m_idle&&~req_done)
begin
set_req_done=1'b1;
v_flits_d_m_areg1=1'b1;
flits_d_m_areg1={flits_in[140:139],1'b0,local_id,1'b1,seled_exreq,5'b00000,seled_addr,128'hzzzz};
end
if(req_local_remote==1'b1&&d_req_state==d_req_idle&&~req_done)
begin
en_flit_max_req=1'b1;
flit_max_req=2'b10;
set_req_done=1'b1;
v_flits_dc_upload_req=1'b1;
flits_dc_upload_req={flits_in[140:139],1'b0,local_id,1'b1,seled_exreq,5'b00000,seled_addr};
end
if(set_req_done)
nstate=cpu_wait_exrep;
end
//a case: when SH_exrep arrives , some of its necessary invreps hasn't arrived.
// look up the cmd code ,you will know that
// head[3:0] sometimes is inv_vector ,useful when handling sh-exrep and his invreps
if(flits_in[137:133]==SH_exrep_cmd&&inv_vector_working_reg!=flits_in[131:128])
begin
inv_vector_end=flits_in[131:128];
en_inv_vector_end=1'b1;
state_tag_in1={2'b01,state_tag_out[3:0]};
tag_we1=1'b1;
data_we=1'b1;
data_sel=1'b1;
end
//a case: when SH_exrep arrives , his necessary invreps already arrived before!
if(flits_in[137:133]==SH_exrep_cmd&&inv_vector_working_reg==flits_in[131:128])
begin
rst_inv_vector=1'b1;
state_tag_in1={2'b11,state_tag_out[3:0]};
tag_we1=1'b1;
data_we=1'b1;
data_sel=1'b1;
nstate=cpu_idle;
done_access_cpu_addr=1'b1;
end
// a case: when a invrep arrives ,it will do sth according to the inv_vector_end
// and inv_vector_working . when after setting the inv_vector of this invrep ,
// inv_vector_end==inv_vector_working,which means cpu can do the actual write,
// because without all necessary invreps arrive ,cpu can't write the addr!
if(flits_in[137:133]==C2Cinvrep_cmd&&inv_vector_working!=inv_vector_end)
begin
//current plus past invreps ,then cpu can write data
if(now_past==inv_vector_end_reg)
begin
rst_inv_vector=1'b1;
state_tag_in1={2'b11,state_tag_out[3:0]};
// data_we=1'b1;
tag_we1=1'b1;
nstate=cpu_idle;
end
// current plus past invreps ,still unfinished
if(now_past!=inv_vector_end_reg)
begin
// set_now_inv_bit=1'b1;
en_inv_vector_working=1'b1;
inv_vector_working=now_past;
end
end
// a case :requester id is id . when the state of the addr in home is R(id),
// then home sends exrep directly to requestrer or W(id'),then the owner will
// flushrep with data directly to requester ,and meanwhile flushrep without to home
if(flits_in[137:133]==exrep_cmd)
begin
state_tag_in1={2'b11,state_tag_out[3:0]};
tag_we1=1'b1;
data_we=1'b1;
data_sel=1'b1;
nstate=cpu_idle;
end
end // end of if(v_cpu_req)
end // end of cpu_wait_exrep
endcase
end // end of always@(*)
//fsm of cpu side ctrler
always@(posedge clk)
begin
if(rst)
cstate<=cpu_idle;
else
cstate<=nstate;
end
// reg for inv_vector_in form shexrep's head flit
always@(posedge clk)
begin
if(rst==1'b1||rst_inv_vector)
inv_vector_end_reg<=4'b0000;
else if(en_inv_vector_end)
inv_vector_end_reg<=inv_vector_end;
end
// reg for inv_vector_working to register which invrep had arrived.
always@(posedge clk)
begin
if(rst==1'b1||rst_inv_vector)
inv_vector_working_reg<=4'b0000;
else if(en_inv_vector_working)
inv_vector_working_reg<=inv_vector_working;
end
// reg for req_done /rep_done
always@(posedge clk)
begin
if(rst)
req_done<=1'b0;
else if(set_req_done)
req_done<=1'b1;
end
always@(posedge clk)
begin
if(rst)
rep_done<=1'b0;
else if(set_rep_done)
rep_done<=1'b1;
end
///////////////////////////////////////////////
// figure out inv_vector_working and now_past//
// seled_head[12:11] stand for src_id
always@(*)
begin
// defalut singals value!
now_past=inv_vector_working_reg;
if(flits_in[137:133]==C2Cinvrep_cmd)
begin
case(flits_in[140:139])
2'b00:now_past={inv_vector_working_reg[3:1],1'b1};
2'b01:now_past={inv_vector_working_reg[3:2],1'b1,inv_vector_working_reg[0]};
2'b10:now_past={inv_vector_working_reg[3],1'b1,inv_vector_working_reg[1:0]};
2'b11:now_past={1'b1,inv_vector_working_reg[2:0]};
endcase
end
end
/////////////////////////////////////////////////////////////////////////////////////////////////
/////////////////////////////////////////////////////////////////////////////////////////////////
///////////////////FSM OF Network side data cache //////////////////////////////////////////////
////////////////////////////////////////////////////////////////////////////////////////////////
///parameter for network side data cache
parameter network_d_idle=2'b00;
parameter network_d_process_msg=2'b01;
parameter network_d_gen_rep_msg=2'b10;
reg [1:0] network_d_nstate;
reg [1:0] network_d_state;
reg rep_to_OUT_done;
reg rep_to_home_done;
reg set_rep_to_home_done;
reg set_rep_to_OUT_done;
reg fsm_rst_set_done;
reg [175:0] flits_d_m_areg2;
reg v_flits_d_m_areg2;
reg [175:0] flits_dc_upload_rep2;
reg v_flits_dc_upload_rep2;
reg en_flit_max_rep2;
reg [3:0] flit_max_rep2;
reg tag_re2;
reg tag_we2;
reg data_re2;
reg [5:0] state_tag_in2;
reg t_dcache_done_access;
reg en_rep_type2;
reg [2:0] rep_type2;
//state of network side ctrler fsm
always@(posedge clk)
begin
if(rst)
network_d_state<=network_d_idle;
else
network_d_state<=network_d_nstate;
end
always@(*)
begin
//default values
t_dcache_done_access=1'b0;
network_d_nstate=network_d_state;
data_re2=1'b0;
tag_re2=1'b0;
tag_we2=1'b0;
addr_sel=1'b0;
rep_local_remote=1'b1;
en_rep_type2=1'b0;
rep_type2=3'b000; //just for convenience
state_tag_in2=6'b000000;
rst_llsc_flag=1'b0;
flits_d_m_areg2={flits_in[140:139],1'b1,local_id,1'b0,C2Hinvrep_cmd,5'b00000,seled_addr,128'h0000};
v_flits_d_m_areg2=1'b0;
set_rep_to_home_done=1'b0;
en_flit_max_rep2=1'b1;
flit_max_rep2=4'b0000;
flits_dc_upload_rep2={flits_in[140:139],1'b1,local_id,1'b0,C2Hinvrep_cmd,5'b00000,seled_addr,128'h0000};
v_flits_dc_upload_rep2=1'b0;
set_rep_to_OUT_done=1'b0;
fsm_rst_set_done=1'b0;
// oneORmore=1'b0;
case(network_d_state)
network_d_idle:
begin
if(v_cpu_req==1'b0&&v_flits_in==1'b1&&(flits_in[137:133]==wbreq_cmd||flits_in[137:133]==invreq_cmd
||flits_in[137:133]==flushreq_cmd||flits_in[137:133]==SCinvreq_cmd))
begin
network_d_nstate=network_d_process_msg;
end
end
network_d_process_msg:
begin
tag_re2=1'b1;
data_re2=1'b1;
//// wbreq///////
if(flits_in[137:133]==wbreq_cmd&&state_tag_out[5:4]==2'b00)
/// if this case happens ,that means the of the wbreqed data has been evicted out and back to home
/// we need a wbfail_rep to tell home to do the shrep now, since that ,i need to revise some issues
/// in memory fsm.oh my god!
begin
if(flits_in[140:139]==local_id)
begin
rep_local_remote=1'b0;
end // local 0 ;remote 1; default :remote ?
// oneORmore=1'b0; //we only need a msg.
rep_type2=wbfail_rep_type;
en_rep_type2=1'b1;
network_d_nstate=network_d_gen_rep_msg;
end
else if(flits_in[137:133]==wbreq_cmd&&state_tag_out[5:4]==2'b11)
/// now we need to gen a wbrep to home and a shrep to requester.
begin
if(flits_in[140:139]==local_id)
begin
rep_local_remote=1'b0;
end // local 0 ;remote 1; default :remote ?
// oneORmore=1'b1;
rep_type2=wbrep_type;
// rep_type1=shrep_type;
// en_rep_type1=1'b1;
en_rep_type2=1'b1;
tag_we2=1'b1;
state_tag_in2={2'b10,state_tag_out[3:0]};
network_d_nstate=network_d_gen_rep_msg;
end
////// invreq/////////
if(flits_in[137:133]==invreq_cmd&&state_tag_out[5:4]==2'b10)
begin
if(flits_in[140:139]==local_id)
begin
rep_local_remote=1'b0;
end // local 0 ;remote 1; default :remote ?
// oneORmore=1'b1;
rep_type2=invrep_type;
// rep_type1=C2Cinvrep_type;
en_rep_type2=1'b1;
// en_rep_type1=1'b1;
tag_we2=1'b1;
state_tag_in2={2'b00,state_tag_out[3:0]};
network_d_nstate=network_d_gen_rep_msg;
end
////////flushreq////////////
if(flits_in[137:133]==flushreq_cmd&&state_tag_out[5:4]==2'b00)
/// if this case happens, the data has been evicted out of cache and to home
/// now we need to gen a msg named flushfail_rep to tell home to send a exrep
/// to the requester directly
begin
if(flits_in[140:139]==local_id)
begin
rep_local_remote=1'b0;
end // local 0 ;remote 1; default :remote ?
// oneORmore=1'b0;
en_rep_type2=1'b1;
rep_type2=flushfail_rep_type;
network_d_nstate=network_d_gen_rep_msg;
end
else if(flits_in[137:133]==flushreq_cmd&&state_tag_out[5:4]==2'b11)
begin
if(flits_in[140:139]==local_id)
begin
rep_local_remote=1'b0;
end // local 0 ;remote 1; default :remote ?
// oneORmore=1'b1;
rep_type2=flushrep_type;
// rep_type1=exrep_type;
en_rep_type2=1'b1;
// en_rep_type1=1'b1;
tag_we2=1'b1;
state_tag_in2={2'b00,state_tag_out[3:0]};
network_d_nstate=network_d_gen_rep_msg;
end
///////////SCinvreq////////////
if(flits_in[137:133]==SCinvreq_cmd&&state_tag_out[5:4]==2'b10)
begin
if(flits_in[140:139]==local_id)
begin
rep_local_remote=1'b0;
end // local 0 ;remote 1; default :remote ?
// oneORmore=1'b1;
rep_type2=invrep_type;
network_d_nstate=network_d_gen_rep_msg;
// rep_type1=C2Cinvrep_type;
en_rep_type2=1'b1;
// en_rep_type1=1'b1;
rst_llsc_flag=1'b1;/////// reset flag in this cache
end
end
network_d_gen_rep_msg:
begin
if(rep_local_remote==1'b0)
begin
if(m_fsm_state==m_idle&&rep_to_home_done==1'b0)
begin
/// gen to_home msg according to rep_type
if(rep_type_reg==invrep_type)
begin
// en_flit_max_rep=1'b1; //to local msg don't need flit_max
// flit_max_rep=4'b0010;
flits_d_m_areg2={flits_in[140:139],1'b1,local_id,1'b0,C2Hinvrep_cmd,5'b00000,seled_addr,128'h0000};
end
else if(rep_type_reg==flushrep_type)
begin
flits_d_m_areg2={flits_in[140:139],1'b1,local_id,1'b0,flushrep_cmd,5'b00000,seled_addr,128'h0000};
end
else if(rep_type_reg==wbrep_type)
begin
data_re2=1'b1;
flits_d_m_areg2={flits_in[140:139],1'b1,local_id,1'b0,wbrep_cmd,5'b00000,seled_addr,data_read};
end
else if(rep_type_reg==flushfail_rep_type)
begin
flits_d_m_areg2={flits_in[140:139],1'b1,flits_in[132:131],1'b0,flushfail_rep_cmd,5'b00000,seled_addr,128'h0000};
end
else if(rep_type_reg==wbfail_rep_type)
begin
flits_d_m_areg2={flits_in[140:139],1'b1,flits_in[132:131],1'b0,wbfail_rep_cmd,5'b00000,seled_addr,128'h0000};
end
v_flits_d_m_areg2=1'b1;
set_rep_to_home_done=1'b1;
end
if(d_rep_state==d_rep_idle&&rep_to_OUT_done==1'b0)
begin
//// gen to_cache msg according to rep_type
if(rep_type_reg==invrep_type)
begin
en_flit_max_rep2=1'b1;
flit_max_rep2=4'b0000;
flits_dc_upload_rep2={flits_in[132:131],1'b0,local_id,1'b0,C2Cinvrep_cmd,5'b00000,seled_addr,128'h0000};//only one flit
end
else if(rep_type_reg==flushrep_type)
begin
en_flit_max_rep2=1'b1;
flit_max_rep2=4'b1000;
data_re2=1'b1;
flits_dc_upload_rep2={flits_in[132:131],1'b0,local_id,1'b0,exrep_cmd,5'b00000,data_read,32'h0000}; // 9flits
end
else if(rep_type_reg==wbrep_type)
begin
en_flit_max_rep2=1'b1;
flit_max_rep2=4'b1000;
data_re2=1'b1;
flits_dc_upload_rep2={flits_in[132:131],1'b0,local_id,1'b0,shrep_cmd,5'b00000,data_read,32'h0000}; // 9flits
end
v_flits_dc_upload_rep2=1'b1;
set_rep_to_OUT_done=1'b1;
end
if(set_rep_to_home_done&&set_rep_to_OUT_done||rep_to_home_done&&set_rep_to_OUT_done||set_rep_to_home_done&&rep_to_OUT_done)
begin
fsm_rst_set_done=1'b1;
network_d_nstate=network_d_idle;
t_dcache_done_access=1'b1;
end
end
else /// both reps will be sent to OUT rep fifo via dcache_upload_rep ,so one by one.
begin
if(d_rep_state==d_rep_idle&&rep_to_OUT_done==1'b0)
begin
/// gen to_home msg according to rep_type
if(rep_type_reg==invrep_type)
begin
en_flit_max_rep2=1'b1;
flit_max_rep2=4'b0010;
flits_dc_upload_rep2={flits_in[140:139],1'b1,local_id,1'b0,C2Hinvrep_cmd,5'b00000,seled_addr,128'h0000}; // 3 flits
end
else if(rep_type_reg==flushrep_type)
begin
en_flit_max_rep2=1'b1;
flit_max_rep2=4'b0010;
flits_dc_upload_rep2={flits_in[140:139],1'b1,local_id,1'b0,flushrep_cmd,5'b00000,seled_addr,128'h0000}; // 3 flits
end
else if(rep_type_reg==wbrep_type)
begin
en_flit_max_rep2=1'b1;
flit_max_rep2=4'b1010;
data_re2=1'b1;
flits_dc_upload_rep2={flits_in[140:139],1'b1,local_id,1'b0,wbrep_cmd,5'b00000,seled_addr,data_read}; //11 flits
end
else if(rep_type_reg==flushfail_rep_type)
begin
en_flit_max_rep2=1'b1;
flit_max_rep2=4'b0010;
flits_dc_upload_rep2={flits_in[140:139],1'b1,flits_in[132:131],1'b0,flushfail_rep_cmd,5'b00000,seled_addr,128'h0000}; // 3 flits
// network_d_nstate=network_d_idle;
end
else if(rep_type_reg==wbfail_rep_type)
begin
en_flit_max_rep2=1'b1;
flit_max_rep2=4'b0010;
flits_dc_upload_rep2={flits_in[140:139],1'b1,flits_in[132:131],1'b0,wbfail_rep_cmd,5'b00000,seled_addr,128'h0000}; // 3 flits
// network_d_nstate=network_d_idle;
end
v_flits_dc_upload_rep2=1'b1;
set_rep_to_home_done=1'b1;
end
else if(d_rep_state==d_rep_idle)
begin
/// gen to_home msg according to rep_type
if(rep_type_reg==invrep_type)
begin
en_flit_max_rep2=1'b1;
flit_max_rep2=4'b0000;
flits_dc_upload_rep2={flits_in[132:131],1'b0,local_id,1'b0,C2Cinvrep_cmd,5'b00000,seled_addr,128'h0000};//only one flit
end
else if(rep_type_reg==flushrep_type)
begin
en_flit_max_rep2=1'b1;
flit_max_rep2=4'b1000;
data_re2=1'b1;
flits_dc_upload_rep2={flits_in[132:131],1'b0,local_id,1'b0,exrep_cmd,5'b00000,data_read,32'h0000}; // 9 flits
end
else if(rep_type_reg==wbrep_type)
begin
en_flit_max_rep2=1'b1;
flit_max_rep2=4'b1000;
data_re2=1'b1;
flits_dc_upload_rep2={flits_in[132:131],1'b0,local_id,1'b0,shrep_cmd,5'b00000,data_read,32'h0000}; // 9 flits
end
network_d_nstate=network_d_idle;
v_flits_dc_upload_rep2=1'b1;
t_dcache_done_access=1'b1;
end
end
end
endcase
end
// reg for rep_to_OUT_done
always@(posedge clk)
begin
if(rst||fsm_rst_set_done)
rep_to_OUT_done<=1'b0;
else if(set_rep_to_OUT_done)
rep_to_OUT_done<=1'b1;
end
// reg for rep_to_home_done
always@(posedge clk)
begin
if(rst||fsm_rst_set_done)
rep_to_home_done<=1'b0;
else if(set_rep_to_home_done)
rep_to_home_done<=1'b1;
end
wire [2:0] rep_type;
wire en_rep_type;
assign rep_type=en_rep_type1? rep_type1:rep_type2;
assign en_rep_type=en_rep_type1||en_rep_type2;
// reg for rep_type
always@(posedge clk)
begin
if(rst)
rep_type_reg<=3'b000;
else if(en_rep_type)
rep_type_reg<=rep_type;
end
// oneORmore
always@(posedge clk)
begin
if(rst||~oneORmore)
oneORmore_reg<=1'b0;
else if(oneORmore)
oneORmore_reg<=1'b1;
end
//used to gen auto reps
always@(posedge clk)
begin
if(rst)
delayed_state_tag<=4'b0000;
else if(en_delayed_state_tag)
delayed_state_tag<=delayed_state_tag_in;
end
wire rst_llsc_addr_flag;
assign rst_llsc_addr_flag=rst_llsc_flag;
// reg for llsc addr and flag
always@(posedge clk)
begin
if(rst||rst_llsc_addr_flag)
begin
llsc_addr<=32'h0000;
llsc_flag<=1'b0;
end
else if(set_llsc_addr_flag)
begin
llsc_addr<=llsc_addr_in;
llsc_flag<=1'b1;
end
end
// try to figure out multiple assignment in always block
wire [175:0] flits_d_m_areg;
wire v_flits_d_m_areg;
wire [175:0] flits_dc_upload_rep;
wire v_flits_dc_upload_rep;
assign v_flits_d_m_areg=v_flits_d_m_areg1||v_flits_d_m_areg2;
assign v_flits_dc_upload_rep=v_flits_dc_upload_rep1||v_flits_dc_upload_rep2;
assign flits_d_m_areg=v_flits_d_m_areg1?flits_d_m_areg1:flits_d_m_areg2;
assign flits_dc_upload_rep=v_flits_dc_upload_rep1?flits_dc_upload_rep1:flits_dc_upload_rep2;
/*wire en_flit_max_rep1;
wire [3:0] flit_max_rep1;
wire en_flit_max_rep2;
wire [3:0] flit_max_rep2; */
assign en_flit_max_rep=en_flit_max_rep1||en_flit_max_rep2;
assign flit_max_rep=en_flit_max_rep1?flit_max_rep1:flit_max_rep2;
assign state_tag_in=tag_we1?state_tag_in1:state_tag_in2;
assign tag_we=tag_we1||tag_we2;
assign tag_re=tag_re1||tag_re2;
assign data_re=data_re1||data_re2;
assign dcache_done_access=t_dcache_done_access||done_access_cpu_addr;
endmodule
/*wire tag_we;
wire tag_re;
wire data_re;
wire [5:0] state_tag_in; */ |
`timescale 1ns / 1ps
//////////////////////////////////////////////////////////////////////////////////
// Company: Digilent Inc.
// Engineers: Ryan Kim
// Josh Sackos
//
// Create Date: 14:10:08 06/13/2012
// Module Name: OledExample - Behavioral
// Project Name: PmodOLED Demo
// Tool versions: ISE 14.1
// Description: Demo for the PmodOLED. First displays the alphabet for ~4 seconds and then
// Clears the display, waits for a ~1 second and then displays "This is Digilent's
// PmodOLED"
//
// Revision: 1.2
// Revision 0.01 - File Created
//
//////////////////////////////////////////////////////////////////////////////////
module OledEX(
CLK,
RST,
EN,
CS,
SDO,
SCLK,
DC,
FIN,
// Position on the screen
xpos,
ypos
);
// ===========================================================================
// Port Declarations
// ===========================================================================
input CLK;
input RST;
input EN;
output CS;
output SDO;
output SCLK;
output DC;
output FIN;
// Screen positions
input [9:0] xpos;
input [9:0] ypos;
// ===========================================================================
// Parameters, Regsiters, and Wires
// ===========================================================================
wire CS, SDO, SCLK, DC, FIN;
//Variable that contains what the screen will be after the next UpdateScreen state
// - screen has 4 pages
// - each page is 128 columns
// - each column is one byte (8 rows)
reg [7:0] current_screen[0:3][0:127];
//Current overall state of the state machine
reg [95:0] current_state;
//State to go to after the SPI transmission is finished
reg [95:0] after_state;
//State to go to after the set page sequence
reg [95:0] after_page_state;
//State to go to after sending the character sequence
reg [95:0] after_char_state;
// indeces for screen matrix
integer i = 0;
integer j = 0;
//Contains the value to be output to DC
reg temp_dc = 1'b1;
//-------------- Variables used in the SPI controller block ----------------
reg temp_spi_en; //Enable signal for the SPI block
reg [7:0] temp_spi_data; //Data to be sent out on SPI
wire temp_spi_fin; //Finish signal for the SPI block
reg [1:0] temp_page; //Current page
reg [7:0] temp_char; //Current Byte
reg [6:0] temp_index; //Current character on page
// ===========================================================================
// Implementation
// ===========================================================================
assign DC = temp_dc;
//Example finish flag only high when in done state
assign FIN = (current_state == "Done") ? 1'b1 : 1'b0;
//Instantiate SPI Block
SpiCtrl_OLED SPI_OledEX(
.CLK(CLK),
.RST(RST),
.SPI_EN(temp_spi_en),
.SPI_DATA(temp_spi_data),
.CS(CS),
.SDO(SDO),
.SCLK(SCLK),
.SPI_FIN(temp_spi_fin)
);
// State Machine
always @(posedge CLK) begin
case(current_state)
// Idle until EN pulled high than intialize Page to 0 and go to state Alphabet afterwards
"Idle" : begin
if(EN == 1'b1)
begin
current_state <= "ClearDC";
after_page_state <= "SetScreen";
temp_page <= 2'b00;
temp_index <= 'd0;
end
end
// set current_screen to constant clear_screen and update the screen. Go to state Wait2 afterwards
"SetScreen" : begin
for(i = 0; i <= 3 ; i=i+1) begin
for(j = 0; j <= 127 ; j=j+1) begin
if((i == ypos[9:8]) && (j == xpos[9:3])) begin
if(ypos[7:0] & 8'b10000000)
current_screen[i][j] <= 8'b01111111;
else if(ypos[7:0] & 8'b01000000)
current_screen[i][j] <= 8'b10111111;
else if(ypos[7:0] & 8'b00100000)
current_screen[i][j] <= 8'b11011111;
else if(ypos[7:0] & 8'b00010000)
current_screen[i][j] <= 8'b11101111;
else if(ypos[7:0] & 8'b00001000)
current_screen[i][j] <= 8'b11110111;
else if(ypos[7:0] & 8'b00000100)
current_screen[i][j] <= 8'b11111011;
else if(ypos[7:0] & 8'b00000010)
current_screen[i][j] <= 8'b11111101;
else if(ypos[7:0] & 8'b00000001)
current_screen[i][j] <= 8'b11111110;
// else
// current_screen[i][j] <= 8'b11111110;
end
else
current_screen[i][j] <= 8'hFF;
end
end
current_state <= "UpdateScreen";
end
// Do nothing until EN is deassertted and then current_state is Idle
"Done" : begin
if(EN == 1'b0) begin
current_state <= "Idle";
end
end
//UpdateScreen State
//1. Gets ASCII value from current_screen at the current page and the current spot of the page
//2. If on the last character of the page transition update the page number, if on the last page(3)
// then the UpdateScreen goes to "Done" after
"UpdateScreen" : begin
temp_char <= current_screen[temp_page][temp_index];
if(temp_index == 'd127) begin
temp_index <= 'd0;
temp_page <= temp_page + 1'b1;
after_char_state <= "ClearDC";
if(temp_page == 2'b11)
begin
after_page_state <= "Done";
end
else
begin
after_page_state <= "UpdateScreen";
end
end
else
begin
temp_index <= temp_index + 1'b1;
after_char_state <= "UpdateScreen";
end
current_state <= "SendChar1";
end
//Update Page states
//1. Sets DC to command mode
//2. Sends the SetPage Command
//3. Sends the Page to be set to
//4. Sets the start pixel to the left column
//5. Sets DC to data mode
"ClearDC" : begin
temp_dc <= 1'b0;
current_state <= "SetPage";
end
"SetPage" : begin
temp_spi_data <= 8'b00100010;
after_state <= "PageNum";
current_state <= "Transition1";
end
"PageNum" : begin
temp_spi_data <= {6'b000000,temp_page};
after_state <= "LeftColumn1";
current_state <= "Transition1";
end
"LeftColumn1" : begin
temp_spi_data <= 8'b00000000;
after_state <= "LeftColumn2";
current_state <= "Transition1";
end
"LeftColumn2" : begin
temp_spi_data <= 8'b00010000;
after_state <= "SetDC";
current_state <= "Transition1";
end
"SetDC" : begin
temp_dc <= 1'b1;
current_state <= after_page_state;
end
//Send Character States
//1. Sets the Address to ASCII value of char with the counter appended to the end
//2. Waits a clock for the data to get ready by going to ReadMem and ReadMem2 states
//3. Send the byte of data given by the block Ram
//4. Repeat 7 more times for the rest of the character bytes
"SendChar1" : begin
temp_spi_data <= temp_char;
after_state <= after_char_state;
current_state <= "Transition1";
end
// End Send Character States
// SPI transitions
// 1. Set SPI_EN to 1
// 2. Waits for SpiCtrl to finish
// 3. Goes to clear state (Transition5)
"Transition1" : begin
temp_spi_en <= 1'b1;
current_state <= "Transition2";
end
"Transition2" : begin
if(temp_spi_fin == 1'b1) begin
current_state <= "Transition3";
end
end
// Clear transition
// 1. Sets both DELAY_EN and SPI_EN to 0
// 2. Go to after state
"Transition3" : begin
temp_spi_en <= 1'b0;
current_state <= after_state;
end
//END SPI transitions
//END Clear transition
default : current_state <= "Idle";
endcase
end
endmodule
|
//# 3 inputs
//# 6 outputs
//# 21 D-type flipflops
//# 54 inverters
//# 140 gates (55 ANDs + 22 NANDs + 28 ORs + 35 NORs)
module dff (CK,Q,D);
input CK,D;
output Q;
wire NM,NCK;
trireg NQ,M;
nmos N7 (M,D,NCK);
not P3 (NM,M);
nmos N9 (NQ,NM,CK);
not P5 (Q,NQ);
not P1 (NCK,CK);
endmodule
module s526n(GND,VDD,CK,G0,G1,G147,G148,G198,G199,G2,G213,G214);
input GND,VDD,CK,G0,G1,G2;
output G147,G148,G198,G199,G213,G214;
wire G10,G60,G11,G61,G12,G62,G13,G69,G14,G79,G15,G84,G16,G89,G17,G96,G18,
G101,G19,G106,G20,G115,G21,G127,G22,G137,G23,G167,G24,G173,G25,G179,G26,
G183,G27,G188,G28,G194,G29,G200,G30,G206,II359,II362,II351,G83,G107,G65,
G136,G184,G124,G135,G163,G72,G177,G131,G140,G172,G90,G145,G122,G208,G85,
II285,G168,G94,II288,G174,G116,G180,II340,G104,G157,II343,G112,II365,G195,
II368,G141,G202,G211,G212,G205,II268,G56,G59,G207,G201,G126,G189,G193,G77,
G80,G155,G78,G39,G170,G176,G40,G156,G171,G35,G76,G81,G82,G87,G86,G169,G175,
G47,G55,G181,G54,G46,G196,G210,G204,G138,G142,G143,G34,G123,G36,G38,G93,
G99,G103,G110,G120,G92,G91,G121,G125,G44,G45,G51,G52,G57,G58,G209,G203,
G139,G146,G144,G102,G118,G119,G117,G98,G108,G97,G109,G113,G111,G192,G186,
G185,G191,G190,G161,G162,G50,G43,G150,G151,G154,G160,G152,G33,G149,G164,
G153,G165,G166,G42,G53,G49,G48,G32,G31,G67,G63,G64,G71,G74,G70,G134,G132,
G68,G66,G133,G128,G129,G130,G75,G73,G158,G159,G41,G37,G88,G100,G178,G182,
G197,G187,G95,G105,G114;
dff DFF_0(CK,G10,G60);
dff DFF_1(CK,G11,G61);
dff DFF_2(CK,G12,G62);
dff DFF_3(CK,G13,G69);
dff DFF_4(CK,G14,G79);
dff DFF_5(CK,G15,G84);
dff DFF_6(CK,G16,G89);
dff DFF_7(CK,G17,G96);
dff DFF_8(CK,G18,G101);
dff DFF_9(CK,G19,G106);
dff DFF_10(CK,G20,G115);
dff DFF_11(CK,G21,G127);
dff DFF_12(CK,G22,G137);
dff DFF_13(CK,G23,G167);
dff DFF_14(CK,G24,G173);
dff DFF_15(CK,G25,G179);
dff DFF_16(CK,G26,G183);
dff DFF_17(CK,G27,G188);
dff DFF_18(CK,G28,G194);
dff DFF_19(CK,G29,G200);
dff DFF_20(CK,G30,G206);
not NOT_0(II359,G0);
not NOT_1(II362,G1);
not NOT_2(II351,G2);
not NOT_3(G83,G10);
not NOT_4(G107,G11);
not NOT_5(G65,G12);
not NOT_6(G136,G12);
not NOT_7(G184,G12);
not NOT_8(G124,G20);
not NOT_9(G135,G20);
not NOT_10(G163,G20);
not NOT_11(G72,G13);
not NOT_12(G177,G13);
not NOT_13(G131,G21);
not NOT_14(G140,G21);
not NOT_15(G172,G21);
not NOT_16(G90,G14);
not NOT_17(G145,G22);
not NOT_18(G122,G30);
not NOT_19(G208,G30);
not NOT_20(G85,G15);
not NOT_21(II285,G23);
not NOT_22(G168,G23);
not NOT_23(G94,G16);
not NOT_24(II288,G24);
not NOT_25(G174,G24);
not NOT_26(G116,G17);
not NOT_27(G180,G25);
not NOT_28(II340,G25);
not NOT_29(G104,G18);
not NOT_30(G157,G18);
not NOT_31(II343,G26);
not NOT_32(G112,G19);
not NOT_33(II365,G27);
not NOT_34(G195,G28);
not NOT_35(II368,G28);
not NOT_36(G141,G29);
not NOT_37(G202,G29);
not NOT_38(G211,II359);
not NOT_39(G212,II362);
not NOT_40(G205,II351);
not NOT_41(G147,II285);
not NOT_42(G148,II288);
not NOT_43(G198,II340);
not NOT_44(G199,II343);
not NOT_45(II268,G112);
not NOT_46(G56,II268);
not NOT_47(G213,II365);
not NOT_48(G214,II368);
not NOT_49(G59,G211);
not NOT_50(G207,G212);
not NOT_51(G201,G205);
not NOT_52(G126,G59);
not NOT_53(G189,G193);
and AND2_0(G77,G10,G11);
and AND3_0(G80,G10,G11,G14);
and AND3_1(G155,G21,G13,G26);
and AND2_1(G78,G83,G107);
and AND2_2(G39,G65,G21);
and AND2_3(G170,G184,G177);
and AND2_4(G176,G177,G12);
and AND2_5(G40,G12,G131);
and AND3_2(G156,G163,G172,G13);
and AND2_6(G171,G172,G184);
and AND4_0(G35,G10,G107,G90,G15);
and AND3_3(G76,G10,G90,G15);
and AND2_7(G81,G83,G90);
and AND2_8(G82,G107,G90);
and AND2_9(G87,G85,G86);
and AND2_10(G169,G13,G168);
and AND2_11(G175,G174,G12);
and AND2_12(G47,G116,G18);
and AND2_13(G55,G116,G18);
and AND3_4(G181,G180,G13,G21);
and AND2_14(G54,G17,G104);
and AND2_15(G46,G116,G112);
and AND2_16(G196,G195,G13);
and AND2_17(G210,G212,G30);
and AND2_18(G204,G205,G29);
and AND4_1(G138,G140,G20,G141,G142);
and AND4_2(G143,G140,G20,G141,G142);
and AND2_19(G34,G122,G123);
and AND2_20(G36,G122,G123);
and AND2_21(G38,G122,G123);
and AND3_5(G93,G94,G122,G123);
and AND3_6(G99,G116,G122,G123);
and AND3_7(G103,G104,G122,G123);
and AND3_8(G110,G112,G122,G123);
and AND3_9(G120,G124,G122,G123);
and AND4_3(G92,G90,G107,G10,G91);
and AND2_22(G121,G124,G125);
and AND2_23(G44,G59,G94);
and AND2_24(G45,G122,G59);
and AND3_10(G51,G59,G16,G17);
and AND2_25(G52,G59,G18);
and AND4_4(G57,G59,G16,G17,G18);
and AND2_26(G58,G59,G19);
and AND2_27(G209,G207,G208);
and AND2_28(G203,G201,G202);
and AND2_29(G139,G145,G146);
and AND2_30(G144,G145,G146);
and AND4_5(G102,G18,G17,G16,G118);
and AND4_6(G119,G116,G16,G117,G118);
and AND4_7(G98,G107,G10,G108,G97);
and AND4_8(G109,G107,G10,G108,G113);
and AND3_11(G111,G16,G30,G113);
and AND2_31(G192,G18,G193);
and AND3_12(G186,G184,G189,G185);
and AND2_32(G191,G189,G190);
or OR2_0(G161,G20,G13);
or OR2_1(G162,G21,G12);
or OR2_2(G50,G16,G17);
or OR3_0(G43,G83,G11,G14);
or OR2_3(G150,G184,G25);
or OR2_4(G151,G184,G13);
or OR2_5(G154,G184,G27);
or OR2_6(G160,G184,G13);
or OR3_1(G152,G163,G21,G12);
or OR2_7(G33,G72,G12);
or OR4_0(G149,G20,G21,G12,G177);
or OR4_1(G164,G20,G21,G12,G177);
or OR2_8(G153,G172,G27);
or OR3_2(G165,G163,G172,G13);
or OR3_3(G166,G172,G177,G24);
or OR4_2(G42,G83,G107,G90,G85);
or OR4_3(G53,G83,G11,G14,G85);
or OR3_4(G49,G122,G94,G116);
or OR4_4(G48,G122,G94,G18,G112);
or OR2_9(G32,G30,G31);
or OR4_5(G67,G211,G63,G64,G71);
or OR4_6(G74,G211,G125,G70,G71);
or OR3_5(G134,G131,G211,G132);
or OR3_6(G68,G65,G211,G66);
or OR4_7(G133,G211,G128,G129,G130);
or OR3_7(G75,G72,G211,G73);
or OR4_8(G158,G193,G184,G177,G26);
or OR2_10(G159,G189,G157);
nand NAND3_0(G86,G14,G11,G10);
nand NAND4_0(G64,G65,G21,G20,G19);
nand NAND4_1(G70,G72,G12,G21,G20);
nand NAND4_2(G123,G15,G90,G107,G10);
nand NAND2_0(G128,G116,G16);
nand NAND3_1(G63,G104,G116,G16);
nand NAND4_3(G125,G19,G104,G116,G16);
nand NAND4_4(G129,G131,G20,G19,G104);
nand NAND4_5(G146,G140,G135,G29,G142);
nand NAND4_6(G190,G152,G153,G154,G13);
nand NAND2_1(G118,G53,G122);
nand NAND4_7(G41,G104,G116,G16,G37);
nand NAND3_2(G88,G42,G43,G59);
nand NAND4_8(G100,G48,G49,G50,G59);
nand NAND2_2(G130,G32,G33);
nand NAND2_3(G62,G67,G68);
nand NAND2_4(G127,G133,G134);
nand NAND2_5(G69,G74,G75);
nand NAND4_9(G178,G164,G165,G166,G189);
nand NAND4_10(G182,G149,G150,G151,G189);
nand NAND4_11(G197,G160,G161,G162,G189);
nand NAND2_6(G187,G158,G159);
nor NOR2_0(G142,G13,G136);
nor NOR4_0(G31,G85,G14,G11,G83);
nor NOR2_1(G91,G94,G85);
nor NOR3_0(G108,G94,G85,G14);
nor NOR2_2(G37,G124,G112);
nor NOR3_1(G117,G124,G112,G18);
nor NOR2_3(G60,G10,G211);
nor NOR2_4(G185,G155,G156);
nor NOR2_5(G71,G35,G30);
nor NOR4_1(G61,G76,G77,G78,G211);
nor NOR4_2(G79,G80,G81,G82,G211);
nor NOR2_6(G97,G46,G47);
nor NOR3_2(G113,G54,G55,G56);
nor NOR3_3(G132,G34,G124,G125);
nor NOR4_3(G66,G36,G131,G124,G125);
nor NOR4_4(G73,G38,G39,G40,G41);
nor NOR2_7(G95,G44,G45);
nor NOR2_8(G105,G51,G52);
nor NOR2_9(G114,G57,G58);
nor NOR2_10(G84,G87,G88);
nor NOR3_4(G206,G209,G210,G211);
nor NOR3_5(G200,G203,G204,G211);
nor NOR3_6(G137,G138,G139,G211);
nor NOR2_11(G193,G143,G144);
nor NOR4_5(G115,G119,G120,G121,G126);
nor NOR3_7(G96,G98,G99,G100);
nor NOR3_8(G89,G92,G93,G95);
nor NOR3_9(G101,G102,G103,G105);
nor NOR4_6(G106,G109,G110,G111,G114);
nor NOR4_7(G167,G169,G170,G171,G193);
nor NOR3_10(G173,G175,G176,G178);
nor NOR2_12(G179,G181,G182);
nor NOR2_13(G188,G191,G192);
nor NOR2_14(G194,G196,G197);
nor NOR2_15(G183,G186,G187);
endmodule
|
{{define "basicFB"}}// This file has been automatically generated by goFB and should not be edited by hand
// Compiler written by Hammond Pearce and available at github.com/kiwih/goFB
// Verilog support is EXPERIMENTAL ONLY
{{$block := index .Blocks .BlockIndex}}{{$blocks := .Blocks}}{{$basicFB := $block.BasicFB}}
// This file represents the Basic Function Block for {{$block.Name}}
//defines for state names used internally
{{range $index, $state := $basicFB.States}}`define STATE_{{$state.Name}} {{$index}}
{{end}}
module FB_{{$block.Name}} {{template "_moduleDeclr" .}}
////BEGIN internal copies of I/O
{{if $block.EventInputs}}//input events
{{range $index, $event := $block.EventInputs}}wire {{$event.Name}};
assign {{$event.Name}} = {{$event.Name}}_eI;
{{end}}{{end}}
{{if $block.EventOutputs}}//output events
{{range $index, $event := $block.EventOutputs}}reg {{$event.Name}};
assign {{$event.Name}}_eO = {{$event.Name}};
{{end}}{{end}}
{{if $block.InputVars}}//input variables
{{range $index, $var := $block.InputVars}}reg {{getVerilogSize $var.Type}} {{$var.Name}} {{if $var.InitialValue}} = {{$var.InitialValue}}{{end}};
{{end}}{{end}}
{{if $block.OutputVars}}//output variables
{{range $index, $var := $block.OutputVars}}reg {{getVerilogSize $var.Type}} {{$var.Name}} {{if $var.InitialValue}} = {{$var.InitialValue}}{{end}};
{{end}}{{end}}
////END internal copies of I/O
////BEGIN internal vars
{{if $basicFB.InternalVars}}{{range $varIndex, $var := $basicFB.InternalVars}}
reg {{getVerilogSize $var.Type}} {{$var.Name}} {{if $var.InitialValue}} = {{$var.InitialValue}}{{end}}; {{end}}{{end}}
////END internal vars
//BEGIN STATE variables
reg {{getVerilogWidthArray (len $basicFB.States)}} state = `STATE_{{(index $basicFB.States 0).Name}};
reg entered = 1'b0;
//END STATE variables
//BEGIN algorithm triggers
{{range $algIndex, $alg := $basicFB.Algorithms -}}
reg {{$alg.Name}}_alg_en = 1'b0;
{{end}}
//END algorithm triggers
always@(posedge clk) begin
if(reset) begin
//reset state
state = `STATE_{{(index $basicFB.States 0).Name}};
//reset I/O registers
{{range $index, $event := $block.EventOutputs}}{{$event.Name}} = 1'b0;
{{end}}
{{- range $varIndex, $var := $block.InputVars}}
{{$var.Name}} = {{if $var.InitialValue}}{{$var.InitialValue}}{{else}}0{{end}};
{{- end}}
{{range $index, $var := $block.OutputVars}}
{{$var.Name}} = {{if $var.InitialValue}}{{$var.InitialValue}}{{else}}0{{end}};
{{- end}}
//reset internal vars
{{- range $varIndex, $var := $basicFB.InternalVars}}
{{$var.Name}} = {{if $var.InitialValue}}{{$var.InitialValue}}{{else}}0{{end}};
{{- end}}
end else begin
//BEGIN clear output events
{{range $index, $event := $block.EventOutputs}}{{$event.Name}} = 1'b0;
{{end}}
//END clear output events
//BEGIN update internal inputs on relevant events
{{if $block.EventInputs}}{{if $block.InputVars}}{{range $eventIndex, $event := $block.EventInputs}}{{if $event.With}}
if({{$event.Name}}) begin
{{range $varIndex, $var := $block.InputVars}}{{if $event.IsLoadFor $var}}{{$var.Name}} = {{$var.Name}}_I;
{{end}}{{end}}
end
{{end}}{{end}}{{end}}{{end}}
//END update internal inputs
//BEGIN ecc
entered = 1'b0;
case(state)
{{range $curStateIndex, $curState := $basicFB.States}}`STATE_{{$curState.Name}}: begin
{{range $transIndex, $trans := $basicFB.GetTransitionsForState $curState.Name}}{{if $transIndex}}end else {{end}}if({{rmTrueFalse (compileTransition $block $trans.Condition)}}) begin
state = `STATE_{{$trans.Destination}};
entered = 1'b1;
{{end}}end
end
{{end}}default: begin
state = 0;
end
endcase
//END ecc
//BEGIN triggers
{{range $algIndex, $alg := $basicFB.Algorithms -}}
{{$alg.Name}}_alg_en = 1'b0;
{{end}}
if(entered) begin
case(state)
{{range $curStateIndex, $curState := $basicFB.States}}`STATE_{{$curState.Name}}: begin
{{range $actionIndex, $action := $curState.ECActions}}{{if $action.Algorithm}}{{$action.Algorithm}}_alg_en = 1'b1;
{{end}}{{if $action.Output}}{{$action.Output}} = 1'b1;
{{end}}{{end}}
end
{{end}}default: begin
end
endcase
end
//END triggers
//BEGIN algorithms
{{range $algIndex, $alg := $basicFB.Algorithms -}}
if({{$alg.Name}}_alg_en) begin
{{compileAlgorithm $block $alg}}
end
{{end}}
//END algorithms
//BEGIN update external output variables on relevant events
{{if $block.EventOutputs}}{{if $block.OutputVars}}{{range $eventIndex, $event := $block.EventOutputs}}{{if $event.With}}
if({{$event.Name}}) begin
{{range $varIndex, $var := $block.OutputVars}}{{if $event.IsLoadFor $var}}{{$var.Name}}_O = {{$var.Name}};
{{end}}{{end}}
end
{{end}}{{end}}{{end}}{{end}}
//END update external output variables
end
end
endmodule{{end}} |
/*
* Copyright 2020 The SkyWater PDK Authors
*
* Licensed under the Apache License, Version 2.0 (the "License");
* you may not use this file except in compliance with the License.
* You may obtain a copy of the License at
*
* https://www.apache.org/licenses/LICENSE-2.0
*
* Unless required by applicable law or agreed to in writing, software
* distributed under the License is distributed on an "AS IS" BASIS,
* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
* See the License for the specific language governing permissions and
* limitations under the License.
*
* SPDX-License-Identifier: Apache-2.0
*/
`ifndef SKY130_FD_SC_HDLL__SDFBBP_FUNCTIONAL_PP_V
`define SKY130_FD_SC_HDLL__SDFBBP_FUNCTIONAL_PP_V
/**
* sdfbbp: Scan delay flop, inverted set, inverted reset, non-inverted
* clock, complementary outputs.
*
* Verilog simulation functional model.
*/
`timescale 1ns / 1ps
`default_nettype none
// Import user defined primitives.
`include "../../models/udp_mux_2to1/sky130_fd_sc_hdll__udp_mux_2to1.v"
`include "../../models/udp_dff_nsr_pp_pg_n/sky130_fd_sc_hdll__udp_dff_nsr_pp_pg_n.v"
`celldefine
module sky130_fd_sc_hdll__sdfbbp (
Q ,
Q_N ,
D ,
SCD ,
SCE ,
CLK ,
SET_B ,
RESET_B,
VPWR ,
VGND ,
VPB ,
VNB
);
// Module ports
output Q ;
output Q_N ;
input D ;
input SCD ;
input SCE ;
input CLK ;
input SET_B ;
input RESET_B;
input VPWR ;
input VGND ;
input VPB ;
input VNB ;
// Local signals
wire RESET ;
wire SET ;
wire buf_Q ;
wire mux_out;
// Delay Name Output Other arguments
not not0 (RESET , RESET_B );
not not1 (SET , SET_B );
sky130_fd_sc_hdll__udp_mux_2to1 mux_2to10 (mux_out, D, SCD, SCE );
sky130_fd_sc_hdll__udp_dff$NSR_pp$PG$N `UNIT_DELAY dff0 (buf_Q , SET, RESET, CLK, mux_out, , VPWR, VGND);
buf buf0 (Q , buf_Q );
not not2 (Q_N , buf_Q );
endmodule
`endcelldefine
`default_nettype wire
`endif // SKY130_FD_SC_HDLL__SDFBBP_FUNCTIONAL_PP_V |
/**
* Copyright 2020 The SkyWater PDK Authors
*
* Licensed under the Apache License, Version 2.0 (the "License");
* you may not use this file except in compliance with the License.
* You may obtain a copy of the License at
*
* https://www.apache.org/licenses/LICENSE-2.0
*
* Unless required by applicable law or agreed to in writing, software
* distributed under the License is distributed on an "AS IS" BASIS,
* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
* See the License for the specific language governing permissions and
* limitations under the License.
*
* SPDX-License-Identifier: Apache-2.0
*/
`ifndef SKY130_FD_SC_HDLL__SDFBBP_SYMBOL_V
`define SKY130_FD_SC_HDLL__SDFBBP_SYMBOL_V
/**
* sdfbbp: Scan delay flop, inverted set, inverted reset, non-inverted
* clock, complementary outputs.
*
* Verilog stub (without power pins) for graphical symbol definition
* generation.
*
* WARNING: This file is autogenerated, do not modify directly!
*/
`timescale 1ns / 1ps
`default_nettype none
(* blackbox *)
module sky130_fd_sc_hdll__sdfbbp (
//# {{data|Data Signals}}
input D ,
output Q ,
output Q_N ,
//# {{control|Control Signals}}
input RESET_B,
input SET_B ,
//# {{scanchain|Scan Chain}}
input SCD ,
input SCE ,
//# {{clocks|Clocking}}
input CLK
);
// Voltage supply signals
supply1 VPWR;
supply0 VGND;
supply1 VPB ;
supply0 VNB ;
endmodule
`default_nettype wire
`endif // SKY130_FD_SC_HDLL__SDFBBP_SYMBOL_V
|
///////////////////////////////////////////////////////////////////////////////
// Copyright (c) 1995/2014 Xilinx, Inc.
//
// Licensed under the Apache License, Version 2.0 (the "License");
// you may not use this file except in compliance with the License.
// You may obtain a copy of the License at
//
// http://www.apache.org/licenses/LICENSE-2.0
//
// Unless required by applicable law or agreed to in writing, software
// distributed under the License is distributed on an "AS IS" BASIS,
// WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
// See the License for the specific language governing permissions and
// limitations under the License.
///////////////////////////////////////////////////////////////////////////////
// ____ ____
// / /\/ /
// /___/ \ / Vendor : Xilinx
// \ \ \/ Version : 2014.3
// \ \ Description : Xilinx Unified Simulation Library Component
// / / 36K-bit Configurable Synchronous Block RAM
// /___/ /\ Filename : RAMB36E2.v
// \ \ / \
// \___\/\___\
//
///////////////////////////////////////////////////////////////////////////////
// Revision:
// 02/28/2013 - intial from FIFO
// 03/09/2013 - update from various initial CR - collisions
// 03/19/2013 - 707443 - RDADDRECC not hooked up
// 03/22/2013 - sync5 yaml update, port ordering
// 03/25/2013 - 707719 - Add sync5 cascade feature
// 03/27/2013 - revert NO_CHANGE fix
// 04/04/2013 - 709962 - typo CASDOUTPA/PB vs CASDOUTAP/BP
// 04/23/2013 - PR683925 - add invertible pin support.
// 04/26/2013 - 714182 - RDADDRECC bits shifted by 1.
// 10/22/14 - Added #1 to $finish (CR 808642).
// End Revision:
///////////////////////////////////////////////////////////////////////////////
`timescale 1 ps / 1 ps
`celldefine
module RAMB36E2 #(
`ifdef XIL_TIMING
parameter LOC = "UNPLACED",
`endif
parameter CASCADE_ORDER_A = "NONE",
parameter CASCADE_ORDER_B = "NONE",
parameter CLOCK_DOMAINS = "INDEPENDENT",
parameter integer DOA_REG = 1,
parameter integer DOB_REG = 1,
parameter ENADDRENA = "FALSE",
parameter ENADDRENB = "FALSE",
parameter EN_ECC_PIPE = "FALSE",
parameter EN_ECC_READ = "FALSE",
parameter EN_ECC_WRITE = "FALSE",
parameter [255:0] INITP_00 = 256'h0000000000000000000000000000000000000000000000000000000000000000,
parameter [255:0] INITP_01 = 256'h0000000000000000000000000000000000000000000000000000000000000000,
parameter [255:0] INITP_02 = 256'h0000000000000000000000000000000000000000000000000000000000000000,
parameter [255:0] INITP_03 = 256'h0000000000000000000000000000000000000000000000000000000000000000,
parameter [255:0] INITP_04 = 256'h0000000000000000000000000000000000000000000000000000000000000000,
parameter [255:0] INITP_05 = 256'h0000000000000000000000000000000000000000000000000000000000000000,
parameter [255:0] INITP_06 = 256'h0000000000000000000000000000000000000000000000000000000000000000,
parameter [255:0] INITP_07 = 256'h0000000000000000000000000000000000000000000000000000000000000000,
parameter [255:0] INITP_08 = 256'h0000000000000000000000000000000000000000000000000000000000000000,
parameter [255:0] INITP_09 = 256'h0000000000000000000000000000000000000000000000000000000000000000,
parameter [255:0] INITP_0A = 256'h0000000000000000000000000000000000000000000000000000000000000000,
parameter [255:0] INITP_0B = 256'h0000000000000000000000000000000000000000000000000000000000000000,
parameter [255:0] INITP_0C = 256'h0000000000000000000000000000000000000000000000000000000000000000,
parameter [255:0] INITP_0D = 256'h0000000000000000000000000000000000000000000000000000000000000000,
parameter [255:0] INITP_0E = 256'h0000000000000000000000000000000000000000000000000000000000000000,
parameter [255:0] INITP_0F = 256'h0000000000000000000000000000000000000000000000000000000000000000,
parameter [255:0] INIT_00 = 256'h0000000000000000000000000000000000000000000000000000000000000000,
parameter [255:0] INIT_01 = 256'h0000000000000000000000000000000000000000000000000000000000000000,
parameter [255:0] INIT_02 = 256'h0000000000000000000000000000000000000000000000000000000000000000,
parameter [255:0] INIT_03 = 256'h0000000000000000000000000000000000000000000000000000000000000000,
parameter [255:0] INIT_04 = 256'h0000000000000000000000000000000000000000000000000000000000000000,
parameter [255:0] INIT_05 = 256'h0000000000000000000000000000000000000000000000000000000000000000,
parameter [255:0] INIT_06 = 256'h0000000000000000000000000000000000000000000000000000000000000000,
parameter [255:0] INIT_07 = 256'h0000000000000000000000000000000000000000000000000000000000000000,
parameter [255:0] INIT_08 = 256'h0000000000000000000000000000000000000000000000000000000000000000,
parameter [255:0] INIT_09 = 256'h0000000000000000000000000000000000000000000000000000000000000000,
parameter [255:0] INIT_0A = 256'h0000000000000000000000000000000000000000000000000000000000000000,
parameter [255:0] INIT_0B = 256'h0000000000000000000000000000000000000000000000000000000000000000,
parameter [255:0] INIT_0C = 256'h0000000000000000000000000000000000000000000000000000000000000000,
parameter [255:0] INIT_0D = 256'h0000000000000000000000000000000000000000000000000000000000000000,
parameter [255:0] INIT_0E = 256'h0000000000000000000000000000000000000000000000000000000000000000,
parameter [255:0] INIT_0F = 256'h0000000000000000000000000000000000000000000000000000000000000000,
parameter [255:0] INIT_10 = 256'h0000000000000000000000000000000000000000000000000000000000000000,
parameter [255:0] INIT_11 = 256'h0000000000000000000000000000000000000000000000000000000000000000,
parameter [255:0] INIT_12 = 256'h0000000000000000000000000000000000000000000000000000000000000000,
parameter [255:0] INIT_13 = 256'h0000000000000000000000000000000000000000000000000000000000000000,
parameter [255:0] INIT_14 = 256'h0000000000000000000000000000000000000000000000000000000000000000,
parameter [255:0] INIT_15 = 256'h0000000000000000000000000000000000000000000000000000000000000000,
parameter [255:0] INIT_16 = 256'h0000000000000000000000000000000000000000000000000000000000000000,
parameter [255:0] INIT_17 = 256'h0000000000000000000000000000000000000000000000000000000000000000,
parameter [255:0] INIT_18 = 256'h0000000000000000000000000000000000000000000000000000000000000000,
parameter [255:0] INIT_19 = 256'h0000000000000000000000000000000000000000000000000000000000000000,
parameter [255:0] INIT_1A = 256'h0000000000000000000000000000000000000000000000000000000000000000,
parameter [255:0] INIT_1B = 256'h0000000000000000000000000000000000000000000000000000000000000000,
parameter [255:0] INIT_1C = 256'h0000000000000000000000000000000000000000000000000000000000000000,
parameter [255:0] INIT_1D = 256'h0000000000000000000000000000000000000000000000000000000000000000,
parameter [255:0] INIT_1E = 256'h0000000000000000000000000000000000000000000000000000000000000000,
parameter [255:0] INIT_1F = 256'h0000000000000000000000000000000000000000000000000000000000000000,
parameter [255:0] INIT_20 = 256'h0000000000000000000000000000000000000000000000000000000000000000,
parameter [255:0] INIT_21 = 256'h0000000000000000000000000000000000000000000000000000000000000000,
parameter [255:0] INIT_22 = 256'h0000000000000000000000000000000000000000000000000000000000000000,
parameter [255:0] INIT_23 = 256'h0000000000000000000000000000000000000000000000000000000000000000,
parameter [255:0] INIT_24 = 256'h0000000000000000000000000000000000000000000000000000000000000000,
parameter [255:0] INIT_25 = 256'h0000000000000000000000000000000000000000000000000000000000000000,
parameter [255:0] INIT_26 = 256'h0000000000000000000000000000000000000000000000000000000000000000,
parameter [255:0] INIT_27 = 256'h0000000000000000000000000000000000000000000000000000000000000000,
parameter [255:0] INIT_28 = 256'h0000000000000000000000000000000000000000000000000000000000000000,
parameter [255:0] INIT_29 = 256'h0000000000000000000000000000000000000000000000000000000000000000,
parameter [255:0] INIT_2A = 256'h0000000000000000000000000000000000000000000000000000000000000000,
parameter [255:0] INIT_2B = 256'h0000000000000000000000000000000000000000000000000000000000000000,
parameter [255:0] INIT_2C = 256'h0000000000000000000000000000000000000000000000000000000000000000,
parameter [255:0] INIT_2D = 256'h0000000000000000000000000000000000000000000000000000000000000000,
parameter [255:0] INIT_2E = 256'h0000000000000000000000000000000000000000000000000000000000000000,
parameter [255:0] INIT_2F = 256'h0000000000000000000000000000000000000000000000000000000000000000,
parameter [255:0] INIT_30 = 256'h0000000000000000000000000000000000000000000000000000000000000000,
parameter [255:0] INIT_31 = 256'h0000000000000000000000000000000000000000000000000000000000000000,
parameter [255:0] INIT_32 = 256'h0000000000000000000000000000000000000000000000000000000000000000,
parameter [255:0] INIT_33 = 256'h0000000000000000000000000000000000000000000000000000000000000000,
parameter [255:0] INIT_34 = 256'h0000000000000000000000000000000000000000000000000000000000000000,
parameter [255:0] INIT_35 = 256'h0000000000000000000000000000000000000000000000000000000000000000,
parameter [255:0] INIT_36 = 256'h0000000000000000000000000000000000000000000000000000000000000000,
parameter [255:0] INIT_37 = 256'h0000000000000000000000000000000000000000000000000000000000000000,
parameter [255:0] INIT_38 = 256'h0000000000000000000000000000000000000000000000000000000000000000,
parameter [255:0] INIT_39 = 256'h0000000000000000000000000000000000000000000000000000000000000000,
parameter [255:0] INIT_3A = 256'h0000000000000000000000000000000000000000000000000000000000000000,
parameter [255:0] INIT_3B = 256'h0000000000000000000000000000000000000000000000000000000000000000,
parameter [255:0] INIT_3C = 256'h0000000000000000000000000000000000000000000000000000000000000000,
parameter [255:0] INIT_3D = 256'h0000000000000000000000000000000000000000000000000000000000000000,
parameter [255:0] INIT_3E = 256'h0000000000000000000000000000000000000000000000000000000000000000,
parameter [255:0] INIT_3F = 256'h0000000000000000000000000000000000000000000000000000000000000000,
parameter [255:0] INIT_40 = 256'h0000000000000000000000000000000000000000000000000000000000000000,
parameter [255:0] INIT_41 = 256'h0000000000000000000000000000000000000000000000000000000000000000,
parameter [255:0] INIT_42 = 256'h0000000000000000000000000000000000000000000000000000000000000000,
parameter [255:0] INIT_43 = 256'h0000000000000000000000000000000000000000000000000000000000000000,
parameter [255:0] INIT_44 = 256'h0000000000000000000000000000000000000000000000000000000000000000,
parameter [255:0] INIT_45 = 256'h0000000000000000000000000000000000000000000000000000000000000000,
parameter [255:0] INIT_46 = 256'h0000000000000000000000000000000000000000000000000000000000000000,
parameter [255:0] INIT_47 = 256'h0000000000000000000000000000000000000000000000000000000000000000,
parameter [255:0] INIT_48 = 256'h0000000000000000000000000000000000000000000000000000000000000000,
parameter [255:0] INIT_49 = 256'h0000000000000000000000000000000000000000000000000000000000000000,
parameter [255:0] INIT_4A = 256'h0000000000000000000000000000000000000000000000000000000000000000,
parameter [255:0] INIT_4B = 256'h0000000000000000000000000000000000000000000000000000000000000000,
parameter [255:0] INIT_4C = 256'h0000000000000000000000000000000000000000000000000000000000000000,
parameter [255:0] INIT_4D = 256'h0000000000000000000000000000000000000000000000000000000000000000,
parameter [255:0] INIT_4E = 256'h0000000000000000000000000000000000000000000000000000000000000000,
parameter [255:0] INIT_4F = 256'h0000000000000000000000000000000000000000000000000000000000000000,
parameter [255:0] INIT_50 = 256'h0000000000000000000000000000000000000000000000000000000000000000,
parameter [255:0] INIT_51 = 256'h0000000000000000000000000000000000000000000000000000000000000000,
parameter [255:0] INIT_52 = 256'h0000000000000000000000000000000000000000000000000000000000000000,
parameter [255:0] INIT_53 = 256'h0000000000000000000000000000000000000000000000000000000000000000,
parameter [255:0] INIT_54 = 256'h0000000000000000000000000000000000000000000000000000000000000000,
parameter [255:0] INIT_55 = 256'h0000000000000000000000000000000000000000000000000000000000000000,
parameter [255:0] INIT_56 = 256'h0000000000000000000000000000000000000000000000000000000000000000,
parameter [255:0] INIT_57 = 256'h0000000000000000000000000000000000000000000000000000000000000000,
parameter [255:0] INIT_58 = 256'h0000000000000000000000000000000000000000000000000000000000000000,
parameter [255:0] INIT_59 = 256'h0000000000000000000000000000000000000000000000000000000000000000,
parameter [255:0] INIT_5A = 256'h0000000000000000000000000000000000000000000000000000000000000000,
parameter [255:0] INIT_5B = 256'h0000000000000000000000000000000000000000000000000000000000000000,
parameter [255:0] INIT_5C = 256'h0000000000000000000000000000000000000000000000000000000000000000,
parameter [255:0] INIT_5D = 256'h0000000000000000000000000000000000000000000000000000000000000000,
parameter [255:0] INIT_5E = 256'h0000000000000000000000000000000000000000000000000000000000000000,
parameter [255:0] INIT_5F = 256'h0000000000000000000000000000000000000000000000000000000000000000,
parameter [255:0] INIT_60 = 256'h0000000000000000000000000000000000000000000000000000000000000000,
parameter [255:0] INIT_61 = 256'h0000000000000000000000000000000000000000000000000000000000000000,
parameter [255:0] INIT_62 = 256'h0000000000000000000000000000000000000000000000000000000000000000,
parameter [255:0] INIT_63 = 256'h0000000000000000000000000000000000000000000000000000000000000000,
parameter [255:0] INIT_64 = 256'h0000000000000000000000000000000000000000000000000000000000000000,
parameter [255:0] INIT_65 = 256'h0000000000000000000000000000000000000000000000000000000000000000,
parameter [255:0] INIT_66 = 256'h0000000000000000000000000000000000000000000000000000000000000000,
parameter [255:0] INIT_67 = 256'h0000000000000000000000000000000000000000000000000000000000000000,
parameter [255:0] INIT_68 = 256'h0000000000000000000000000000000000000000000000000000000000000000,
parameter [255:0] INIT_69 = 256'h0000000000000000000000000000000000000000000000000000000000000000,
parameter [255:0] INIT_6A = 256'h0000000000000000000000000000000000000000000000000000000000000000,
parameter [255:0] INIT_6B = 256'h0000000000000000000000000000000000000000000000000000000000000000,
parameter [255:0] INIT_6C = 256'h0000000000000000000000000000000000000000000000000000000000000000,
parameter [255:0] INIT_6D = 256'h0000000000000000000000000000000000000000000000000000000000000000,
parameter [255:0] INIT_6E = 256'h0000000000000000000000000000000000000000000000000000000000000000,
parameter [255:0] INIT_6F = 256'h0000000000000000000000000000000000000000000000000000000000000000,
parameter [255:0] INIT_70 = 256'h0000000000000000000000000000000000000000000000000000000000000000,
parameter [255:0] INIT_71 = 256'h0000000000000000000000000000000000000000000000000000000000000000,
parameter [255:0] INIT_72 = 256'h0000000000000000000000000000000000000000000000000000000000000000,
parameter [255:0] INIT_73 = 256'h0000000000000000000000000000000000000000000000000000000000000000,
parameter [255:0] INIT_74 = 256'h0000000000000000000000000000000000000000000000000000000000000000,
parameter [255:0] INIT_75 = 256'h0000000000000000000000000000000000000000000000000000000000000000,
parameter [255:0] INIT_76 = 256'h0000000000000000000000000000000000000000000000000000000000000000,
parameter [255:0] INIT_77 = 256'h0000000000000000000000000000000000000000000000000000000000000000,
parameter [255:0] INIT_78 = 256'h0000000000000000000000000000000000000000000000000000000000000000,
parameter [255:0] INIT_79 = 256'h0000000000000000000000000000000000000000000000000000000000000000,
parameter [255:0] INIT_7A = 256'h0000000000000000000000000000000000000000000000000000000000000000,
parameter [255:0] INIT_7B = 256'h0000000000000000000000000000000000000000000000000000000000000000,
parameter [255:0] INIT_7C = 256'h0000000000000000000000000000000000000000000000000000000000000000,
parameter [255:0] INIT_7D = 256'h0000000000000000000000000000000000000000000000000000000000000000,
parameter [255:0] INIT_7E = 256'h0000000000000000000000000000000000000000000000000000000000000000,
parameter [255:0] INIT_7F = 256'h0000000000000000000000000000000000000000000000000000000000000000,
parameter [35:0] INIT_A = 36'h000000000,
parameter [35:0] INIT_B = 36'h000000000,
parameter INIT_FILE = "NONE",
parameter [0:0] IS_CLKARDCLK_INVERTED = 1'b0,
parameter [0:0] IS_CLKBWRCLK_INVERTED = 1'b0,
parameter [0:0] IS_ENARDEN_INVERTED = 1'b0,
parameter [0:0] IS_ENBWREN_INVERTED = 1'b0,
parameter [0:0] IS_RSTRAMARSTRAM_INVERTED = 1'b0,
parameter [0:0] IS_RSTRAMB_INVERTED = 1'b0,
parameter [0:0] IS_RSTREGARSTREG_INVERTED = 1'b0,
parameter [0:0] IS_RSTREGB_INVERTED = 1'b0,
parameter RDADDRCHANGEA = "FALSE",
parameter RDADDRCHANGEB = "FALSE",
parameter integer READ_WIDTH_A = 0,
parameter integer READ_WIDTH_B = 0,
parameter RSTREG_PRIORITY_A = "RSTREG",
parameter RSTREG_PRIORITY_B = "RSTREG",
parameter SIM_COLLISION_CHECK = "ALL",
parameter SLEEP_ASYNC = "FALSE",
parameter [35:0] SRVAL_A = 36'h000000000,
parameter [35:0] SRVAL_B = 36'h000000000,
parameter WRITE_MODE_A = "NO_CHANGE",
parameter WRITE_MODE_B = "NO_CHANGE",
parameter integer WRITE_WIDTH_A = 0,
parameter integer WRITE_WIDTH_B = 0
)(
output [31:0] CASDOUTA,
output [31:0] CASDOUTB,
output [3:0] CASDOUTPA,
output [3:0] CASDOUTPB,
output CASOUTDBITERR,
output CASOUTSBITERR,
output DBITERR,
output [31:0] DOUTADOUT,
output [31:0] DOUTBDOUT,
output [3:0] DOUTPADOUTP,
output [3:0] DOUTPBDOUTP,
output [7:0] ECCPARITY,
output [8:0] RDADDRECC,
output SBITERR,
input [14:0] ADDRARDADDR,
input [14:0] ADDRBWRADDR,
input ADDRENA,
input ADDRENB,
input CASDIMUXA,
input CASDIMUXB,
input [31:0] CASDINA,
input [31:0] CASDINB,
input [3:0] CASDINPA,
input [3:0] CASDINPB,
input CASDOMUXA,
input CASDOMUXB,
input CASDOMUXEN_A,
input CASDOMUXEN_B,
input CASINDBITERR,
input CASINSBITERR,
input CASOREGIMUXA,
input CASOREGIMUXB,
input CASOREGIMUXEN_A,
input CASOREGIMUXEN_B,
input CLKARDCLK,
input CLKBWRCLK,
input [31:0] DINADIN,
input [31:0] DINBDIN,
input [3:0] DINPADINP,
input [3:0] DINPBDINP,
input ECCPIPECE,
input ENARDEN,
input ENBWREN,
input INJECTDBITERR,
input INJECTSBITERR,
input REGCEAREGCE,
input REGCEB,
input RSTRAMARSTRAM,
input RSTRAMB,
input RSTREGARSTREG,
input RSTREGB,
input SLEEP,
input [3:0] WEA,
input [7:0] WEBWE
);
// define constants
localparam MODULE_NAME = "RAMB36E2";
// Parameter encodings and registers
localparam CASCADE_ORDER_A_FIRST = 1;
localparam CASCADE_ORDER_A_LAST = 2;
localparam CASCADE_ORDER_A_MIDDLE = 3;
localparam CASCADE_ORDER_A_NONE = 0;
localparam CASCADE_ORDER_B_FIRST = 1;
localparam CASCADE_ORDER_B_LAST = 2;
localparam CASCADE_ORDER_B_MIDDLE = 3;
localparam CASCADE_ORDER_B_NONE = 0;
localparam CLOCK_DOMAINS_COMMON = 1;
localparam CLOCK_DOMAINS_INDEPENDENT = 0;
localparam DOA_REG_0 = 1;
localparam DOA_REG_1 = 0;
localparam DOB_REG_0 = 1;
localparam DOB_REG_1 = 0;
localparam ENADDRENA_FALSE = 0;
localparam ENADDRENA_TRUE = 1;
localparam ENADDRENB_FALSE = 0;
localparam ENADDRENB_TRUE = 1;
localparam EN_ECC_PIPE_FALSE = 0;
localparam EN_ECC_PIPE_TRUE = 1;
localparam EN_ECC_READ_FALSE = 0;
localparam EN_ECC_READ_TRUE = 1;
localparam EN_ECC_WRITE_FALSE = 0;
localparam EN_ECC_WRITE_TRUE = 1;
localparam RDADDRCHANGEA_FALSE = 0;
localparam RDADDRCHANGEA_TRUE = 1;
localparam RDADDRCHANGEB_FALSE = 0;
localparam RDADDRCHANGEB_TRUE = 1;
localparam READ_WIDTH_A_0 = 1;
localparam READ_WIDTH_A_1 = 1;
localparam READ_WIDTH_A_18 = 16;
localparam READ_WIDTH_A_2 = 2;
localparam READ_WIDTH_A_36 = 32;
localparam READ_WIDTH_A_4 = 4;
localparam READ_WIDTH_A_72 = 64;
localparam READ_WIDTH_A_9 = 8;
localparam READ_WIDTH_B_0 = 1;
localparam READ_WIDTH_B_1 = 1;
localparam READ_WIDTH_B_18 = 16;
localparam READ_WIDTH_B_2 = 2;
localparam READ_WIDTH_B_36 = 32;
localparam READ_WIDTH_B_4 = 4;
localparam READ_WIDTH_B_9 = 8;
localparam RSTREG_PRIORITY_A_REGCE = 1;
localparam RSTREG_PRIORITY_A_RSTREG = 0;
localparam RSTREG_PRIORITY_B_REGCE = 1;
localparam RSTREG_PRIORITY_B_RSTREG = 0;
localparam SIM_COLLISION_CHECK_ALL = 0;
localparam SIM_COLLISION_CHECK_GENERATE_X_ONLY = 1;
localparam SIM_COLLISION_CHECK_NONE = 2;
localparam SIM_COLLISION_CHECK_WARNING_ONLY = 3;
localparam SLEEP_ASYNC_FALSE = 0;
localparam SLEEP_ASYNC_TRUE = 1;
localparam WRITE_MODE_A_NO_CHANGE = 0;
localparam WRITE_MODE_A_READ_FIRST = 1;
localparam WRITE_MODE_A_WRITE_FIRST = 2;
localparam WRITE_MODE_B_NO_CHANGE = 0;
localparam WRITE_MODE_B_READ_FIRST = 1;
localparam WRITE_MODE_B_WRITE_FIRST = 2;
localparam WRITE_WIDTH_A_0 = 1;
localparam WRITE_WIDTH_A_1 = 1;
localparam WRITE_WIDTH_A_18 = 16;
localparam WRITE_WIDTH_A_2 = 2;
localparam WRITE_WIDTH_A_36 = 32;
localparam WRITE_WIDTH_A_4 = 4;
localparam WRITE_WIDTH_A_9 = 8;
localparam WRITE_WIDTH_B_0 = 1;
localparam WRITE_WIDTH_B_1 = 1;
localparam WRITE_WIDTH_B_18 = 16;
localparam WRITE_WIDTH_B_2 = 2;
localparam WRITE_WIDTH_B_36 = 32;
localparam WRITE_WIDTH_B_4 = 4;
localparam WRITE_WIDTH_B_72 = 64;
localparam WRITE_WIDTH_B_9 = 8;
// include dynamic registers - XILINX test only
reg trig_attr = 1'b0;
`ifdef XIL_DR
`include "RAMB36E2_dr.v"
`else
localparam [48:1] CASCADE_ORDER_A_REG = CASCADE_ORDER_A;
localparam [48:1] CASCADE_ORDER_B_REG = CASCADE_ORDER_B;
localparam [88:1] CLOCK_DOMAINS_REG = CLOCK_DOMAINS;
localparam [0:0] DOA_REG_REG = DOA_REG;
localparam [0:0] DOB_REG_REG = DOB_REG;
localparam [40:1] ENADDRENA_REG = ENADDRENA;
localparam [40:1] ENADDRENB_REG = ENADDRENB;
localparam [40:1] EN_ECC_PIPE_REG = EN_ECC_PIPE;
localparam [40:1] EN_ECC_READ_REG = EN_ECC_READ;
localparam [40:1] EN_ECC_WRITE_REG = EN_ECC_WRITE;
localparam [255:0] INITP_00_REG = INITP_00;
localparam [255:0] INITP_01_REG = INITP_01;
localparam [255:0] INITP_02_REG = INITP_02;
localparam [255:0] INITP_03_REG = INITP_03;
localparam [255:0] INITP_04_REG = INITP_04;
localparam [255:0] INITP_05_REG = INITP_05;
localparam [255:0] INITP_06_REG = INITP_06;
localparam [255:0] INITP_07_REG = INITP_07;
localparam [255:0] INITP_08_REG = INITP_08;
localparam [255:0] INITP_09_REG = INITP_09;
localparam [255:0] INITP_0A_REG = INITP_0A;
localparam [255:0] INITP_0B_REG = INITP_0B;
localparam [255:0] INITP_0C_REG = INITP_0C;
localparam [255:0] INITP_0D_REG = INITP_0D;
localparam [255:0] INITP_0E_REG = INITP_0E;
localparam [255:0] INITP_0F_REG = INITP_0F;
localparam [255:0] INIT_00_REG = INIT_00;
localparam [255:0] INIT_01_REG = INIT_01;
localparam [255:0] INIT_02_REG = INIT_02;
localparam [255:0] INIT_03_REG = INIT_03;
localparam [255:0] INIT_04_REG = INIT_04;
localparam [255:0] INIT_05_REG = INIT_05;
localparam [255:0] INIT_06_REG = INIT_06;
localparam [255:0] INIT_07_REG = INIT_07;
localparam [255:0] INIT_08_REG = INIT_08;
localparam [255:0] INIT_09_REG = INIT_09;
localparam [255:0] INIT_0A_REG = INIT_0A;
localparam [255:0] INIT_0B_REG = INIT_0B;
localparam [255:0] INIT_0C_REG = INIT_0C;
localparam [255:0] INIT_0D_REG = INIT_0D;
localparam [255:0] INIT_0E_REG = INIT_0E;
localparam [255:0] INIT_0F_REG = INIT_0F;
localparam [255:0] INIT_10_REG = INIT_10;
localparam [255:0] INIT_11_REG = INIT_11;
localparam [255:0] INIT_12_REG = INIT_12;
localparam [255:0] INIT_13_REG = INIT_13;
localparam [255:0] INIT_14_REG = INIT_14;
localparam [255:0] INIT_15_REG = INIT_15;
localparam [255:0] INIT_16_REG = INIT_16;
localparam [255:0] INIT_17_REG = INIT_17;
localparam [255:0] INIT_18_REG = INIT_18;
localparam [255:0] INIT_19_REG = INIT_19;
localparam [255:0] INIT_1A_REG = INIT_1A;
localparam [255:0] INIT_1B_REG = INIT_1B;
localparam [255:0] INIT_1C_REG = INIT_1C;
localparam [255:0] INIT_1D_REG = INIT_1D;
localparam [255:0] INIT_1E_REG = INIT_1E;
localparam [255:0] INIT_1F_REG = INIT_1F;
localparam [255:0] INIT_20_REG = INIT_20;
localparam [255:0] INIT_21_REG = INIT_21;
localparam [255:0] INIT_22_REG = INIT_22;
localparam [255:0] INIT_23_REG = INIT_23;
localparam [255:0] INIT_24_REG = INIT_24;
localparam [255:0] INIT_25_REG = INIT_25;
localparam [255:0] INIT_26_REG = INIT_26;
localparam [255:0] INIT_27_REG = INIT_27;
localparam [255:0] INIT_28_REG = INIT_28;
localparam [255:0] INIT_29_REG = INIT_29;
localparam [255:0] INIT_2A_REG = INIT_2A;
localparam [255:0] INIT_2B_REG = INIT_2B;
localparam [255:0] INIT_2C_REG = INIT_2C;
localparam [255:0] INIT_2D_REG = INIT_2D;
localparam [255:0] INIT_2E_REG = INIT_2E;
localparam [255:0] INIT_2F_REG = INIT_2F;
localparam [255:0] INIT_30_REG = INIT_30;
localparam [255:0] INIT_31_REG = INIT_31;
localparam [255:0] INIT_32_REG = INIT_32;
localparam [255:0] INIT_33_REG = INIT_33;
localparam [255:0] INIT_34_REG = INIT_34;
localparam [255:0] INIT_35_REG = INIT_35;
localparam [255:0] INIT_36_REG = INIT_36;
localparam [255:0] INIT_37_REG = INIT_37;
localparam [255:0] INIT_38_REG = INIT_38;
localparam [255:0] INIT_39_REG = INIT_39;
localparam [255:0] INIT_3A_REG = INIT_3A;
localparam [255:0] INIT_3B_REG = INIT_3B;
localparam [255:0] INIT_3C_REG = INIT_3C;
localparam [255:0] INIT_3D_REG = INIT_3D;
localparam [255:0] INIT_3E_REG = INIT_3E;
localparam [255:0] INIT_3F_REG = INIT_3F;
localparam [255:0] INIT_40_REG = INIT_40;
localparam [255:0] INIT_41_REG = INIT_41;
localparam [255:0] INIT_42_REG = INIT_42;
localparam [255:0] INIT_43_REG = INIT_43;
localparam [255:0] INIT_44_REG = INIT_44;
localparam [255:0] INIT_45_REG = INIT_45;
localparam [255:0] INIT_46_REG = INIT_46;
localparam [255:0] INIT_47_REG = INIT_47;
localparam [255:0] INIT_48_REG = INIT_48;
localparam [255:0] INIT_49_REG = INIT_49;
localparam [255:0] INIT_4A_REG = INIT_4A;
localparam [255:0] INIT_4B_REG = INIT_4B;
localparam [255:0] INIT_4C_REG = INIT_4C;
localparam [255:0] INIT_4D_REG = INIT_4D;
localparam [255:0] INIT_4E_REG = INIT_4E;
localparam [255:0] INIT_4F_REG = INIT_4F;
localparam [255:0] INIT_50_REG = INIT_50;
localparam [255:0] INIT_51_REG = INIT_51;
localparam [255:0] INIT_52_REG = INIT_52;
localparam [255:0] INIT_53_REG = INIT_53;
localparam [255:0] INIT_54_REG = INIT_54;
localparam [255:0] INIT_55_REG = INIT_55;
localparam [255:0] INIT_56_REG = INIT_56;
localparam [255:0] INIT_57_REG = INIT_57;
localparam [255:0] INIT_58_REG = INIT_58;
localparam [255:0] INIT_59_REG = INIT_59;
localparam [255:0] INIT_5A_REG = INIT_5A;
localparam [255:0] INIT_5B_REG = INIT_5B;
localparam [255:0] INIT_5C_REG = INIT_5C;
localparam [255:0] INIT_5D_REG = INIT_5D;
localparam [255:0] INIT_5E_REG = INIT_5E;
localparam [255:0] INIT_5F_REG = INIT_5F;
localparam [255:0] INIT_60_REG = INIT_60;
localparam [255:0] INIT_61_REG = INIT_61;
localparam [255:0] INIT_62_REG = INIT_62;
localparam [255:0] INIT_63_REG = INIT_63;
localparam [255:0] INIT_64_REG = INIT_64;
localparam [255:0] INIT_65_REG = INIT_65;
localparam [255:0] INIT_66_REG = INIT_66;
localparam [255:0] INIT_67_REG = INIT_67;
localparam [255:0] INIT_68_REG = INIT_68;
localparam [255:0] INIT_69_REG = INIT_69;
localparam [255:0] INIT_6A_REG = INIT_6A;
localparam [255:0] INIT_6B_REG = INIT_6B;
localparam [255:0] INIT_6C_REG = INIT_6C;
localparam [255:0] INIT_6D_REG = INIT_6D;
localparam [255:0] INIT_6E_REG = INIT_6E;
localparam [255:0] INIT_6F_REG = INIT_6F;
localparam [255:0] INIT_70_REG = INIT_70;
localparam [255:0] INIT_71_REG = INIT_71;
localparam [255:0] INIT_72_REG = INIT_72;
localparam [255:0] INIT_73_REG = INIT_73;
localparam [255:0] INIT_74_REG = INIT_74;
localparam [255:0] INIT_75_REG = INIT_75;
localparam [255:0] INIT_76_REG = INIT_76;
localparam [255:0] INIT_77_REG = INIT_77;
localparam [255:0] INIT_78_REG = INIT_78;
localparam [255:0] INIT_79_REG = INIT_79;
localparam [255:0] INIT_7A_REG = INIT_7A;
localparam [255:0] INIT_7B_REG = INIT_7B;
localparam [255:0] INIT_7C_REG = INIT_7C;
localparam [255:0] INIT_7D_REG = INIT_7D;
localparam [255:0] INIT_7E_REG = INIT_7E;
localparam [255:0] INIT_7F_REG = INIT_7F;
localparam [35:0] INIT_A_REG = INIT_A;
localparam [35:0] INIT_B_REG = INIT_B;
localparam INIT_FILE_REG = INIT_FILE;
localparam [0:0] IS_CLKARDCLK_INVERTED_REG = IS_CLKARDCLK_INVERTED;
localparam [0:0] IS_CLKBWRCLK_INVERTED_REG = IS_CLKBWRCLK_INVERTED;
localparam [0:0] IS_ENARDEN_INVERTED_REG = IS_ENARDEN_INVERTED;
localparam [0:0] IS_ENBWREN_INVERTED_REG = IS_ENBWREN_INVERTED;
localparam [0:0] IS_RSTRAMARSTRAM_INVERTED_REG = IS_RSTRAMARSTRAM_INVERTED;
localparam [0:0] IS_RSTRAMB_INVERTED_REG = IS_RSTRAMB_INVERTED;
localparam [0:0] IS_RSTREGARSTREG_INVERTED_REG = IS_RSTREGARSTREG_INVERTED;
localparam [0:0] IS_RSTREGB_INVERTED_REG = IS_RSTREGB_INVERTED;
localparam [40:1] RDADDRCHANGEA_REG = RDADDRCHANGEA;
localparam [40:1] RDADDRCHANGEB_REG = RDADDRCHANGEB;
localparam [6:0] READ_WIDTH_A_REG = READ_WIDTH_A;
localparam [5:0] READ_WIDTH_B_REG = READ_WIDTH_B;
localparam [48:1] RSTREG_PRIORITY_A_REG = RSTREG_PRIORITY_A;
localparam [48:1] RSTREG_PRIORITY_B_REG = RSTREG_PRIORITY_B;
localparam [120:1] SIM_COLLISION_CHECK_REG = SIM_COLLISION_CHECK;
localparam [40:1] SLEEP_ASYNC_REG = SLEEP_ASYNC;
localparam [35:0] SRVAL_A_REG = SRVAL_A;
localparam [35:0] SRVAL_B_REG = SRVAL_B;
localparam [88:1] WRITE_MODE_A_REG = WRITE_MODE_A;
localparam [88:1] WRITE_MODE_B_REG = WRITE_MODE_B;
localparam [5:0] WRITE_WIDTH_A_REG = WRITE_WIDTH_A;
localparam [6:0] WRITE_WIDTH_B_REG = WRITE_WIDTH_B;
`endif
wire [1:0] CASCADE_ORDER_A_BIN;
wire [1:0] CASCADE_ORDER_B_BIN;
wire CLOCK_DOMAINS_BIN;
wire DOA_REG_BIN;
wire DOB_REG_BIN;
wire ENADDRENA_BIN;
wire ENADDRENB_BIN;
wire EN_ECC_PIPE_BIN;
wire EN_ECC_READ_BIN;
wire EN_ECC_WRITE_BIN;
wire [255:0] INITP_BIN [0:15];
wire [255:0] INIT_BIN [0:127];
wire [35:0] INIT_A_BIN;
wire [35:0] INIT_B_BIN;
wire IS_CLKARDCLK_INVERTED_BIN;
wire IS_CLKBWRCLK_INVERTED_BIN;
wire IS_ENARDEN_INVERTED_BIN;
wire IS_ENBWREN_INVERTED_BIN;
wire IS_RSTRAMARSTRAM_INVERTED_BIN;
wire IS_RSTRAMB_INVERTED_BIN;
wire IS_RSTREGARSTREG_INVERTED_BIN;
wire IS_RSTREGB_INVERTED_BIN;
wire RDADDRCHANGEA_BIN;
wire RDADDRCHANGEB_BIN;
wire [6:0] READ_WIDTH_A_BIN;
wire [6:0] READ_WIDTH_B_BIN;
wire RSTREG_PRIORITY_A_BIN;
wire RSTREG_PRIORITY_B_BIN;
wire [1:0] SIM_COLLISION_CHECK_BIN;
wire SLEEP_ASYNC_BIN;
wire [35:0] SRVAL_A_BIN;
wire [35:0] SRVAL_B_BIN;
wire [1:0] WRITE_MODE_A_BIN;
wire [1:0] WRITE_MODE_B_BIN;
wire [6:0] WRITE_WIDTH_A_BIN;
wire [6:0] WRITE_WIDTH_B_BIN;
reg INIT_MEM = 0;
`ifdef XIL_ATTR_TEST
reg attr_test = 1'b1;
`else
reg attr_test = 1'b0;
`endif
reg attr_err = 1'b0;
tri0 glblGSR = glbl.GSR || INIT_MEM;
wire CASOUTDBITERR_out;
wire CASOUTSBITERR_out;
reg DBITERR_out;
reg SBITERR_out;
wire [31:0] CASDOUTA_out;
wire [31:0] CASDOUTB_out;
reg [31:0] DOUTADOUT_out;
reg [31:0] DOUTBDOUT_out;
wire [3:0] CASDOUTPA_out;
wire [3:0] CASDOUTPB_out;
reg [3:0] DOUTPADOUTP_out;
reg [3:0] DOUTPBDOUTP_out;
wire [7:0] ECCPARITY_out;
wire [8:0] RDADDRECC_out;
wire ADDRENA_in;
wire ADDRENB_in;
wire CASDIMUXA_in;
wire CASDIMUXB_in;
wire CASDOMUXA_in;
wire CASDOMUXB_in;
wire CASDOMUXEN_A_in;
wire CASDOMUXEN_B_in;
wire CASINDBITERR_in;
wire CASINSBITERR_in;
wire CASOREGIMUXA_in;
wire CASOREGIMUXB_in;
wire CASOREGIMUXEN_A_in;
wire CASOREGIMUXEN_B_in;
wire CLKARDCLK_in;
wire CLKBWRCLK_in;
wire ECCPIPECE_in;
wire ENARDEN_in;
wire ENBWREN_in;
wire INJECTDBITERR_in;
wire INJECTSBITERR_in;
wire REGCEAREGCE_in;
wire REGCEB_in;
wire RSTRAMARSTRAM_in;
wire RSTRAMB_in;
wire RSTREGARSTREG_in;
wire RSTREGB_in;
wire SLEEP_in;
reg [14:0] ADDRARDADDR_in;
reg [14:0] ADDRBWRADDR_in;
wire [31:0] CASDINA_in;
wire [31:0] CASDINB_in;
reg [31:0] DINADIN_in;
reg [31:0] DINBDIN_in;
wire [3:0] CASDINPA_in;
wire [3:0] CASDINPB_in;
reg [3:0] DINPADINP_in;
reg [3:0] DINPBDINP_in;
wire [3:0] WEA_in;
wire [7:0] WEBWE_in;
`ifdef XIL_TIMING
wire ADDRENA_delay;
wire ADDRENB_delay;
wire CASDIMUXA_delay;
wire CASDIMUXB_delay;
wire CASDOMUXA_delay;
wire CASDOMUXB_delay;
wire CASDOMUXEN_A_delay;
wire CASDOMUXEN_B_delay;
wire CASINDBITERR_delay;
wire CASINSBITERR_delay;
wire CASOREGIMUXA_delay;
wire CASOREGIMUXB_delay;
wire CASOREGIMUXEN_A_delay;
wire CASOREGIMUXEN_B_delay;
wire CLKARDCLK_delay;
wire CLKBWRCLK_delay;
wire ECCPIPECE_delay;
wire ENARDEN_delay;
wire ENBWREN_delay;
wire INJECTDBITERR_delay;
wire INJECTSBITERR_delay;
wire REGCEAREGCE_delay;
wire REGCEB_delay;
wire RSTRAMARSTRAM_delay;
wire RSTRAMB_delay;
wire RSTREGARSTREG_delay;
wire RSTREGB_delay;
wire SLEEP_delay;
wire [14:0] ADDRARDADDR_delay;
wire [14:0] ADDRBWRADDR_delay;
wire [31:0] CASDINA_delay;
wire [31:0] CASDINB_delay;
wire [31:0] DINADIN_delay;
wire [31:0] DINBDIN_delay;
wire [3:0] CASDINPA_delay;
wire [3:0] CASDINPB_delay;
wire [3:0] DINPADINP_delay;
wire [3:0] DINPBDINP_delay;
wire [3:0] WEA_delay;
wire [7:0] WEBWE_delay;
`endif
assign CASDOUTA = CASDOUTA_out;
assign CASDOUTB = CASDOUTB_out;
assign CASDOUTPA = CASDOUTPA_out;
assign CASDOUTPB = CASDOUTPB_out;
assign CASOUTDBITERR = CASOUTDBITERR_out;
assign CASOUTSBITERR = CASOUTSBITERR_out;
assign DBITERR = DBITERR_out;
assign DOUTADOUT = DOUTADOUT_out;
assign DOUTBDOUT = DOUTBDOUT_out;
assign DOUTPADOUTP = DOUTPADOUTP_out;
assign DOUTPBDOUTP = DOUTPBDOUTP_out;
assign ECCPARITY = ECCPARITY_out;
assign RDADDRECC = RDADDRECC_out;
assign SBITERR = SBITERR_out;
`ifdef XIL_TIMING
always @ (*) ADDRARDADDR_in = ADDRARDADDR_delay;
always @ (*) ADDRBWRADDR_in = ADDRBWRADDR_delay;
assign ADDRENA_in = (ADDRENA === 1'bz) || ADDRENA_delay; // rv 1
assign ADDRENB_in = (ADDRENB === 1'bz) || ADDRENB_delay; // rv 1
assign CASDIMUXA_in = (CASDIMUXA !== 1'bz) && CASDIMUXA_delay; // rv 0
assign CASDIMUXB_in = (CASDIMUXB !== 1'bz) && CASDIMUXB_delay; // rv 0
assign CASDINA_in[0] = (CASDINA[0] !== 1'bz) && CASDINA_delay[0]; // rv 0
assign CASDINA_in[10] = (CASDINA[10] !== 1'bz) && CASDINA_delay[10]; // rv 0
assign CASDINA_in[11] = (CASDINA[11] !== 1'bz) && CASDINA_delay[11]; // rv 0
assign CASDINA_in[12] = (CASDINA[12] !== 1'bz) && CASDINA_delay[12]; // rv 0
assign CASDINA_in[13] = (CASDINA[13] !== 1'bz) && CASDINA_delay[13]; // rv 0
assign CASDINA_in[14] = (CASDINA[14] !== 1'bz) && CASDINA_delay[14]; // rv 0
assign CASDINA_in[15] = (CASDINA[15] !== 1'bz) && CASDINA_delay[15]; // rv 0
assign CASDINA_in[16] = (CASDINA[16] !== 1'bz) && CASDINA_delay[16]; // rv 0
assign CASDINA_in[17] = (CASDINA[17] !== 1'bz) && CASDINA_delay[17]; // rv 0
assign CASDINA_in[18] = (CASDINA[18] !== 1'bz) && CASDINA_delay[18]; // rv 0
assign CASDINA_in[19] = (CASDINA[19] !== 1'bz) && CASDINA_delay[19]; // rv 0
assign CASDINA_in[1] = (CASDINA[1] !== 1'bz) && CASDINA_delay[1]; // rv 0
assign CASDINA_in[20] = (CASDINA[20] !== 1'bz) && CASDINA_delay[20]; // rv 0
assign CASDINA_in[21] = (CASDINA[21] !== 1'bz) && CASDINA_delay[21]; // rv 0
assign CASDINA_in[22] = (CASDINA[22] !== 1'bz) && CASDINA_delay[22]; // rv 0
assign CASDINA_in[23] = (CASDINA[23] !== 1'bz) && CASDINA_delay[23]; // rv 0
assign CASDINA_in[24] = (CASDINA[24] !== 1'bz) && CASDINA_delay[24]; // rv 0
assign CASDINA_in[25] = (CASDINA[25] !== 1'bz) && CASDINA_delay[25]; // rv 0
assign CASDINA_in[26] = (CASDINA[26] !== 1'bz) && CASDINA_delay[26]; // rv 0
assign CASDINA_in[27] = (CASDINA[27] !== 1'bz) && CASDINA_delay[27]; // rv 0
assign CASDINA_in[28] = (CASDINA[28] !== 1'bz) && CASDINA_delay[28]; // rv 0
assign CASDINA_in[29] = (CASDINA[29] !== 1'bz) && CASDINA_delay[29]; // rv 0
assign CASDINA_in[2] = (CASDINA[2] !== 1'bz) && CASDINA_delay[2]; // rv 0
assign CASDINA_in[30] = (CASDINA[30] !== 1'bz) && CASDINA_delay[30]; // rv 0
assign CASDINA_in[31] = (CASDINA[31] !== 1'bz) && CASDINA_delay[31]; // rv 0
assign CASDINA_in[3] = (CASDINA[3] !== 1'bz) && CASDINA_delay[3]; // rv 0
assign CASDINA_in[4] = (CASDINA[4] !== 1'bz) && CASDINA_delay[4]; // rv 0
assign CASDINA_in[5] = (CASDINA[5] !== 1'bz) && CASDINA_delay[5]; // rv 0
assign CASDINA_in[6] = (CASDINA[6] !== 1'bz) && CASDINA_delay[6]; // rv 0
assign CASDINA_in[7] = (CASDINA[7] !== 1'bz) && CASDINA_delay[7]; // rv 0
assign CASDINA_in[8] = (CASDINA[8] !== 1'bz) && CASDINA_delay[8]; // rv 0
assign CASDINA_in[9] = (CASDINA[9] !== 1'bz) && CASDINA_delay[9]; // rv 0
assign CASDINB_in[0] = (CASDINB[0] !== 1'bz) && CASDINB_delay[0]; // rv 0
assign CASDINB_in[10] = (CASDINB[10] !== 1'bz) && CASDINB_delay[10]; // rv 0
assign CASDINB_in[11] = (CASDINB[11] !== 1'bz) && CASDINB_delay[11]; // rv 0
assign CASDINB_in[12] = (CASDINB[12] !== 1'bz) && CASDINB_delay[12]; // rv 0
assign CASDINB_in[13] = (CASDINB[13] !== 1'bz) && CASDINB_delay[13]; // rv 0
assign CASDINB_in[14] = (CASDINB[14] !== 1'bz) && CASDINB_delay[14]; // rv 0
assign CASDINB_in[15] = (CASDINB[15] !== 1'bz) && CASDINB_delay[15]; // rv 0
assign CASDINB_in[16] = (CASDINB[16] !== 1'bz) && CASDINB_delay[16]; // rv 0
assign CASDINB_in[17] = (CASDINB[17] !== 1'bz) && CASDINB_delay[17]; // rv 0
assign CASDINB_in[18] = (CASDINB[18] !== 1'bz) && CASDINB_delay[18]; // rv 0
assign CASDINB_in[19] = (CASDINB[19] !== 1'bz) && CASDINB_delay[19]; // rv 0
assign CASDINB_in[1] = (CASDINB[1] !== 1'bz) && CASDINB_delay[1]; // rv 0
assign CASDINB_in[20] = (CASDINB[20] !== 1'bz) && CASDINB_delay[20]; // rv 0
assign CASDINB_in[21] = (CASDINB[21] !== 1'bz) && CASDINB_delay[21]; // rv 0
assign CASDINB_in[22] = (CASDINB[22] !== 1'bz) && CASDINB_delay[22]; // rv 0
assign CASDINB_in[23] = (CASDINB[23] !== 1'bz) && CASDINB_delay[23]; // rv 0
assign CASDINB_in[24] = (CASDINB[24] !== 1'bz) && CASDINB_delay[24]; // rv 0
assign CASDINB_in[25] = (CASDINB[25] !== 1'bz) && CASDINB_delay[25]; // rv 0
assign CASDINB_in[26] = (CASDINB[26] !== 1'bz) && CASDINB_delay[26]; // rv 0
assign CASDINB_in[27] = (CASDINB[27] !== 1'bz) && CASDINB_delay[27]; // rv 0
assign CASDINB_in[28] = (CASDINB[28] !== 1'bz) && CASDINB_delay[28]; // rv 0
assign CASDINB_in[29] = (CASDINB[29] !== 1'bz) && CASDINB_delay[29]; // rv 0
assign CASDINB_in[2] = (CASDINB[2] !== 1'bz) && CASDINB_delay[2]; // rv 0
assign CASDINB_in[30] = (CASDINB[30] !== 1'bz) && CASDINB_delay[30]; // rv 0
assign CASDINB_in[31] = (CASDINB[31] !== 1'bz) && CASDINB_delay[31]; // rv 0
assign CASDINB_in[3] = (CASDINB[3] !== 1'bz) && CASDINB_delay[3]; // rv 0
assign CASDINB_in[4] = (CASDINB[4] !== 1'bz) && CASDINB_delay[4]; // rv 0
assign CASDINB_in[5] = (CASDINB[5] !== 1'bz) && CASDINB_delay[5]; // rv 0
assign CASDINB_in[6] = (CASDINB[6] !== 1'bz) && CASDINB_delay[6]; // rv 0
assign CASDINB_in[7] = (CASDINB[7] !== 1'bz) && CASDINB_delay[7]; // rv 0
assign CASDINB_in[8] = (CASDINB[8] !== 1'bz) && CASDINB_delay[8]; // rv 0
assign CASDINB_in[9] = (CASDINB[9] !== 1'bz) && CASDINB_delay[9]; // rv 0
assign CASDINPA_in[0] = (CASDINPA[0] !== 1'bz) && CASDINPA_delay[0]; // rv 0
assign CASDINPA_in[1] = (CASDINPA[1] !== 1'bz) && CASDINPA_delay[1]; // rv 0
assign CASDINPA_in[2] = (CASDINPA[2] !== 1'bz) && CASDINPA_delay[2]; // rv 0
assign CASDINPA_in[3] = (CASDINPA[3] !== 1'bz) && CASDINPA_delay[3]; // rv 0
assign CASDINPB_in[0] = (CASDINPB[0] !== 1'bz) && CASDINPB_delay[0]; // rv 0
assign CASDINPB_in[1] = (CASDINPB[1] !== 1'bz) && CASDINPB_delay[1]; // rv 0
assign CASDINPB_in[2] = (CASDINPB[2] !== 1'bz) && CASDINPB_delay[2]; // rv 0
assign CASDINPB_in[3] = (CASDINPB[3] !== 1'bz) && CASDINPB_delay[3]; // rv 0
assign CASDOMUXA_in = (CASDOMUXA !== 1'bz) && CASDOMUXA_delay; // rv 0
assign CASDOMUXB_in = (CASDOMUXB !== 1'bz) && CASDOMUXB_delay; // rv 0
assign CASDOMUXEN_A_in = (CASDOMUXEN_A === 1'bz) || CASDOMUXEN_A_delay; // rv 1
assign CASDOMUXEN_B_in = (CASDOMUXEN_B === 1'bz) || CASDOMUXEN_B_delay; // rv 1
assign CASINDBITERR_in = (CASINDBITERR !== 1'bz) && CASINDBITERR_delay; // rv 0
assign CASINSBITERR_in = (CASINSBITERR !== 1'bz) && CASINSBITERR_delay; // rv 0
assign CASOREGIMUXA_in = (CASOREGIMUXA !== 1'bz) && CASOREGIMUXA_delay; // rv 0
assign CASOREGIMUXB_in = (CASOREGIMUXB !== 1'bz) && CASOREGIMUXB_delay; // rv 0
assign CASOREGIMUXEN_A_in = (CASOREGIMUXEN_A === 1'bz) || CASOREGIMUXEN_A_delay; // rv 1
assign CASOREGIMUXEN_B_in = (CASOREGIMUXEN_B === 1'bz) || CASOREGIMUXEN_B_delay; // rv 1
assign CLKARDCLK_in = (CLKARDCLK !== 1'bz) && (CLKARDCLK_delay ^ IS_CLKARDCLK_INVERTED_BIN); // rv 0
assign CLKBWRCLK_in = (CLKBWRCLK !== 1'bz) && (CLKBWRCLK_delay ^ IS_CLKBWRCLK_INVERTED_BIN); // rv 0
always @ (*) DINADIN_in = DINADIN_delay;
always @ (*) DINBDIN_in = DINBDIN_delay;
always @ (*) DINPADINP_in = DINPADINP_delay;
always @ (*) DINPBDINP_in = DINPBDINP_delay;
assign ECCPIPECE_in = (ECCPIPECE === 1'bz) || ECCPIPECE_delay; // rv 1
assign ENARDEN_in = (ENARDEN !== 1'bz) && (ENARDEN_delay ^ IS_ENARDEN_INVERTED_BIN); // rv 0
assign ENBWREN_in = (ENBWREN !== 1'bz) && (ENBWREN_delay ^ IS_ENBWREN_INVERTED_BIN); // rv 0
assign INJECTDBITERR_in = (INJECTDBITERR !== 1'bz) && INJECTDBITERR_delay; // rv 0
assign INJECTSBITERR_in = (INJECTSBITERR !== 1'bz) && INJECTSBITERR_delay; // rv 0
assign REGCEAREGCE_in = (REGCEAREGCE === 1'bz) || REGCEAREGCE_delay; // rv 1
assign REGCEB_in = (REGCEB === 1'bz) || REGCEB_delay; // rv 1
assign RSTRAMARSTRAM_in = (RSTRAMARSTRAM !== 1'bz) && (RSTRAMARSTRAM_delay ^ IS_RSTRAMARSTRAM_INVERTED_BIN); // rv 0
assign RSTRAMB_in = (RSTRAMB !== 1'bz) && (RSTRAMB_delay ^ IS_RSTRAMB_INVERTED_BIN); // rv 0
assign RSTREGARSTREG_in = (RSTREGARSTREG !== 1'bz) && (RSTREGARSTREG_delay ^ IS_RSTREGARSTREG_INVERTED_BIN); // rv 0
assign RSTREGB_in = (RSTREGB !== 1'bz) && (RSTREGB_delay ^ IS_RSTREGB_INVERTED_BIN); // rv 0
assign SLEEP_in = (SLEEP !== 1'bz) && SLEEP_delay; // rv 0
assign WEA_in[0] = (WEA[0] === 1'bz) || WEA_delay[0]; // rv 1
assign WEA_in[1] = (WEA[1] === 1'bz) || WEA_delay[1]; // rv 1
assign WEA_in[2] = (WEA[2] === 1'bz) || WEA_delay[2]; // rv 1
assign WEA_in[3] = (WEA[3] === 1'bz) || WEA_delay[3]; // rv 1
assign WEBWE_in = WEBWE_delay;
`else
always @ (*) ADDRARDADDR_in = ADDRARDADDR;
always @ (*) ADDRBWRADDR_in = ADDRBWRADDR;
assign ADDRENA_in = (ADDRENA === 1'bz) || ADDRENA; // rv 1
assign ADDRENB_in = (ADDRENB === 1'bz) || ADDRENB; // rv 1
assign CASDIMUXA_in = (CASDIMUXA !== 1'bz) && CASDIMUXA; // rv 0
assign CASDIMUXB_in = (CASDIMUXB !== 1'bz) && CASDIMUXB; // rv 0
assign CASDINA_in[0] = (CASDINA[0] !== 1'bz) && CASDINA[0]; // rv 0
assign CASDINA_in[10] = (CASDINA[10] !== 1'bz) && CASDINA[10]; // rv 0
assign CASDINA_in[11] = (CASDINA[11] !== 1'bz) && CASDINA[11]; // rv 0
assign CASDINA_in[12] = (CASDINA[12] !== 1'bz) && CASDINA[12]; // rv 0
assign CASDINA_in[13] = (CASDINA[13] !== 1'bz) && CASDINA[13]; // rv 0
assign CASDINA_in[14] = (CASDINA[14] !== 1'bz) && CASDINA[14]; // rv 0
assign CASDINA_in[15] = (CASDINA[15] !== 1'bz) && CASDINA[15]; // rv 0
assign CASDINA_in[16] = (CASDINA[16] !== 1'bz) && CASDINA[16]; // rv 0
assign CASDINA_in[17] = (CASDINA[17] !== 1'bz) && CASDINA[17]; // rv 0
assign CASDINA_in[18] = (CASDINA[18] !== 1'bz) && CASDINA[18]; // rv 0
assign CASDINA_in[19] = (CASDINA[19] !== 1'bz) && CASDINA[19]; // rv 0
assign CASDINA_in[1] = (CASDINA[1] !== 1'bz) && CASDINA[1]; // rv 0
assign CASDINA_in[20] = (CASDINA[20] !== 1'bz) && CASDINA[20]; // rv 0
assign CASDINA_in[21] = (CASDINA[21] !== 1'bz) && CASDINA[21]; // rv 0
assign CASDINA_in[22] = (CASDINA[22] !== 1'bz) && CASDINA[22]; // rv 0
assign CASDINA_in[23] = (CASDINA[23] !== 1'bz) && CASDINA[23]; // rv 0
assign CASDINA_in[24] = (CASDINA[24] !== 1'bz) && CASDINA[24]; // rv 0
assign CASDINA_in[25] = (CASDINA[25] !== 1'bz) && CASDINA[25]; // rv 0
assign CASDINA_in[26] = (CASDINA[26] !== 1'bz) && CASDINA[26]; // rv 0
assign CASDINA_in[27] = (CASDINA[27] !== 1'bz) && CASDINA[27]; // rv 0
assign CASDINA_in[28] = (CASDINA[28] !== 1'bz) && CASDINA[28]; // rv 0
assign CASDINA_in[29] = (CASDINA[29] !== 1'bz) && CASDINA[29]; // rv 0
assign CASDINA_in[2] = (CASDINA[2] !== 1'bz) && CASDINA[2]; // rv 0
assign CASDINA_in[30] = (CASDINA[30] !== 1'bz) && CASDINA[30]; // rv 0
assign CASDINA_in[31] = (CASDINA[31] !== 1'bz) && CASDINA[31]; // rv 0
assign CASDINA_in[3] = (CASDINA[3] !== 1'bz) && CASDINA[3]; // rv 0
assign CASDINA_in[4] = (CASDINA[4] !== 1'bz) && CASDINA[4]; // rv 0
assign CASDINA_in[5] = (CASDINA[5] !== 1'bz) && CASDINA[5]; // rv 0
assign CASDINA_in[6] = (CASDINA[6] !== 1'bz) && CASDINA[6]; // rv 0
assign CASDINA_in[7] = (CASDINA[7] !== 1'bz) && CASDINA[7]; // rv 0
assign CASDINA_in[8] = (CASDINA[8] !== 1'bz) && CASDINA[8]; // rv 0
assign CASDINA_in[9] = (CASDINA[9] !== 1'bz) && CASDINA[9]; // rv 0
assign CASDINB_in[0] = (CASDINB[0] !== 1'bz) && CASDINB[0]; // rv 0
assign CASDINB_in[10] = (CASDINB[10] !== 1'bz) && CASDINB[10]; // rv 0
assign CASDINB_in[11] = (CASDINB[11] !== 1'bz) && CASDINB[11]; // rv 0
assign CASDINB_in[12] = (CASDINB[12] !== 1'bz) && CASDINB[12]; // rv 0
assign CASDINB_in[13] = (CASDINB[13] !== 1'bz) && CASDINB[13]; // rv 0
assign CASDINB_in[14] = (CASDINB[14] !== 1'bz) && CASDINB[14]; // rv 0
assign CASDINB_in[15] = (CASDINB[15] !== 1'bz) && CASDINB[15]; // rv 0
assign CASDINB_in[16] = (CASDINB[16] !== 1'bz) && CASDINB[16]; // rv 0
assign CASDINB_in[17] = (CASDINB[17] !== 1'bz) && CASDINB[17]; // rv 0
assign CASDINB_in[18] = (CASDINB[18] !== 1'bz) && CASDINB[18]; // rv 0
assign CASDINB_in[19] = (CASDINB[19] !== 1'bz) && CASDINB[19]; // rv 0
assign CASDINB_in[1] = (CASDINB[1] !== 1'bz) && CASDINB[1]; // rv 0
assign CASDINB_in[20] = (CASDINB[20] !== 1'bz) && CASDINB[20]; // rv 0
assign CASDINB_in[21] = (CASDINB[21] !== 1'bz) && CASDINB[21]; // rv 0
assign CASDINB_in[22] = (CASDINB[22] !== 1'bz) && CASDINB[22]; // rv 0
assign CASDINB_in[23] = (CASDINB[23] !== 1'bz) && CASDINB[23]; // rv 0
assign CASDINB_in[24] = (CASDINB[24] !== 1'bz) && CASDINB[24]; // rv 0
assign CASDINB_in[25] = (CASDINB[25] !== 1'bz) && CASDINB[25]; // rv 0
assign CASDINB_in[26] = (CASDINB[26] !== 1'bz) && CASDINB[26]; // rv 0
assign CASDINB_in[27] = (CASDINB[27] !== 1'bz) && CASDINB[27]; // rv 0
assign CASDINB_in[28] = (CASDINB[28] !== 1'bz) && CASDINB[28]; // rv 0
assign CASDINB_in[29] = (CASDINB[29] !== 1'bz) && CASDINB[29]; // rv 0
assign CASDINB_in[2] = (CASDINB[2] !== 1'bz) && CASDINB[2]; // rv 0
assign CASDINB_in[30] = (CASDINB[30] !== 1'bz) && CASDINB[30]; // rv 0
assign CASDINB_in[31] = (CASDINB[31] !== 1'bz) && CASDINB[31]; // rv 0
assign CASDINB_in[3] = (CASDINB[3] !== 1'bz) && CASDINB[3]; // rv 0
assign CASDINB_in[4] = (CASDINB[4] !== 1'bz) && CASDINB[4]; // rv 0
assign CASDINB_in[5] = (CASDINB[5] !== 1'bz) && CASDINB[5]; // rv 0
assign CASDINB_in[6] = (CASDINB[6] !== 1'bz) && CASDINB[6]; // rv 0
assign CASDINB_in[7] = (CASDINB[7] !== 1'bz) && CASDINB[7]; // rv 0
assign CASDINB_in[8] = (CASDINB[8] !== 1'bz) && CASDINB[8]; // rv 0
assign CASDINB_in[9] = (CASDINB[9] !== 1'bz) && CASDINB[9]; // rv 0
assign CASDINPA_in[0] = (CASDINPA[0] !== 1'bz) && CASDINPA[0]; // rv 0
assign CASDINPA_in[1] = (CASDINPA[1] !== 1'bz) && CASDINPA[1]; // rv 0
assign CASDINPA_in[2] = (CASDINPA[2] !== 1'bz) && CASDINPA[2]; // rv 0
assign CASDINPA_in[3] = (CASDINPA[3] !== 1'bz) && CASDINPA[3]; // rv 0
assign CASDINPB_in[0] = (CASDINPB[0] !== 1'bz) && CASDINPB[0]; // rv 0
assign CASDINPB_in[1] = (CASDINPB[1] !== 1'bz) && CASDINPB[1]; // rv 0
assign CASDINPB_in[2] = (CASDINPB[2] !== 1'bz) && CASDINPB[2]; // rv 0
assign CASDINPB_in[3] = (CASDINPB[3] !== 1'bz) && CASDINPB[3]; // rv 0
assign CASDOMUXA_in = (CASDOMUXA !== 1'bz) && CASDOMUXA; // rv 0
assign CASDOMUXB_in = (CASDOMUXB !== 1'bz) && CASDOMUXB; // rv 0
assign CASDOMUXEN_A_in = (CASDOMUXEN_A === 1'bz) || CASDOMUXEN_A; // rv 1
assign CASDOMUXEN_B_in = (CASDOMUXEN_B === 1'bz) || CASDOMUXEN_B; // rv 1
assign CASINDBITERR_in = (CASINDBITERR !== 1'bz) && CASINDBITERR; // rv 0
assign CASINSBITERR_in = (CASINSBITERR !== 1'bz) && CASINSBITERR; // rv 0
assign CASOREGIMUXA_in = (CASOREGIMUXA !== 1'bz) && CASOREGIMUXA; // rv 0
assign CASOREGIMUXB_in = (CASOREGIMUXB !== 1'bz) && CASOREGIMUXB; // rv 0
assign CASOREGIMUXEN_A_in = (CASOREGIMUXEN_A === 1'bz) || CASOREGIMUXEN_A; // rv 1
assign CASOREGIMUXEN_B_in = (CASOREGIMUXEN_B === 1'bz) || CASOREGIMUXEN_B; // rv 1
assign CLKARDCLK_in = (CLKARDCLK !== 1'bz) && (CLKARDCLK ^ IS_CLKARDCLK_INVERTED_BIN); // rv 0
assign CLKBWRCLK_in = (CLKBWRCLK !== 1'bz) && (CLKBWRCLK ^ IS_CLKBWRCLK_INVERTED_BIN); // rv 0
// always @ (CLKARDCLK) begin
// if ((CLKARDCLK === 1'bz) || // rv 0
// (CLKARDCLK === IS_CLKARDCLK_INVERTED_BIN)) CLKARDCLK_in = 1'b0;
// else CLKARDCLK_in = 1'b1;
// end
// always @ (CLKBWRCLK) begin
// if ((CLKBWRCLK === 1'bz) || // rv 0
// (CLKBWRCLK === IS_CLKBWRCLK_INVERTED_BIN)) CLKBWRCLK_in = 1'b0;
// else CLKBWRCLK_in = 1'b1;
// end
always @ (*) DINADIN_in = DINADIN;
always @ (*) DINBDIN_in = DINBDIN;
always @ (*) DINPADINP_in = DINPADINP;
always @ (*) DINPBDINP_in = DINPBDINP;
assign ECCPIPECE_in = (ECCPIPECE === 1'bz) || ECCPIPECE; // rv 1
assign ENARDEN_in = (ENARDEN !== 1'bz) && (ENARDEN ^ IS_ENARDEN_INVERTED_BIN); // rv 0
assign ENBWREN_in = (ENBWREN !== 1'bz) && (ENBWREN ^ IS_ENBWREN_INVERTED_BIN); // rv 0
assign INJECTDBITERR_in = (INJECTDBITERR !== 1'bz) && INJECTDBITERR; // rv 0
assign INJECTSBITERR_in = (INJECTSBITERR !== 1'bz) && INJECTSBITERR; // rv 0
assign REGCEAREGCE_in = (REGCEAREGCE === 1'bz) || REGCEAREGCE; // rv 1
assign REGCEB_in = (REGCEB === 1'bz) || REGCEB; // rv 1
assign RSTRAMARSTRAM_in = (RSTRAMARSTRAM !== 1'bz) && (RSTRAMARSTRAM ^ IS_RSTRAMARSTRAM_INVERTED_BIN); // rv 0
assign RSTRAMB_in = (RSTRAMB !== 1'bz) && (RSTRAMB ^ IS_RSTRAMB_INVERTED_BIN); // rv 0
assign RSTREGARSTREG_in = (RSTREGARSTREG !== 1'bz) && (RSTREGARSTREG ^ IS_RSTREGARSTREG_INVERTED_BIN); // rv 0
assign RSTREGB_in = (RSTREGB !== 1'bz) && (RSTREGB ^ IS_RSTREGB_INVERTED_BIN); // rv 0
assign SLEEP_in = (SLEEP !== 1'bz) && SLEEP; // rv 0
assign WEA_in[0] = (WEA[0] === 1'bz) || WEA[0]; // rv 1
assign WEA_in[1] = (WEA[1] === 1'bz) || WEA[1]; // rv 1
assign WEA_in[2] = (WEA[2] === 1'bz) || WEA[2]; // rv 1
assign WEA_in[3] = (WEA[3] === 1'bz) || WEA[3]; // rv 1
assign WEBWE_in = WEBWE;
`endif
// internal variables, signals, busses
localparam integer ADDR_WIDTH = 15;
localparam integer INIT_WIDTH = 72;
localparam integer D_WIDTH = 64;
localparam integer DP_WIDTH = 8;
localparam mem_width = 1;
localparam memp_width = 1;
localparam mem_size = 32768;
localparam mem_depth = mem_size;
localparam memp_depth = mem_size/8;
localparam mem_pad = 64;
localparam memp_pad = 8;
localparam encode = 1'b1;
localparam decode = 1'b0;
//localparam tmp_mem_width = (READ_WIDTH_A >= READ_WIDTH_B) ? READ_WIDTH_A : READ_WIDTH_B;
localparam tmp_mem_width = (READ_WIDTH_A >= READ_WIDTH_B) ? ((READ_WIDTH_A == 0) ? 1 : READ_WIDTH_A) :
READ_WIDTH_B;
localparam tmp_memp_width = (tmp_mem_width < 9) ? 0 :
(tmp_mem_width < 18) ? 1 :
(tmp_mem_width < 36) ? 2 :
(tmp_mem_width < 72) ? 4 : 8;
localparam tmp_mem_depth = mem_size/(tmp_mem_width-tmp_memp_width);
reg [tmp_mem_width-1:0] tmp_mem_tmp = 0;
integer t_coll_min = 50;
integer t_coll_max = 500 - 99;
reg [255:0] INITP_TMP;
reg [255:0] INIT_TMP;
integer i=0;
integer j=0;
integer k=0;
integer ra=0;
integer raa=0;
integer raw=0;
integer wb=0;
integer rb=0;
integer rbb=0;
integer rbw=0;
integer wa=0;
integer rd_loops_a = 1;
integer wr_loops_a = 1;
integer rd_loops_b = 1;
integer rd_loops_f = 1;
integer wr_loops_b = 1;
localparam max_rd_loops = D_WIDTH;
localparam max_wr_loops = D_WIDTH;
wire WREN_ecc;
wire SLEEP_A_int;
wire SLEEP_B_int;
reg [1:0] SLEEP_A_reg = 2'b0;
reg [1:0] SLEEP_B_reg = 2'b0;
wire RSTREG_A_int;
wire REGCE_A_int;
wire ADDRENA_int;
wire ADDRENB_int;
wire RSTREG_B_int;
wire REGCE_B_int;
reg CASDOMUXA_reg = 1'b0;
reg CASOREGIMUXA_reg = 1'b0;
reg CASDOMUXB_reg = 1'b0;
reg CASOREGIMUXB_reg = 1'b0;
wire CASDOMUXB_int;
wire INJECTDBITERR_int;
wire INJECTSBITERR_int;
reg [6:0] error_bit = 7'b0;
reg [DP_WIDTH-1:0] eccparity_reg = 8'h00;
wire [INIT_WIDTH-1:0] INIT_A_int;
wire [INIT_WIDTH-1:0] SRVAL_A_int;
wire [INIT_WIDTH/2-1:0] INIT_B_int;
wire [INIT_WIDTH/2-1:0] SRVAL_B_int;
wire mem_wr_en_a;
reg mem_wr_en_a_wf = 1'b0;
reg [D_WIDTH/2-1:0] mem_we_a;
reg [DP_WIDTH/2-1:0] memp_we_a;
wire [D_WIDTH/2-1:0] mem_rm_doutb;
wire [DP_WIDTH/2-1:0] memp_rm_doutb;
wire [D_WIDTH-1:0] mem_rm_a;
wire [D_WIDTH-1:0] mem_rm_b;
wire [D_WIDTH-1:0] mem_wm_a;
wire [D_WIDTH-1:0] mem_wm_b;
reg wr_data_matches = 0;
reg wr_a_data_matches_rd_b_data = 0;
reg wr_b_data_matches_rd_a_data = 0;
wire mem_wr_en_b;
reg mem_wr_en_b_wf = 1'b0;
reg [D_WIDTH-1:0] mem_we_b;
reg [DP_WIDTH-1:0] memp_we_b;
wire [D_WIDTH-1:0] mem_rm_douta;
wire [DP_WIDTH-1:0] memp_rm_douta;
wire mem_rd_en_a;
wire mem_rst_a;
reg mem_is_rst_a = 1'b0;
reg first_read = 1'b0;
wire mem_rd_en_b;
wire mem_rst_b;
reg mem_is_rst_b = 1'b0;
reg mem [0 : mem_depth+mem_pad-1];
reg [D_WIDTH/2-1 : 0] mem_wr_a;
reg wr_a_event = 1'b0;
reg wr_a_wf_event = 1'b0;
reg [D_WIDTH-1 : 0] ram_rd_a;
reg [D_WIDTH-1 : 0] mem_rd_a_wf;
reg [D_WIDTH-1 : 0] mem_wr_b;
reg wr_b_event = 1'b0;
reg wr_b_wf_event = 1'b0;
reg [D_WIDTH-1 : 0] mem_rd_b;
reg [D_WIDTH-1 : 0] mem_rd_b_rf;
reg [D_WIDTH-1 : 0] mem_rd_b_wf;
reg [D_WIDTH-1 : 0] mem_a_reg;
reg [D_WIDTH-1 : 0] mem_a_reg_mux;
reg [D_WIDTH-1 : 0] mem_a_lat;
reg [D_WIDTH-1 : 0] mem_a_pipe;
reg [D_WIDTH/2-1 : 0] mem_b_reg;
reg [D_WIDTH/2-1 : 0] mem_b_reg_mux;
reg [D_WIDTH/2-1 : 0] mem_b_lat;
reg memp [0 : memp_depth+memp_pad-1];
reg [DP_WIDTH-1 : 0] memp_wr_a;
reg [DP_WIDTH-1 : 0] ramp_rd_a;
reg [DP_WIDTH-1 : 0] memp_rd_a_wf;
reg [DP_WIDTH-1 : 0] memp_wr_b;
reg [DP_WIDTH-1 : 0] memp_rd_b;
reg [DP_WIDTH-1 : 0] memp_rd_b_rf;
reg [DP_WIDTH-1 : 0] memp_rd_b_wf;
reg [DP_WIDTH-1 : 0] memp_a_reg;
reg [DP_WIDTH-1 : 0] memp_a_reg_mux;
reg [DP_WIDTH-1 : 0] memp_a_lat;
reg [DP_WIDTH-1 : 0] memp_a_out;
reg [DP_WIDTH-1 : 0] memp_a_pipe;
reg [DP_WIDTH/2-1 : 0] memp_b_reg;
reg [DP_WIDTH/2-1 : 0] memp_b_reg_mux;
reg [DP_WIDTH/2-1 : 0] memp_b_lat;
reg [DP_WIDTH/2-1 : 0] memp_b_out;
wire dbit_int;
wire sbit_int;
reg dbit_lat = 0;
reg sbit_lat = 0;
reg dbit_pipe = 0;
reg sbit_pipe = 0;
reg dbit_reg = 0;
reg sbit_reg = 0;
wire [8:0] r_a_ecc_ecc;
reg [8:0] r_a_ecc_lat = 9'b0;
reg [8:0] r_a_ecc_pipe = 9'b0;
reg [8:0] r_a_ecc_reg = 9'b0;
reg dbit_ecc;
reg sbit_ecc;
wire [ADDR_WIDTH-1:0] rd_addr_a_mask;
wire [ADDR_WIDTH-1:0] rd_addr_b_mask;
wire [ADDR_WIDTH-1:0] wr_addr_a_mask;
wire [ADDR_WIDTH-1:0] wr_addr_b_mask;
reg [ADDR_WIDTH-1:0] rd_addr_a = 0;
reg [ADDR_WIDTH-1:0] wr_addr_a_last = 0;
reg [ADDR_WIDTH-1:0] rd_addr_a_last = 0;
reg rd_addr_a_valid = 0;
reg rd_addr_a_nochange = 0;
reg [63:0] rd_addr_a_count = 0;
reg [63:0] rd_addr_a_nocount = 0;
reg [ADDR_WIDTH-1:0] rd_addr_b = 0;
reg [ADDR_WIDTH-1:0] wr_addr_a = 0;
reg [ADDR_WIDTH-1:0] wr_addr_b_last = 0;
reg [ADDR_WIDTH-1:0] rd_addr_b_last = 0;
reg rd_addr_b_valid = 0;
reg rd_addr_b_nochange = 0;
reg [63:0] rd_addr_b_count = 0;
reg [63:0] rd_addr_b_nocount = 0;
reg [ADDR_WIDTH-1:0] wr_addr_b = 0;
reg wr_a_rd_b_addr_coll = 1'b0;
reg wr_addr_coll = 1'b0;
reg wr_b_rd_a_addr_coll = 1'b0;
reg [7:0] synd_wr;
reg [7:0] synd_rd;
reg [7:0] synd_ecc;
wire sdp_mode;
wire sdp_mode_wr;
wire sdp_mode_rd;
// clk period for collision window variables
integer t_max_a=3000, t_max_b=3000;
integer clk_period_a=10000, clk_period_b=10000;
reg clk_a_slowest = 1'b0; //victor drc
reg [63:0] total_clks_a=1, total_clks_b=1;
reg clka_toggled=1'b0, clkb_toggled=1'b0;
reg clka_done=1'b0, clkb_done=1'b0;
reg clka_timeout=0, clkb_timeout=0;
reg clka_changed = 1'b0;
reg clkb_changed = 1'b0;
wire clks_done;
reg en_clk_sync = 1'b0;
// define tasks, functions
function [7:0] fn_ecc (
input encode,
input [63:0] d_i,
input [7:0] dp_i
);
reg ecc_7;
begin
fn_ecc[0] = d_i[0] ^ d_i[1] ^ d_i[3] ^ d_i[4] ^ d_i[6] ^
d_i[8] ^ d_i[10] ^ d_i[11] ^ d_i[13] ^ d_i[15] ^
d_i[17] ^ d_i[19] ^ d_i[21] ^ d_i[23] ^ d_i[25] ^
d_i[26] ^ d_i[28] ^ d_i[30] ^ d_i[32] ^ d_i[34] ^
d_i[36] ^ d_i[38] ^ d_i[40] ^ d_i[42] ^ d_i[44] ^
d_i[46] ^ d_i[48] ^ d_i[50] ^ d_i[52] ^ d_i[54] ^
d_i[56] ^ d_i[57] ^ d_i[59] ^ d_i[61] ^ d_i[63];
fn_ecc[1] = d_i[0] ^ d_i[2] ^ d_i[3] ^ d_i[5] ^ d_i[6] ^
d_i[9] ^ d_i[10] ^ d_i[12] ^ d_i[13] ^ d_i[16] ^
d_i[17] ^ d_i[20] ^ d_i[21] ^ d_i[24] ^ d_i[25] ^
d_i[27] ^ d_i[28] ^ d_i[31] ^ d_i[32] ^ d_i[35] ^
d_i[36] ^ d_i[39] ^ d_i[40] ^ d_i[43] ^ d_i[44] ^
d_i[47] ^ d_i[48] ^ d_i[51] ^ d_i[52] ^ d_i[55] ^
d_i[56] ^ d_i[58] ^ d_i[59] ^ d_i[62] ^ d_i[63];
fn_ecc[2] = d_i[1] ^ d_i[2] ^ d_i[3] ^ d_i[7] ^ d_i[8] ^
d_i[9] ^ d_i[10] ^ d_i[14] ^ d_i[15] ^ d_i[16] ^
d_i[17] ^ d_i[22] ^ d_i[23] ^ d_i[24] ^ d_i[25] ^
d_i[29] ^ d_i[30] ^ d_i[31] ^ d_i[32] ^ d_i[37] ^
d_i[38] ^ d_i[39] ^ d_i[40] ^ d_i[45] ^ d_i[46] ^
d_i[47] ^ d_i[48] ^ d_i[53] ^ d_i[54] ^ d_i[55] ^
d_i[56] ^ d_i[60] ^ d_i[61] ^ d_i[62] ^ d_i[63];
fn_ecc[3] = d_i[4] ^ d_i[5] ^ d_i[6] ^ d_i[7] ^ d_i[8] ^
d_i[9] ^ d_i[10] ^ d_i[18] ^ d_i[19] ^ d_i[20] ^
d_i[21] ^ d_i[22] ^ d_i[23] ^ d_i[24] ^ d_i[25] ^
d_i[33] ^ d_i[34] ^ d_i[35] ^ d_i[36] ^ d_i[37] ^
d_i[38] ^ d_i[39] ^ d_i[40] ^ d_i[49] ^ d_i[50] ^
d_i[51] ^ d_i[52] ^ d_i[53] ^ d_i[54] ^ d_i[55] ^
d_i[56];
fn_ecc[4] = d_i[11] ^ d_i[12] ^ d_i[13] ^ d_i[14] ^ d_i[15] ^
d_i[16] ^ d_i[17] ^ d_i[18] ^ d_i[19] ^ d_i[20] ^
d_i[21] ^ d_i[22] ^ d_i[23] ^ d_i[24] ^ d_i[25] ^
d_i[41] ^ d_i[42] ^ d_i[43] ^ d_i[44] ^ d_i[45] ^
d_i[46] ^ d_i[47] ^ d_i[48] ^ d_i[49] ^ d_i[50] ^
d_i[51] ^ d_i[52] ^ d_i[53] ^ d_i[54] ^ d_i[55] ^
d_i[56];
fn_ecc[5] = d_i[26] ^ d_i[27] ^ d_i[28] ^ d_i[29] ^ d_i[30] ^
d_i[31] ^ d_i[32] ^ d_i[33] ^ d_i[34] ^ d_i[35] ^
d_i[36] ^ d_i[37] ^ d_i[38] ^ d_i[39] ^ d_i[40] ^
d_i[41] ^ d_i[42] ^ d_i[43] ^ d_i[44] ^ d_i[45] ^
d_i[46] ^ d_i[47] ^ d_i[48] ^ d_i[49] ^ d_i[50] ^
d_i[51] ^ d_i[52] ^ d_i[53] ^ d_i[54] ^ d_i[55] ^
d_i[56];
fn_ecc[6] = d_i[57] ^ d_i[58] ^ d_i[59] ^ d_i[60] ^ d_i[61] ^
d_i[62] ^ d_i[63];
ecc_7 = d_i[0] ^ d_i[1] ^ d_i[2] ^ d_i[3] ^ d_i[4] ^
d_i[5] ^ d_i[6] ^ d_i[7] ^ d_i[8] ^ d_i[9] ^
d_i[10] ^ d_i[11] ^ d_i[12] ^ d_i[13] ^ d_i[14] ^
d_i[15] ^ d_i[16] ^ d_i[17] ^ d_i[18] ^ d_i[19] ^
d_i[20] ^ d_i[21] ^ d_i[22] ^ d_i[23] ^ d_i[24] ^
d_i[25] ^ d_i[26] ^ d_i[27] ^ d_i[28] ^ d_i[29] ^
d_i[30] ^ d_i[31] ^ d_i[32] ^ d_i[33] ^ d_i[34] ^
d_i[35] ^ d_i[36] ^ d_i[37] ^ d_i[38] ^ d_i[39] ^
d_i[40] ^ d_i[41] ^ d_i[42] ^ d_i[43] ^ d_i[44] ^
d_i[45] ^ d_i[46] ^ d_i[47] ^ d_i[48] ^ d_i[49] ^
d_i[50] ^ d_i[51] ^ d_i[52] ^ d_i[53] ^ d_i[54] ^
d_i[55] ^ d_i[56] ^ d_i[57] ^ d_i[58] ^ d_i[59] ^
d_i[60] ^ d_i[61] ^ d_i[62] ^ d_i[63];
if (encode) begin
fn_ecc[7] = ecc_7 ^
fn_ecc[0] ^ fn_ecc[1] ^ fn_ecc[2] ^ fn_ecc[3] ^
fn_ecc[4] ^ fn_ecc[5] ^ fn_ecc[6];
end
else begin
fn_ecc[7] = ecc_7 ^
dp_i[0] ^ dp_i[1] ^ dp_i[2] ^ dp_i[3] ^
dp_i[4] ^ dp_i[5] ^ dp_i[6];
end
end
endfunction // fn_ecc
function [71:0] fn_cor_bit (
input [6:0] error_bit,
input [63:0] d_i,
input [7:0] dp_i
);
reg [71:0] cor_int;
begin
cor_int = {d_i[63:57], dp_i[6], d_i[56:26], dp_i[5], d_i[25:11], dp_i[4],
d_i[10:4], dp_i[3], d_i[3:1], dp_i[2], d_i[0], dp_i[1:0],
dp_i[7]};
cor_int[error_bit] = ~cor_int[error_bit];
fn_cor_bit = {cor_int[0], cor_int[64], cor_int[32], cor_int[16],
cor_int[8], cor_int[4], cor_int[2:1], cor_int[71:65],
cor_int[63:33], cor_int[31:17], cor_int[15:9],
cor_int[7:5], cor_int[3]};
end
endfunction // fn_cor_bit
task read_init_file;
reg [tmp_mem_width-1:0] tmp_mem [0:tmp_mem_depth-1];
integer w,d;
begin
$readmemh (INIT_FILE_REG, tmp_mem);
for (d=0;d<tmp_mem_depth;d=d+1) begin
tmp_mem_tmp = tmp_mem[d];
for (w=0;w<tmp_mem_width-tmp_memp_width;w=w+1) begin
mem[d*(tmp_mem_width-tmp_memp_width)+w] = tmp_mem[d][w];
end
if (tmp_memp_width > 0) begin
for (w=0;w<tmp_memp_width;w=w+1) begin
memp[d*tmp_memp_width+w] = tmp_mem[d][tmp_mem_width-tmp_memp_width+w];
end
end
end
end
endtask
reg cas_a_warning = 1'b0;
reg cas_b_warning = 1'b0;
task is_cas_a_connected;
integer i;
begin
cas_a_warning = 1'b0;
if (CASDIMUXA === 1'bz) begin
cas_a_warning = 1'b1;
$display("Warning: [Unisim %s-130] CASDIMUXA signal is unconnected in CASCADE mode Instance: %m", MODULE_NAME);
end
for (i=0;i<=31;i=i+1) begin
if (CASDINA[i] === 1'bz) begin
cas_a_warning = 1'b1;
$display("Warning: [Unisim %s-130] CASDINA[%2d] signal is unconnected in CASCADE mode Instance: %m", MODULE_NAME, i);
end
end
for (i=0;i<=3;i=i+1) begin
if (CASDINPA[i] === 1'bz) begin
cas_a_warning = 1'b1;
$display("Warning: [Unisim %s-130] CASDINPA[%2d] signal is unconnected in CASCADE mode Instance: %m", MODULE_NAME, i);
end
end
if (CASDOMUXA === 1'bz) begin
cas_a_warning = 1'b1;
$display("Warning: [Unisim %s-130] CASDOMUXA signal is unconnected in CASCADE mode Instance: %m", MODULE_NAME);
end
if (CASDOMUXEN_A === 1'bz) begin
cas_a_warning = 1'b1;
$display("Warning: [Unisim %s-130] CASDOMUXEN_A signal is unconnected in CASCADE mode Instance: %m", MODULE_NAME);
end
if (CASINDBITERR === 1'bz) begin
cas_a_warning = 1'b1;
$display("Warning: [Unisim %s-130] CASINDBITERR signal is unconnected in CASCADE mode Instance: %m", MODULE_NAME);
end
if (CASINSBITERR === 1'bz) begin
cas_a_warning = 1'b1;
$display("Warning: [Unisim %s-130] CASINSBITERR signal is unconnected in CASCADE mode Instance: %m", MODULE_NAME);
end
if (CASOREGIMUXA === 1'bz) begin
cas_a_warning = 1'b1;
$display("Warning: [Unisim %s-130] CASOREGIMUXA signal is unconnected in CASCADE mode Instance: %m", MODULE_NAME);
end
if (CASOREGIMUXEN_A === 1'bz) begin
cas_a_warning = 1'b1;
$display("Warning: [Unisim %s-130] CASOREGIMUXEN_A signal is unconnected in CASCADE mode Instance: %m", MODULE_NAME);
end
end
endtask // is_cas_a_connected
task is_cas_b_connected;
integer i;
begin
cas_b_warning = 1'b0;
if (CASDIMUXB === 1'bz) begin
cas_b_warning = 1'b1;
$display("Warning: [Unisim %s-130] CASDIMUXB signal is unconnected in CASCADE mode Instance: %m", MODULE_NAME);
end
for (i=0;i<=31;i=i+1) begin
if (CASDINB[i] === 1'bz) begin
cas_b_warning = 1'b1;
$display("Warning: [Unisim %s-130] CASDINB[%2d] signal is unconnected in CASCADE mode Instance: %m", MODULE_NAME, i);
end
end
for (i=0;i<=3;i=i+1) begin
if (CASDINPB[i] === 1'bz) begin
cas_b_warning = 1'b1;
$display("Warning: [Unisim %s-130] CASDINPB[%2d] signal is unconnected in CASCADE mode Instance: %m", MODULE_NAME, i);
end
end
if (CASDOMUXB === 1'bz) begin
cas_b_warning = 1'b1;
$display("Warning: [Unisim %s-130] CASDOMUXB signal is unconnected in CASCADE mode Instance: %m", MODULE_NAME);
end
if (CASDOMUXEN_B === 1'bz) begin
cas_b_warning = 1'b1;
$display("Warning: [Unisim %s-130] CASDOMUXEN_B signal is unconnected in CASCADE mode Instance: %m", MODULE_NAME);
end
if (CASINDBITERR === 1'bz) begin
cas_b_warning = 1'b1;
$display("Warning: [Unisim %s-130] CASINDBITERR signal is unconnected in CASCADE mode Instance: %m", MODULE_NAME);
end
if (CASINSBITERR === 1'bz) begin
cas_b_warning = 1'b1;
$display("Warning: [Unisim %s-130] CASINSBITERR signal is unconnected in CASCADE mode Instance: %m", MODULE_NAME);
end
if (CASOREGIMUXB === 1'bz) begin
cas_b_warning = 1'b1;
$display("Warning: [Unisim %s-130] CASOREGIMUXB signal is unconnected in CASCADE mode Instance: %m", MODULE_NAME);
end
if (CASOREGIMUXEN_B === 1'bz) begin
cas_b_warning = 1'b1;
$display("Warning: [Unisim %s-130] CASOREGIMUXEN_B signal is unconnected in CASCADE mode Instance: %m", MODULE_NAME);
end
end
endtask // is_cas_b_connected
assign mem_rst_a = RSTRAMARSTRAM_in;
assign mem_rst_b = sdp_mode ? RSTRAMARSTRAM_in : RSTRAMB_in;
assign INJECTDBITERR_int = (EN_ECC_WRITE_BIN == EN_ECC_WRITE_FALSE) ? 1'b0 :
INJECTDBITERR_in;
assign INJECTSBITERR_int = (EN_ECC_WRITE_BIN == EN_ECC_WRITE_FALSE) ? 1'b0 :
INJECTSBITERR_in || INJECTDBITERR_in;
wire [35:0] bit_err_pat;
assign bit_err_pat = INJECTDBITERR_int ? 36'h400000004 : INJECTSBITERR_int ? 36'h000000004 : 36'h0;
always @ (*) begin
if (((CASCADE_ORDER_A_BIN == CASCADE_ORDER_A_LAST) ||
(CASCADE_ORDER_A_BIN == CASCADE_ORDER_A_MIDDLE)) && CASDIMUXA_in)
mem_wr_a = {32'h0, CASDINA_in};
else
mem_wr_a = {32'h0, DINADIN_in};
end
always @ (*) begin
if (((CASCADE_ORDER_A_BIN == CASCADE_ORDER_A_LAST) ||
(CASCADE_ORDER_A_BIN == CASCADE_ORDER_A_MIDDLE)) && CASDIMUXA_in)
memp_wr_a = {4'h0, CASDINPA_in};
else
memp_wr_a = {4'h0, DINPADINP_in};
end
always @ (*) begin
if (INJECTDBITERR_int || INJECTSBITERR_int) begin
if (((CASCADE_ORDER_B_BIN == CASCADE_ORDER_B_LAST) ||
(CASCADE_ORDER_B_BIN == CASCADE_ORDER_B_MIDDLE)) && CASDIMUXB_in)
mem_wr_b = {CASDINB_in, CASDINA_in} ^ {bit_err_pat, 28'h0};
else
mem_wr_b = {DINBDIN_in, DINADIN_in} ^ {bit_err_pat, 28'h0};
end
else if (WRITE_WIDTH_B_BIN == WRITE_WIDTH_B_72) begin
if (((CASCADE_ORDER_B_BIN == CASCADE_ORDER_B_LAST) ||
(CASCADE_ORDER_B_BIN == CASCADE_ORDER_B_MIDDLE)) && CASDIMUXB_in)
mem_wr_b = {CASDINB_in, CASDINA_in};
else
mem_wr_b = {DINBDIN_in, DINADIN_in};
end
else begin
if (((CASCADE_ORDER_B_BIN == CASCADE_ORDER_B_LAST) ||
(CASCADE_ORDER_B_BIN == CASCADE_ORDER_B_MIDDLE)) && CASDIMUXB_in)
mem_wr_b = {32'h0, CASDINB_in};
else
mem_wr_b = {32'b0, DINBDIN_in};
end
end
always @ (*) begin
if (EN_ECC_WRITE_BIN == EN_ECC_WRITE_TRUE) begin
memp_wr_b = synd_wr;
end
else if (WRITE_WIDTH_B_BIN == WRITE_WIDTH_B_72) begin
if (((CASCADE_ORDER_B_BIN == CASCADE_ORDER_B_LAST) ||
(CASCADE_ORDER_B_BIN == CASCADE_ORDER_B_MIDDLE)) && CASDIMUXB_in)
memp_wr_b = {CASDINPB_in, CASDINPA_in};
else
memp_wr_b = {DINPBDINP_in, DINPADINP_in};
end
else begin
if (((CASCADE_ORDER_B_BIN == CASCADE_ORDER_B_LAST) ||
(CASCADE_ORDER_B_BIN == CASCADE_ORDER_B_MIDDLE)) && CASDIMUXB_in)
memp_wr_b = {32'h0, CASDINPB_in};
else
memp_wr_b = {32'b0, DINPBDINP_in};
end
end
assign mem_wr_en_a = ~sdp_mode && ENARDEN_in && |WEA_in;
assign mem_rd_en_a = (WRITE_MODE_A_BIN == WRITE_MODE_A_NO_CHANGE) ?
ENARDEN_in && (~mem_wr_en_a || mem_rst_a) : ENARDEN_in;
assign mem_wr_en_b = ENBWREN_in && (sdp_mode ? |WEBWE_in : |WEBWE_in[DP_WIDTH/2-1:0]);
assign mem_rd_en_b = (WRITE_MODE_B_BIN == WRITE_MODE_B_NO_CHANGE) ?
~sdp_mode && ENBWREN_in && (~mem_wr_en_b || mem_rst_b) :
~sdp_mode && ENBWREN_in;
//victor DRC
reg sleep_is_asserted;
reg sleep_is_deasserted;
reg ENARDEN_p1;
reg ENBWREN_p1;
reg SLEEPA_p1;
reg SLEEPA_p2;
reg SLEEPB_p1;
reg SLEEPB_p2;
always @(SLEEP_in) begin
sleep_is_asserted <= 1'b0;
sleep_is_deasserted <= 1'b0;
if (SLEEP_in == 1'b1)
sleep_is_asserted <= 1'b1;
else if (SLEEP_in == 1'b0)
sleep_is_deasserted <= 1'b1;
end
//victor drc #5
always @(posedge CLKARDCLK_in) begin
if (SLEEP_ASYNC_REG == "FALSE") begin
if (sleep_is_asserted && ENARDEN_in)
$display("Error: [Unisim %s-23] DRC : When SLEEP_ASYNC = FALSE, ENA must be LOW in the clock cycle when SLEEP is going from LOW to HIGH. Instance: %m", MODULE_NAME);
end
end
always @(posedge CLKBWRCLK_in) begin
if (SLEEP_ASYNC_REG == "FALSE") begin
if (sleep_is_asserted && ENBWREN_in)
$display("Error: [Unisim %s-23] DRC : When SLEEP_ASYNC = FALSE, ENB must be LOW in the clock cycle when SLEEP is going from LOW to HIGH. Instance: %m", MODULE_NAME);
end
end
always @(posedge CLKARDCLK_in) begin
if (glblGSR)
ENARDEN_p1 <= 1'b0;
else
ENARDEN_p1 <= ENARDEN_in;
end
always @(posedge CLKBWRCLK_in) begin
if (glblGSR)
ENBWREN_p1 <= 1'b0;
else
ENBWREN_p1 <= ENBWREN_in;
end
always @(posedge CLKARDCLK_in or posedge CLKBWRCLK_in) begin
if (SLEEP_ASYNC_REG == "TRUE") begin
if (clk_a_slowest) begin
if (sleep_is_asserted && ENARDEN_p1)
$display("Error: [Unisim %s-23] DRC : When SLEEP_ASYNC = TRUE, ENA must be LOW at least one clock cycle (slowest clock) before SLEEP is going from LOW to HIGH. Instance: %m", MODULE_NAME);
end else begin
if (sleep_is_asserted && ENBWREN_p1)
$display("Error: [Unisim %s-23] DRC : When SLEEP_ASYNC = TRUE, ENB must be LOW at least one clock cycle (slowest clock) before SLEEP is going from LOW to HIGH. Instance: %m", MODULE_NAME);
end
end
end
//victor drc #6
always @(posedge CLKARDCLK_in) begin
if (glblGSR) begin
SLEEPA_p1 <= 1'b0;
SLEEPA_p2 <= 1'b0;
end
else begin
SLEEPA_p1 <= SLEEP_in;
SLEEPA_p2 <= SLEEPA_p1;
end
end
always @(posedge CLKBWRCLK_in) begin
if (glblGSR) begin
SLEEPB_p1 <= 1'b0;
SLEEPB_p2 <= 1'b0;
end
else begin
SLEEPB_p1 <= SLEEP_in;
SLEEPB_p2 <= SLEEPB_p1;
end
end
always @(ENARDEN_in) begin
if (ENARDEN_in && SLEEPA_p2)
$display("Error: [Unisim %s-23] DRC : ENA can be asserted at least 2 cycles CLKARDCLK after SLEEP signal has been de-asserted. Instance: %m", MODULE_NAME);
end
always @(ENBWREN_in) begin
if (ENBWREN_in && SLEEPB_p2)
$display("Error: [Unisim %s-23] DRC : ENB can be asserted at least 2 cycles CLKBWRCLK after SLEEP signal has been de-asserted. Instance: %m", MODULE_NAME);
end
assign CASCADE_ORDER_A_BIN =
(CASCADE_ORDER_A_REG == "NONE") ? CASCADE_ORDER_A_NONE :
(CASCADE_ORDER_A_REG == "FIRST") ? CASCADE_ORDER_A_FIRST :
(CASCADE_ORDER_A_REG == "LAST") ? CASCADE_ORDER_A_LAST :
(CASCADE_ORDER_A_REG == "MIDDLE") ? CASCADE_ORDER_A_MIDDLE :
CASCADE_ORDER_A_NONE;
assign CASCADE_ORDER_B_BIN =
(CASCADE_ORDER_B_REG == "NONE") ? CASCADE_ORDER_B_NONE :
(CASCADE_ORDER_B_REG == "FIRST") ? CASCADE_ORDER_B_FIRST :
(CASCADE_ORDER_B_REG == "LAST") ? CASCADE_ORDER_B_LAST :
(CASCADE_ORDER_B_REG == "MIDDLE") ? CASCADE_ORDER_B_MIDDLE :
CASCADE_ORDER_B_NONE;
assign CLOCK_DOMAINS_BIN =
(CLOCK_DOMAINS_REG == "INDEPENDENT") ? CLOCK_DOMAINS_INDEPENDENT :
(CLOCK_DOMAINS_REG == "COMMON") ? CLOCK_DOMAINS_COMMON :
CLOCK_DOMAINS_INDEPENDENT;
assign DOA_REG_BIN =
(DOA_REG_REG == 1) ? DOA_REG_1 :
(DOA_REG_REG == 0) ? DOA_REG_0 :
DOA_REG_1;
assign DOB_REG_BIN =
(DOB_REG_REG == 1) ? DOB_REG_1 :
(DOB_REG_REG == 0) ? DOB_REG_0 :
DOB_REG_1;
assign ENADDRENA_BIN =
(ENADDRENA_REG == "FALSE") ? ENADDRENA_FALSE :
(ENADDRENA_REG == "TRUE") ? ENADDRENA_TRUE :
ENADDRENA_FALSE;
assign ENADDRENB_BIN =
(ENADDRENB_REG == "FALSE") ? ENADDRENB_FALSE :
(ENADDRENB_REG == "TRUE") ? ENADDRENB_TRUE :
ENADDRENB_FALSE;
assign EN_ECC_PIPE_BIN =
(EN_ECC_PIPE_REG == "FALSE") ? EN_ECC_PIPE_FALSE :
(EN_ECC_PIPE_REG == "TRUE") ? EN_ECC_PIPE_TRUE :
EN_ECC_PIPE_FALSE;
assign EN_ECC_READ_BIN =
(EN_ECC_READ_REG == "FALSE") ? EN_ECC_READ_FALSE :
(EN_ECC_READ_REG == "TRUE") ? EN_ECC_READ_TRUE :
EN_ECC_READ_FALSE;
assign EN_ECC_WRITE_BIN =
(EN_ECC_WRITE_REG == "FALSE") ? EN_ECC_WRITE_FALSE :
(EN_ECC_WRITE_REG == "TRUE") ? EN_ECC_WRITE_TRUE :
EN_ECC_WRITE_FALSE;
assign INITP_BIN['h00] = INITP_00_REG;
assign INITP_BIN['h01] = INITP_01_REG;
assign INITP_BIN['h02] = INITP_02_REG;
assign INITP_BIN['h03] = INITP_03_REG;
assign INITP_BIN['h04] = INITP_04_REG;
assign INITP_BIN['h05] = INITP_05_REG;
assign INITP_BIN['h06] = INITP_06_REG;
assign INITP_BIN['h07] = INITP_07_REG;
assign INITP_BIN['h08] = INITP_08_REG;
assign INITP_BIN['h09] = INITP_09_REG;
assign INITP_BIN['h0A] = INITP_0A_REG;
assign INITP_BIN['h0B] = INITP_0B_REG;
assign INITP_BIN['h0C] = INITP_0C_REG;
assign INITP_BIN['h0D] = INITP_0D_REG;
assign INITP_BIN['h0E] = INITP_0E_REG;
assign INITP_BIN['h0F] = INITP_0F_REG;
assign INIT_BIN['h00] = INIT_00_REG;
assign INIT_BIN['h01] = INIT_01_REG;
assign INIT_BIN['h02] = INIT_02_REG;
assign INIT_BIN['h03] = INIT_03_REG;
assign INIT_BIN['h04] = INIT_04_REG;
assign INIT_BIN['h05] = INIT_05_REG;
assign INIT_BIN['h06] = INIT_06_REG;
assign INIT_BIN['h07] = INIT_07_REG;
assign INIT_BIN['h08] = INIT_08_REG;
assign INIT_BIN['h09] = INIT_09_REG;
assign INIT_BIN['h0A] = INIT_0A_REG;
assign INIT_BIN['h0B] = INIT_0B_REG;
assign INIT_BIN['h0C] = INIT_0C_REG;
assign INIT_BIN['h0D] = INIT_0D_REG;
assign INIT_BIN['h0E] = INIT_0E_REG;
assign INIT_BIN['h0F] = INIT_0F_REG;
assign INIT_BIN['h10] = INIT_10_REG;
assign INIT_BIN['h11] = INIT_11_REG;
assign INIT_BIN['h12] = INIT_12_REG;
assign INIT_BIN['h13] = INIT_13_REG;
assign INIT_BIN['h14] = INIT_14_REG;
assign INIT_BIN['h15] = INIT_15_REG;
assign INIT_BIN['h16] = INIT_16_REG;
assign INIT_BIN['h17] = INIT_17_REG;
assign INIT_BIN['h18] = INIT_18_REG;
assign INIT_BIN['h19] = INIT_19_REG;
assign INIT_BIN['h1A] = INIT_1A_REG;
assign INIT_BIN['h1B] = INIT_1B_REG;
assign INIT_BIN['h1C] = INIT_1C_REG;
assign INIT_BIN['h1D] = INIT_1D_REG;
assign INIT_BIN['h1E] = INIT_1E_REG;
assign INIT_BIN['h1F] = INIT_1F_REG;
assign INIT_BIN['h20] = INIT_20_REG;
assign INIT_BIN['h21] = INIT_21_REG;
assign INIT_BIN['h22] = INIT_22_REG;
assign INIT_BIN['h23] = INIT_23_REG;
assign INIT_BIN['h24] = INIT_24_REG;
assign INIT_BIN['h25] = INIT_25_REG;
assign INIT_BIN['h26] = INIT_26_REG;
assign INIT_BIN['h27] = INIT_27_REG;
assign INIT_BIN['h28] = INIT_28_REG;
assign INIT_BIN['h29] = INIT_29_REG;
assign INIT_BIN['h2A] = INIT_2A_REG;
assign INIT_BIN['h2B] = INIT_2B_REG;
assign INIT_BIN['h2C] = INIT_2C_REG;
assign INIT_BIN['h2D] = INIT_2D_REG;
assign INIT_BIN['h2E] = INIT_2E_REG;
assign INIT_BIN['h2F] = INIT_2F_REG;
assign INIT_BIN['h30] = INIT_30_REG;
assign INIT_BIN['h31] = INIT_31_REG;
assign INIT_BIN['h32] = INIT_32_REG;
assign INIT_BIN['h33] = INIT_33_REG;
assign INIT_BIN['h34] = INIT_34_REG;
assign INIT_BIN['h35] = INIT_35_REG;
assign INIT_BIN['h36] = INIT_36_REG;
assign INIT_BIN['h37] = INIT_37_REG;
assign INIT_BIN['h38] = INIT_38_REG;
assign INIT_BIN['h39] = INIT_39_REG;
assign INIT_BIN['h3A] = INIT_3A_REG;
assign INIT_BIN['h3B] = INIT_3B_REG;
assign INIT_BIN['h3C] = INIT_3C_REG;
assign INIT_BIN['h3D] = INIT_3D_REG;
assign INIT_BIN['h3E] = INIT_3E_REG;
assign INIT_BIN['h3F] = INIT_3F_REG;
assign INIT_BIN['h40] = INIT_40_REG;
assign INIT_BIN['h41] = INIT_41_REG;
assign INIT_BIN['h42] = INIT_42_REG;
assign INIT_BIN['h43] = INIT_43_REG;
assign INIT_BIN['h44] = INIT_44_REG;
assign INIT_BIN['h45] = INIT_45_REG;
assign INIT_BIN['h46] = INIT_46_REG;
assign INIT_BIN['h47] = INIT_47_REG;
assign INIT_BIN['h48] = INIT_48_REG;
assign INIT_BIN['h49] = INIT_49_REG;
assign INIT_BIN['h4A] = INIT_4A_REG;
assign INIT_BIN['h4B] = INIT_4B_REG;
assign INIT_BIN['h4C] = INIT_4C_REG;
assign INIT_BIN['h4D] = INIT_4D_REG;
assign INIT_BIN['h4E] = INIT_4E_REG;
assign INIT_BIN['h4F] = INIT_4F_REG;
assign INIT_BIN['h50] = INIT_50_REG;
assign INIT_BIN['h51] = INIT_51_REG;
assign INIT_BIN['h52] = INIT_52_REG;
assign INIT_BIN['h53] = INIT_53_REG;
assign INIT_BIN['h54] = INIT_54_REG;
assign INIT_BIN['h55] = INIT_55_REG;
assign INIT_BIN['h56] = INIT_56_REG;
assign INIT_BIN['h57] = INIT_57_REG;
assign INIT_BIN['h58] = INIT_58_REG;
assign INIT_BIN['h59] = INIT_59_REG;
assign INIT_BIN['h5A] = INIT_5A_REG;
assign INIT_BIN['h5B] = INIT_5B_REG;
assign INIT_BIN['h5C] = INIT_5C_REG;
assign INIT_BIN['h5D] = INIT_5D_REG;
assign INIT_BIN['h5E] = INIT_5E_REG;
assign INIT_BIN['h5F] = INIT_5F_REG;
assign INIT_BIN['h60] = INIT_60_REG;
assign INIT_BIN['h61] = INIT_61_REG;
assign INIT_BIN['h62] = INIT_62_REG;
assign INIT_BIN['h63] = INIT_63_REG;
assign INIT_BIN['h64] = INIT_64_REG;
assign INIT_BIN['h65] = INIT_65_REG;
assign INIT_BIN['h66] = INIT_66_REG;
assign INIT_BIN['h67] = INIT_67_REG;
assign INIT_BIN['h68] = INIT_68_REG;
assign INIT_BIN['h69] = INIT_69_REG;
assign INIT_BIN['h6A] = INIT_6A_REG;
assign INIT_BIN['h6B] = INIT_6B_REG;
assign INIT_BIN['h6C] = INIT_6C_REG;
assign INIT_BIN['h6D] = INIT_6D_REG;
assign INIT_BIN['h6E] = INIT_6E_REG;
assign INIT_BIN['h6F] = INIT_6F_REG;
assign INIT_BIN['h70] = INIT_70_REG;
assign INIT_BIN['h71] = INIT_71_REG;
assign INIT_BIN['h72] = INIT_72_REG;
assign INIT_BIN['h73] = INIT_73_REG;
assign INIT_BIN['h74] = INIT_74_REG;
assign INIT_BIN['h75] = INIT_75_REG;
assign INIT_BIN['h76] = INIT_76_REG;
assign INIT_BIN['h77] = INIT_77_REG;
assign INIT_BIN['h78] = INIT_78_REG;
assign INIT_BIN['h79] = INIT_79_REG;
assign INIT_BIN['h7A] = INIT_7A_REG;
assign INIT_BIN['h7B] = INIT_7B_REG;
assign INIT_BIN['h7C] = INIT_7C_REG;
assign INIT_BIN['h7D] = INIT_7D_REG;
assign INIT_BIN['h7E] = INIT_7E_REG;
assign INIT_BIN['h7F] = INIT_7F_REG;
assign INIT_A_BIN = INIT_A_REG;
assign INIT_B_BIN = INIT_B_REG;
// assign INIT_FILE_BIN =
// (INIT_FILE_REG == "NONE") ? INIT_FILE_NONE :
// INIT_FILE_NONE;
assign IS_CLKARDCLK_INVERTED_BIN = IS_CLKARDCLK_INVERTED_REG;
assign IS_CLKBWRCLK_INVERTED_BIN = IS_CLKBWRCLK_INVERTED_REG;
assign IS_ENARDEN_INVERTED_BIN = IS_ENARDEN_INVERTED_REG;
assign IS_ENBWREN_INVERTED_BIN = IS_ENBWREN_INVERTED_REG;
assign IS_RSTRAMARSTRAM_INVERTED_BIN = IS_RSTRAMARSTRAM_INVERTED_REG;
assign IS_RSTRAMB_INVERTED_BIN = IS_RSTRAMB_INVERTED_REG;
assign IS_RSTREGARSTREG_INVERTED_BIN = IS_RSTREGARSTREG_INVERTED_REG;
assign IS_RSTREGB_INVERTED_BIN = IS_RSTREGB_INVERTED_REG;
assign RDADDRCHANGEA_BIN =
(RDADDRCHANGEA_REG == "FALSE") ? RDADDRCHANGEA_FALSE :
(RDADDRCHANGEA_REG == "TRUE") ? RDADDRCHANGEA_TRUE :
RDADDRCHANGEA_FALSE;
assign RDADDRCHANGEB_BIN =
(RDADDRCHANGEB_REG == "FALSE") ? RDADDRCHANGEB_FALSE :
(RDADDRCHANGEB_REG == "TRUE") ? RDADDRCHANGEB_TRUE :
RDADDRCHANGEB_FALSE;
assign READ_WIDTH_A_BIN =
(READ_WIDTH_A_REG == 0) ? READ_WIDTH_A_0 :
(READ_WIDTH_A_REG == 1) ? READ_WIDTH_A_1 :
(READ_WIDTH_A_REG == 2) ? READ_WIDTH_A_2 :
(READ_WIDTH_A_REG == 4) ? READ_WIDTH_A_4 :
(READ_WIDTH_A_REG == 9) ? READ_WIDTH_A_9 :
(READ_WIDTH_A_REG == 18) ? READ_WIDTH_A_18 :
(READ_WIDTH_A_REG == 36) ? READ_WIDTH_A_36 :
(READ_WIDTH_A_REG == 72) ? READ_WIDTH_A_72 :
READ_WIDTH_A_0;
assign READ_WIDTH_B_BIN =
(READ_WIDTH_B_REG == 0) ? READ_WIDTH_B_0 :
(READ_WIDTH_B_REG == 1) ? READ_WIDTH_B_1 :
(READ_WIDTH_B_REG == 2) ? READ_WIDTH_B_2 :
(READ_WIDTH_B_REG == 4) ? READ_WIDTH_B_4 :
(READ_WIDTH_B_REG == 9) ? READ_WIDTH_B_9 :
(READ_WIDTH_B_REG == 18) ? READ_WIDTH_B_18 :
(READ_WIDTH_B_REG == 36) ? READ_WIDTH_B_36 :
READ_WIDTH_B_0;
assign RSTREG_PRIORITY_A_BIN =
(RSTREG_PRIORITY_A_REG == "RSTREG") ? RSTREG_PRIORITY_A_RSTREG :
(RSTREG_PRIORITY_A_REG == "REGCE") ? RSTREG_PRIORITY_A_REGCE :
RSTREG_PRIORITY_A_RSTREG;
assign RSTREG_PRIORITY_B_BIN =
(RSTREG_PRIORITY_B_REG == "RSTREG") ? RSTREG_PRIORITY_B_RSTREG :
(RSTREG_PRIORITY_B_REG == "REGCE") ? RSTREG_PRIORITY_B_REGCE :
RSTREG_PRIORITY_B_RSTREG;
assign SIM_COLLISION_CHECK_BIN =
(SIM_COLLISION_CHECK_REG == "ALL") ? SIM_COLLISION_CHECK_ALL :
(SIM_COLLISION_CHECK_REG == "GENERATE_X_ONLY") ? SIM_COLLISION_CHECK_GENERATE_X_ONLY :
(SIM_COLLISION_CHECK_REG == "NONE") ? SIM_COLLISION_CHECK_NONE :
(SIM_COLLISION_CHECK_REG == "WARNING_ONLY") ? SIM_COLLISION_CHECK_WARNING_ONLY :
SIM_COLLISION_CHECK_ALL;
assign SLEEP_ASYNC_BIN =
(SLEEP_ASYNC_REG == "FALSE") ? SLEEP_ASYNC_FALSE :
(SLEEP_ASYNC_REG == "TRUE") ? SLEEP_ASYNC_TRUE :
SLEEP_ASYNC_FALSE;
assign SRVAL_A_BIN = SRVAL_A_REG;
assign SRVAL_B_BIN = SRVAL_B_REG;
assign WRITE_MODE_A_BIN =
(WRITE_MODE_A_REG == "NO_CHANGE") ? WRITE_MODE_A_NO_CHANGE :
(WRITE_MODE_A_REG == "READ_FIRST") ? WRITE_MODE_A_READ_FIRST :
(WRITE_MODE_A_REG == "WRITE_FIRST") ? WRITE_MODE_A_WRITE_FIRST :
WRITE_MODE_A_NO_CHANGE;
assign WRITE_MODE_B_BIN =
(WRITE_MODE_B_REG == "NO_CHANGE") ? WRITE_MODE_B_NO_CHANGE :
(WRITE_MODE_B_REG == "READ_FIRST") ? WRITE_MODE_B_READ_FIRST :
(WRITE_MODE_B_REG == "WRITE_FIRST") ? WRITE_MODE_B_WRITE_FIRST :
WRITE_MODE_B_NO_CHANGE;
assign WRITE_WIDTH_A_BIN =
(WRITE_WIDTH_A_REG == 0) ? WRITE_WIDTH_A_0 :
(WRITE_WIDTH_A_REG == 1) ? WRITE_WIDTH_A_1 :
(WRITE_WIDTH_A_REG == 2) ? WRITE_WIDTH_A_2 :
(WRITE_WIDTH_A_REG == 4) ? WRITE_WIDTH_A_4 :
(WRITE_WIDTH_A_REG == 9) ? WRITE_WIDTH_A_9 :
(WRITE_WIDTH_A_REG == 18) ? WRITE_WIDTH_A_18 :
(WRITE_WIDTH_A_REG == 36) ? WRITE_WIDTH_A_36 :
WRITE_WIDTH_A_0;
assign WRITE_WIDTH_B_BIN =
(WRITE_WIDTH_B_REG == 0) ? WRITE_WIDTH_B_0 :
(WRITE_WIDTH_B_REG == 1) ? WRITE_WIDTH_B_1 :
(WRITE_WIDTH_B_REG == 2) ? WRITE_WIDTH_B_2 :
(WRITE_WIDTH_B_REG == 4) ? WRITE_WIDTH_B_4 :
(WRITE_WIDTH_B_REG == 9) ? WRITE_WIDTH_B_9 :
(WRITE_WIDTH_B_REG == 18) ? WRITE_WIDTH_B_18 :
(WRITE_WIDTH_B_REG == 36) ? WRITE_WIDTH_B_36 :
(WRITE_WIDTH_B_REG == 72) ? WRITE_WIDTH_B_72 :
WRITE_WIDTH_B_0;
initial begin
#1;
trig_attr = 1'b1;
#100;
trig_attr = 1'b0;
end
always @ (posedge trig_attr) begin
INIT_MEM <= #100 1'b1;
INIT_MEM <= #200 1'b0;
if ((attr_test == 1'b1) ||
((CASCADE_ORDER_A_REG != "NONE") &&
(CASCADE_ORDER_A_REG != "FIRST") &&
(CASCADE_ORDER_A_REG != "LAST") &&
(CASCADE_ORDER_A_REG != "MIDDLE"))) begin
$display("Error: [Unisim %s-101] CASCADE_ORDER_A attribute is set to %s. Legal values for this attribute are NONE, FIRST, LAST or MIDDLE. Instance: %m", MODULE_NAME, CASCADE_ORDER_A_REG);
attr_err = 1'b1;
end
if ((attr_test == 1'b1) ||
((CASCADE_ORDER_B_REG != "NONE") &&
(CASCADE_ORDER_B_REG != "FIRST") &&
(CASCADE_ORDER_B_REG != "LAST") &&
(CASCADE_ORDER_B_REG != "MIDDLE"))) begin
$display("Error: [Unisim %s-102] CASCADE_ORDER_B attribute is set to %s. Legal values for this attribute are NONE, FIRST, LAST or MIDDLE. Instance: %m", MODULE_NAME, CASCADE_ORDER_B_REG);
attr_err = 1'b1;
end
if ((attr_test == 1'b1) ||
((CLOCK_DOMAINS_REG != "INDEPENDENT") &&
(CLOCK_DOMAINS_REG != "COMMON"))) begin
$display("Error: [Unisim %s-103] CLOCK_DOMAINS attribute is set to %s. Legal values for this attribute are INDEPENDENT or COMMON. Instance: %m", MODULE_NAME, CLOCK_DOMAINS_REG);
attr_err = 1'b1;
end
if ((attr_test == 1'b1) ||
((DOA_REG_REG != 1) &&
(DOA_REG_REG != 0))) begin
$display("Error: [Unisim %s-104] DOA_REG attribute is set to %d. Legal values for this attribute are 1 or 0. Instance: %m", MODULE_NAME, DOA_REG_REG);
attr_err = 1'b1;
end
if ((attr_test == 1'b1) ||
((DOB_REG_REG != 1) &&
(DOB_REG_REG != 0))) begin
$display("Error: [Unisim %s-105] DOB_REG attribute is set to %d. Legal values for this attribute are 1 or 0. Instance: %m", MODULE_NAME, DOB_REG_REG);
attr_err = 1'b1;
end
if ((attr_test == 1'b1) ||
((ENADDRENA_REG != "FALSE") &&
(ENADDRENA_REG != "TRUE"))) begin
$display("Error: [Unisim %s-106] ENADDRENA attribute is set to %s. Legal values for this attribute are FALSE or TRUE. Instance: %m", MODULE_NAME, ENADDRENA_REG);
attr_err = 1'b1;
end
if ((attr_test == 1'b1) ||
((ENADDRENB_REG != "FALSE") &&
(ENADDRENB_REG != "TRUE"))) begin
$display("Error: [Unisim %s-107] ENADDRENB attribute is set to %s. Legal values for this attribute are FALSE or TRUE. Instance: %m", MODULE_NAME, ENADDRENB_REG);
attr_err = 1'b1;
end
if ((attr_test == 1'b1) ||
((EN_ECC_PIPE_REG != "FALSE") &&
(EN_ECC_PIPE_REG != "TRUE"))) begin
$display("Error: [Unisim %s-108] EN_ECC_PIPE attribute is set to %s. Legal values for this attribute are FALSE or TRUE. Instance: %m", MODULE_NAME, EN_ECC_PIPE_REG);
attr_err = 1'b1;
end
if ((attr_test == 1'b1) ||
((EN_ECC_READ_REG != "FALSE") &&
(EN_ECC_READ_REG != "TRUE"))) begin
$display("Error: [Unisim %s-109] EN_ECC_READ attribute is set to %s. Legal values for this attribute are FALSE or TRUE. Instance: %m", MODULE_NAME, EN_ECC_READ_REG);
attr_err = 1'b1;
end
if ((attr_test == 1'b1) ||
((EN_ECC_WRITE_REG != "FALSE") &&
(EN_ECC_WRITE_REG != "TRUE"))) begin
$display("Error: [Unisim %s-110] EN_ECC_WRITE attribute is set to %s. Legal values for this attribute are FALSE or TRUE. Instance: %m", MODULE_NAME, EN_ECC_WRITE_REG);
attr_err = 1'b1;
end
if ((attr_test == 1'b1) ||
((RDADDRCHANGEA_REG != "FALSE") &&
(RDADDRCHANGEA_REG != "TRUE"))) begin
$display("Error: [Unisim %s-266] RDADDRCHANGEA attribute is set to %s. Legal values for this attribute are FALSE or TRUE. Instance: %m", MODULE_NAME, RDADDRCHANGEA_REG);
attr_err = 1'b1;
end
if ((attr_test == 1'b1) ||
((RDADDRCHANGEB_REG != "FALSE") &&
(RDADDRCHANGEB_REG != "TRUE"))) begin
$display("Error: [Unisim %s-267] RDADDRCHANGEB attribute is set to %s. Legal values for this attribute are FALSE or TRUE. Instance: %m", MODULE_NAME, RDADDRCHANGEB_REG);
attr_err = 1'b1;
end
if ((attr_test == 1'b1) ||
((READ_WIDTH_A_REG != 0) &&
(READ_WIDTH_A_REG != 1) &&
(READ_WIDTH_A_REG != 2) &&
(READ_WIDTH_A_REG != 4) &&
(READ_WIDTH_A_REG != 9) &&
(READ_WIDTH_A_REG != 18) &&
(READ_WIDTH_A_REG != 36) &&
(READ_WIDTH_A_REG != 72))) begin
$display("Error: [Unisim %s-268] READ_WIDTH_A attribute is set to %d. Legal values for this attribute are 0, 1, 2, 4, 9, 18, 36 or 72. Instance: %m", MODULE_NAME, READ_WIDTH_A_REG);
attr_err = 1'b1;
end
if ((attr_test == 1'b1) ||
((READ_WIDTH_B_REG != 0) &&
(READ_WIDTH_B_REG != 1) &&
(READ_WIDTH_B_REG != 2) &&
(READ_WIDTH_B_REG != 4) &&
(READ_WIDTH_B_REG != 9) &&
(READ_WIDTH_B_REG != 18) &&
(READ_WIDTH_B_REG != 36))) begin
$display("Error: [Unisim %s-269] READ_WIDTH_B attribute is set to %d. Legal values for this attribute are 0, 1, 2, 4, 9, 18 or 36. Instance: %m", MODULE_NAME, READ_WIDTH_B_REG);
attr_err = 1'b1;
end
if ((attr_test == 1'b1) ||
((RSTREG_PRIORITY_A_REG != "RSTREG") &&
(RSTREG_PRIORITY_A_REG != "REGCE"))) begin
$display("Error: [Unisim %s-270] RSTREG_PRIORITY_A attribute is set to %s. Legal values for this attribute are RSTREG or REGCE. Instance: %m", MODULE_NAME, RSTREG_PRIORITY_A_REG);
attr_err = 1'b1;
end
if ((attr_test == 1'b1) ||
((RSTREG_PRIORITY_B_REG != "RSTREG") &&
(RSTREG_PRIORITY_B_REG != "REGCE"))) begin
$display("Error: [Unisim %s-271] RSTREG_PRIORITY_B attribute is set to %s. Legal values for this attribute are RSTREG or REGCE. Instance: %m", MODULE_NAME, RSTREG_PRIORITY_B_REG);
attr_err = 1'b1;
end
if ((attr_test == 1'b1) ||
((SIM_COLLISION_CHECK_REG != "ALL") &&
(SIM_COLLISION_CHECK_REG != "GENERATE_X_ONLY") &&
(SIM_COLLISION_CHECK_REG != "NONE") &&
(SIM_COLLISION_CHECK_REG != "WARNING_ONLY"))) begin
$display("Error: [Unisim %s-272] SIM_COLLISION_CHECK attribute is set to %s. Legal values for this attribute are ALL, GENERATE_X_ONLY, NONE or WARNING_ONLY. Instance: %m", MODULE_NAME, SIM_COLLISION_CHECK_REG);
attr_err = 1'b1;
end
if ((attr_test == 1'b1) ||
((SLEEP_ASYNC_REG != "FALSE") &&
(SLEEP_ASYNC_REG != "TRUE"))) begin
$display("Error: [Unisim %s-273] SLEEP_ASYNC attribute is set to %s. Legal values for this attribute are FALSE or TRUE. Instance: %m", MODULE_NAME, SLEEP_ASYNC_REG);
attr_err = 1'b1;
end
if ((attr_test == 1'b1) ||
((WRITE_MODE_A_REG != "NO_CHANGE") &&
(WRITE_MODE_A_REG != "READ_FIRST") &&
(WRITE_MODE_A_REG != "WRITE_FIRST"))) begin
$display("Error: [Unisim %s-276] WRITE_MODE_A attribute is set to %s. Legal values for this attribute are NO_CHANGE, READ_FIRST or WRITE_FIRST. Instance: %m", MODULE_NAME, WRITE_MODE_A_REG);
attr_err = 1'b1;
end
if ((attr_test == 1'b1) ||
((WRITE_MODE_B_REG != "NO_CHANGE") &&
(WRITE_MODE_B_REG != "READ_FIRST") &&
(WRITE_MODE_B_REG != "WRITE_FIRST"))) begin
$display("Error: [Unisim %s-277] WRITE_MODE_B attribute is set to %s. Legal values for this attribute are NO_CHANGE, READ_FIRST or WRITE_FIRST. Instance: %m", MODULE_NAME, WRITE_MODE_B_REG);
attr_err = 1'b1;
end
if ((attr_test == 1'b1) ||
((WRITE_WIDTH_A_REG != 0) &&
(WRITE_WIDTH_A_REG != 1) &&
(WRITE_WIDTH_A_REG != 2) &&
(WRITE_WIDTH_A_REG != 4) &&
(WRITE_WIDTH_A_REG != 9) &&
(WRITE_WIDTH_A_REG != 18) &&
(WRITE_WIDTH_A_REG != 36))) begin
$display("Error: [Unisim %s-278] WRITE_WIDTH_A attribute is set to %d. Legal values for this attribute are 0, 1, 2, 4, 9, 18 or 36. Instance: %m", MODULE_NAME, WRITE_WIDTH_A_REG);
attr_err = 1'b1;
end
if ((attr_test == 1'b1) ||
((WRITE_WIDTH_B_REG != 0) &&
(WRITE_WIDTH_B_REG != 1) &&
(WRITE_WIDTH_B_REG != 2) &&
(WRITE_WIDTH_B_REG != 4) &&
(WRITE_WIDTH_B_REG != 9) &&
(WRITE_WIDTH_B_REG != 18) &&
(WRITE_WIDTH_B_REG != 36) &&
(WRITE_WIDTH_B_REG != 72))) begin
$display("Error: [Unisim %s-279] WRITE_WIDTH_B attribute is set to %d. Legal values for this attribute are 0, 1, 2, 4, 9, 18, 36 or 72. Instance: %m", MODULE_NAME, WRITE_WIDTH_B_REG);
attr_err = 1'b1;
end
if ((CASCADE_ORDER_A_REG == "LAST") ||
(CASCADE_ORDER_A_REG == "MIDDLE")) begin
is_cas_a_connected;
if (cas_a_warning) $display("Warning: [Unisim %s-126] CASCADE_ORDER_A attribute is set to %s and some or all of the CASCADE signals are unconnected. Simulation behavior may not match hardware under these circumstances. Please check that all CASCADE signals are properly connected. Instance: %m", MODULE_NAME, CASCADE_ORDER_A_REG);
end
if ((CASCADE_ORDER_B_REG == "LAST") ||
(CASCADE_ORDER_B_REG == "MIDDLE")) begin
is_cas_b_connected;
if (cas_b_warning) $display("Warning: [Unisim %s-127] CASCADE_ORDER_B attribute is set to %s and some or all of the CASCADE signals are unconnected. Simulation behavior may not match hardware under these circumstances. Please check that all CASCADE signals are properly connected. Instance: %m", MODULE_NAME, CASCADE_ORDER_B_REG);
end
if (attr_err == 1'b1) #100 $finish;
end
initial begin
INIT_MEM <= #100 1'b1;
INIT_MEM <= #200 1'b0;
end
assign rd_addr_a_mask =
(READ_WIDTH_A_REG == 0) ? {{ADDR_WIDTH-6{1'b1}}, 6'h3f} :
(READ_WIDTH_A_REG == 1) ? {{ADDR_WIDTH-6{1'b1}}, 6'h3f} :
(READ_WIDTH_A_REG == 2) ? {{ADDR_WIDTH-6{1'b1}}, 6'h3e} :
(READ_WIDTH_A_REG == 4) ? {{ADDR_WIDTH-6{1'b1}}, 6'h3c} :
(READ_WIDTH_A_REG == 9) ? {{ADDR_WIDTH-6{1'b1}}, 6'h38} :
(READ_WIDTH_A_REG == 18) ? {{ADDR_WIDTH-6{1'b1}}, 6'h30} :
(READ_WIDTH_A_REG == 36) ? {{ADDR_WIDTH-6{1'b1}}, 6'h20} :
(READ_WIDTH_A_REG == 72) ? {{ADDR_WIDTH-6{1'b1}}, 6'h00} :
{{ADDR_WIDTH-6{1'b1}}, 6'h3f};
assign rd_addr_b_mask =
(READ_WIDTH_B_REG == 0) ? {{ADDR_WIDTH-6{1'b1}}, 6'h3f} :
(READ_WIDTH_B_REG == 1) ? {{ADDR_WIDTH-6{1'b1}}, 6'h3f} :
(READ_WIDTH_B_REG == 2) ? {{ADDR_WIDTH-6{1'b1}}, 6'h3e} :
(READ_WIDTH_B_REG == 4) ? {{ADDR_WIDTH-6{1'b1}}, 6'h3c} :
(READ_WIDTH_B_REG == 9) ? {{ADDR_WIDTH-6{1'b1}}, 6'h38} :
(READ_WIDTH_B_REG == 18) ? {{ADDR_WIDTH-6{1'b1}}, 6'h30} :
(READ_WIDTH_B_REG == 36) ? {{ADDR_WIDTH-6{1'b1}}, 6'h20} :
(READ_WIDTH_B_REG == 72) ? {{ADDR_WIDTH-6{1'b1}}, 6'h00} :
{{ADDR_WIDTH-6{1'b1}}, 6'h3f};
assign wr_addr_a_mask =
(WRITE_WIDTH_A_REG == 0) ? {{ADDR_WIDTH-6{1'b1}}, 6'h3f} :
(WRITE_WIDTH_A_REG == 1) ? {{ADDR_WIDTH-6{1'b1}}, 6'h3f} :
(WRITE_WIDTH_A_REG == 2) ? {{ADDR_WIDTH-6{1'b1}}, 6'h3e} :
(WRITE_WIDTH_A_REG == 4) ? {{ADDR_WIDTH-6{1'b1}}, 6'h3c} :
(WRITE_WIDTH_A_REG == 9) ? {{ADDR_WIDTH-6{1'b1}}, 6'h38} :
(WRITE_WIDTH_A_REG == 18) ? {{ADDR_WIDTH-6{1'b1}}, 6'h30} :
(WRITE_WIDTH_A_REG == 36) ? {{ADDR_WIDTH-6{1'b1}}, 6'h20} :
(WRITE_WIDTH_A_REG == 72) ? {{ADDR_WIDTH-6{1'b1}}, 6'h00} :
{{ADDR_WIDTH-6{1'b1}}, 6'h3f};
assign wr_addr_b_mask =
(WRITE_WIDTH_B_REG == 0) ? {{ADDR_WIDTH-6{1'b1}}, 6'h3f} :
(WRITE_WIDTH_B_REG == 1) ? {{ADDR_WIDTH-6{1'b1}}, 6'h3f} :
(WRITE_WIDTH_B_REG == 2) ? {{ADDR_WIDTH-6{1'b1}}, 6'h3e} :
(WRITE_WIDTH_B_REG == 4) ? {{ADDR_WIDTH-6{1'b1}}, 6'h3c} :
(WRITE_WIDTH_B_REG == 9) ? {{ADDR_WIDTH-6{1'b1}}, 6'h38} :
(WRITE_WIDTH_B_REG == 18) ? {{ADDR_WIDTH-6{1'b1}}, 6'h30} :
(WRITE_WIDTH_B_REG == 36) ? {{ADDR_WIDTH-6{1'b1}}, 6'h20} :
(WRITE_WIDTH_B_REG == 72) ? {{ADDR_WIDTH-6{1'b1}}, 6'h00} :
{{ADDR_WIDTH-6{1'b1}}, 6'h3f};
always @(READ_WIDTH_A_BIN) rd_loops_a <= READ_WIDTH_A_BIN;
always @(READ_WIDTH_B_BIN) rd_loops_b <= READ_WIDTH_B_BIN;
always @(*) begin
if (READ_WIDTH_A_BIN > READ_WIDTH_B_BIN) rd_loops_f <= READ_WIDTH_A_BIN;
else rd_loops_f <= READ_WIDTH_B_BIN;
end
always @(WRITE_WIDTH_A_BIN) wr_loops_a <= WRITE_WIDTH_A_BIN;
always @(WRITE_WIDTH_B_BIN) wr_loops_b <= WRITE_WIDTH_B_BIN;
// determine clk period for collision window.
assign clks_done = clka_done && clkb_done;
always @(posedge trig_attr or posedge clka_changed or posedge clkb_changed) begin
clka_timeout = 0;
clka_done = 0;
if (glblGSR) @(negedge glblGSR);
@(posedge CLKARDCLK_in);
@(posedge CLKARDCLK_in);
@(posedge CLKARDCLK_in);
clka_timeout <= #6000 1;
@(posedge CLKARDCLK_in or posedge clka_timeout);
if (~clka_timeout) begin
t_max_a = $time/1.0;
@ (negedge CLKARDCLK_in) t_max_a = $time/1.0 - t_max_a;
end else begin
t_max_a = 2000;
end
clka_done = 1;
end
always @(posedge trig_attr or posedge clka_changed or posedge clkb_changed) begin
@(posedge CLKARDCLK_in)
@(posedge CLKARDCLK_in)
@(posedge CLKARDCLK_in)
@(posedge CLKARDCLK_in)
clk_period_a = $time/1.0;
@(posedge CLKARDCLK_in)
clk_period_a = $time/1.0 - clk_period_a;
clka_toggled = 1'b1;
end
always @(posedge trig_attr or posedge clka_changed or posedge clkb_changed) begin
@(posedge CLKBWRCLK_in)
@(posedge CLKBWRCLK_in)
@(posedge CLKBWRCLK_in)
@(posedge CLKBWRCLK_in)
clk_period_b = $time/1.0;
@(posedge CLKBWRCLK_in)
clk_period_b = $time/1.0 - clk_period_b;
clkb_toggled = 1'b1;
end
//victor drc
always @(posedge trig_attr or posedge clka_changed or posedge clkb_changed) begin
if (clk_period_a <= clk_period_b)
clk_a_slowest <= 1'b0;
else
clk_a_slowest <= 1'b1;
end
always @(posedge trig_attr or posedge clka_changed or posedge clkb_changed) begin
clkb_timeout = 0;
clkb_done = 0;
if (glblGSR) @(negedge glblGSR);
@(posedge CLKBWRCLK_in);
@(posedge CLKBWRCLK_in);
@(posedge CLKBWRCLK_in);
clkb_timeout <= #6000 1;
@(posedge CLKBWRCLK_in or posedge clkb_timeout);
if (~clkb_timeout) begin
t_max_b = $time;
@ (negedge CLKBWRCLK_in) t_max_b = $time - t_max_b;
end else begin
t_max_b = 2000;
end
clkb_done = 1;
end
always @(posedge trig_attr or posedge clka_changed or posedge clkb_changed) begin
@(posedge clks_done);
if (((t_max_a > 50) && (t_max_a <= 1500)) &&
((t_max_b == 0) || (t_max_a <= t_max_b))) t_coll_max = 2 * t_max_a - 99;
if (((t_max_b > 50) && (t_max_b <= 1500)) &&
((t_max_a == 0) || (t_max_b < t_max_a))) t_coll_max = 2 * t_max_b - 99;
if ((t_max_a <= 50) && (t_max_b <= 50)) t_coll_max = 500 -99;
if ((t_max_a > 1500) && (t_max_b > 1500)) t_coll_max = 3000 -99;
end
always @ (posedge CLKARDCLK_in) begin
if (glblGSR) begin
SLEEP_A_reg <= 2'b0;
end
else begin
SLEEP_A_reg <= {SLEEP_A_reg[0], SLEEP_in};
end
end
always @ (posedge CLKBWRCLK_in) begin
if (glblGSR) begin
SLEEP_B_reg <= 2'b0;
end
else begin
SLEEP_B_reg <= {SLEEP_B_reg[0], SLEEP_in};
end
end
assign SLEEP_A_int = SLEEP_A_reg[1] || SLEEP_A_reg[0] || SLEEP_in;
assign SLEEP_B_int = SLEEP_B_reg[1] || SLEEP_B_reg[0] || SLEEP_in;
assign sdp_mode_wr = (WRITE_WIDTH_B_BIN == WRITE_WIDTH_B_72) ? 1'b1 : 1'b0;
assign sdp_mode_rd = (READ_WIDTH_A_BIN == READ_WIDTH_A_72) ? 1'b1 : 1'b0;
assign sdp_mode = sdp_mode_rd || sdp_mode_wr;
assign REGCE_A_int = REGCEAREGCE_in;
assign REGCE_B_int = REGCEB_in;
assign RSTREG_A_int = (RSTREG_PRIORITY_A_BIN == RSTREG_PRIORITY_A_RSTREG) ?
RSTREGARSTREG_in : (RSTREGARSTREG_in && REGCEAREGCE_in);
assign RSTREG_B_int = (RSTREG_PRIORITY_B_BIN == RSTREG_PRIORITY_B_RSTREG) ?
RSTREGB_in : (RSTREGB_in && REGCEB_in);
assign ADDRENA_int = (ENADDRENA_BIN == ENADDRENA_TRUE) ? ADDRENA_in : 1'b1;
assign ADDRENB_int = (ENADDRENB_BIN == ENADDRENB_TRUE) ? ADDRENB_in : 1'b1;
assign WREN_ecc = ECCPIPECE_in && (EN_ECC_PIPE_BIN == EN_ECC_PIPE_TRUE) && first_read;
assign ECCPARITY_out = eccparity_reg;
assign RDADDRECC_out = (DOA_REG_BIN == DOA_REG_1) ? r_a_ecc_reg : r_a_ecc_ecc;
always @ (*) begin
if (((CASCADE_ORDER_A_BIN == CASCADE_ORDER_A_LAST) ||
(CASCADE_ORDER_A_BIN == CASCADE_ORDER_A_MIDDLE)) && CASDOMUXA_reg) begin
SBITERR_out = CASINSBITERR_in;
DBITERR_out = CASINDBITERR_in;
end
else if (DOA_REG_BIN == DOA_REG_1) begin
SBITERR_out = sbit_reg;
DBITERR_out = dbit_reg;
end
else if (EN_ECC_PIPE_BIN == EN_ECC_PIPE_TRUE) begin
SBITERR_out = sbit_pipe;
DBITERR_out = dbit_pipe;
end
else begin
SBITERR_out = sbit_lat;
DBITERR_out = dbit_lat;
end
end
always @ (*) begin
if (((CASCADE_ORDER_A_BIN == CASCADE_ORDER_A_LAST) ||
(CASCADE_ORDER_A_BIN == CASCADE_ORDER_A_MIDDLE)) && CASDOMUXA_reg) begin
DOUTADOUT_out = CASDINA_in;
DOUTPADOUTP_out = CASDINPA_in;
end
else if (DOA_REG_BIN == DOA_REG_1) begin
DOUTADOUT_out = mem_a_reg ^ mem_rm_douta;
DOUTPADOUTP_out = memp_a_reg ^ memp_rm_douta;
end
else if (mem_wr_en_a_wf) begin
DOUTADOUT_out = mem_rd_a_wf ^ mem_rm_douta;
DOUTPADOUTP_out = memp_rd_a_wf ^ memp_rm_douta;
end
else if (EN_ECC_PIPE_BIN == EN_ECC_PIPE_TRUE) begin
DOUTADOUT_out = mem_a_pipe ^ mem_rm_douta;
DOUTPADOUTP_out = memp_a_pipe ^ memp_rm_douta;
end
else begin
DOUTADOUT_out = mem_a_lat ^ mem_rm_douta;
DOUTPADOUTP_out = memp_a_lat ^ memp_rm_douta;
end
end
always @ (*) begin
if (((CASCADE_ORDER_B_BIN == CASCADE_ORDER_B_LAST) ||
(CASCADE_ORDER_B_BIN == CASCADE_ORDER_B_MIDDLE)) && CASDOMUXB_int) begin
DOUTBDOUT_out = CASDINB_in;
DOUTPBDOUTP_out = CASDINPB_in;
end
else if (sdp_mode_rd) begin
if (DOA_REG_BIN == DOA_REG_1) begin
DOUTBDOUT_out = mem_a_reg[63:32] ^ mem_rm_douta[63:32];
DOUTPBDOUTP_out = memp_a_reg[7:4] ^ memp_rm_douta[7:4];
end
else if (mem_wr_en_a_wf) begin
DOUTBDOUT_out = mem_rd_a_wf[63:32] ^ mem_rm_douta[63:32];
DOUTPBDOUTP_out = memp_rd_a_wf[7:4] ^ memp_rm_douta[7:4];
end
else if (EN_ECC_PIPE_BIN == EN_ECC_PIPE_TRUE) begin
DOUTBDOUT_out = mem_a_pipe[63:32] ^ mem_rm_douta[63:32];
DOUTPBDOUTP_out = memp_a_pipe[7:4] ^ memp_rm_douta[7:4];
end
else begin
DOUTBDOUT_out = mem_a_lat[63:32] ^ mem_rm_douta[63:32];
DOUTPBDOUTP_out = memp_a_lat[7:4] ^ memp_rm_douta[7:4];
end
end
else begin
if (DOB_REG_BIN == DOB_REG_1) begin
DOUTBDOUT_out = mem_b_reg ^ mem_rm_doutb;
DOUTPBDOUTP_out = memp_b_reg ^ memp_rm_doutb;
end
else if (mem_wr_en_b_wf) begin
DOUTBDOUT_out = mem_rd_b_wf ^ mem_rm_doutb;
DOUTPBDOUTP_out = memp_rd_b_wf ^ memp_rm_doutb;
end
else begin
DOUTBDOUT_out = mem_b_lat ^ mem_rm_doutb;
DOUTPBDOUTP_out = memp_b_lat ^ memp_rm_doutb;
end
end
end
always @ (*) begin
if (((CASCADE_ORDER_A_BIN == CASCADE_ORDER_A_LAST) ||
(CASCADE_ORDER_A_BIN == CASCADE_ORDER_A_MIDDLE)) &&
CASOREGIMUXA_reg) dbit_ecc = CASINDBITERR_in;
else if (EN_ECC_PIPE_BIN == EN_ECC_PIPE_TRUE) dbit_ecc = dbit_pipe;
else dbit_ecc = dbit_lat;
end
always @ (*) begin
if (((CASCADE_ORDER_A_BIN == CASCADE_ORDER_A_LAST) ||
(CASCADE_ORDER_A_BIN == CASCADE_ORDER_A_MIDDLE)) &&
CASOREGIMUXA_reg) sbit_ecc = CASINSBITERR_in;
else if (EN_ECC_PIPE_BIN == EN_ECC_PIPE_TRUE) sbit_ecc = sbit_pipe;
else sbit_ecc = sbit_lat;
end
assign r_a_ecc_ecc = (EN_ECC_PIPE_BIN == EN_ECC_PIPE_TRUE) ? r_a_ecc_pipe : r_a_ecc_lat;
assign INIT_A_int =
(READ_WIDTH_A_BIN <= READ_WIDTH_A_9) ? {{8{INIT_A_BIN[8]}}, {8{INIT_A_BIN[7:0]}}} :
(READ_WIDTH_A_BIN == READ_WIDTH_A_18) ? {{4{INIT_A_BIN[17:16]}}, {4{INIT_A_BIN[15:0]}}} :
(READ_WIDTH_A_BIN == READ_WIDTH_A_36) ? {{2{INIT_A_BIN[35:32]}}, {2{INIT_A_BIN[31:0]}}} :
{INIT_B_BIN[35:32],INIT_A_BIN[35:32],INIT_B_BIN[31:0],INIT_A_BIN[31:0]};
assign INIT_B_int =
(READ_WIDTH_B_BIN <= READ_WIDTH_B_9) ? {{4{INIT_B_BIN[8]}}, {4{INIT_B_BIN[7:0]}}} :
(READ_WIDTH_B_BIN == READ_WIDTH_B_18) ? {{2{INIT_B_BIN[17:16]}}, {2{INIT_B_BIN[15:0]}}} :
INIT_B_BIN;
assign SRVAL_A_int =
(READ_WIDTH_A_BIN <= READ_WIDTH_A_9) ? {{8{SRVAL_A_BIN[8]}}, {8{SRVAL_A_BIN[7:0]}}} :
(READ_WIDTH_A_BIN == READ_WIDTH_A_18) ? {{4{SRVAL_A_BIN[17:16]}}, {4{SRVAL_A_BIN[15:0]}}} :
(READ_WIDTH_A_BIN == READ_WIDTH_A_36) ? {{2{SRVAL_A_BIN[35:32]}}, {2{SRVAL_A_BIN[31:0]}}} :
{SRVAL_B_BIN[35:32],SRVAL_A_BIN[35:32],SRVAL_B_BIN[31:0],SRVAL_A_BIN[31:0]};
assign SRVAL_B_int =
(READ_WIDTH_B_BIN <= READ_WIDTH_B_9) ? {{4{SRVAL_B_BIN[8]}}, {4{SRVAL_B_BIN[7:0]}}} :
(READ_WIDTH_B_BIN == READ_WIDTH_B_18) ? {{2{SRVAL_B_BIN[17:16]}}, {2{SRVAL_B_BIN[15:0]}}} :
SRVAL_B_BIN;
// cascade out
assign CASDOUTA_out = ((CASCADE_ORDER_A_BIN == CASCADE_ORDER_A_FIRST) ||
(CASCADE_ORDER_A_BIN == CASCADE_ORDER_A_MIDDLE)) ?
DOUTADOUT_out : {D_WIDTH-1{1'b0}};
assign CASDOUTPA_out = ((CASCADE_ORDER_A_BIN == CASCADE_ORDER_A_FIRST) ||
(CASCADE_ORDER_A_BIN == CASCADE_ORDER_A_MIDDLE)) ?
DOUTPADOUTP_out : {DP_WIDTH-1{1'b0}};
assign CASDOUTB_out = ((CASCADE_ORDER_B_BIN == CASCADE_ORDER_B_FIRST) ||
(CASCADE_ORDER_B_BIN == CASCADE_ORDER_B_MIDDLE)) ?
DOUTBDOUT_out : {D_WIDTH-1{1'b0}};
assign CASDOUTPB_out = ((CASCADE_ORDER_B_BIN == CASCADE_ORDER_B_FIRST) ||
(CASCADE_ORDER_B_BIN == CASCADE_ORDER_B_MIDDLE)) ?
DOUTPBDOUTP_out : {DP_WIDTH-1{1'b0}};
assign CASOUTDBITERR_out = ((CASCADE_ORDER_A_BIN == CASCADE_ORDER_A_FIRST) ||
(CASCADE_ORDER_A_BIN == CASCADE_ORDER_A_MIDDLE)) ?
DBITERR_out : 1'b0;
assign CASOUTSBITERR_out = ((CASCADE_ORDER_A_BIN == CASCADE_ORDER_A_FIRST) ||
(CASCADE_ORDER_A_BIN == CASCADE_ORDER_A_MIDDLE)) ?
SBITERR_out : 1'b0;
// start model internals
// cascade control
always @ (posedge CLKARDCLK_in) begin
if (glblGSR) CASDOMUXA_reg <= 1'b0;
else if (CASDOMUXEN_A_in == 1'b1) CASDOMUXA_reg <= CASDOMUXA_in;
end
always @ (posedge CLKARDCLK_in) begin
if (glblGSR) CASOREGIMUXA_reg <= 1'b0;
else if (CASOREGIMUXEN_A_in == 1'b1) CASOREGIMUXA_reg <= CASOREGIMUXA_in;
end
assign CASDOMUXB_int = (READ_WIDTH_A_BIN == READ_WIDTH_A_72) ?
CASDOMUXA_reg : CASDOMUXB_reg;
always @ (posedge CLKBWRCLK_in) begin
if (glblGSR || sdp_mode) CASDOMUXB_reg <= 1'b0;
else if (CASDOMUXEN_B_in == 1'b1) CASDOMUXB_reg <= CASDOMUXB_in;
end
always @ (posedge CLKBWRCLK_in) begin
if (glblGSR || sdp_mode) CASOREGIMUXB_reg <= 1'b0;
else if (CASOREGIMUXEN_B_in == 1'b1) CASOREGIMUXB_reg <= CASOREGIMUXB_in;
end
// collison detection
reg coll_win_wr_clk_a_min = 1'b0;
reg coll_win_wr_clk_b_min = 1'b0;
reg coll_win_rd_clk_a_min = 1'b0;
reg coll_win_rd_clk_b_min = 1'b0;
reg coll_win_wr_clk_a_max = 1'b0;
reg coll_win_wr_clk_b_max = 1'b0;
reg coll_win_rd_clk_a_max = 1'b0;
reg coll_win_rd_clk_b_max = 1'b0;
reg wr_b_wr_a_coll = 1'b0;
reg wr_b_rd_a_coll = 1'b0;
reg rd_b_wr_a_coll = 1'b0;
reg wr_a_wr_b_coll = 1'b0;
reg wr_a_rd_b_coll = 1'b0;
reg rd_a_wr_b_coll = 1'b0;
wire coll_wr_sim;
wire coll_wr_b_wr_a;
wire coll_wr_b_rd_a_sim;
wire coll_wr_b_rd_a;
//wire coll_rd_b_wr_a_sim;
wire coll_rd_b_wr_a;
wire coll_wr_a_wr_b;
wire coll_wr_a_rd_b_sim;
wire coll_wr_a_rd_b;
//wire coll_rd_a_wr_b_sim;
wire coll_rd_a_wr_b;
assign coll_wr_sim = wr_addr_coll && coll_win_wr_clk_a_min && coll_win_wr_clk_b_min;
assign coll_wr_b_wr_a = wr_addr_coll && coll_win_wr_clk_b_min && ~coll_win_wr_clk_a_min && coll_win_wr_clk_a_max;
assign coll_wr_b_rd_a_sim = wr_b_rd_a_addr_coll && coll_win_wr_clk_b_min && coll_win_rd_clk_a_min;
//assign coll_rd_a_wr_b_sim = wr_b_rd_a_addr_coll && coll_win_rd_clk_a_min && coll_win_wr_clk_b_min;
assign coll_wr_a_rd_b_sim = wr_a_rd_b_addr_coll && coll_win_wr_clk_a_min && coll_win_rd_clk_b_min;
//assign coll_rd_b_wr_a_sim = wr_a_rd_b_addr_coll && coll_win_rd_clk_b_min && coll_win_wr_clk_a_min;
assign coll_wr_b_rd_a = wr_b_rd_a_addr_coll && coll_win_wr_clk_b_min && ~coll_win_rd_clk_a_min && coll_win_rd_clk_a_max;
assign coll_rd_b_wr_a = wr_a_rd_b_addr_coll && coll_win_rd_clk_b_min && ~coll_win_wr_clk_a_min && coll_win_wr_clk_a_max;
assign coll_wr_a_wr_b = wr_addr_coll && coll_win_wr_clk_a_min && ~coll_win_wr_clk_b_min && coll_win_wr_clk_b_max;
assign coll_wr_a_rd_b = wr_a_rd_b_addr_coll && coll_win_wr_clk_a_min && ~coll_win_rd_clk_b_min && coll_win_rd_clk_b_max;
assign coll_rd_a_wr_b = wr_b_rd_a_addr_coll && coll_win_rd_clk_a_min && ~coll_win_wr_clk_b_min && coll_win_wr_clk_b_max;
always @(posedge CLKARDCLK_in) begin
if (mem_wr_en_a === 1'b1 && ~glblGSR && clkb_toggled && (SIM_COLLISION_CHECK_BIN != SIM_COLLISION_CHECK_NONE) & ~sdp_mode) begin
if (coll_win_wr_clk_a_max) begin
coll_win_wr_clk_a_max = 1'b0;
if (clks_done) clka_changed = 1'b1;
end else if (clks_done) begin
clka_changed = 1'b0;
coll_win_wr_clk_a_min <= #1 1'b1;
coll_win_wr_clk_a_max <= #99 1'b1;
coll_win_wr_clk_a_min <= #(t_coll_min) 1'b0;
coll_win_wr_clk_a_max <= #(t_coll_max) 1'b0;
end
end
end
always @(posedge coll_wr_sim) begin
if (~wr_data_matches) begin
if(SIM_COLLISION_CHECK_BIN == SIM_COLLISION_CHECK_ALL) begin
$display("Error: [Unisim %s-1] Memory Collision at time %.3f ns.\nA simultaneous WRITE occured on port A (addr:%h data:%h) and port B (addr:%h data:%h).\nMemory contents at those locations have been corrupted. Instance: %m", MODULE_NAME, $time/1000.0, wr_addr_a, mem_wr_a, wr_addr_b, mem_wr_b);
wr_a_wr_b_coll <= #10 1'b1;
wr_a_wr_b_coll <= #100 1'b0;
end
else if(SIM_COLLISION_CHECK_BIN == SIM_COLLISION_CHECK_WARNING_ONLY)
$display("Error: [Unisim %s-2] Memory Collision at time %.3f ns.\nA simultaneous WRITE occured on port A (addr:%h data:%h) and port B (addr:%h data:%h). Instance: %m", MODULE_NAME, $time/1000.0, wr_addr_a, mem_wr_a, wr_addr_b, mem_wr_b);
else if(SIM_COLLISION_CHECK_BIN == SIM_COLLISION_CHECK_GENERATE_X_ONLY) begin
wr_a_wr_b_coll <= #10 1'b1;
wr_a_wr_b_coll <= #100 1'b0;
end
end
end
always @(posedge coll_wr_a_wr_b) begin
if (~wr_data_matches) begin
if(SIM_COLLISION_CHECK_BIN == SIM_COLLISION_CHECK_ALL) begin
$display("Error: [Unisim %s-3] Memory Collision at time %.3f ns.\nA WRITE on port A (%h) occured during the WRITE window on port B (%h).\nMemory contents at those locations have been corrupted. Instance: %m", MODULE_NAME, $time/1000.0, wr_addr_a, wr_addr_b_last);
wr_a_wr_b_coll <= #10 1'b1;
wr_a_wr_b_coll <= #100 1'b0;
end
else if(SIM_COLLISION_CHECK_BIN == SIM_COLLISION_CHECK_WARNING_ONLY)
$display("Error: [Unisim %s-4] Memory Collision at time %.3f ns.\nA WRITE on port A (%h) occured during the WRITE window on port B (%h). Instance: %m", MODULE_NAME, $time/1000.0, wr_addr_a, wr_addr_b_last);
else if(SIM_COLLISION_CHECK_BIN == SIM_COLLISION_CHECK_GENERATE_X_ONLY) begin
wr_a_wr_b_coll <= #10 1'b1;
wr_a_wr_b_coll <= #100 1'b0;
end
end
end
always @(posedge coll_wr_a_rd_b_sim) begin
if (~wr_a_data_matches_rd_b_data && (WRITE_MODE_A_BIN != WRITE_MODE_A_READ_FIRST)) begin
if(SIM_COLLISION_CHECK_BIN == SIM_COLLISION_CHECK_ALL) begin
$display("Error: [Unisim %s-5] Memory Collision at time %.3f ns.\nA simultaneous WRITE on port A (%h) and a READ on port B (%h) occured.\nThe WRITE was successful but the READ may be corrupted. Instance: %m", MODULE_NAME, $time/1000.0, wr_addr_a, rd_addr_b);
wr_a_rd_b_coll <= #10 1'b1;
wr_a_rd_b_coll <= #100 1'b0;
end
else if(SIM_COLLISION_CHECK_BIN == SIM_COLLISION_CHECK_WARNING_ONLY)
$display("Error: [Unisim %s-6] Memory Collision at time %.3f ns.\nA simultaneous WRITE on port A (%h) occured during a READ on port B (%h). Instance: %m", MODULE_NAME, $time/1000.0, wr_addr_a, rd_addr_b);
else if (SIM_COLLISION_CHECK_BIN == SIM_COLLISION_CHECK_GENERATE_X_ONLY) begin
wr_a_rd_b_coll <= #10 1'b1;
wr_a_rd_b_coll <= #100 1'b0;
end
end
end
always @(posedge coll_wr_a_rd_b) begin
if (~wr_a_data_matches_rd_b_data) begin
if(SIM_COLLISION_CHECK_BIN == SIM_COLLISION_CHECK_ALL) begin
$display("Error: [Unisim %s-7] Memory Collision at time %.3f ns.\nA WRITE on port A (%h) occured during the READ window on port B (%h).\nThe WRITE was successful but the READ may be corrupted. Instance: %m", MODULE_NAME, $time/1000.0, wr_addr_a, rd_addr_b_last);
wr_a_rd_b_coll <= #10 1'b1;
wr_a_rd_b_coll <= #100 1'b0;
end
else if(SIM_COLLISION_CHECK_BIN == SIM_COLLISION_CHECK_WARNING_ONLY)
$display("Error: [Unisim %s-8] Memory Collision at time %.3f ns.\nA WRITE on port A (%h) occured during the READ window on port B (%h). Instance: %m", MODULE_NAME, $time/1000.0, wr_addr_a, rd_addr_b_last);
else if(SIM_COLLISION_CHECK_BIN == SIM_COLLISION_CHECK_GENERATE_X_ONLY) begin
wr_a_rd_b_coll <= #10 1'b1;
wr_a_rd_b_coll <= #100 1'b0;
end
end
end
always @(posedge CLKBWRCLK_in) begin
if (mem_wr_en_b === 1'b1 && ~glblGSR && clka_toggled && (SIM_COLLISION_CHECK_BIN != SIM_COLLISION_CHECK_NONE)) begin
if (coll_win_wr_clk_b_max) begin
coll_win_wr_clk_b_max = 1'b0;
if (clks_done) clkb_changed = 1'b1;
end else if (clks_done) begin
clkb_changed = 1'b0;
coll_win_wr_clk_b_min <= #1 1'b1;
coll_win_wr_clk_b_max <= #99 1'b1;
coll_win_wr_clk_b_min <= #(t_coll_min) 1'b0;
coll_win_wr_clk_b_max <= #(t_coll_max) 1'b0;
end
end
end
always @(posedge coll_wr_b_wr_a) begin
if (~wr_data_matches) begin
if(SIM_COLLISION_CHECK_BIN == SIM_COLLISION_CHECK_ALL) begin
$display("Error: [Unisim %s-9] Memory Collision at time %.3f ns.\nA WRITE on port B (%h) occured during the WRITE window on port A (%h).\nMemory contents at those locations have been corrupted. Instance: %m", MODULE_NAME, $time/1000.0, wr_addr_b, wr_addr_a_last);
wr_b_wr_a_coll <= #10 1'b1;
wr_b_wr_a_coll <= #100 1'b0;
end
else if(SIM_COLLISION_CHECK_BIN == SIM_COLLISION_CHECK_WARNING_ONLY)
$display("Error: [Unisim %s-10] Memory Collision at time %.3f ns.\nA WRITE on port B (%h) occured during the WRITE window on port A (%h). Instance: %m", MODULE_NAME, $time/1000.0, wr_addr_b, wr_addr_a_last);
else if(SIM_COLLISION_CHECK_BIN == SIM_COLLISION_CHECK_GENERATE_X_ONLY) begin
wr_b_wr_a_coll <= #10 1'b1;
wr_b_wr_a_coll <= #100 1'b0;
end
end
end
always @(posedge coll_wr_b_rd_a_sim) begin
if (~wr_b_data_matches_rd_a_data && (WRITE_MODE_B_BIN != WRITE_MODE_B_READ_FIRST)) begin
if(SIM_COLLISION_CHECK_BIN == SIM_COLLISION_CHECK_ALL) begin
$display("Error: [Unisim %s-11] Memory Collision at time %.3f ns.\nA simultaneous WRITE on port B (%h) and READ on port A (%h) occured.\nThe WRITE was successful but the READ may be corrupted. Instance: %m", MODULE_NAME, $time/1000.0, wr_addr_b, rd_addr_a);
wr_b_rd_a_coll <= #10 1'b1;
wr_b_rd_a_coll <= #100 1'b0;
end
else if(SIM_COLLISION_CHECK_BIN == SIM_COLLISION_CHECK_WARNING_ONLY)
$display("Error: [Unisim %s-12] Memory Collision at time %.3f ns.\nA simultaneous WRITE on port B (%h) occured during a READ on port A (%h). Instance: %m", MODULE_NAME, $time/1000.0, wr_addr_b, rd_addr_a);
else if (SIM_COLLISION_CHECK_BIN == SIM_COLLISION_CHECK_GENERATE_X_ONLY) begin
wr_b_rd_a_coll <= #10 1'b1;
wr_b_rd_a_coll <= #100 1'b0;
end
end
end
always @(posedge coll_wr_b_rd_a) begin
if (~wr_b_data_matches_rd_a_data) begin
if(SIM_COLLISION_CHECK_BIN == SIM_COLLISION_CHECK_ALL) begin
$display("Error: [Unisim %s-13] Memory Collision at time %.3f ns.\nA WRITE on port B (%h) occured during the READ window on port A (%h).\nThe WRITE was successful but the READ may be corrupted. Instance: %m", MODULE_NAME, $time/1000.0, wr_addr_b, rd_addr_a_last);
wr_b_rd_a_coll <= #10 1'b1;
wr_b_rd_a_coll <= #100 1'b0;
end
else if(SIM_COLLISION_CHECK_BIN == SIM_COLLISION_CHECK_WARNING_ONLY)
$display("Error: [Unisim %s-14] Memory Collision at time %.3f ns.\nA WRITE on port B (%h) occured during the READ window on port A (%h). Instance: %m", MODULE_NAME, $time/1000.0, wr_addr_b, rd_addr_a_last);
else if(SIM_COLLISION_CHECK_BIN == SIM_COLLISION_CHECK_GENERATE_X_ONLY) begin
wr_b_rd_a_coll <= #10 1'b1;
wr_b_rd_a_coll <= #100 1'b0;
end
end
end
always @(posedge CLKARDCLK_in) begin
if (mem_rd_en_a === 1'b1 && ~glblGSR && clkb_toggled && (SIM_COLLISION_CHECK_BIN != SIM_COLLISION_CHECK_NONE)) begin
if (coll_win_rd_clk_a_max) begin
coll_win_rd_clk_a_max = 1'b0;
if (clks_done) clka_changed = 1'b1;
end else if (clks_done) begin
clka_changed = 1'b0;
coll_win_rd_clk_a_min <= #1 1'b1;
coll_win_rd_clk_a_max <= #99 1'b1;
coll_win_rd_clk_a_min <= #(t_coll_min) 1'b0;
coll_win_rd_clk_a_max <= #(t_coll_max) 1'b0;
end
end
end
//always @(posedge coll_rd_a_wr_b_sim) begin
// if (~wr_b_data_matches_rd_a_data && (WRITE_MODE_B_BIN != WRITE_MODE_B_READ_FIRST)) begin
// if(SIM_COLLISION_CHECK_BIN == SIM_COLLISION_CHECK_ALL) begin
// $display("Error: [Unisim %s-15] Memory Collision at time %.3f ns.\nA simultaneous READ on port A (%h) occured during a WRITE on port B (%h).\nThe WRITE was successful but the READ may be corrupted. Instance: %m", MODULE_NAME, $time/1000.0, rd_addr_a, wr_addr_b);
// rd_a_wr_b_coll <= #10 1'b1;
// rd_a_wr_b_coll <= #100 1'b0;
// end
// else if(SIM_COLLISION_CHECK_BIN == SIM_COLLISION_CHECK_WARNING_ONLY)
// $display("Error: [Unisim %s-16] Memory Collision at time %.3f ns.\nA simultaneous READ on port A (%h) occured during a WRITE on port B (%h). Instance: %m", MODULE_NAME, $time/1000.0, rd_addr_a, wr_addr_b);
// if(SIM_COLLISION_CHECK_BIN == SIM_COLLISION_CHECK_GENERATE_X_ONLY) begin
// rd_a_wr_b_coll <= #10 1'b1;
// rd_a_wr_b_coll <= #100 1'b0;
// end
// end
//end
always @(posedge coll_rd_a_wr_b) begin
if (~wr_b_data_matches_rd_a_data) begin
if(SIM_COLLISION_CHECK_BIN == SIM_COLLISION_CHECK_ALL) begin
$display("Error: [Unisim %s-17] Memory Collision at time %.3f ns.\nA READ on port A (%h) occured during the WRITE window on port B (%h).\nThe WRITE was successful but the READ may be corrupted. Instance: %m", MODULE_NAME, $time/1000.0, rd_addr_a, wr_addr_b_last);
rd_a_wr_b_coll <= #10 1'b1;
rd_a_wr_b_coll <= #100 1'b0;
end
else if(SIM_COLLISION_CHECK_BIN == SIM_COLLISION_CHECK_WARNING_ONLY)
$display("Error: [Unisim %s-18] Memory Collision at time %.3f ns.\nA READ on port A (%h) occured during the WRITE window on port B (%h). Instance: %m", MODULE_NAME, $time/1000.0, rd_addr_a, wr_addr_b_last);
else if(SIM_COLLISION_CHECK_BIN == SIM_COLLISION_CHECK_GENERATE_X_ONLY) begin
rd_a_wr_b_coll <= #10 1'b1;
rd_a_wr_b_coll <= #100 1'b0;
end
end
end
always @(posedge CLKBWRCLK_in) begin
if (mem_rd_en_b === 1'b1 && ~glblGSR && clka_toggled && (SIM_COLLISION_CHECK_BIN != SIM_COLLISION_CHECK_NONE) && ~sdp_mode) begin
if (coll_win_rd_clk_b_max) begin
coll_win_rd_clk_b_max = 1'b0;
if (clks_done) clkb_changed = 1'b1;
end else if (clks_done) begin
clkb_changed = 1'b0;
coll_win_rd_clk_b_min <= #1 1'b1;
coll_win_rd_clk_b_max <= #99 1'b1;
coll_win_rd_clk_b_min <= #(t_coll_min) 1'b0;
coll_win_rd_clk_b_max <= #(t_coll_max) 1'b0;
end
end
end
always @(posedge coll_rd_b_wr_a) begin
if (~wr_a_data_matches_rd_b_data) begin
if(SIM_COLLISION_CHECK_BIN == SIM_COLLISION_CHECK_ALL) begin
$display("Error: [Unisim %s-21] Memory Collision at time %.3f ns.\nA READ on port B (%h) occured during the WRITE window on port A (%h).\nThe WRITE was successful but the READ may be corrupted. Instance: %m", MODULE_NAME, $time/1000.0, rd_addr_b, wr_addr_a_last);
rd_b_wr_a_coll <= #10 1'b1;
rd_b_wr_a_coll <= #100 1'b0;
end
else if(SIM_COLLISION_CHECK_BIN == SIM_COLLISION_CHECK_WARNING_ONLY)
$display("Error: [Unisim %s-22] Memory Collision at time %.3f ns.\nA READ on port B (%h) occured during the WRITE window on port A (%h). Instance: %m", MODULE_NAME, $time/1000.0, rd_addr_b, wr_addr_a_last);
else if(SIM_COLLISION_CHECK_BIN == SIM_COLLISION_CHECK_GENERATE_X_ONLY) begin
rd_b_wr_a_coll <= #10 1'b1;
rd_b_wr_a_coll <= #100 1'b0;
end
end
end
// output register
always @ (*) begin
if (((CASCADE_ORDER_A_BIN == CASCADE_ORDER_A_LAST) ||
(CASCADE_ORDER_A_BIN == CASCADE_ORDER_A_MIDDLE)) &&
CASOREGIMUXA_reg) mem_a_reg_mux = {CASDINB_in, CASDINA_in};
else if (mem_wr_en_a_wf) mem_a_reg_mux = mem_rd_a_wf;
else if (EN_ECC_PIPE_BIN == EN_ECC_PIPE_TRUE) mem_a_reg_mux = mem_a_pipe;
else mem_a_reg_mux = mem_a_lat;
end
always @ (*) begin
if (((CASCADE_ORDER_A_BIN == CASCADE_ORDER_A_LAST) ||
(CASCADE_ORDER_A_BIN == CASCADE_ORDER_A_MIDDLE)) &&
CASOREGIMUXA_reg) memp_a_reg_mux = {CASDINPB_in, CASDINPA_in};
else if (mem_wr_en_a_wf) memp_a_reg_mux = memp_rd_a_wf;
else if (EN_ECC_PIPE_BIN == EN_ECC_PIPE_TRUE) memp_a_reg_mux = memp_a_pipe;
else memp_a_reg_mux = memp_a_lat;
end
always @ (posedge CLKARDCLK_in or posedge INIT_MEM or glblGSR) begin
if (glblGSR || INIT_MEM) begin
{memp_a_reg, mem_a_reg} <= #100 INIT_A_int;
end
else if (RSTREG_A_int) begin
{memp_a_reg, mem_a_reg} <= #100 SRVAL_A_int;
end
else if (REGCE_A_int) begin
mem_a_reg <= #100 mem_a_reg_mux;
memp_a_reg <= #100 memp_a_reg_mux;
end
end
always @ (*) begin
if (((CASCADE_ORDER_B_BIN == CASCADE_ORDER_B_LAST) ||
(CASCADE_ORDER_B_BIN == CASCADE_ORDER_B_MIDDLE)) &&
CASOREGIMUXB_reg) mem_b_reg_mux = CASDINB_in;
else if (mem_wr_en_b_wf) mem_b_reg_mux = mem_rd_b_wf;
else mem_b_reg_mux = mem_b_lat;
end
always @ (*) begin
if (((CASCADE_ORDER_B_BIN == CASCADE_ORDER_B_LAST) ||
(CASCADE_ORDER_B_BIN == CASCADE_ORDER_B_MIDDLE)) &&
CASOREGIMUXB_reg) memp_b_reg_mux = CASDINPB_in;
else if (mem_wr_en_b_wf) memp_b_reg_mux = memp_rd_b_wf;
else memp_b_reg_mux = memp_b_lat;
end
always @ (posedge CLKBWRCLK_in or posedge INIT_MEM or glblGSR) begin
if (glblGSR || INIT_MEM || sdp_mode) begin
{memp_b_reg, mem_b_reg} <= #100 INIT_B_int;
end
else if (RSTREG_B_int) begin
{memp_b_reg, mem_b_reg} <= #100 SRVAL_B_int;
end
else if (REGCE_B_int) begin
mem_b_reg <= #100 mem_b_reg_mux;
memp_b_reg <= #100 memp_b_reg_mux;
end
end
// bit err reg
always @ (posedge CLKARDCLK_in or glblGSR) begin
if (glblGSR || mem_rst_a) begin
dbit_reg <= 1'b0;
sbit_reg <= 1'b0;
r_a_ecc_reg <= 9'h0;
end
else if (REGCE_A_int) begin
dbit_reg <= dbit_ecc;
sbit_reg <= sbit_ecc;
r_a_ecc_reg <= r_a_ecc_ecc;
end
end
// ecc pipe register
always @ (posedge CLKARDCLK_in or posedge INIT_MEM or glblGSR) begin
if (glblGSR || INIT_MEM) begin
{memp_a_pipe, mem_a_pipe} <= #100 INIT_A_int;
dbit_pipe <= #100 1'b0;
sbit_pipe <= #100 1'b0;
r_a_ecc_pipe <= #100 9'b0;
end
else if (WREN_ecc) begin
mem_a_pipe <= #100 mem_a_lat;
memp_a_pipe <= #100 memp_a_lat;
dbit_pipe <= #100 dbit_lat;
sbit_pipe <= #100 sbit_lat;
r_a_ecc_pipe <= #100 r_a_ecc_lat;
end
end
// read engine
always @ (posedge CLKARDCLK_in) begin
if ((WRITE_MODE_A_BIN == WRITE_MODE_A_WRITE_FIRST) && ~sdp_mode && mem_rd_en_a && ~glblGSR) begin
mem_wr_en_a_wf <= mem_wr_en_a && ~mem_rst_a;
end
end
always @ (posedge CLKBWRCLK_in) begin
if ((WRITE_MODE_B_BIN == WRITE_MODE_B_WRITE_FIRST) && mem_rd_en_b && ~glblGSR) begin
mem_wr_en_b_wf <= mem_wr_en_b && ~mem_rst_b;
end
end
always @ (wr_a_wf_event or INIT_MEM) begin
if (coll_wr_sim || coll_wr_b_wr_a || coll_wr_a_wr_b) begin
for (raw=0;raw<rd_loops_f;raw=raw+1) begin
mem_rd_a_wf[raw] <= 1'bx;
end
if (rd_loops_f >= 8) begin
for (raw=0;raw<rd_loops_f/8;raw=raw+1) begin
memp_rd_a_wf[raw] <= 1'bx;
end
end
end else if (~(coll_rd_a_wr_b || coll_wr_b_rd_a || coll_wr_b_rd_a_sim)) begin
for (raw=0;raw<rd_loops_f;raw=raw+1) begin
mem_rd_a_wf[raw] <= mem [rd_addr_a+raw];
end
if (rd_loops_f >= 8) begin
for (raw=0;raw<rd_loops_f/8;raw=raw+1) begin
memp_rd_a_wf[raw] <= memp [(rd_addr_a/8)+raw];
end
end
end
end
// always @ (rd_addr_a or mem_rd_en_a or mem_rst_a or wr_a_event or wr_b_event or posedge coll_win_rd_clk_b_min or INIT_MEM) begin
// always @ (rd_addr_a or mem_rd_en_a or mem_rst_a or wr_a_event or wr_b_event or posedge wr_b_wr_a_coll or INIT_MEM) begin
always @ (rd_addr_a or mem_rd_en_a or mem_rst_a or wr_a_event or wr_b_event or INIT_MEM) begin
if ((mem_rd_en_a || INIT_MEM) && ~mem_rst_a) begin
for (raa=0;raa<rd_loops_a;raa=raa+1) begin
ram_rd_a[raa] = mem [rd_addr_a+raa];
end
if (rd_loops_a >= 8) begin
for (raa=0;raa<rd_loops_a/8;raa=raa+1) begin
ramp_rd_a[raa] = memp [(rd_addr_a/8)+raa];
end
end
end
end
always @(posedge CLKARDCLK_in or posedge INIT_MEM or posedge glblGSR or posedge wr_b_rd_a_coll or posedge rd_a_wr_b_coll or posedge wr_a_wr_b_coll or posedge wr_b_wr_a_coll) begin
if (glblGSR || INIT_MEM) begin
mem_is_rst_a <= 1'b0;
for (ra=0;ra<rd_loops_a;ra=ra+1) begin
mem_a_lat[ra] <= #100 INIT_A_int >> ra;
if (ra<rd_loops_a/8) begin
memp_a_lat[ra] <= #100 INIT_A_int >> (D_WIDTH+ra);
end
end
first_read <= 1'b0;
end
else if (SLEEP_A_int && mem_rd_en_a) begin
$display("Error: [Unisim %s-23] DRC : READ on port A attempted while in SLEEP mode at time %.3f ns. Instance: %m.", MODULE_NAME, $time/1000.0);
mem_is_rst_a <= 1'b0;
for (ra=0;ra<rd_loops_a;ra=ra+1) begin
mem_a_lat[ra] <= #100 1'bx;
if (ra<rd_loops_a/8) begin
memp_a_lat[ra] <= #100 1'bx;
end
end
end
else if (mem_rst_a && mem_rd_en_a) begin
if (~mem_is_rst_a) begin
mem_is_rst_a <= 1'b1;
for (ra=0;ra<rd_loops_a;ra=ra+1) begin
mem_a_lat[ra] <= #100 SRVAL_A_int >> ra;
if (ra<rd_loops_a/8) begin
memp_a_lat[ra] <= #100 SRVAL_A_int >> (D_WIDTH+ra);
end
end
end
end
else if (rd_a_wr_b_coll || wr_b_rd_a_coll || wr_a_wr_b_coll || wr_b_wr_a_coll) begin
if (~wr_b_data_matches_rd_a_data &&
((SIM_COLLISION_CHECK_BIN == SIM_COLLISION_CHECK_ALL) ||
(SIM_COLLISION_CHECK_BIN == SIM_COLLISION_CHECK_GENERATE_X_ONLY))) begin
mem_is_rst_a <= 1'b0;
for (ra=0;ra<rd_loops_a;ra=ra+1) begin
mem_a_lat[ra] <= #100 1'bx;
if (ra<rd_loops_a/8) begin
memp_a_lat[ra] <= #100 1'bx;
end
end
end
end
else if (mem_rd_en_a) begin
mem_is_rst_a <= 1'b0;
if ((EN_ECC_READ_BIN == EN_ECC_READ_TRUE) && sbit_int) begin
if (wr_b_rd_a_addr_coll && coll_win_wr_clk_b_min && sdp_mode && (WRITE_MODE_B_BIN == WRITE_MODE_B_READ_FIRST)) begin
// if (coll_wr_b_rd_a_sim && sdp_mode && (WRITE_MODE_B_BIN == WRITE_MODE_B_READ_FIRST)) begin
{memp_a_lat, mem_a_lat} <= #100 fn_cor_bit(synd_ecc[6:0], mem_rd_b_rf, memp_rd_b_rf);
end
else begin
{memp_a_lat, mem_a_lat} <= #100 fn_cor_bit(synd_ecc[6:0], ram_rd_a, ramp_rd_a);
end
end
else begin
if (wr_b_rd_a_addr_coll && coll_win_wr_clk_b_min && sdp_mode && (WRITE_MODE_B_BIN == WRITE_MODE_B_READ_FIRST)) begin
// if (coll_wr_b_rd_a_sim && sdp_mode && (WRITE_MODE_B_BIN == WRITE_MODE_B_READ_FIRST)) begin
mem_a_lat <= #100 mem_rd_b_rf;
memp_a_lat <= #100 memp_rd_b_rf;
end
else begin
mem_a_lat <= #100 ram_rd_a;
memp_a_lat <= #100 ramp_rd_a;
end
end
first_read <= 1'b1;
end
end
always @ (wr_b_wf_event) begin
if (coll_wr_sim || coll_wr_b_wr_a || coll_wr_a_wr_b) begin
for (rbw=0;rbw<rd_loops_f;rbw=rbw+1) begin
mem_rd_b_wf[rbw] <= 1'bx;
end
if (rd_loops_f >= 8) begin
for (rbw=0;rbw<rd_loops_f/8;rbw=rbw+1) begin
memp_rd_b_wf[rbw] <= 1'bx;
end
end
end else if (~(coll_rd_b_wr_a || coll_wr_a_rd_b || coll_wr_a_rd_b_sim)) begin
for (rbw=0;rbw<rd_loops_f;rbw=rbw+1) begin
mem_rd_b_wf[rbw] <= mem [rd_addr_b+rbw];
if (rbw<rd_loops_f/8) begin
memp_rd_b_wf[rbw] <= memp [(rd_addr_b/8)+rbw];
end
end
end
end
always @ (rd_addr_b or mem_rd_en_b or mem_rst_b or wr_b_event or wr_a_event or INIT_MEM) begin
if ((mem_rd_en_b || INIT_MEM) && ~mem_rst_b) begin
for (rbb=0;rbb<rd_loops_b;rbb=rbb+1) begin
mem_rd_b[rbb] <= mem [rd_addr_b+rbb];
if (rbb<rd_loops_b/8) begin
memp_rd_b[rbb] <= memp [(rd_addr_b/8)+rbb];
end
end
end
end
always @(posedge CLKBWRCLK_in or posedge INIT_MEM or posedge glblGSR or posedge wr_a_rd_b_coll or posedge rd_b_wr_a_coll or posedge wr_a_wr_b_coll or posedge wr_b_wr_a_coll) begin
if (glblGSR || INIT_MEM) begin
mem_is_rst_b <= 1'b0;
for (rb=0;rb<rd_loops_b;rb=rb+1) begin
mem_b_lat[rb] <= #100 INIT_B_int >> rb;
if (rb<rd_loops_b/8) begin
memp_b_lat[rb] <= #100 INIT_B_int >> (D_WIDTH/2+rb);
end
end
end
else if (SLEEP_B_int && mem_rd_en_b && ~sdp_mode) begin
$display("Error: [Unisim %s-24] DRC : READ on port B attempted while in SLEEP mode at time %.3f ns. Instance: %m.", MODULE_NAME, $time/1000.0);
mem_is_rst_b <= 1'b0;
for (rb=0;rb<rd_loops_b;rb=rb+1) begin
mem_b_lat[rb] <= #100 1'bx;
if (rb<rd_loops_b/8) begin
memp_b_lat[rb] <= #100 1'bx;
end
end
end
else if (mem_rst_b && mem_rd_en_b && ~sdp_mode) begin
if (~mem_is_rst_b) begin
mem_is_rst_b <= 1'b1;
for (rb=0;rb<rd_loops_b;rb=rb+1) begin
mem_b_lat[rb] <= #100 SRVAL_B_int >> rb;
if (rb<rd_loops_b/8) begin
memp_b_lat[rb] <= #100 SRVAL_B_int >> (D_WIDTH/2+rb);
end
end
end
end
else if (rd_b_wr_a_coll || wr_a_rd_b_coll || wr_a_wr_b_coll || wr_b_wr_a_coll) begin
mem_is_rst_b <= 1'b0;
if (~wr_a_data_matches_rd_b_data &&
((SIM_COLLISION_CHECK_BIN == SIM_COLLISION_CHECK_ALL) ||
(SIM_COLLISION_CHECK_BIN == SIM_COLLISION_CHECK_GENERATE_X_ONLY))) begin
for (rb=0;rb<rd_loops_b;rb=rb+1) begin
mem_b_lat[rb] <= #100 1'bx;
if (rb<rd_loops_b/8) begin
memp_b_lat[rb] <= #100 1'bx;
end
end
end
end
else if (mem_rd_en_b && ~sdp_mode) begin
mem_is_rst_b <= 1'b0;
mem_b_lat <= #100 mem_rd_b[D_WIDTH/2-1:0];
memp_b_lat <= #100 memp_rd_b[DP_WIDTH/2-1:0];
end
end
// write engine
always @ (posedge CLKARDCLK_in or posedge wr_a_wr_b_coll) begin
if (wr_a_wr_b_coll && ~glblGSR) begin
if (~wr_data_matches) begin
for (wa=0;wa<wr_loops_a;wa=wa+1) begin
if (mem_we_a[wa]) mem [wr_addr_a+wa] <= {mem_width{1'bx}};
if (wa<wr_loops_a/8) begin
if (memp_we_a[wa]) memp [(wr_addr_a/8)+wa] <= {memp_width{1'bx}};
end
end
end
end
else if (mem_wr_en_a && ~glblGSR && ~sdp_mode) begin
if (SLEEP_A_int) begin
$display("Error: [Unisim %s-25] DRC : WRITE on port A attempted while in SLEEP mode at time %.3f ns. Instance: %m.", MODULE_NAME, $time/1000.0);
end
else begin
for (wa=0;wa<wr_loops_a;wa=wa+1) begin
if (mem_we_a[wa]) begin
mem [wr_addr_a+wa] <= mem_wr_a[wa];
end
end
if (wr_loops_a >= 8) begin
for (wa=0;wa<wr_loops_a/8;wa=wa+1) begin
if (memp_we_a[wa]) begin
memp [(wr_addr_a/8)+wa] <= memp_wr_a[wa];
end
end
end
wr_a_event <= ~wr_a_event;
if (WRITE_MODE_A_BIN == WRITE_MODE_A_WRITE_FIRST) wr_a_wf_event <= ~wr_a_wf_event;
end
end
end
always @ (posedge CLKBWRCLK_in or posedge INIT_MEM or posedge wr_b_wr_a_coll) begin
if (INIT_MEM == 1'b1) begin
// initialize memory
if (INIT_FILE_REG != "NONE") begin
if (READ_WIDTH_A != READ_WIDTH_A_REG) begin
$display("Error: [Unisim %s-27] DRC : READ_WIDTH_A (%d) has been changed (%d) through write_attr function. In order to initialize memory via INIT_FILE (%s), the READ_WIDTH must be set by a static parameter. Instance: %m.", MODULE_NAME, READ_WIDTH_A, READ_WIDTH_A_REG, INIT_FILE_REG);
end else if (READ_WIDTH_B != READ_WIDTH_B_REG) begin
$display("Error: [Unisim %s-28] DRC : READ_WIDTH_B (%d) has been changed (%d) through write_attr function. In order to initialize memory via INIT_FILE (%s), the READ_WIDTH must be set by a static parameter. Instance: %m.", MODULE_NAME, READ_WIDTH_B, READ_WIDTH_B_REG, INIT_FILE_REG);
end else begin
read_init_file;
end
end else begin
for (j=0;j<128;j=j+1) begin
INIT_TMP = INIT_BIN[j];
for (i=0;i<256;i=i+1) begin
mem [j*256+i] <= INIT_TMP[i];
end
end
// initialize memory p
for (j=0;j<16;j=j+1) begin
INITP_TMP = INITP_BIN[j];
for (i=0;i<256;i=i+1) begin
memp [j*256+i] <= INITP_TMP[i];
end
end
end
end
else if (wr_b_wr_a_coll && ~glblGSR) begin
if (~wr_data_matches) begin
for (wb=0;wb<wr_loops_b;wb=wb+1) begin
if (mem_we_b[wb]) mem [wr_addr_b+wb] <= {mem_width{1'bx}};
if (wb<wr_loops_b/8) begin
if (memp_we_b[wb]) memp [(wr_addr_b/8)+wb] <= {memp_width{1'bx}};
end
end
end
end
else if (mem_wr_en_b && ~glblGSR) begin
if (SLEEP_B_int) begin
$display("Error: [Unisim %s-26] DRC : WRITE on port B attempted while in SLEEP mode at time %.3f ns. Instance: %m.", MODULE_NAME, $time/1000.0);
end
else begin
// for (wb=0;wb<max_rd_loops;wb=wb+1) begin
for (wb=0;wb<rd_loops_f;wb=wb+1) begin
mem_rd_b_rf[wb] <= mem [rd_addr_b+wb];
// if (wb<max_rd_loops/8) begin
if (wb<rd_loops_f/8) begin
memp_rd_b_rf[wb] <= memp [rd_addr_b/8+wb];
end
end
for (wb=0;wb<wr_loops_b;wb=wb+1) begin
if (mem_we_b[wb]) begin
mem [wr_addr_b+wb] <= mem_wr_b[wb];
end
end
if (WRITE_WIDTH_B_BIN > WRITE_WIDTH_B_4) begin
for (wb=0;wb<wr_loops_b/8;wb=wb+1) begin
if (memp_we_b[wb]) begin
memp [(wr_addr_b/8)+wb] <= memp_wr_b[wb];
end
end
end
wr_b_event <= ~wr_b_event;
if (WRITE_MODE_B_BIN == WRITE_MODE_B_WRITE_FIRST) wr_b_wf_event <= ~wr_b_wf_event;
end
end
end
assign mem_rm_douta = sdp_mode_rd ? {D_WIDTH{1'b0}} : {D_WIDTH{1'bx}}<<rd_loops_a;
assign memp_rm_douta = sdp_mode_rd ? {DP_WIDTH{1'b0}} : {DP_WIDTH{1'bx}}<<rd_loops_a/8;
assign mem_rm_doutb = sdp_mode_rd ? {D_WIDTH/2{1'b0}} : {D_WIDTH{1'bx}}<<rd_loops_b;
assign memp_rm_doutb = sdp_mode_rd ? {DP_WIDTH/2{1'b0}} : {DP_WIDTH/2{1'bx}}<<rd_loops_b/8;
always @(ADDRARDADDR_in or ADDRENA_int) begin
if (ADDRENA_int == 1'b1) begin
rd_addr_a = ADDRARDADDR_in & rd_addr_a_mask;
wr_addr_a = ADDRARDADDR_in & wr_addr_a_mask;
end
end
always @(posedge CLKARDCLK_in or glblGSR) begin
if (mem_wr_en_a) begin
wr_addr_a_last <= wr_addr_a;
end
if (mem_rd_en_a) begin
rd_addr_a_last <= rd_addr_a;
end
if (glblGSR || mem_wr_en_a || mem_wr_en_b) begin
rd_addr_a_valid <= 1'b0;
end else if (mem_rd_en_a) begin
rd_addr_a_valid <= 1'b1;
end
end
always @(posedge CLKARDCLK_in or glblGSR) begin
if (glblGSR || (RDADDRCHANGEA_BIN == RDADDRCHANGEA_FALSE)) begin
if (rd_addr_a_count != 0) begin
total_clks_a = $time/clk_period_a;
$display("Info: [Unisim %s-27] Power : RDADDRCHANGEA(%s) : (%d) READs were skipped out of (%d) total READs (%.2f %%) on port A. BRAM READ duty cycle (%.2f %%) in (%d) CLKA periods at time %.2f ns. Instance: %m.", MODULE_NAME, RDADDRCHANGEA, rd_addr_a_nocount, rd_addr_a_count, (rd_addr_a_nocount*100.0)/(rd_addr_a_count*1.0), (rd_addr_a_count*100.0)/(total_clks_a*1.0), total_clks_a, $time/1000.0);
end
rd_addr_a_nochange <= 1'b0;
rd_addr_a_count <= 0;
rd_addr_a_nocount <= 0;
end else if (mem_rd_en_a) begin
rd_addr_a_count <= rd_addr_a_count + 1;
if ((rd_addr_a_last === rd_addr_a) && rd_addr_a_valid) begin
rd_addr_a_nochange <= 1'b1;
rd_addr_a_nocount <= rd_addr_a_nocount + 1;
end else begin
rd_addr_a_nochange <= 1'b0;
rd_addr_a_nocount <= rd_addr_a_nocount;
end
end else if (mem_wr_en_a || mem_wr_en_b) begin
rd_addr_a_nochange <= 1'b0;
rd_addr_a_count <= rd_addr_a_count;
rd_addr_a_nocount <= rd_addr_a_nocount;
end
end
always @(ADDRBWRADDR_in or ADDRARDADDR_in or ADDRENB_int or sdp_mode) begin
if (ADDRENB_int == 1'b1) begin
if (sdp_mode == 1'b1) begin
rd_addr_b = ADDRARDADDR_in & rd_addr_a_mask;
end else begin
rd_addr_b = ADDRBWRADDR_in & rd_addr_b_mask;
end
wr_addr_b = ADDRBWRADDR_in & wr_addr_b_mask;
end
end
always @(posedge CLKBWRCLK_in or glblGSR) begin
if (mem_wr_en_b) begin
wr_addr_b_last <= wr_addr_b;
end
if (mem_rd_en_b) begin
rd_addr_b_last <= rd_addr_b;
end
if (glblGSR || mem_wr_en_a || mem_wr_en_b) begin
rd_addr_b_valid <= 1'b0;
end else if (mem_rd_en_b) begin
rd_addr_b_valid <= 1'b1;
end
end
always @(posedge CLKBWRCLK_in or glblGSR) begin
if (glblGSR || (RDADDRCHANGEB_BIN == RDADDRCHANGEB_FALSE)) begin
if (rd_addr_b_count != 0) begin
total_clks_b = $time/clk_period_b;
$display("Info: [Unisim %s-28] Power : RDADDRCHANGEB(%s) : (%d) READs were skipped out of (%d) total READs (%.2f %%) on port B. BRAM READ duty cycle (%.2f %%) in (%d) CLKB periods at time %.2f ns. Instance: %m.", MODULE_NAME, RDADDRCHANGEB, rd_addr_b_nocount, rd_addr_b_count, (rd_addr_b_nocount*100.0)/(rd_addr_b_count*1.0), (rd_addr_b_count*100.0)/(total_clks_b*1.0), total_clks_b, $time/1000.0);
end
rd_addr_b_nochange <= 1'b0;
rd_addr_b_count <= 0;
rd_addr_b_nocount <= 0;
end else if (mem_rd_en_b) begin
rd_addr_b_count <= rd_addr_b_count + 1;
if ((rd_addr_b_last === rd_addr_b) && rd_addr_b_valid) begin
rd_addr_b_nochange <= 1'b1;
rd_addr_b_nocount <= rd_addr_b_nocount + 1;
end else begin
rd_addr_b_nochange <= 1'b0;
rd_addr_b_nocount <= rd_addr_b_nocount;
end
end else if (mem_wr_en_a || mem_wr_en_b) begin
rd_addr_b_nochange <= 1'b0;
rd_addr_b_count <= rd_addr_b_count;
rd_addr_b_nocount <= rd_addr_b_nocount;
end
end
assign mem_rm_a = {D_WIDTH{1'b1}}>>(max_rd_loops-rd_loops_a);
assign mem_rm_b = {D_WIDTH{1'b1}}>>(max_rd_loops-rd_loops_b);
assign mem_wm_a = {D_WIDTH{1'b1}}>>(max_wr_loops-wr_loops_a);
assign mem_wm_b = {D_WIDTH{1'b1}}>>(max_wr_loops-wr_loops_b);
always @(*) begin
if (~sdp_mode && mem_wr_en_a && mem_rd_en_b && ~mem_wr_en_b && ~mem_rst_a && ~mem_rst_b && (SIM_COLLISION_CHECK_BIN != SIM_COLLISION_CHECK_NONE)) begin
if ((wr_addr_a_last & rd_addr_b_mask) == (rd_addr_b_last & wr_addr_a_mask)) wr_a_rd_b_addr_coll = 1'b1;
else wr_a_rd_b_addr_coll = 1'b0;
end
else wr_a_rd_b_addr_coll = 1'b0;
end
always @(*) begin
if (~sdp_mode && mem_wr_en_b && mem_wr_en_a && ~mem_rst_a && ~mem_rst_b && (SIM_COLLISION_CHECK_BIN != SIM_COLLISION_CHECK_NONE)) begin
if ((wr_addr_a_last & wr_addr_b_mask) == (wr_addr_b_last & wr_addr_a_mask)) wr_addr_coll = 1'b1;
else wr_addr_coll = 1'b0;
end
else wr_addr_coll = 1'b0;
end
always @(*) begin
if (mem_wr_en_b && mem_rd_en_a && ~mem_wr_en_a && ~mem_rst_a && ~mem_rst_b && (SIM_COLLISION_CHECK_BIN != SIM_COLLISION_CHECK_NONE)) begin
if ((wr_addr_b_last & rd_addr_a_mask) == (rd_addr_a_last & wr_addr_b_mask)) wr_b_rd_a_addr_coll = 1'b1;
else wr_b_rd_a_addr_coll = 1'b0;
end
else wr_b_rd_a_addr_coll = 1'b0;
end
always @ (WEA_in or glblGSR) begin
mem_we_a = {{8{WEA_in[3]}},{8{WEA_in[2]}},{8{WEA_in[1]}},{8{WEA_in[0]}}};
if (WRITE_WIDTH_A_BIN > WRITE_WIDTH_A_4) memp_we_a = WEA_in;
else memp_we_a = 4'b0;
end
always @ (WEBWE_in or glblGSR) begin
mem_we_b = {{8{WEBWE_in[7]}},{8{WEBWE_in[6]}},{8{WEBWE_in[5]}},{8{WEBWE_in[4]}},
{8{WEBWE_in[3]}},{8{WEBWE_in[2]}},{8{WEBWE_in[1]}},{8{WEBWE_in[0]}}};
if (WRITE_WIDTH_B_BIN > WRITE_WIDTH_B_4) memp_we_b = WEBWE_in;
else memp_we_b = 8'b0;
end
// eccparity is flopped
always @ (*) begin
if (EN_ECC_WRITE_BIN == EN_ECC_WRITE_FALSE) synd_wr = 8'b0;
else begin
if (((CASCADE_ORDER_B_BIN == CASCADE_ORDER_B_LAST) ||
(CASCADE_ORDER_B_BIN == CASCADE_ORDER_B_MIDDLE)) && CASDIMUXB_in)
synd_wr = fn_ecc(encode, {CASDINB_in, CASDINA_in}, {CASDINPB_in, CASDINPA_in});
else
synd_wr = fn_ecc(encode, {DINBDIN_in, DINADIN_in}, {DINPBDINP_in, DINPADINP_in});
end
end
always @ (*) begin
if (EN_ECC_READ_BIN == EN_ECC_READ_TRUE) begin
if (coll_wr_b_rd_a_sim && sdp_mode && (WRITE_MODE_B_BIN == WRITE_MODE_B_READ_FIRST))
synd_rd = fn_ecc(decode, mem_rd_b_rf, memp_rd_b_rf);
else
synd_rd = fn_ecc(decode, ram_rd_a, ramp_rd_a);
end
else synd_rd = 8'b0;
if (EN_ECC_READ_BIN == EN_ECC_READ_TRUE) begin
if (wr_b_rd_a_addr_coll && coll_win_wr_clk_b_min && sdp_mode && (WRITE_MODE_B_BIN == WRITE_MODE_B_READ_FIRST))
synd_ecc = synd_rd ^ memp_rd_b_rf;
else
synd_ecc = synd_rd ^ ramp_rd_a;
end
else
synd_ecc = 8'b0;
//CR-1055052
if(synd_ecc[7] && synd_ecc[6:0] >71) begin
$display("Error: [Unisim %s-23] DRC : Simulation halted due Corrupted DIP. To correct this problem, make sure that reliable data is fed to the DIP. The correct Parity must be generated by a Hamming code encoder or encoder in the Block RAM. The output from the model is unreliable if there are more than 2 bit errors. The model doesn't warn if there is sporadic input of more than 2 bit errors due to the limitation in Hamming code. @%0t Instance: %m", $time, MODULE_NAME);
$finish;
end
end
assign sbit_int = (|synd_ecc && synd_ecc[7]);
assign dbit_int = (|synd_ecc && ~synd_ecc[7]);
always @(posedge CLKARDCLK_in) begin
if (mem_rd_en_a && mem_rst_a) begin
sbit_lat <= 1'b0;
dbit_lat <= 1'b0;
error_bit <= 7'b0;
r_a_ecc_lat <= 9'b0;
end
else if (mem_rd_en_a && (EN_ECC_READ_BIN == EN_ECC_READ_TRUE)) begin
sbit_lat <= sbit_int;
dbit_lat <= dbit_int;
error_bit <= synd_ecc[6:0];
r_a_ecc_lat <= rd_addr_a[ADDR_WIDTH-1:ADDR_WIDTH-9];
end
end
// assign {memp_a_ecc_cor, mem_a_ecc_cor} = sbit_int ?
// fn_cor_bit(synd_ecc[6:0], mem_rd_a, memp_rd_a) :
// {memp_rd_a, mem_rd_a};
always @ (posedge CLKBWRCLK_in or glblGSR) begin
if(glblGSR || (EN_ECC_WRITE_BIN == EN_ECC_WRITE_FALSE))
eccparity_reg <= 8'h00;
else if (ENBWREN_in)
eccparity_reg <= synd_wr;
end
`ifdef XIL_TIMING
reg notifier;
wire clkardclk_en_n;
wire clkardclk_en_p;
wire clkbwrclk_en_n;
wire clkbwrclk_en_p;
assign clkardclk_en_n = IS_CLKARDCLK_INVERTED_BIN;
assign clkardclk_en_p = ~IS_CLKARDCLK_INVERTED_BIN;
assign clkbwrclk_en_n = IS_CLKBWRCLK_INVERTED_BIN;
assign clkbwrclk_en_p = ~IS_CLKBWRCLK_INVERTED_BIN;
`endif
specify
(CASDINA *> CASDOUTA) = (0:0:0, 0:0:0);
(CASDINA *> DOUTADOUT) = (0:0:0, 0:0:0);
(CASDINB *> CASDOUTB) = (0:0:0, 0:0:0);
(CASDINB *> DOUTBDOUT) = (0:0:0, 0:0:0);
(CASDINPA *> CASDOUTPA) = (0:0:0, 0:0:0);
(CASDINPA *> DOUTPADOUTP) = (0:0:0, 0:0:0);
(CASDINPB *> CASDOUTPB) = (0:0:0, 0:0:0);
(CASDINPB *> DOUTPBDOUTP) = (0:0:0, 0:0:0);
(CASINDBITERR => CASOUTDBITERR) = (0:0:0, 0:0:0);
(CASINDBITERR => DBITERR) = (0:0:0, 0:0:0);
(CASINSBITERR => CASOUTSBITERR) = (0:0:0, 0:0:0);
(CASINSBITERR => SBITERR) = (0:0:0, 0:0:0);
(CLKARDCLK *> CASDOUTA) = (100:100:100, 100:100:100);
(CLKARDCLK *> CASDOUTB) = (100:100:100, 100:100:100);
(CLKARDCLK *> CASDOUTPA) = (100:100:100, 100:100:100);
(CLKARDCLK *> CASDOUTPB) = (100:100:100, 100:100:100);
(CLKARDCLK *> DOUTADOUT) = (100:100:100, 100:100:100);
(CLKARDCLK *> DOUTBDOUT) = (100:100:100, 100:100:100);
(CLKARDCLK *> DOUTPADOUTP) = (100:100:100, 100:100:100);
(CLKARDCLK *> DOUTPBDOUTP) = (100:100:100, 100:100:100);
(CLKARDCLK *> RDADDRECC) = (100:100:100, 100:100:100);
(CLKARDCLK => CASOUTDBITERR) = (100:100:100, 100:100:100);
(CLKARDCLK => CASOUTSBITERR) = (100:100:100, 100:100:100);
(CLKARDCLK => DBITERR) = (100:100:100, 100:100:100);
(CLKARDCLK => SBITERR) = (100:100:100, 100:100:100);
(CLKBWRCLK *> CASDOUTB) = (100:100:100, 100:100:100);
(CLKBWRCLK *> CASDOUTPB) = (100:100:100, 100:100:100);
(CLKBWRCLK *> DOUTBDOUT) = (100:100:100, 100:100:100);
(CLKBWRCLK *> DOUTPBDOUTP) = (100:100:100, 100:100:100);
(CLKBWRCLK *> ECCPARITY) = (100:100:100, 100:100:100);
`ifdef XIL_TIMING
$period (negedge CLKARDCLK, 0:0:0, notifier);
$period (negedge CLKBWRCLK, 0:0:0, notifier);
$period (posedge CLKARDCLK, 0:0:0, notifier);
$period (posedge CLKBWRCLK, 0:0:0, notifier);
$setuphold (negedge CLKARDCLK, negedge ADDRARDADDR, 0:0:0, 0:0:0, notifier,clkardclk_en_n,clkardclk_en_n, CLKARDCLK_delay, ADDRARDADDR_delay);
$setuphold (negedge CLKARDCLK, negedge ADDRBWRADDR, 0:0:0, 0:0:0, notifier,clkardclk_en_n,clkardclk_en_n, CLKARDCLK_delay, ADDRBWRADDR_delay);
$setuphold (negedge CLKARDCLK, negedge ADDRENA, 0:0:0, 0:0:0, notifier,clkardclk_en_n,clkardclk_en_n, CLKARDCLK_delay, ADDRENA_delay);
$setuphold (negedge CLKARDCLK, negedge ADDRENB, 0:0:0, 0:0:0, notifier,clkardclk_en_n,clkardclk_en_n, CLKARDCLK_delay, ADDRENB_delay);
$setuphold (negedge CLKARDCLK, negedge CASDIMUXA, 0:0:0, 0:0:0, notifier,clkardclk_en_n,clkardclk_en_n, CLKARDCLK_delay, CASDIMUXA_delay);
$setuphold (negedge CLKARDCLK, negedge CASDIMUXB, 0:0:0, 0:0:0, notifier,clkardclk_en_n,clkardclk_en_n, CLKARDCLK_delay, CASDIMUXB_delay);
$setuphold (negedge CLKARDCLK, negedge CASDINA, 0:0:0, 0:0:0, notifier,clkardclk_en_n,clkardclk_en_n, CLKARDCLK_delay, CASDINA_delay);
$setuphold (negedge CLKARDCLK, negedge CASDINB, 0:0:0, 0:0:0, notifier,clkardclk_en_n,clkardclk_en_n, CLKARDCLK_delay, CASDINB_delay);
$setuphold (negedge CLKARDCLK, negedge CASDINPA, 0:0:0, 0:0:0, notifier,clkardclk_en_n,clkardclk_en_n, CLKARDCLK_delay, CASDINPA_delay);
$setuphold (negedge CLKARDCLK, negedge CASDINPB, 0:0:0, 0:0:0, notifier,clkardclk_en_n,clkardclk_en_n, CLKARDCLK_delay, CASDINPB_delay);
$setuphold (negedge CLKARDCLK, negedge CASDOMUXA, 0:0:0, 0:0:0, notifier,clkardclk_en_n,clkardclk_en_n, CLKARDCLK_delay, CASDOMUXA_delay);
$setuphold (negedge CLKARDCLK, negedge CASDOMUXB, 0:0:0, 0:0:0, notifier,clkardclk_en_n,clkardclk_en_n, CLKARDCLK_delay, CASDOMUXB_delay);
$setuphold (negedge CLKARDCLK, negedge CASDOMUXEN_A, 0:0:0, 0:0:0, notifier,clkardclk_en_n,clkardclk_en_n, CLKARDCLK_delay, CASDOMUXEN_A_delay);
$setuphold (negedge CLKARDCLK, negedge CASDOMUXEN_B, 0:0:0, 0:0:0, notifier,clkardclk_en_n,clkardclk_en_n, CLKARDCLK_delay, CASDOMUXEN_B_delay);
$setuphold (negedge CLKARDCLK, negedge CASINDBITERR, 0:0:0, 0:0:0, notifier,clkardclk_en_n,clkardclk_en_n, CLKARDCLK_delay, CASINDBITERR_delay);
$setuphold (negedge CLKARDCLK, negedge CASINSBITERR, 0:0:0, 0:0:0, notifier,clkardclk_en_n,clkardclk_en_n, CLKARDCLK_delay, CASINSBITERR_delay);
$setuphold (negedge CLKARDCLK, negedge CASOREGIMUXA, 0:0:0, 0:0:0, notifier,clkardclk_en_n,clkardclk_en_n, CLKARDCLK_delay, CASOREGIMUXA_delay);
$setuphold (negedge CLKARDCLK, negedge CASOREGIMUXB, 0:0:0, 0:0:0, notifier,clkardclk_en_n,clkardclk_en_n, CLKARDCLK_delay, CASOREGIMUXB_delay);
$setuphold (negedge CLKARDCLK, negedge CASOREGIMUXEN_A, 0:0:0, 0:0:0, notifier,clkardclk_en_n,clkardclk_en_n, CLKARDCLK_delay, CASOREGIMUXEN_A_delay);
$setuphold (negedge CLKARDCLK, negedge CASOREGIMUXEN_B, 0:0:0, 0:0:0, notifier,clkardclk_en_n,clkardclk_en_n, CLKARDCLK_delay, CASOREGIMUXEN_B_delay);
$setuphold (negedge CLKARDCLK, negedge DINADIN, 0:0:0, 0:0:0, notifier,clkardclk_en_n,clkardclk_en_n, CLKARDCLK_delay, DINADIN_delay);
$setuphold (negedge CLKARDCLK, negedge DINBDIN, 0:0:0, 0:0:0, notifier,clkardclk_en_n,clkardclk_en_n, CLKARDCLK_delay, DINBDIN_delay);
$setuphold (negedge CLKARDCLK, negedge DINPADINP, 0:0:0, 0:0:0, notifier,clkardclk_en_n,clkardclk_en_n, CLKARDCLK_delay, DINPADINP_delay);
$setuphold (negedge CLKARDCLK, negedge ECCPIPECE, 0:0:0, 0:0:0, notifier,clkardclk_en_n,clkardclk_en_n, CLKARDCLK_delay, ECCPIPECE_delay);
$setuphold (negedge CLKARDCLK, negedge ENARDEN, 0:0:0, 0:0:0, notifier,clkardclk_en_n,clkardclk_en_n, CLKARDCLK_delay, ENARDEN_delay);
$setuphold (negedge CLKARDCLK, negedge ENBWREN, 0:0:0, 0:0:0, notifier,clkardclk_en_n,clkardclk_en_n, CLKARDCLK_delay, ENBWREN_delay);
$setuphold (negedge CLKARDCLK, negedge INJECTDBITERR, 0:0:0, 0:0:0, notifier,clkardclk_en_n,clkardclk_en_n, CLKARDCLK_delay, INJECTDBITERR_delay);
$setuphold (negedge CLKARDCLK, negedge INJECTSBITERR, 0:0:0, 0:0:0, notifier,clkardclk_en_n,clkardclk_en_n, CLKARDCLK_delay, INJECTSBITERR_delay);
$setuphold (negedge CLKARDCLK, negedge REGCEAREGCE, 0:0:0, 0:0:0, notifier,clkardclk_en_n,clkardclk_en_n, CLKARDCLK_delay, REGCEAREGCE_delay);
$setuphold (negedge CLKARDCLK, negedge REGCEB, 0:0:0, 0:0:0, notifier,clkardclk_en_n,clkardclk_en_n, CLKARDCLK_delay, REGCEB_delay);
$setuphold (negedge CLKARDCLK, negedge RSTRAMARSTRAM, 0:0:0, 0:0:0, notifier,clkardclk_en_n,clkardclk_en_n, CLKARDCLK_delay, RSTRAMARSTRAM_delay);
$setuphold (negedge CLKARDCLK, negedge RSTRAMB, 0:0:0, 0:0:0, notifier,clkardclk_en_n,clkardclk_en_n, CLKARDCLK_delay, RSTRAMB_delay);
$setuphold (negedge CLKARDCLK, negedge RSTREGARSTREG, 0:0:0, 0:0:0, notifier,clkardclk_en_n,clkardclk_en_n, CLKARDCLK_delay, RSTREGARSTREG_delay);
$setuphold (negedge CLKARDCLK, negedge RSTREGB, 0:0:0, 0:0:0, notifier,clkardclk_en_n,clkardclk_en_n, CLKARDCLK_delay, RSTREGB_delay);
$setuphold (negedge CLKARDCLK, negedge SLEEP, 0:0:0, 0:0:0, notifier,clkardclk_en_n,clkardclk_en_n, CLKARDCLK_delay, SLEEP_delay);
$setuphold (negedge CLKARDCLK, negedge WEA, 0:0:0, 0:0:0, notifier,clkardclk_en_n,clkardclk_en_n, CLKARDCLK_delay, WEA_delay);
$setuphold (negedge CLKARDCLK, negedge WEBWE, 0:0:0, 0:0:0, notifier,clkardclk_en_n,clkardclk_en_n, CLKARDCLK_delay, WEBWE_delay);
$setuphold (negedge CLKARDCLK, posedge ADDRARDADDR, 0:0:0, 0:0:0, notifier,clkardclk_en_n,clkardclk_en_n, CLKARDCLK_delay, ADDRARDADDR_delay);
$setuphold (negedge CLKARDCLK, posedge ADDRBWRADDR, 0:0:0, 0:0:0, notifier,clkardclk_en_n,clkardclk_en_n, CLKARDCLK_delay, ADDRBWRADDR_delay);
$setuphold (negedge CLKARDCLK, posedge ADDRENA, 0:0:0, 0:0:0, notifier,clkardclk_en_n,clkardclk_en_n, CLKARDCLK_delay, ADDRENA_delay);
$setuphold (negedge CLKARDCLK, posedge ADDRENB, 0:0:0, 0:0:0, notifier,clkardclk_en_n,clkardclk_en_n, CLKARDCLK_delay, ADDRENB_delay);
$setuphold (negedge CLKARDCLK, posedge CASDIMUXA, 0:0:0, 0:0:0, notifier,clkardclk_en_n,clkardclk_en_n, CLKARDCLK_delay, CASDIMUXA_delay);
$setuphold (negedge CLKARDCLK, posedge CASDIMUXB, 0:0:0, 0:0:0, notifier,clkardclk_en_n,clkardclk_en_n, CLKARDCLK_delay, CASDIMUXB_delay);
$setuphold (negedge CLKARDCLK, posedge CASDINA, 0:0:0, 0:0:0, notifier,clkardclk_en_n,clkardclk_en_n, CLKARDCLK_delay, CASDINA_delay);
$setuphold (negedge CLKARDCLK, posedge CASDINB, 0:0:0, 0:0:0, notifier,clkardclk_en_n,clkardclk_en_n, CLKARDCLK_delay, CASDINB_delay);
$setuphold (negedge CLKARDCLK, posedge CASDINPA, 0:0:0, 0:0:0, notifier,clkardclk_en_n,clkardclk_en_n, CLKARDCLK_delay, CASDINPA_delay);
$setuphold (negedge CLKARDCLK, posedge CASDINPB, 0:0:0, 0:0:0, notifier,clkardclk_en_n,clkardclk_en_n, CLKARDCLK_delay, CASDINPB_delay);
$setuphold (negedge CLKARDCLK, posedge CASDOMUXA, 0:0:0, 0:0:0, notifier,clkardclk_en_n,clkardclk_en_n, CLKARDCLK_delay, CASDOMUXA_delay);
$setuphold (negedge CLKARDCLK, posedge CASDOMUXB, 0:0:0, 0:0:0, notifier,clkardclk_en_n,clkardclk_en_n, CLKARDCLK_delay, CASDOMUXB_delay);
$setuphold (negedge CLKARDCLK, posedge CASDOMUXEN_A, 0:0:0, 0:0:0, notifier,clkardclk_en_n,clkardclk_en_n, CLKARDCLK_delay, CASDOMUXEN_A_delay);
$setuphold (negedge CLKARDCLK, posedge CASDOMUXEN_B, 0:0:0, 0:0:0, notifier,clkardclk_en_n,clkardclk_en_n, CLKARDCLK_delay, CASDOMUXEN_B_delay);
$setuphold (negedge CLKARDCLK, posedge CASINDBITERR, 0:0:0, 0:0:0, notifier,clkardclk_en_n,clkardclk_en_n, CLKARDCLK_delay, CASINDBITERR_delay);
$setuphold (negedge CLKARDCLK, posedge CASINSBITERR, 0:0:0, 0:0:0, notifier,clkardclk_en_n,clkardclk_en_n, CLKARDCLK_delay, CASINSBITERR_delay);
$setuphold (negedge CLKARDCLK, posedge CASOREGIMUXA, 0:0:0, 0:0:0, notifier,clkardclk_en_n,clkardclk_en_n, CLKARDCLK_delay, CASOREGIMUXA_delay);
$setuphold (negedge CLKARDCLK, posedge CASOREGIMUXB, 0:0:0, 0:0:0, notifier,clkardclk_en_n,clkardclk_en_n, CLKARDCLK_delay, CASOREGIMUXB_delay);
$setuphold (negedge CLKARDCLK, posedge CASOREGIMUXEN_A, 0:0:0, 0:0:0, notifier,clkardclk_en_n,clkardclk_en_n, CLKARDCLK_delay, CASOREGIMUXEN_A_delay);
$setuphold (negedge CLKARDCLK, posedge CASOREGIMUXEN_B, 0:0:0, 0:0:0, notifier,clkardclk_en_n,clkardclk_en_n, CLKARDCLK_delay, CASOREGIMUXEN_B_delay);
$setuphold (negedge CLKARDCLK, posedge DINADIN, 0:0:0, 0:0:0, notifier,clkardclk_en_n,clkardclk_en_n, CLKARDCLK_delay, DINADIN_delay);
$setuphold (negedge CLKARDCLK, posedge DINBDIN, 0:0:0, 0:0:0, notifier,clkardclk_en_n,clkardclk_en_n, CLKARDCLK_delay, DINBDIN_delay);
$setuphold (negedge CLKARDCLK, posedge DINPADINP, 0:0:0, 0:0:0, notifier,clkardclk_en_n,clkardclk_en_n, CLKARDCLK_delay, DINPADINP_delay);
$setuphold (negedge CLKARDCLK, posedge ECCPIPECE, 0:0:0, 0:0:0, notifier,clkardclk_en_n,clkardclk_en_n, CLKARDCLK_delay, ECCPIPECE_delay);
$setuphold (negedge CLKARDCLK, posedge ENARDEN, 0:0:0, 0:0:0, notifier,clkardclk_en_n,clkardclk_en_n, CLKARDCLK_delay, ENARDEN_delay);
$setuphold (negedge CLKARDCLK, posedge ENBWREN, 0:0:0, 0:0:0, notifier,clkardclk_en_n,clkardclk_en_n, CLKARDCLK_delay, ENBWREN_delay);
$setuphold (negedge CLKARDCLK, posedge INJECTDBITERR, 0:0:0, 0:0:0, notifier,clkardclk_en_n,clkardclk_en_n, CLKARDCLK_delay, INJECTDBITERR_delay);
$setuphold (negedge CLKARDCLK, posedge INJECTSBITERR, 0:0:0, 0:0:0, notifier,clkardclk_en_n,clkardclk_en_n, CLKARDCLK_delay, INJECTSBITERR_delay);
$setuphold (negedge CLKARDCLK, posedge REGCEAREGCE, 0:0:0, 0:0:0, notifier,clkardclk_en_n,clkardclk_en_n, CLKARDCLK_delay, REGCEAREGCE_delay);
$setuphold (negedge CLKARDCLK, posedge REGCEB, 0:0:0, 0:0:0, notifier,clkardclk_en_n,clkardclk_en_n, CLKARDCLK_delay, REGCEB_delay);
$setuphold (negedge CLKARDCLK, posedge RSTRAMARSTRAM, 0:0:0, 0:0:0, notifier,clkardclk_en_n,clkardclk_en_n, CLKARDCLK_delay, RSTRAMARSTRAM_delay);
$setuphold (negedge CLKARDCLK, posedge RSTRAMB, 0:0:0, 0:0:0, notifier,clkardclk_en_n,clkardclk_en_n, CLKARDCLK_delay, RSTRAMB_delay);
$setuphold (negedge CLKARDCLK, posedge RSTREGARSTREG, 0:0:0, 0:0:0, notifier,clkardclk_en_n,clkardclk_en_n, CLKARDCLK_delay, RSTREGARSTREG_delay);
$setuphold (negedge CLKARDCLK, posedge RSTREGB, 0:0:0, 0:0:0, notifier,clkardclk_en_n,clkardclk_en_n, CLKARDCLK_delay, RSTREGB_delay);
$setuphold (negedge CLKARDCLK, posedge SLEEP, 0:0:0, 0:0:0, notifier,clkardclk_en_n,clkardclk_en_n, CLKARDCLK_delay, SLEEP_delay);
$setuphold (negedge CLKARDCLK, posedge WEA, 0:0:0, 0:0:0, notifier,clkardclk_en_n,clkardclk_en_n, CLKARDCLK_delay, WEA_delay);
$setuphold (negedge CLKARDCLK, posedge WEBWE, 0:0:0, 0:0:0, notifier,clkardclk_en_n,clkardclk_en_n, CLKARDCLK_delay, WEBWE_delay);
$setuphold (negedge CLKBWRCLK, negedge ADDRARDADDR, 0:0:0, 0:0:0, notifier,clkbwrclk_en_n,clkbwrclk_en_n, CLKBWRCLK_delay, ADDRARDADDR_delay);
$setuphold (negedge CLKBWRCLK, negedge ADDRBWRADDR, 0:0:0, 0:0:0, notifier,clkbwrclk_en_n,clkbwrclk_en_n, CLKBWRCLK_delay, ADDRBWRADDR_delay);
$setuphold (negedge CLKBWRCLK, negedge ADDRENA, 0:0:0, 0:0:0, notifier,clkbwrclk_en_n,clkbwrclk_en_n, CLKBWRCLK_delay, ADDRENA_delay);
$setuphold (negedge CLKBWRCLK, negedge ADDRENB, 0:0:0, 0:0:0, notifier,clkbwrclk_en_n,clkbwrclk_en_n, CLKBWRCLK_delay, ADDRENB_delay);
$setuphold (negedge CLKBWRCLK, negedge CASDIMUXA, 0:0:0, 0:0:0, notifier,clkbwrclk_en_n,clkbwrclk_en_n, CLKBWRCLK_delay, CASDIMUXA_delay);
$setuphold (negedge CLKBWRCLK, negedge CASDIMUXB, 0:0:0, 0:0:0, notifier,clkbwrclk_en_n,clkbwrclk_en_n, CLKBWRCLK_delay, CASDIMUXB_delay);
$setuphold (negedge CLKBWRCLK, negedge CASDINA, 0:0:0, 0:0:0, notifier,clkbwrclk_en_n,clkbwrclk_en_n, CLKBWRCLK_delay, CASDINA_delay);
$setuphold (negedge CLKBWRCLK, negedge CASDINB, 0:0:0, 0:0:0, notifier,clkbwrclk_en_n,clkbwrclk_en_n, CLKBWRCLK_delay, CASDINB_delay);
$setuphold (negedge CLKBWRCLK, negedge CASDINPA, 0:0:0, 0:0:0, notifier,clkbwrclk_en_n,clkbwrclk_en_n, CLKBWRCLK_delay, CASDINPA_delay);
$setuphold (negedge CLKBWRCLK, negedge CASDINPB, 0:0:0, 0:0:0, notifier,clkbwrclk_en_n,clkbwrclk_en_n, CLKBWRCLK_delay, CASDINPB_delay);
$setuphold (negedge CLKBWRCLK, negedge CASDOMUXA, 0:0:0, 0:0:0, notifier,clkbwrclk_en_n,clkbwrclk_en_n, CLKBWRCLK_delay, CASDOMUXA_delay);
$setuphold (negedge CLKBWRCLK, negedge CASDOMUXB, 0:0:0, 0:0:0, notifier,clkbwrclk_en_n,clkbwrclk_en_n, CLKBWRCLK_delay, CASDOMUXB_delay);
$setuphold (negedge CLKBWRCLK, negedge CASDOMUXEN_A, 0:0:0, 0:0:0, notifier,clkbwrclk_en_n,clkbwrclk_en_n, CLKBWRCLK_delay, CASDOMUXEN_A_delay);
$setuphold (negedge CLKBWRCLK, negedge CASDOMUXEN_B, 0:0:0, 0:0:0, notifier,clkbwrclk_en_n,clkbwrclk_en_n, CLKBWRCLK_delay, CASDOMUXEN_B_delay);
$setuphold (negedge CLKBWRCLK, negedge CASOREGIMUXA, 0:0:0, 0:0:0, notifier,clkbwrclk_en_n,clkbwrclk_en_n, CLKBWRCLK_delay, CASOREGIMUXA_delay);
$setuphold (negedge CLKBWRCLK, negedge CASOREGIMUXB, 0:0:0, 0:0:0, notifier,clkbwrclk_en_n,clkbwrclk_en_n, CLKBWRCLK_delay, CASOREGIMUXB_delay);
$setuphold (negedge CLKBWRCLK, negedge CASOREGIMUXEN_A, 0:0:0, 0:0:0, notifier,clkbwrclk_en_n,clkbwrclk_en_n, CLKBWRCLK_delay, CASOREGIMUXEN_A_delay);
$setuphold (negedge CLKBWRCLK, negedge CASOREGIMUXEN_B, 0:0:0, 0:0:0, notifier,clkbwrclk_en_n,clkbwrclk_en_n, CLKBWRCLK_delay, CASOREGIMUXEN_B_delay);
$setuphold (negedge CLKBWRCLK, negedge DINADIN, 0:0:0, 0:0:0, notifier,clkbwrclk_en_n,clkbwrclk_en_n, CLKBWRCLK_delay, DINADIN_delay);
$setuphold (negedge CLKBWRCLK, negedge DINBDIN, 0:0:0, 0:0:0, notifier,clkbwrclk_en_n,clkbwrclk_en_n, CLKBWRCLK_delay, DINBDIN_delay);
$setuphold (negedge CLKBWRCLK, negedge DINPADINP, 0:0:0, 0:0:0, notifier,clkbwrclk_en_n,clkbwrclk_en_n, CLKBWRCLK_delay, DINPADINP_delay);
$setuphold (negedge CLKBWRCLK, negedge DINPBDINP, 0:0:0, 0:0:0, notifier,clkbwrclk_en_n,clkbwrclk_en_n, CLKBWRCLK_delay, DINPBDINP_delay);
$setuphold (negedge CLKBWRCLK, negedge ENARDEN, 0:0:0, 0:0:0, notifier,clkbwrclk_en_n,clkbwrclk_en_n, CLKBWRCLK_delay, ENARDEN_delay);
$setuphold (negedge CLKBWRCLK, negedge ENBWREN, 0:0:0, 0:0:0, notifier,clkbwrclk_en_n,clkbwrclk_en_n, CLKBWRCLK_delay, ENBWREN_delay);
$setuphold (negedge CLKBWRCLK, negedge INJECTDBITERR, 0:0:0, 0:0:0, notifier,clkbwrclk_en_n,clkbwrclk_en_n, CLKBWRCLK_delay, INJECTDBITERR_delay);
$setuphold (negedge CLKBWRCLK, negedge INJECTSBITERR, 0:0:0, 0:0:0, notifier,clkbwrclk_en_n,clkbwrclk_en_n, CLKBWRCLK_delay, INJECTSBITERR_delay);
$setuphold (negedge CLKBWRCLK, negedge REGCEAREGCE, 0:0:0, 0:0:0, notifier,clkbwrclk_en_n,clkbwrclk_en_n, CLKBWRCLK_delay, REGCEAREGCE_delay);
$setuphold (negedge CLKBWRCLK, negedge REGCEB, 0:0:0, 0:0:0, notifier,clkbwrclk_en_n,clkbwrclk_en_n, CLKBWRCLK_delay, REGCEB_delay);
$setuphold (negedge CLKBWRCLK, negedge RSTRAMARSTRAM, 0:0:0, 0:0:0, notifier,clkbwrclk_en_n,clkbwrclk_en_n, CLKBWRCLK_delay, RSTRAMARSTRAM_delay);
$setuphold (negedge CLKBWRCLK, negedge RSTRAMB, 0:0:0, 0:0:0, notifier,clkbwrclk_en_n,clkbwrclk_en_n, CLKBWRCLK_delay, RSTRAMB_delay);
$setuphold (negedge CLKBWRCLK, negedge RSTREGARSTREG, 0:0:0, 0:0:0, notifier,clkbwrclk_en_n,clkbwrclk_en_n, CLKBWRCLK_delay, RSTREGARSTREG_delay);
$setuphold (negedge CLKBWRCLK, negedge RSTREGB, 0:0:0, 0:0:0, notifier,clkbwrclk_en_n,clkbwrclk_en_n, CLKBWRCLK_delay, RSTREGB_delay);
$setuphold (negedge CLKBWRCLK, negedge WEA, 0:0:0, 0:0:0, notifier,clkbwrclk_en_n,clkbwrclk_en_n, CLKBWRCLK_delay, WEA_delay);
$setuphold (negedge CLKBWRCLK, negedge WEBWE, 0:0:0, 0:0:0, notifier,clkbwrclk_en_n,clkbwrclk_en_n, CLKBWRCLK_delay, WEBWE_delay);
$setuphold (negedge CLKBWRCLK, posedge ADDRARDADDR, 0:0:0, 0:0:0, notifier,clkbwrclk_en_n,clkbwrclk_en_n, CLKBWRCLK_delay, ADDRARDADDR_delay);
$setuphold (negedge CLKBWRCLK, posedge ADDRBWRADDR, 0:0:0, 0:0:0, notifier,clkbwrclk_en_n,clkbwrclk_en_n, CLKBWRCLK_delay, ADDRBWRADDR_delay);
$setuphold (negedge CLKBWRCLK, posedge ADDRENA, 0:0:0, 0:0:0, notifier,clkbwrclk_en_n,clkbwrclk_en_n, CLKBWRCLK_delay, ADDRENA_delay);
$setuphold (negedge CLKBWRCLK, posedge ADDRENB, 0:0:0, 0:0:0, notifier,clkbwrclk_en_n,clkbwrclk_en_n, CLKBWRCLK_delay, ADDRENB_delay);
$setuphold (negedge CLKBWRCLK, posedge CASDIMUXA, 0:0:0, 0:0:0, notifier,clkbwrclk_en_n,clkbwrclk_en_n, CLKBWRCLK_delay, CASDIMUXA_delay);
$setuphold (negedge CLKBWRCLK, posedge CASDIMUXB, 0:0:0, 0:0:0, notifier,clkbwrclk_en_n,clkbwrclk_en_n, CLKBWRCLK_delay, CASDIMUXB_delay);
$setuphold (negedge CLKBWRCLK, posedge CASDINA, 0:0:0, 0:0:0, notifier,clkbwrclk_en_n,clkbwrclk_en_n, CLKBWRCLK_delay, CASDINA_delay);
$setuphold (negedge CLKBWRCLK, posedge CASDINB, 0:0:0, 0:0:0, notifier,clkbwrclk_en_n,clkbwrclk_en_n, CLKBWRCLK_delay, CASDINB_delay);
$setuphold (negedge CLKBWRCLK, posedge CASDINPA, 0:0:0, 0:0:0, notifier,clkbwrclk_en_n,clkbwrclk_en_n, CLKBWRCLK_delay, CASDINPA_delay);
$setuphold (negedge CLKBWRCLK, posedge CASDINPB, 0:0:0, 0:0:0, notifier,clkbwrclk_en_n,clkbwrclk_en_n, CLKBWRCLK_delay, CASDINPB_delay);
$setuphold (negedge CLKBWRCLK, posedge CASDOMUXA, 0:0:0, 0:0:0, notifier,clkbwrclk_en_n,clkbwrclk_en_n, CLKBWRCLK_delay, CASDOMUXA_delay);
$setuphold (negedge CLKBWRCLK, posedge CASDOMUXB, 0:0:0, 0:0:0, notifier,clkbwrclk_en_n,clkbwrclk_en_n, CLKBWRCLK_delay, CASDOMUXB_delay);
$setuphold (negedge CLKBWRCLK, posedge CASDOMUXEN_A, 0:0:0, 0:0:0, notifier,clkbwrclk_en_n,clkbwrclk_en_n, CLKBWRCLK_delay, CASDOMUXEN_A_delay);
$setuphold (negedge CLKBWRCLK, posedge CASDOMUXEN_B, 0:0:0, 0:0:0, notifier,clkbwrclk_en_n,clkbwrclk_en_n, CLKBWRCLK_delay, CASDOMUXEN_B_delay);
$setuphold (negedge CLKBWRCLK, posedge CASOREGIMUXA, 0:0:0, 0:0:0, notifier,clkbwrclk_en_n,clkbwrclk_en_n, CLKBWRCLK_delay, CASOREGIMUXA_delay);
$setuphold (negedge CLKBWRCLK, posedge CASOREGIMUXB, 0:0:0, 0:0:0, notifier,clkbwrclk_en_n,clkbwrclk_en_n, CLKBWRCLK_delay, CASOREGIMUXB_delay);
$setuphold (negedge CLKBWRCLK, posedge CASOREGIMUXEN_A, 0:0:0, 0:0:0, notifier,clkbwrclk_en_n,clkbwrclk_en_n, CLKBWRCLK_delay, CASOREGIMUXEN_A_delay);
$setuphold (negedge CLKBWRCLK, posedge CASOREGIMUXEN_B, 0:0:0, 0:0:0, notifier,clkbwrclk_en_n,clkbwrclk_en_n, CLKBWRCLK_delay, CASOREGIMUXEN_B_delay);
$setuphold (negedge CLKBWRCLK, posedge DINADIN, 0:0:0, 0:0:0, notifier,clkbwrclk_en_n,clkbwrclk_en_n, CLKBWRCLK_delay, DINADIN_delay);
$setuphold (negedge CLKBWRCLK, posedge DINBDIN, 0:0:0, 0:0:0, notifier,clkbwrclk_en_n,clkbwrclk_en_n, CLKBWRCLK_delay, DINBDIN_delay);
$setuphold (negedge CLKBWRCLK, posedge DINPADINP, 0:0:0, 0:0:0, notifier,clkbwrclk_en_n,clkbwrclk_en_n, CLKBWRCLK_delay, DINPADINP_delay);
$setuphold (negedge CLKBWRCLK, posedge DINPBDINP, 0:0:0, 0:0:0, notifier,clkbwrclk_en_n,clkbwrclk_en_n, CLKBWRCLK_delay, DINPBDINP_delay);
$setuphold (negedge CLKBWRCLK, posedge ENARDEN, 0:0:0, 0:0:0, notifier,clkbwrclk_en_n,clkbwrclk_en_n, CLKBWRCLK_delay, ENARDEN_delay);
$setuphold (negedge CLKBWRCLK, posedge ENBWREN, 0:0:0, 0:0:0, notifier,clkbwrclk_en_n,clkbwrclk_en_n, CLKBWRCLK_delay, ENBWREN_delay);
$setuphold (negedge CLKBWRCLK, posedge INJECTDBITERR, 0:0:0, 0:0:0, notifier,clkbwrclk_en_n,clkbwrclk_en_n, CLKBWRCLK_delay, INJECTDBITERR_delay);
$setuphold (negedge CLKBWRCLK, posedge INJECTSBITERR, 0:0:0, 0:0:0, notifier,clkbwrclk_en_n,clkbwrclk_en_n, CLKBWRCLK_delay, INJECTSBITERR_delay);
$setuphold (negedge CLKBWRCLK, posedge REGCEAREGCE, 0:0:0, 0:0:0, notifier,clkbwrclk_en_n,clkbwrclk_en_n, CLKBWRCLK_delay, REGCEAREGCE_delay);
$setuphold (negedge CLKBWRCLK, posedge REGCEB, 0:0:0, 0:0:0, notifier,clkbwrclk_en_n,clkbwrclk_en_n, CLKBWRCLK_delay, REGCEB_delay);
$setuphold (negedge CLKBWRCLK, posedge RSTRAMARSTRAM, 0:0:0, 0:0:0, notifier,clkbwrclk_en_n,clkbwrclk_en_n, CLKBWRCLK_delay, RSTRAMARSTRAM_delay);
$setuphold (negedge CLKBWRCLK, posedge RSTRAMB, 0:0:0, 0:0:0, notifier,clkbwrclk_en_n,clkbwrclk_en_n, CLKBWRCLK_delay, RSTRAMB_delay);
$setuphold (negedge CLKBWRCLK, posedge RSTREGARSTREG, 0:0:0, 0:0:0, notifier,clkbwrclk_en_n,clkbwrclk_en_n, CLKBWRCLK_delay, RSTREGARSTREG_delay);
$setuphold (negedge CLKBWRCLK, posedge RSTREGB, 0:0:0, 0:0:0, notifier,clkbwrclk_en_n,clkbwrclk_en_n, CLKBWRCLK_delay, RSTREGB_delay);
$setuphold (negedge CLKBWRCLK, posedge WEA, 0:0:0, 0:0:0, notifier,clkbwrclk_en_n,clkbwrclk_en_n, CLKBWRCLK_delay, WEA_delay);
$setuphold (negedge CLKBWRCLK, posedge WEBWE, 0:0:0, 0:0:0, notifier,clkbwrclk_en_n,clkbwrclk_en_n, CLKBWRCLK_delay, WEBWE_delay);
$setuphold (posedge CLKARDCLK, negedge ADDRARDADDR, 0:0:0, 0:0:0, notifier,clkardclk_en_p,clkardclk_en_p, CLKARDCLK_delay, ADDRARDADDR_delay);
$setuphold (posedge CLKARDCLK, negedge ADDRBWRADDR, 0:0:0, 0:0:0, notifier,clkardclk_en_p,clkardclk_en_p, CLKARDCLK_delay, ADDRBWRADDR_delay);
$setuphold (posedge CLKARDCLK, negedge ADDRENA, 0:0:0, 0:0:0, notifier,clkardclk_en_p,clkardclk_en_p, CLKARDCLK_delay, ADDRENA_delay);
$setuphold (posedge CLKARDCLK, negedge ADDRENB, 0:0:0, 0:0:0, notifier,clkardclk_en_p,clkardclk_en_p, CLKARDCLK_delay, ADDRENB_delay);
$setuphold (posedge CLKARDCLK, negedge CASDIMUXA, 0:0:0, 0:0:0, notifier,clkardclk_en_p,clkardclk_en_p, CLKARDCLK_delay, CASDIMUXA_delay);
$setuphold (posedge CLKARDCLK, negedge CASDIMUXB, 0:0:0, 0:0:0, notifier,clkardclk_en_p,clkardclk_en_p, CLKARDCLK_delay, CASDIMUXB_delay);
$setuphold (posedge CLKARDCLK, negedge CASDINA, 0:0:0, 0:0:0, notifier,clkardclk_en_p,clkardclk_en_p, CLKARDCLK_delay, CASDINA_delay);
$setuphold (posedge CLKARDCLK, negedge CASDINB, 0:0:0, 0:0:0, notifier,clkardclk_en_p,clkardclk_en_p, CLKARDCLK_delay, CASDINB_delay);
$setuphold (posedge CLKARDCLK, negedge CASDINPA, 0:0:0, 0:0:0, notifier,clkardclk_en_p,clkardclk_en_p, CLKARDCLK_delay, CASDINPA_delay);
$setuphold (posedge CLKARDCLK, negedge CASDINPB, 0:0:0, 0:0:0, notifier,clkardclk_en_p,clkardclk_en_p, CLKARDCLK_delay, CASDINPB_delay);
$setuphold (posedge CLKARDCLK, negedge CASDOMUXA, 0:0:0, 0:0:0, notifier,clkardclk_en_p,clkardclk_en_p, CLKARDCLK_delay, CASDOMUXA_delay);
$setuphold (posedge CLKARDCLK, negedge CASDOMUXB, 0:0:0, 0:0:0, notifier,clkardclk_en_p,clkardclk_en_p, CLKARDCLK_delay, CASDOMUXB_delay);
$setuphold (posedge CLKARDCLK, negedge CASDOMUXEN_A, 0:0:0, 0:0:0, notifier,clkardclk_en_p,clkardclk_en_p, CLKARDCLK_delay, CASDOMUXEN_A_delay);
$setuphold (posedge CLKARDCLK, negedge CASDOMUXEN_B, 0:0:0, 0:0:0, notifier,clkardclk_en_p,clkardclk_en_p, CLKARDCLK_delay, CASDOMUXEN_B_delay);
$setuphold (posedge CLKARDCLK, negedge CASINDBITERR, 0:0:0, 0:0:0, notifier,clkardclk_en_p,clkardclk_en_p, CLKARDCLK_delay, CASINDBITERR_delay);
$setuphold (posedge CLKARDCLK, negedge CASINSBITERR, 0:0:0, 0:0:0, notifier,clkardclk_en_p,clkardclk_en_p, CLKARDCLK_delay, CASINSBITERR_delay);
$setuphold (posedge CLKARDCLK, negedge CASOREGIMUXA, 0:0:0, 0:0:0, notifier,clkardclk_en_p,clkardclk_en_p, CLKARDCLK_delay, CASOREGIMUXA_delay);
$setuphold (posedge CLKARDCLK, negedge CASOREGIMUXB, 0:0:0, 0:0:0, notifier,clkardclk_en_p,clkardclk_en_p, CLKARDCLK_delay, CASOREGIMUXB_delay);
$setuphold (posedge CLKARDCLK, negedge CASOREGIMUXEN_A, 0:0:0, 0:0:0, notifier,clkardclk_en_p,clkardclk_en_p, CLKARDCLK_delay, CASOREGIMUXEN_A_delay);
$setuphold (posedge CLKARDCLK, negedge CASOREGIMUXEN_B, 0:0:0, 0:0:0, notifier,clkardclk_en_p,clkardclk_en_p, CLKARDCLK_delay, CASOREGIMUXEN_B_delay);
$setuphold (posedge CLKARDCLK, negedge DINADIN, 0:0:0, 0:0:0, notifier,clkardclk_en_p,clkardclk_en_p, CLKARDCLK_delay, DINADIN_delay);
$setuphold (posedge CLKARDCLK, negedge DINBDIN, 0:0:0, 0:0:0, notifier,clkardclk_en_p,clkardclk_en_p, CLKARDCLK_delay, DINBDIN_delay);
$setuphold (posedge CLKARDCLK, negedge DINPADINP, 0:0:0, 0:0:0, notifier,clkardclk_en_p,clkardclk_en_p, CLKARDCLK_delay, DINPADINP_delay);
$setuphold (posedge CLKARDCLK, negedge ECCPIPECE, 0:0:0, 0:0:0, notifier,clkardclk_en_p,clkardclk_en_p, CLKARDCLK_delay, ECCPIPECE_delay);
$setuphold (posedge CLKARDCLK, negedge ENARDEN, 0:0:0, 0:0:0, notifier,clkardclk_en_p,clkardclk_en_p, CLKARDCLK_delay, ENARDEN_delay);
$setuphold (posedge CLKARDCLK, negedge ENBWREN, 0:0:0, 0:0:0, notifier,clkardclk_en_p,clkardclk_en_p, CLKARDCLK_delay, ENBWREN_delay);
$setuphold (posedge CLKARDCLK, negedge INJECTDBITERR, 0:0:0, 0:0:0, notifier,clkardclk_en_p,clkardclk_en_p, CLKARDCLK_delay, INJECTDBITERR_delay);
$setuphold (posedge CLKARDCLK, negedge INJECTSBITERR, 0:0:0, 0:0:0, notifier,clkardclk_en_p,clkardclk_en_p, CLKARDCLK_delay, INJECTSBITERR_delay);
$setuphold (posedge CLKARDCLK, negedge REGCEAREGCE, 0:0:0, 0:0:0, notifier,clkardclk_en_p,clkardclk_en_p, CLKARDCLK_delay, REGCEAREGCE_delay);
$setuphold (posedge CLKARDCLK, negedge REGCEB, 0:0:0, 0:0:0, notifier,clkardclk_en_p,clkardclk_en_p, CLKARDCLK_delay, REGCEB_delay);
$setuphold (posedge CLKARDCLK, negedge RSTRAMARSTRAM, 0:0:0, 0:0:0, notifier,clkardclk_en_p,clkardclk_en_p, CLKARDCLK_delay, RSTRAMARSTRAM_delay);
$setuphold (posedge CLKARDCLK, negedge RSTRAMB, 0:0:0, 0:0:0, notifier,clkardclk_en_p,clkardclk_en_p, CLKARDCLK_delay, RSTRAMB_delay);
$setuphold (posedge CLKARDCLK, negedge RSTREGARSTREG, 0:0:0, 0:0:0, notifier,clkardclk_en_p,clkardclk_en_p, CLKARDCLK_delay, RSTREGARSTREG_delay);
$setuphold (posedge CLKARDCLK, negedge RSTREGB, 0:0:0, 0:0:0, notifier,clkardclk_en_p,clkardclk_en_p, CLKARDCLK_delay, RSTREGB_delay);
$setuphold (posedge CLKARDCLK, negedge SLEEP, 0:0:0, 0:0:0, notifier,clkardclk_en_p,clkardclk_en_p, CLKARDCLK_delay, SLEEP_delay);
$setuphold (posedge CLKARDCLK, negedge WEA, 0:0:0, 0:0:0, notifier,clkardclk_en_p,clkardclk_en_p, CLKARDCLK_delay, WEA_delay);
$setuphold (posedge CLKARDCLK, negedge WEBWE, 0:0:0, 0:0:0, notifier,clkardclk_en_p,clkardclk_en_p, CLKARDCLK_delay, WEBWE_delay);
$setuphold (posedge CLKARDCLK, posedge ADDRARDADDR, 0:0:0, 0:0:0, notifier,clkardclk_en_p,clkardclk_en_p, CLKARDCLK_delay, ADDRARDADDR_delay);
$setuphold (posedge CLKARDCLK, posedge ADDRBWRADDR, 0:0:0, 0:0:0, notifier,clkardclk_en_p,clkardclk_en_p, CLKARDCLK_delay, ADDRBWRADDR_delay);
$setuphold (posedge CLKARDCLK, posedge ADDRENA, 0:0:0, 0:0:0, notifier,clkardclk_en_p,clkardclk_en_p, CLKARDCLK_delay, ADDRENA_delay);
$setuphold (posedge CLKARDCLK, posedge ADDRENB, 0:0:0, 0:0:0, notifier,clkardclk_en_p,clkardclk_en_p, CLKARDCLK_delay, ADDRENB_delay);
$setuphold (posedge CLKARDCLK, posedge CASDIMUXA, 0:0:0, 0:0:0, notifier,clkardclk_en_p,clkardclk_en_p, CLKARDCLK_delay, CASDIMUXA_delay);
$setuphold (posedge CLKARDCLK, posedge CASDIMUXB, 0:0:0, 0:0:0, notifier,clkardclk_en_p,clkardclk_en_p, CLKARDCLK_delay, CASDIMUXB_delay);
$setuphold (posedge CLKARDCLK, posedge CASDINA, 0:0:0, 0:0:0, notifier,clkardclk_en_p,clkardclk_en_p, CLKARDCLK_delay, CASDINA_delay);
$setuphold (posedge CLKARDCLK, posedge CASDINB, 0:0:0, 0:0:0, notifier,clkardclk_en_p,clkardclk_en_p, CLKARDCLK_delay, CASDINB_delay);
$setuphold (posedge CLKARDCLK, posedge CASDINPA, 0:0:0, 0:0:0, notifier,clkardclk_en_p,clkardclk_en_p, CLKARDCLK_delay, CASDINPA_delay);
$setuphold (posedge CLKARDCLK, posedge CASDINPB, 0:0:0, 0:0:0, notifier,clkardclk_en_p,clkardclk_en_p, CLKARDCLK_delay, CASDINPB_delay);
$setuphold (posedge CLKARDCLK, posedge CASDOMUXA, 0:0:0, 0:0:0, notifier,clkardclk_en_p,clkardclk_en_p, CLKARDCLK_delay, CASDOMUXA_delay);
$setuphold (posedge CLKARDCLK, posedge CASDOMUXB, 0:0:0, 0:0:0, notifier,clkardclk_en_p,clkardclk_en_p, CLKARDCLK_delay, CASDOMUXB_delay);
$setuphold (posedge CLKARDCLK, posedge CASDOMUXEN_A, 0:0:0, 0:0:0, notifier,clkardclk_en_p,clkardclk_en_p, CLKARDCLK_delay, CASDOMUXEN_A_delay);
$setuphold (posedge CLKARDCLK, posedge CASDOMUXEN_B, 0:0:0, 0:0:0, notifier,clkardclk_en_p,clkardclk_en_p, CLKARDCLK_delay, CASDOMUXEN_B_delay);
$setuphold (posedge CLKARDCLK, posedge CASINDBITERR, 0:0:0, 0:0:0, notifier,clkardclk_en_p,clkardclk_en_p, CLKARDCLK_delay, CASINDBITERR_delay);
$setuphold (posedge CLKARDCLK, posedge CASINSBITERR, 0:0:0, 0:0:0, notifier,clkardclk_en_p,clkardclk_en_p, CLKARDCLK_delay, CASINSBITERR_delay);
$setuphold (posedge CLKARDCLK, posedge CASOREGIMUXA, 0:0:0, 0:0:0, notifier,clkardclk_en_p,clkardclk_en_p, CLKARDCLK_delay, CASOREGIMUXA_delay);
$setuphold (posedge CLKARDCLK, posedge CASOREGIMUXB, 0:0:0, 0:0:0, notifier,clkardclk_en_p,clkardclk_en_p, CLKARDCLK_delay, CASOREGIMUXB_delay);
$setuphold (posedge CLKARDCLK, posedge CASOREGIMUXEN_A, 0:0:0, 0:0:0, notifier,clkardclk_en_p,clkardclk_en_p, CLKARDCLK_delay, CASOREGIMUXEN_A_delay);
$setuphold (posedge CLKARDCLK, posedge CASOREGIMUXEN_B, 0:0:0, 0:0:0, notifier,clkardclk_en_p,clkardclk_en_p, CLKARDCLK_delay, CASOREGIMUXEN_B_delay);
$setuphold (posedge CLKARDCLK, posedge DINADIN, 0:0:0, 0:0:0, notifier,clkardclk_en_p,clkardclk_en_p, CLKARDCLK_delay, DINADIN_delay);
$setuphold (posedge CLKARDCLK, posedge DINBDIN, 0:0:0, 0:0:0, notifier,clkardclk_en_p,clkardclk_en_p, CLKARDCLK_delay, DINBDIN_delay);
$setuphold (posedge CLKARDCLK, posedge DINPADINP, 0:0:0, 0:0:0, notifier,clkardclk_en_p,clkardclk_en_p, CLKARDCLK_delay, DINPADINP_delay);
$setuphold (posedge CLKARDCLK, posedge ECCPIPECE, 0:0:0, 0:0:0, notifier,clkardclk_en_p,clkardclk_en_p, CLKARDCLK_delay, ECCPIPECE_delay);
$setuphold (posedge CLKARDCLK, posedge ENARDEN, 0:0:0, 0:0:0, notifier,clkardclk_en_p,clkardclk_en_p, CLKARDCLK_delay, ENARDEN_delay);
$setuphold (posedge CLKARDCLK, posedge ENBWREN, 0:0:0, 0:0:0, notifier,clkardclk_en_p,clkardclk_en_p, CLKARDCLK_delay, ENBWREN_delay);
$setuphold (posedge CLKARDCLK, posedge INJECTDBITERR, 0:0:0, 0:0:0, notifier,clkardclk_en_p,clkardclk_en_p, CLKARDCLK_delay, INJECTDBITERR_delay);
$setuphold (posedge CLKARDCLK, posedge INJECTSBITERR, 0:0:0, 0:0:0, notifier,clkardclk_en_p,clkardclk_en_p, CLKARDCLK_delay, INJECTSBITERR_delay);
$setuphold (posedge CLKARDCLK, posedge REGCEAREGCE, 0:0:0, 0:0:0, notifier,clkardclk_en_p,clkardclk_en_p, CLKARDCLK_delay, REGCEAREGCE_delay);
$setuphold (posedge CLKARDCLK, posedge REGCEB, 0:0:0, 0:0:0, notifier,clkardclk_en_p,clkardclk_en_p, CLKARDCLK_delay, REGCEB_delay);
$setuphold (posedge CLKARDCLK, posedge RSTRAMARSTRAM, 0:0:0, 0:0:0, notifier,clkardclk_en_p,clkardclk_en_p, CLKARDCLK_delay, RSTRAMARSTRAM_delay);
$setuphold (posedge CLKARDCLK, posedge RSTRAMB, 0:0:0, 0:0:0, notifier,clkardclk_en_p,clkardclk_en_p, CLKARDCLK_delay, RSTRAMB_delay);
$setuphold (posedge CLKARDCLK, posedge RSTREGARSTREG, 0:0:0, 0:0:0, notifier,clkardclk_en_p,clkardclk_en_p, CLKARDCLK_delay, RSTREGARSTREG_delay);
$setuphold (posedge CLKARDCLK, posedge RSTREGB, 0:0:0, 0:0:0, notifier,clkardclk_en_p,clkardclk_en_p, CLKARDCLK_delay, RSTREGB_delay);
$setuphold (posedge CLKARDCLK, posedge SLEEP, 0:0:0, 0:0:0, notifier,clkardclk_en_p,clkardclk_en_p, CLKARDCLK_delay, SLEEP_delay);
$setuphold (posedge CLKARDCLK, posedge WEA, 0:0:0, 0:0:0, notifier,clkardclk_en_p,clkardclk_en_p, CLKARDCLK_delay, WEA_delay);
$setuphold (posedge CLKARDCLK, posedge WEBWE, 0:0:0, 0:0:0, notifier,clkardclk_en_p,clkardclk_en_p, CLKARDCLK_delay, WEBWE_delay);
$setuphold (posedge CLKBWRCLK, negedge ADDRARDADDR, 0:0:0, 0:0:0, notifier,clkbwrclk_en_p,clkbwrclk_en_p, CLKBWRCLK_delay, ADDRARDADDR_delay);
$setuphold (posedge CLKBWRCLK, negedge ADDRBWRADDR, 0:0:0, 0:0:0, notifier,clkbwrclk_en_p,clkbwrclk_en_p, CLKBWRCLK_delay, ADDRBWRADDR_delay);
$setuphold (posedge CLKBWRCLK, negedge ADDRENA, 0:0:0, 0:0:0, notifier,clkbwrclk_en_p,clkbwrclk_en_p, CLKBWRCLK_delay, ADDRENA_delay);
$setuphold (posedge CLKBWRCLK, negedge ADDRENB, 0:0:0, 0:0:0, notifier,clkbwrclk_en_p,clkbwrclk_en_p, CLKBWRCLK_delay, ADDRENB_delay);
$setuphold (posedge CLKBWRCLK, negedge CASDIMUXA, 0:0:0, 0:0:0, notifier,clkbwrclk_en_p,clkbwrclk_en_p, CLKBWRCLK_delay, CASDIMUXA_delay);
$setuphold (posedge CLKBWRCLK, negedge CASDIMUXB, 0:0:0, 0:0:0, notifier,clkbwrclk_en_p,clkbwrclk_en_p, CLKBWRCLK_delay, CASDIMUXB_delay);
$setuphold (posedge CLKBWRCLK, negedge CASDINA, 0:0:0, 0:0:0, notifier,clkbwrclk_en_p,clkbwrclk_en_p, CLKBWRCLK_delay, CASDINA_delay);
$setuphold (posedge CLKBWRCLK, negedge CASDINB, 0:0:0, 0:0:0, notifier,clkbwrclk_en_p,clkbwrclk_en_p, CLKBWRCLK_delay, CASDINB_delay);
$setuphold (posedge CLKBWRCLK, negedge CASDINPA, 0:0:0, 0:0:0, notifier,clkbwrclk_en_p,clkbwrclk_en_p, CLKBWRCLK_delay, CASDINPA_delay);
$setuphold (posedge CLKBWRCLK, negedge CASDINPB, 0:0:0, 0:0:0, notifier,clkbwrclk_en_p,clkbwrclk_en_p, CLKBWRCLK_delay, CASDINPB_delay);
$setuphold (posedge CLKBWRCLK, negedge CASDOMUXA, 0:0:0, 0:0:0, notifier,clkbwrclk_en_p,clkbwrclk_en_p, CLKBWRCLK_delay, CASDOMUXA_delay);
$setuphold (posedge CLKBWRCLK, negedge CASDOMUXB, 0:0:0, 0:0:0, notifier,clkbwrclk_en_p,clkbwrclk_en_p, CLKBWRCLK_delay, CASDOMUXB_delay);
$setuphold (posedge CLKBWRCLK, negedge CASDOMUXEN_A, 0:0:0, 0:0:0, notifier,clkbwrclk_en_p,clkbwrclk_en_p, CLKBWRCLK_delay, CASDOMUXEN_A_delay);
$setuphold (posedge CLKBWRCLK, negedge CASDOMUXEN_B, 0:0:0, 0:0:0, notifier,clkbwrclk_en_p,clkbwrclk_en_p, CLKBWRCLK_delay, CASDOMUXEN_B_delay);
$setuphold (posedge CLKBWRCLK, negedge CASOREGIMUXA, 0:0:0, 0:0:0, notifier,clkbwrclk_en_p,clkbwrclk_en_p, CLKBWRCLK_delay, CASOREGIMUXA_delay);
$setuphold (posedge CLKBWRCLK, negedge CASOREGIMUXB, 0:0:0, 0:0:0, notifier,clkbwrclk_en_p,clkbwrclk_en_p, CLKBWRCLK_delay, CASOREGIMUXB_delay);
$setuphold (posedge CLKBWRCLK, negedge CASOREGIMUXEN_A, 0:0:0, 0:0:0, notifier,clkbwrclk_en_p,clkbwrclk_en_p, CLKBWRCLK_delay, CASOREGIMUXEN_A_delay);
$setuphold (posedge CLKBWRCLK, negedge CASOREGIMUXEN_B, 0:0:0, 0:0:0, notifier,clkbwrclk_en_p,clkbwrclk_en_p, CLKBWRCLK_delay, CASOREGIMUXEN_B_delay);
$setuphold (posedge CLKBWRCLK, negedge DINADIN, 0:0:0, 0:0:0, notifier,clkbwrclk_en_p,clkbwrclk_en_p, CLKBWRCLK_delay, DINADIN_delay);
$setuphold (posedge CLKBWRCLK, negedge DINBDIN, 0:0:0, 0:0:0, notifier,clkbwrclk_en_p,clkbwrclk_en_p, CLKBWRCLK_delay, DINBDIN_delay);
$setuphold (posedge CLKBWRCLK, negedge DINPADINP, 0:0:0, 0:0:0, notifier,clkbwrclk_en_p,clkbwrclk_en_p, CLKBWRCLK_delay, DINPADINP_delay);
$setuphold (posedge CLKBWRCLK, negedge DINPBDINP, 0:0:0, 0:0:0, notifier,clkbwrclk_en_p,clkbwrclk_en_p, CLKBWRCLK_delay, DINPBDINP_delay);
$setuphold (posedge CLKBWRCLK, negedge ENARDEN, 0:0:0, 0:0:0, notifier,clkbwrclk_en_p,clkbwrclk_en_p, CLKBWRCLK_delay, ENARDEN_delay);
$setuphold (posedge CLKBWRCLK, negedge ENBWREN, 0:0:0, 0:0:0, notifier,clkbwrclk_en_p,clkbwrclk_en_p, CLKBWRCLK_delay, ENBWREN_delay);
$setuphold (posedge CLKBWRCLK, negedge INJECTDBITERR, 0:0:0, 0:0:0, notifier,clkbwrclk_en_p,clkbwrclk_en_p, CLKBWRCLK_delay, INJECTDBITERR_delay);
$setuphold (posedge CLKBWRCLK, negedge INJECTSBITERR, 0:0:0, 0:0:0, notifier,clkbwrclk_en_p,clkbwrclk_en_p, CLKBWRCLK_delay, INJECTSBITERR_delay);
$setuphold (posedge CLKBWRCLK, negedge REGCEAREGCE, 0:0:0, 0:0:0, notifier,clkbwrclk_en_p,clkbwrclk_en_p, CLKBWRCLK_delay, REGCEAREGCE_delay);
$setuphold (posedge CLKBWRCLK, negedge REGCEB, 0:0:0, 0:0:0, notifier,clkbwrclk_en_p,clkbwrclk_en_p, CLKBWRCLK_delay, REGCEB_delay);
$setuphold (posedge CLKBWRCLK, negedge RSTRAMARSTRAM, 0:0:0, 0:0:0, notifier,clkbwrclk_en_p,clkbwrclk_en_p, CLKBWRCLK_delay, RSTRAMARSTRAM_delay);
$setuphold (posedge CLKBWRCLK, negedge RSTRAMB, 0:0:0, 0:0:0, notifier,clkbwrclk_en_p,clkbwrclk_en_p, CLKBWRCLK_delay, RSTRAMB_delay);
$setuphold (posedge CLKBWRCLK, negedge RSTREGARSTREG, 0:0:0, 0:0:0, notifier,clkbwrclk_en_p,clkbwrclk_en_p, CLKBWRCLK_delay, RSTREGARSTREG_delay);
$setuphold (posedge CLKBWRCLK, negedge RSTREGB, 0:0:0, 0:0:0, notifier,clkbwrclk_en_p,clkbwrclk_en_p, CLKBWRCLK_delay, RSTREGB_delay);
$setuphold (posedge CLKBWRCLK, negedge WEA, 0:0:0, 0:0:0, notifier,clkbwrclk_en_p,clkbwrclk_en_p, CLKBWRCLK_delay, WEA_delay);
$setuphold (posedge CLKBWRCLK, negedge WEBWE, 0:0:0, 0:0:0, notifier,clkbwrclk_en_p,clkbwrclk_en_p, CLKBWRCLK_delay, WEBWE_delay);
$setuphold (posedge CLKBWRCLK, posedge ADDRARDADDR, 0:0:0, 0:0:0, notifier,clkbwrclk_en_p,clkbwrclk_en_p, CLKBWRCLK_delay, ADDRARDADDR_delay);
$setuphold (posedge CLKBWRCLK, posedge ADDRBWRADDR, 0:0:0, 0:0:0, notifier,clkbwrclk_en_p,clkbwrclk_en_p, CLKBWRCLK_delay, ADDRBWRADDR_delay);
$setuphold (posedge CLKBWRCLK, posedge ADDRENA, 0:0:0, 0:0:0, notifier,clkbwrclk_en_p,clkbwrclk_en_p, CLKBWRCLK_delay, ADDRENA_delay);
$setuphold (posedge CLKBWRCLK, posedge ADDRENB, 0:0:0, 0:0:0, notifier,clkbwrclk_en_p,clkbwrclk_en_p, CLKBWRCLK_delay, ADDRENB_delay);
$setuphold (posedge CLKBWRCLK, posedge CASDIMUXA, 0:0:0, 0:0:0, notifier,clkbwrclk_en_p,clkbwrclk_en_p, CLKBWRCLK_delay, CASDIMUXA_delay);
$setuphold (posedge CLKBWRCLK, posedge CASDIMUXB, 0:0:0, 0:0:0, notifier,clkbwrclk_en_p,clkbwrclk_en_p, CLKBWRCLK_delay, CASDIMUXB_delay);
$setuphold (posedge CLKBWRCLK, posedge CASDINA, 0:0:0, 0:0:0, notifier,clkbwrclk_en_p,clkbwrclk_en_p, CLKBWRCLK_delay, CASDINA_delay);
$setuphold (posedge CLKBWRCLK, posedge CASDINB, 0:0:0, 0:0:0, notifier,clkbwrclk_en_p,clkbwrclk_en_p, CLKBWRCLK_delay, CASDINB_delay);
$setuphold (posedge CLKBWRCLK, posedge CASDINPA, 0:0:0, 0:0:0, notifier,clkbwrclk_en_p,clkbwrclk_en_p, CLKBWRCLK_delay, CASDINPA_delay);
$setuphold (posedge CLKBWRCLK, posedge CASDINPB, 0:0:0, 0:0:0, notifier,clkbwrclk_en_p,clkbwrclk_en_p, CLKBWRCLK_delay, CASDINPB_delay);
$setuphold (posedge CLKBWRCLK, posedge CASDOMUXA, 0:0:0, 0:0:0, notifier,clkbwrclk_en_p,clkbwrclk_en_p, CLKBWRCLK_delay, CASDOMUXA_delay);
$setuphold (posedge CLKBWRCLK, posedge CASDOMUXB, 0:0:0, 0:0:0, notifier,clkbwrclk_en_p,clkbwrclk_en_p, CLKBWRCLK_delay, CASDOMUXB_delay);
$setuphold (posedge CLKBWRCLK, posedge CASDOMUXEN_A, 0:0:0, 0:0:0, notifier,clkbwrclk_en_p,clkbwrclk_en_p, CLKBWRCLK_delay, CASDOMUXEN_A_delay);
$setuphold (posedge CLKBWRCLK, posedge CASDOMUXEN_B, 0:0:0, 0:0:0, notifier,clkbwrclk_en_p,clkbwrclk_en_p, CLKBWRCLK_delay, CASDOMUXEN_B_delay);
$setuphold (posedge CLKBWRCLK, posedge CASOREGIMUXA, 0:0:0, 0:0:0, notifier,clkbwrclk_en_p,clkbwrclk_en_p, CLKBWRCLK_delay, CASOREGIMUXA_delay);
$setuphold (posedge CLKBWRCLK, posedge CASOREGIMUXB, 0:0:0, 0:0:0, notifier,clkbwrclk_en_p,clkbwrclk_en_p, CLKBWRCLK_delay, CASOREGIMUXB_delay);
$setuphold (posedge CLKBWRCLK, posedge CASOREGIMUXEN_A, 0:0:0, 0:0:0, notifier,clkbwrclk_en_p,clkbwrclk_en_p, CLKBWRCLK_delay, CASOREGIMUXEN_A_delay);
$setuphold (posedge CLKBWRCLK, posedge CASOREGIMUXEN_B, 0:0:0, 0:0:0, notifier,clkbwrclk_en_p,clkbwrclk_en_p, CLKBWRCLK_delay, CASOREGIMUXEN_B_delay);
$setuphold (posedge CLKBWRCLK, posedge DINADIN, 0:0:0, 0:0:0, notifier,clkbwrclk_en_p,clkbwrclk_en_p, CLKBWRCLK_delay, DINADIN_delay);
$setuphold (posedge CLKBWRCLK, posedge DINBDIN, 0:0:0, 0:0:0, notifier,clkbwrclk_en_p,clkbwrclk_en_p, CLKBWRCLK_delay, DINBDIN_delay);
$setuphold (posedge CLKBWRCLK, posedge DINPADINP, 0:0:0, 0:0:0, notifier,clkbwrclk_en_p,clkbwrclk_en_p, CLKBWRCLK_delay, DINPADINP_delay);
$setuphold (posedge CLKBWRCLK, posedge DINPBDINP, 0:0:0, 0:0:0, notifier,clkbwrclk_en_p,clkbwrclk_en_p, CLKBWRCLK_delay, DINPBDINP_delay);
$setuphold (posedge CLKBWRCLK, posedge ENARDEN, 0:0:0, 0:0:0, notifier,clkbwrclk_en_p,clkbwrclk_en_p, CLKBWRCLK_delay, ENARDEN_delay);
$setuphold (posedge CLKBWRCLK, posedge ENBWREN, 0:0:0, 0:0:0, notifier,clkbwrclk_en_p,clkbwrclk_en_p, CLKBWRCLK_delay, ENBWREN_delay);
$setuphold (posedge CLKBWRCLK, posedge INJECTDBITERR, 0:0:0, 0:0:0, notifier,clkbwrclk_en_p,clkbwrclk_en_p, CLKBWRCLK_delay, INJECTDBITERR_delay);
$setuphold (posedge CLKBWRCLK, posedge INJECTSBITERR, 0:0:0, 0:0:0, notifier,clkbwrclk_en_p,clkbwrclk_en_p, CLKBWRCLK_delay, INJECTSBITERR_delay);
$setuphold (posedge CLKBWRCLK, posedge REGCEAREGCE, 0:0:0, 0:0:0, notifier,clkbwrclk_en_p,clkbwrclk_en_p, CLKBWRCLK_delay, REGCEAREGCE_delay);
$setuphold (posedge CLKBWRCLK, posedge REGCEB, 0:0:0, 0:0:0, notifier,clkbwrclk_en_p,clkbwrclk_en_p, CLKBWRCLK_delay, REGCEB_delay);
$setuphold (posedge CLKBWRCLK, posedge RSTRAMARSTRAM, 0:0:0, 0:0:0, notifier,clkbwrclk_en_p,clkbwrclk_en_p, CLKBWRCLK_delay, RSTRAMARSTRAM_delay);
$setuphold (posedge CLKBWRCLK, posedge RSTRAMB, 0:0:0, 0:0:0, notifier,clkbwrclk_en_p,clkbwrclk_en_p, CLKBWRCLK_delay, RSTRAMB_delay);
$setuphold (posedge CLKBWRCLK, posedge RSTREGARSTREG, 0:0:0, 0:0:0, notifier,clkbwrclk_en_p,clkbwrclk_en_p, CLKBWRCLK_delay, RSTREGARSTREG_delay);
$setuphold (posedge CLKBWRCLK, posedge RSTREGB, 0:0:0, 0:0:0, notifier,clkbwrclk_en_p,clkbwrclk_en_p, CLKBWRCLK_delay, RSTREGB_delay);
$setuphold (posedge CLKBWRCLK, posedge WEA, 0:0:0, 0:0:0, notifier,clkbwrclk_en_p,clkbwrclk_en_p, CLKBWRCLK_delay, WEA_delay);
$setuphold (posedge CLKBWRCLK, posedge WEBWE, 0:0:0, 0:0:0, notifier,clkbwrclk_en_p,clkbwrclk_en_p, CLKBWRCLK_delay, WEBWE_delay);
$width (negedge CLKARDCLK, 0:0:0, 0, notifier);
$width (negedge CLKBWRCLK, 0:0:0, 0, notifier);
$width (posedge CLKARDCLK, 0:0:0, 0, notifier);
$width (posedge CLKBWRCLK, 0:0:0, 0, notifier);
`endif
specparam PATHPULSE$ = 0;
endspecify
endmodule
`endcelldefine
|
(*|
Tutorial on the Elpi programming language
*****************************************
:author: Enrico Tassi
.. include:: ../etc/tutorial_style.rst
..
Elpi is an extension language that comes as a library
to be embedded into host applications such as Coq.
Elpi is a variant of λProlog enriched with constraints.
λProlog is a programming language designed to make it easy
to manipulate abstract syntax trees containing binders.
Elpi extends λProlog with modes and constraints in order
to make it easy to manipulate abstract syntax trees
containing metavariables (also called unification variables, or
evars in the Coq jargon).
This software, "coq-elpi", is a Coq plugin embedding Elpi and
exposing to the extension language Coq specific data types (e.g. terms)
and API (e.g. to declare a new inductive type).
In order to get proper syntax highlighting using VSCode please install the
"gares.coq-elpi-lang" extension. In CoqIDE please chose "coq-elpi" in
Edit -> Preferences -> Colors.
This little tutorial does not talk about Coq, but rather focuses on
Elpi as a programming language. It assumes no previous knowledge of
Prolog, λProlog or Elpi. Coq is used as an environment for stepping trough
the tutorial one paragraph at a time. The text between `lp:{{` and `}}` is
Elpi code, while the rest are Coq directives to drive the Elpi interpreter.
.. contents::
|*)
From elpi Require Import elpi. (* .none *)
(*|
=================
Logic programming
=================
Elpi is a dialect of λProlog enriched with constraints. We start by introducing
the first order fragment of λProlog, i.e. the terms will not contain binders.
Later we cover higher order features and constraints.
Our first program is called `tutorial`.
We begin by declaring the signature of our terms.
Here we declare that :e:`person` is a type, and that
:e:`mallory`, :e:`bob` and :e:`alice` are terms of that type.
|*)
Elpi Program tutorial lp:{{
kind person type.
type mallory, bob, alice person.
}}.
(*|
An Elpi program is made of rules that declare
when predicates hold and that are accumulated one after the
other. Rules are also called clauses in Prolog's slang, so we may use both
terms interchangeably.
The next code snippet accumulates on top
of the current `tutorial` program a predicate declaration for :e:`age`
and three rules representing our knowledge about our terms.
|*)
Elpi Accumulate lp:{{
pred age o:person, o:int.
age mallory 23.
age bob 23.
age alice 20.
}}.
(*|
The predicate :e:`age` has two arguments, the former is a person while
the latter is an integer. The label :e:`o:` (standing for output)
is a mode declaration, which we will explain later (ignore it for now).
.. note:: :stdtype:`int` is the built-in data type of integers
Integers come with usual arithmetic operators, see the :stdlib:`calc` built-in.
In order to run our program we have to write a query,
i.e. a predicate expression containing variables such as:
.. code:: elpi
age alice A
The execution of the program is expected to assign a value to :e:`A`, which
represents the age of :e:`alice`.
.. important::
Syntactic conventions:
* variables are identifiers starting with a capital letter, eg
:e:`A`, :e:`B`, :e:`FooBar`, :e:`Foo_bar`, :e:`X1`
* constants (for individuals or predicates) are identifiers
starting with a lowercase letter, eg
:e:`foo`, :e:`bar`, :e:`this_that`, :e:`camelCase`,
:e:`dash-allowed`, :e:`qmark_too?`, :e:`arrows->and.dots.as<-well`
A query can be composed of many predicate expressions separated by :e:`,`
that stands for conjunction: we want to get an answer to all the
predicate expressions.
|*)
Elpi Query lp:{{
age alice A, coq.say "The age of alice is" A
}}.
(*|
:builtin:`coq.say` is a built-in predicate provided by Coq-Elpi which
prints its arguments.
You can look at the output buffer of Coq to see the value for :e:`A` or hover
or toggle the little bubble after `}}.` if you are reading the tutorial with a
web browser.
.. note:: :stdtype:`string` is a built-in data type
Strings are delimited by double quotes and ``\`` is the escape symbol.
The predicate :e:`age` represents a *relation* (in contrast to a function)
and it computes both ways: we can ask Elpi which person :e:`P` is 23 years old.
|*)
Elpi Query lp:{{
age P 23, coq.say P "is 23 years old"
}}.
(*|
-----------
Unification
-----------
Operationally the query :e:`age P 23` is *unified* with each
and every rule present in the program starting from the first one.
Unification compares two
terms structurally and eventually assigns variables.
For example for the first rule of the program we obtain
the following unification problem:
.. code:: elpi
age P 23 = age mallory 23
This problem can be simplified into smaller unification problems following
the structure of the terms:
.. code:: elpi
age = age
P = mallory
23 = 23
The first and last are trivial, while the second one can be satisfied by
assigning :e:`mallory` to :e:`P`. All equations are solved,
hence unification succeeds.
See also the `Wikipedia page on Unification <https://en.wikipedia.org/wiki/Unification_(computer_science)#Syntactic_unification_of_first-order_terms>`_.
Since the first part of the query is succesful the rest of
the query is run: the value of :e:`P` is printed as well as
the :e:`"is 23 years old"` string.
.. note:: :e:`=` is a regular predicate
The query :e:`age P 23` can be also written as follows:
.. code:: elpi
A = 23, age P A, Msg = "is 23 years old", coq.say P Msg
Let's try a query harder to solve!
|*)
Elpi Query lp:{{
age P 20, coq.say P "is 20 years old"
}}.
(*|
This time the unification problem for the first rule
in the program is:
.. code:: elpi
age P 20 = age mallory 23
that is simplified to:
.. code:: elpi
age = age
P = mallory
20 = 23
The second equation can be solved by assigning :e:`mallory` to :e:`P`,
but the third one has no solution, so unification fails.
------------
Backtracking
------------
When failure occurs all assignements are undone (i.e. :e:`P` is unset again)
and the next rule in the program is tried. This operation is called
*backtracking*.
The unification problem for the next rule is:
.. code:: elpi
age P 20 = age bob 23
This one also fails. The unification problem for the last rule is:
.. code:: elpi
age P 20 = age alice 20
This one works, and the assigment :e:`P = alice` is kept as the result
of the first part of the query. Then :e:`P` is printed and the program
ends.
An even harder query is the following one where we ask for two distinct
individuals to have the same age.
|*)
Elpi Query lp:{{
age P A, age Q A, not(P = Q),
coq.say P "and" Q "are" A "years old"
}}.
(*|
This example shows that backtracking is global. The first solution for
:e:`age P A` and :e:`age Q A` picks :e:`P` and :e:`Q` to
be the same individual :e:`mallory`,
but then :e:`not(P = Q)` fails and forces the last choice that was made to be
reconsidered, so :e:`Q` becomes :e:`bob`.
Look at the output of the following code to better understand
how backtracking works.
|*)
Elpi Query lp:{{
age P A, coq.say "I picked P =" P,
age Q A, coq.say "I picked Q =" Q,
not(P = Q),
coq.say "the last choice worked!",
coq.say P "and" Q "are" A "years old"
}}.
(*|
.. note:: :e:`not` is a black hole
The :e:`not(P)` predicate tries to solve the query :e:`P`: it fails if
:e:`P` succeeds, and succeeds if :e:`P` fails. In any case no trace is left
of the computation for :e:`P`. E.g. :e:`not(X = 1, 2 < 1)` suceeds, but
the assignment for :e:`X` is undone. See also the section
about the `foundations`_ of λProlog.
---------------------------
Facts and conditional rules
---------------------------
The rules we have seen so far are *facts*: they always hold.
In general rules can only be applied if some *condition* holds. Conditions are
also called premises, we may use the two terms interchangeably.
Here we add to our program a rule that defines what :e:`older P Q` means
in terms of the :e:`age` of :e:`P` and :e:`Q`.
.. note:: :e:`:-` separates the *head* of a rule from the *premises*
|*)
Elpi Accumulate lp:{{
pred older o:person, o:person.
older P Q :- age P N, age Q M, N > M.
}}.
(*|
The rule reads: :e:`P` is older than :e:`Q` if
:e:`N` is the age of :e:`P`
*and* :e:`M` is the age of :e:`Q`
*and* :e:`N` is greater than :e:`M`.
Let's run a query using older:
|*)
Elpi Query lp:{{
older bob X,
coq.say "bob is older than" X
}}.
(*|
The query :e:`older bob X` is unified with the head of
the program rule :e:`older P Q`
assigning :e:`P = bob` and :e:`X = Q`. Then three new queries are run:
.. code:: elpi
age bob N
age Q M
N > M
The former assigns :e:`N = 23`, the second one first
sets :e:`Q = mallory` and :e:`M = 23`. This makes the last
query to fail, since :e:`23 > 23` is false. Hence the
second query is run again and again until :e:`Q` is
set to :e:`alice` and :e:`M` to :e:`20`.
Variables in the query are said to be existentially
quantified because Elpi will try to find one
possible value for them.
Conversely, the variables used in rules are
universally quantified in the front of the rule.
This means that the same program rule can be used
multiple times, and each time the variables are fresh.
In the following example the variable :e:`P` in :e:`older P Q :- ...`
once takes :e:`bob` and another time takes :e:`mallory`.
|*)
Elpi Query lp:{{
older bob X, older mallory X,
coq.say "both bob and mallory are older than" X
}}.
(*|
=====================
Higher order features
=====================
So far the syntax of terms is based on constants
(eg :e:`age` or :e:`mallory`) and variables (eg :e:`X`).
λProlog adds another term constructor:
λ-abstraction (written :e:`x\ ...`).
.. note:: the variable name before the ``\`` can be a capital
Given that it is explicitly bound Elpi needs not to guess if it is a global
symbol or a rule variable (that required the convention of using capitals for
variables in the first place).
-------------
λ-abstraction
-------------
Functions built using λ-abstraction can be applied
to arguments and honor the usual β-reduction rule
(the argument is substituted for the bound variable).
In the following example :e:`F 23` reads, once
the β-reduction is performed, :e:`age alice 23`.
|*)
Elpi Query lp:{{
F = (x\ age alice x),
coq.say "F =" F,
coq.say "F 20 =" (F 20),
coq.say "F 23 =" (F 23)
}}.
(*|
Let's now write the "hello world" of λProlog: an
interpreter and type checker for the simply
typed λ-calculus. We call this program `stlc`.
We start by declaring that :e:`term` is a type and
that :e:`app` and :e:`fun` are constructors of that type.
|*)
Elpi Program stlc lp:{{
kind term type.
type app term -> term -> term.
type fun (term -> term) -> term.
}}.
(*|
The constructor :e:`app` takes two terms
while :e:`fun` only one (of functional type).
Note that
* there is no constructor for variables, we will
use the notion of bound variable of λProlog in order
to represent variables
* :e:`fun` takes a function as subterm, i.e. something
we can build using the λ-abstraction :e:`x\ ...`
As a consequence, the identity function λx.x is written like this:
.. code:: elpi
fun (x\ x)
while the first projection λx.λy.x is written:
.. code:: elpi
fun (x\ fun (y\ x))
Another consequence of this approach is that there is no
such thing as a free variable in our representation of the λ-calculus.
Variables are only available under the λ-abstraction of the
programming language, that gives them a well defined scope and
substitution operation (β-reduction).
This approach is called `HOAS <https://en.wikipedia.org/wiki/Higher-order_abstract_syntax>`_.
We can now implement weak head reduction, that is we stop reducing
when the term is a :e:`fun` or a global constant (potentially applied).
If the term is :e:`app (fun F) A` then we compute the reduct :e:`F A`.
Note that :e:`F` is a λProlog function, so passing an argument to it
implements the substitution of the actual argument for the bound variable.
We first give a type and a mode for our predicate :e:`whd`. It reads
"whd takes a term in input and gives a term in output". We will
explain what input means precisely later, for now just think about it
as a comment.
|*)
Elpi Accumulate lp:{{
pred whd i:term, o:term.
% when the head "Hd" of an "app" (lication) is a
% "fun" we substitute and continue
whd (app Hd Arg) Reduct :- whd Hd (fun F), !,
whd (F Arg) Reduct.
% otherwise a term X is already in normal form.
whd X Reduct :- Reduct = X.
}}.
(*|
Recall that, due to backtracking, all rules are potentially used.
Here whenever the first premise of the first rule succeeds
we want the second rule to be skipped, since we found a redex.
The premises of a rule are run in order and the :e:`!` operator discards all
other rules following the current one. Said otherwise it commits to
the currently chosen rule for the current query (but leaves
all rules available for subsequent queries, they are not erased from the
program). So, as soon as :e:`whd Hd (fun F)` succeeds we discard the second
rule.
|*)
Elpi Query lp:{{
I = (fun x\x),
whd I T, coq.say "λx.x ~>" T,
whd (app I I) T1, coq.say "(λx.x) (λx.x) ~>" T1
}}.
(*|
Another little test using global constants:
|*)
Elpi Accumulate lp:{{
type foo, bar term.
}}.
Elpi Query lp:{{
Fst = fun (x\ fun y\ x),
T = app (app Fst foo) bar,
whd T T1, coq.say "(Fst foo bar) ~>" T1,
S = app foo bar,
whd S S1, coq.say "(foo bar) ~>" S1
}}.
(*|
A last test with a lambda term that has no weak head normal form:
|*)
Elpi Bound Steps 1000. (* Let's be cautious *)
Fail Elpi Query lp:{{
Delta = fun (x\ app x x),
Omega = app Delta Delta,
whd Omega Hummm, coq.say "not going to happen"
}}. (* .fails *)
Elpi Bound Steps 0.
(*|
-----------------------
:e:`pi x\ ` and :e:`=>`
-----------------------
We have seen how to implement subtitution using the binders of λProlog.
More often than not we need to move under binders rather than remove them by
substituting some term in place of the bound variable.
In order to move under a binder and inspect the body of a function λProlog
provides the :e:`pi` quantifier and the :e:`=>` connective.
A good showcase for these features is to implement a type checker
for the simply typed lambda calculus.
See also `the Wikipedia page on the simply typed lambda calculus <https://en.wikipedia.org/wiki/Simply_typed_lambda_calculus>`_.
We start by defining the data type of simple types.
We then declare a new predicate :e:`of` (for "type of") and finally
we provide two rules, one for each term constructor.
|*)
Elpi Accumulate lp:{{
kind ty type. % the data type of types
type arr ty -> ty -> ty. % our type constructor
pred of i:term, o:ty. % the type checking algorithm
% for the app node we ensure the head is a function from
% A to B, and that the argument is of type A
of (app Hd Arg) B :-
of Hd (arr A B), of Arg A.
% for lambda, instead of using a context (a list) of bound
% variables we use pi and => , explained below
of (fun F) (arr A B) :-
pi x\ of x A => of (F x) B.
}}.
(*|
The :e:`pi name\ code` syntax is reserved, as well as
:e:`rule => code`.
Operationally :e:`pi x\ code` introduces a fresh
constant :e:`c` for :e:`x` and then runs :e:`code`.
Operationally :e:`rule => code` adds :e:`rule` to
the program and runs :e:`code`. Such extra rule is
said to be hypothetical.
Both the constant for :e:`x` and :e:`rule` are
removed once :e:`code` terminates.
.. important:: hypothetical rules are added at the *top* of the program
Hypothetical rules hence take precedence over static rules, since
they are tried first.
Note that in this last example the hypothetical rule is going to be
:e:`of c A` for a fixed :e:`A` and a fresh constant :e:`c`.
The variable :e:`A` is fixed but not assigned yet, meaning
that :e:`c` has a type, and only one, but we may not know it yet.
Now let's assign a type to λx.λy.x:
|*)
Elpi Query lp:{{
of (fun (x\ fun y\ x)) Ty, coq.say "The type of λx.λy.x is:" Ty
}}.
(*|
Let's run this example step by step:
The rule for :e:`fun` is used:
* :e:`arrow A1 B1` is assigned to :e:`Ty` by unification
* the :e:`pi x\ ` quantifier creates a fresh constant :e:`c1` to play
the role of :e:`x`
* the :e:`=>` connective adds the rule :e:`of c1 A1` the program
* the new query :e:`of (fun y\ c1) B1` is run.
Again, the rule for :e:`fun` is used (since its variables are
universally quantified, we use :e:`A2`, :e:`B2`... this time):
* :e:`arrow A2 B2` is assigned to :e:`B1` by unification
* the :e:`pi x\ ` quantifier creates a fresh constant :e:`c2` to play
the role of :e:`x`
* the :e:`=>` connective adds the rule :e:`of c2 A2` the program
* the new query :e:`of c1 B2` is run.
The (hypotetical) rule :e:`of c1 A1` is used:
* unification assigns :e:`A1` to :e:`B2`
The value of :e:`Ty` is hence :e:`arr A1 (arr A2 A1)`, a good type
for λx.λy.x (the first argument and the output have the same type :e:`A1`).
What about the term λx.(x x) ?
|*)
Elpi Query lp:{{
Delta = fun (x\ app x x),
(of Delta Ty ; coq.say "Error:" Delta "has no type")
}}.
(*|
The :e:`;` infix operator stands for disjunction. Since we see the message
:e:`of` failed: the term :e:`fun (x\ app x x)` is not well typed.
First, the rule for elpi:`fun` is selected:
* :e:`arrow A1 B1` is assigned to :e:`Ty` by unification
* the :e:`pi x\ ` quantifier creates a fresh constant :e:`c1` to play the
role of :e:`x`
* the :e:`=>` connective adds the rule :e:`of c1 A1` the program
* the new query :e:`of (app c1 c1) B1` is run.
Then it's the turn of typing the application:
* the query :e:`of c1 (arr A2 B2)` assignes to :e:`A1` the
value :e:`arr A2 B2`. This means that the
hypothetical rule is now :e:`of c1 (arr A2 B2)`.
* the query :e:`of c1 A2` fails because the unification
.. code:: elpi
of c1 A2 = of c1 (arr A2 B2)
has no solution, in particular the sub problem :e:`A2 = (arr A2 B2)`
fails the so called occur check.
.. _foundations:
===================
Logical foundations
===================
This section tries to link, informally, λProlog with logic, assuming the reader
has some familiarity with first order intuitionistic logic and proof theory.
The reader which is not familiar with that can probably skip this section,
although section `functional-style`_ contains some explanations about
the scope of variables which are based on the logical foundations of
the language.
The semantics of a λProlog program is given by interpreting
it in terms of logical formulas and proof search in intuitionistic logic.
A rule
.. code:: elpi
p A B :- q A C, r C B.
has to be understood as a formula
.. math::
∀A~B~C, (\mathrm{q}~A~C ∧ \mathrm{r}~C~B) → \mathrm{p}~A~B
A query is a goal that is proved by backchaining
rules. For example :e:`p 3 X`
is solved by unifying it with the conclusion of
the formula above (that sets :e:`A` to :e:`3`) and
generating two new goals, :e:`q 3 C` and
:e:`r C B`. Note that :e:`C` is an argument to both
:e:`q` and :e:`r` and acts as a link: if solving :e:`q`
fixes :e:`C` then the query for :e:`r` sees that.
Similarly for :e:`B`, that is identified with :e:`X`,
and is hence a link from the solution of :e:`r` to
the solution of :e:`p`.
A rule like:
.. code:: elpi
of (fun F) (arr A B) :-
pi x\ of x A => of (F x) B.
reads, as a logical formula:
.. math::
∀F~A~B, (∀x, \mathrm{of}~x~A → \mathrm{of}~(F~x)~B) → \mathrm{of}~(\mathrm{fun}~F)~(\mathrm{arr}~A~B)
where :math:`F` stands for a function.
Alternatively, using the inference rule notation typically used for
type systems:
.. math::
\frac{\Gamma, \mathrm{of}~x~A \vdash \mathrm{of}~(F~x)~B \quad x~\mathrm{fresh}}{\Gamma \vdash \mathrm{of}~(\mathrm{fun}~F)~(\mathrm{arr}~A~B)}
Hence, :e:`x` and :e:`of x A` are available only
temporarily to prove :e:`of (F x) B` and this is
also why :e:`A` cannot change during this sub proof (:e:`A` is
quantified once and forall outside).
Each program execution is a proof (tree) of the query
and is made of the program rules seen as proof rules or axioms.
As we hinted before negation is a black hole, indeed the usual definition of
:math:`\neg A` as :math:`A \to \bot` is the one of a function with no output
(see also the `the Wikipedia page on the Curry-Howard correspondence <https://en.wikipedia.org/wiki/Curry%E2%80%93Howard_correspondence#Natural_deduction_and_lambda_calculus>`_).
=====================
Modes and constraints
=====================
Elpi extends λProlog with *syntactic constraints*
and rules to manipulate the store of constraints.
Syntactic constraints are goals suspended on
a variable which are resumed as soon as that variable
gets instantiated. While suspended they are kept in a store
which can be manipulated by dedicated rules.
A companion facility is the declaration of *modes*.
The argument of a predicate can be marked as input
to avoid instantiating the goal when it is unified
with the head of a rule (an input argument
is matched, rather than unified).
A simple example: Peano's addition:
|*)
Elpi Program peano lp:{{
kind nat type.
type z nat.
type s nat -> nat.
pred add o:nat, o:nat, o:nat.
add (s X) Y (s Z) :- add X Y Z.
add z X X.
}}.
Elpi Query lp:{{
add (s (s z)) (s z) R, coq.say "2 + 1 =" R
}}.
(*|
Unfortunately the relation does not work well
when the first argument is a variable. Depending on the
order of the rules for :e:`add` Elpi can either diverge or pick
:e:`z` as a value for :e:`X` (that may not be what one wants)
|*)
Elpi Bound Steps 100.
Fail Elpi Query lp:{{ add X (s z) Y }}. (* .fails *)
Elpi Bound Steps 0.
(*|
Indeed the first rule for add can be applied forever.
If one exchanges the two rules in the program, then Elpi
terminates picking :e:`z` for :e:`X`.
We can use the mode directive in order to
*match* arguments marked as :e:`i:` against the patterns
in the head of rules, rather than unifying them.
|*)
Elpi Program peano2 lp:{{
kind nat type.
type z nat.
type s nat -> nat.
pred sum i:nat, i:nat, o:nat.
sum (s X) Y (s Z) :- sum X Y Z.
sum z X X.
sum _ _ _ :-
coq.error "nothing matched but for this catch all clause!".
}}.
Fail Elpi Query lp:{{ sum X (s z) Y }}. (* .fails *)
(*|
The query fails because no rule first argument matches :e:`X`.
Instead of failing we can suspend goals and turn them into
syntactic constraints
|*)
Elpi Program peano3 lp:{{
kind nat type.
type z nat.
type s nat -> nat.
pred sum i:nat, i:nat, o:nat.
sum (s X) Y (s Z) :- sum X Y Z.
sum z X X.
sum X Y Z :-
% the head of the rule always unifies with the query, so
% we double check X is a variable (we could also be
% here because the other rules failed)
var X,
% then we declare the constraint and schedule its resumption
% on the assignment of X
declare_constraint (sum X Y Z) [X].
}}.
Elpi Query lp:{{ sum X (s z) Z }}.
(*|
Syntactic constraints are resumed when the variable
they are suspended on is assigned:
|*)
Elpi Query lp:{{
sum X (s z) Z, X = z,
coq.say "The result is:" Z
}}.
(*|
Here a couple more examples. Keep in mind that:
* resumption can cause failure
* recall that :e:`;` stands for disjunction
|*)
Fail Elpi Query lp:{{ sum X (s z) (s (s z)), X = z }}. (* .fails *)
Elpi Query lp:{{ sum X (s z) (s (s z)), (X = z ; X = s z) }}.
(*|
In this example the computation suspends, then makes progess,
then suspends again...
|*)
Elpi Query lp:{{
sum X (s z) Y,
print_constraints, coq.say "Currently Y =" Y,
X = s Z,
print_constraints, coq.say "Currently Y =" Y,
Z = z,
coq.say "Finally Y =" Y
}}.
(*|
Sometimes the set of syntactic constraints becomes unsatisfiable
and we would like to be able to fail early.
|*)
Elpi Accumulate lp:{{
pred even i:nat.
pred odd i:nat.
even z.
even (s X) :- odd X.
odd (s X) :- even X.
odd X :- var X, declare_constraint (odd X) [X].
even X :- var X, declare_constraint (even X) [X].
}}.
Elpi Query lp:{{ even (s X), odd (s X) }}. (* hum, not nice *)
(*|
-------------------------
Constraint Handling Rules
-------------------------
A constraint (handling) rule can see the store of syntactic constraints
as a whole, remove constraints and/or create new goals:
|*)
Elpi Accumulate lp:{{
constraint even odd {
% if two distinct, conflicting, constraints about the same X
% are part of the constraint store
rule (even X) (odd X) <=>
% generate the following goal
(coq.say X "can't be even and odd at the same time", fail).
}
}}.
Fail Elpi Query lp:{{ even (s X), odd (s X) }}. (* .fails *)
(*|
.. note:: :e:`fail` is a predicate with no solution
See also the Wikipedia page on `Constraint Handling Rules <https://en.wikipedia.org/wiki/Constraint_Handling_Rules>`_
for an introduction to the sub language to manipulate constraints.
.. _functional-style:
================
Functional style
================
Elpi is a relational language, not a functional one. Still some features
typical of functional programming are available, with some caveats.
-------------------------------
Spilling (relation composition)
-------------------------------
Chaining "relations" can be painful, especially when
they look like functions. Here we use :stdlib:`std.append`
and :stdlib:`std.rev` to build a palindrome:
|*)
Elpi Program function lp:{{
pred make-palindrome i:list A, o:list A.
make-palindrome L Result :-
std.rev L TMP,
std.append L TMP Result.
}}.
Elpi Query lp:{{
make-palindrome [1,2,3] A
}}.
(*|
.. note:: variables (capital letters) can be used in
types in order to describe ML-like polymorphism.
.. note:: :e:`list A` is a built-in data type
The empty list is written :e:`[]`, while the cons constructor
is written :e:`[Hd | Tail]`. Iterated cons can be written
:e:`[ E1, E2 | Tail ]` and :e:`| Tail` can be omitted if the list
is nil terminated.
The :e:`make-palindrome` predicate has to use a temporary variable
just to pass the output of a function as the input to another function.
Spilling is a syntactic elaboration which does that for you.
Expressions between `{` and `}` are
said to be spilled out and placed just before the predicate
that contains them.
*)
Elpi Accumulate lp:{{
pred make-palindrome2 i:list A, o:list A.
make-palindrome2 L Result :-
std.append L {std.rev L} Result.
}}.
Elpi Query lp:{{
make-palindrome2 [1,2,3] A
}}.
(*|
The two versions of :e:`make-palindrome` are equivalent.
Actually the latter is elaborated into the former.
----------------------
APIs for built-in data
----------------------
Functions about built-in data types are available via the
:stdlib:`calc` predicate or its infix version :e:`is`. Example:
|*)
Elpi Query lp:{{
calc ( "result " ^ "=" ) X,
Y is 3 + 2,
coq.say X Y
}}.
(*|
The :stdlib:`calc` predicate works nicely with spilling:
|*)
Elpi Query lp:{{ coq.say "result =" {calc (2 + 3)} }}.
(*|
-----------------------
Allocation of variables
-----------------------
The language let's one use λ-abstraction also to write anonymous rules
but one has to be wary of where variables are bound (allocated really).
In our example we use the higher order predicate :stdlib:`std.map`:
.. code:: elpi
pred std.map i:list A, i:(A -> B -> prop), o:list B.
.. note:: :e:`prop` is the type of predicates
The actual type of the :e:`std.map` symbol is:
.. code:: elpi
type std.map list A -> (A -> B -> prop) -> list B -> prop.
The :e:`pred` directive complements a type declaration for predicates
(the trailing :e:`-> prop` is implicit) with a mode declaration for
each argument.
The type of the second argument of :e:`std.map`
is the one of a predicate relating :e:`A` with :e:`B`.
Let's try to call :e:`std.map` passing an anonymous rule (as we
would do in a functional language by passing an anonymous function):
|*)
Elpi Accumulate lp:{{
pred bad i:list int, o:list int.
bad L Result :-
std.map L (x\ r\ TMP is x + 1, r = TMP) Result.
pred good i:list int, o:list int.
good L Result :-
std.map L good.aux Result.
good.aux X R :- TMP is X + 1, R = TMP.
pred good2 i:list int, o:list int.
good2 L Result :-
std.map L (x\ r\ sigma TMP\ TMP is x + 1, r = TMP) Result.
}}.
Elpi Query lp:{{
not(bad [1,2,3] R1),
good [1,2,3] R2,
good2 [1,2,3] R3
}}.
(*|
The problem with :e:`bad` is that :e:`TMP` is fresh each time the rule
is used, but not every time the anonymous rule passed to :stdlib:`map`
is used. Technically :e:`TMP` is quantified (allocated) where :e:`L`
and :e:`Result` are.
There are two ways to quantify :e:`TMP` correctly, that is inside the
anonymous predicate. One is to actually name the predicate. Another one is
to use the :e:`sigma x\ ` quantifier to allocate :e:`TMP` at every call.
We recommend to name the auxiliary predicate.
.. tip:: predicates whose name ends in `.aux` don't trigger a missing type
declaration warning
One last way to skin the cat is to use :e:`=>` as follows. It gives us
the occasion to clarify further the scope of variables.
|*)
Elpi Accumulate lp:{{
pred good3 i:list int, o:list int.
good3 L Result :-
pi aux\
(pi TMP X R\ aux X R :- TMP is X + 1, R = TMP) =>
std.map L aux Result.
}}.
Elpi Query lp:{{
good3 [1,2,3] R
}}.
(*|
In this case the auxiliary predicate :e:`aux`
is only visible inside :e:`good3`.
What is interesting to remark is that the quantifications are explicit
in the hypothetical rule, and they indicate clearly that each and every
time :e:`aux` is used :e:`TMP`, :e:`X` and :e:`R` are fresh.
The :e:`pi x\ ` quantifier is dual to :e:`sigma x\ `: since here it
occurs negatively it has the same meaning. That is, the hypothetical rule
could be written :e:`pi X R\ aux X R :- sigma TMP\ TMP is X + 1, R = TMP`.
.. tip:: :e:`pi x\ ` and :e:`sigma x\ ` can quantify on a bunch of variables
at once
That is, :e:`pi x y\ ...` is equivalent to :e:`pi x\ pi y\ ...` and
:e:`sigma x y\ ...` is equivalent to :e:`sigma x\ sigma y\ ...`.
.. tip:: :e:`=>` can load more than one clause at once
It is sufficient to put a list on the left hand side, eg :e:`[ rule1, rule2 ] => code`.
Moreover one can synthesize a rule before loading it, eg:
.. code:: elpi
Rules = [ one-more-rule | ExtraRules ], Rules => code
The last remark worth making is that bound variables are intimately related
to universal quantification, while unification variables are related to
existential quantification. It goes without saying that the following
two formulas are not equivalent and while the former is trivial the latter
is in general false:
.. math::
∀x, ∃Y, Y = x\\
∃Y, ∀x, Y = x
Let's run these two corresponding queries:
|*)
Elpi Query lp:{{ pi x\ sigma Y\ Y = x, coq.say "Y =" Y }}.
Fail Elpi Query lp:{{ sigma Y\ pi x\ Y = x, coq.say "Y =" Y }}. (* .fails *)
(*|
Another way to put it: :e:`x` is in the scope of :e:`Y` only in the first
formula since it is quantified before it. Hence :e:`x` can be assigned to
:e:`Y` in that case, but not in the second query, where it is quantified
after.
More in general, λProlog tracks the bound variables that are in scope of each
unification variable. There are only two ways to put a bound variable
in the scope:
* quantify the unification variable under the bound one (first formula)
* pass the bound variable to the unification variable explicitly: in this
case the unification variable needs to have a functional type.
Indeed :math:`∃Y, ∀x, (Y x) = x` has a solution: :e:`Y` can be
the identity function.
.. coq::
Elpi Query lp:{{ sigma Y\ pi x\ Y x = x, coq.say "Y =" Y }}.
If we look again at the rule for type checking
λ-abstraction:
.. code:: elpi
of (fun F) (arr A B) :-
pi x\ of x A => of (F x) B.
we can see that the only unification variable that sees the fresh
`x` is :e:`F`, because we pass :e:`x` to :e:`F` explicitly
(recall all unification variables such as :e:`F`, :e:`A`, :e:`B` are
quantified upfront, before the :e:`pi x\ `).
Indeed when we write:
.. math::
\frac{\Gamma, x : A \vdash f : B}{\Gamma \vdash λx.f : A → B}
on paper, the variable denoted by :e:`x` being bound there can only occur in
:math:`f`, not in :math:`\Gamma` or :math:`B` for example (although a
*different* variable could be named the same, hence the usual freshness side
conditions which are not really necessary using HOAS).
Remark that in the premise the variable :math:`x` is still bound, this time
not by a λ-abstraction but by the context :math:`\Gamma, x : A`.
In λProlog the context is the set of hypothetical rules and :e:`pi\ `
-quantified variables and is implicitly handled by the runtime of the
programming language.
A slogan to keep in mind is that:
.. important:: There is no such thing as a free variable!
Indeed the variable bound by the λ-abstraction (of our data) is
replaced by a fresh variable bound by the context (of our program). This is
called binder mobility. See also the paper
`Mechanized metatheory revisited <https://hal.inria.fr/hal-01884210/>`_ by
Dale Miller which is an excellent
introduction to these concepts.
=========
Debugging
=========
A common λProlog idiom is to have a debug rule
lying around. The :e:`:if` attribute can be used to
make the rule conditionally interpreted (only if the
given debug variable is set).
|*)
Elpi Debug "DEBUG_MYPRED".
Elpi Program debug lp:{{
pred mypred i:int.
:if "DEBUG_MYPRED"
mypred X :-
coq.say "calling mypred on " X, fail.
mypred 0 :- coq.say "ok".
mypred M :- N is M - 1, mypred N.
}}.
Elpi Query lp:{{ mypred 3 }}.
(*|
As a slightly more sophisticated debugging feature, the Elpi interpreter
provides tracing facilities.
|*)
Elpi Trace.
Elpi Query stlc lp:{{ % We run the query in the stlc program
of (fun (x\ fun y\ x)) Ty, coq.say Ty
}}.
Fail Elpi Query stlc lp:{{
of (fun (x\ app x x)) Ty, coq.say Ty
}}. (* .fails *)
(*|
The trace can be limited to a range of steps. Look at the
numbers ``run HERE {{{``.
|*)
Elpi Trace 6 8.
Elpi Query stlc lp:{{
of (fun (x\ fun y\ x)) Ty, coq.say Ty
}}.
(*|
The trace can be limited to a (list of) predicates as follows:
|*)
Elpi Trace "of".
Elpi Query stlc lp:{{
of (fun (x\ fun y\ x)) Ty, coq.say Ty
}}.
(*|
One can combine the range of steps with the predicate:
|*)
Elpi Trace 6 8 "of".
Elpi Query stlc lp:{{
of (fun (x\ fun y\ x)) Ty, coq.say Ty
}}.
(*|
To switch traces off:
|*)
Elpi Trace Off.
(*|
Given that programs are not written in a single place, but rather obtained by
accumulating code, Elpi is able to print a (full) program to an html file
as follows. The obtained file provides a facility to filter rules by their
predicate.
|*)
Elpi Print stlc "stlc.html".
(*|
Look at the `generated page <https://lpcic.github.io/coq-elpi/stlc.html>`_
and type :e:`of` in the filter.
Finally, one can bound the number of backchaining steps
performed by the interpreter:
|*)
Elpi Query lp:{{ 0 = 0, 1 = 1 }}.
Elpi Bound Steps 1.
Fail Elpi Query lp:{{ 0 = 0, 1 = 1 }}. (* .fails *) (* it needs 2 steps! *)
Elpi Bound Steps 0. (* Go back to no bound *)
(*|
--------
Pitfalls
--------
The precedence of :e:`,` and :e:`=>` can be surprising
|*)
Fail Elpi Query stlc lp:{{
pi x\
of x A => of x B, of x C
}}. (* .fails *)
Elpi Query stlc lp:{{
pi x\
of x A => (of x B, of x C) % both goals see of x A
}}.
(*|
Backtracking can lead to weird execution traces. The :stdlib:`std.do!` predicate
should be used to write non-backtracking code.
.. code:: elpi
pred not-a-backtracking-one.
not-a-backtracking-one :- condition, !, std.do! [
step,
(generate, test),
step,
].
In the example above once :e:`condition` holds we start a sequence of
steps which we will not reconsider. Locally, backtracking is still
available, e.g. between :e:`generate` and :e:`test`.
See also the :stdlib:`std.spy-do!` predicate which prints each and every step,
and the :stdlib:`std.spy` one which can be used to spy on a single one.
===============
Further reading
===============
The `λProlog website <http://www.lix.polytechnique.fr/~dale/lProlog/>`_
contains useful links to λProlog related material.
Papers and other documentation about Elpi can be found at
the `Elpi home on github <https://github.com/LPCIC/elpi/>`_.
Three more tutorials specific to Elpi as an extension language for Coq
can be found in the `examples folder <https://github.com/LPCIC/coq-elpi/blob/master/examples/>`_.
You can continue by reading the one about the
`HOAS for Coq terms <https://lpcic.github.io/coq-elpi/tutorial_coq_elpi_HOAS.html>`_.
|*)
|
module iddr_wrapper (
input wire C,
input wire CB,
input wire CE,
input wire S,
input wire R,
input wire D,
output wire Q1,
output wire Q2
);
parameter USE_PHY_IDDR = 0;
parameter USE_IDELAY = 0;
parameter DDR_CLK_EDGE = "OPPOSITE_EDGE";
parameter INIT_Q1 = 0;
parameter INIT_Q2 = 0;
parameter SRTYPE = "ASYNC";
// Use a physical IDDR
generate if (USE_PHY_IDDR) begin
wire d;
if (USE_IDELAY) begin
IDELAYE2 # (
.IDELAY_TYPE ("FIXED"),
.DELAY_SRC ("IDATAIN"),
.IDELAY_VALUE (16)
) an_idelay (
.IDATAIN (D),
.DATAOUT (d)
);
end else begin
assign d = D;
end
IDDR_2CLK # (
.SRTYPE (SRTYPE),
.INIT_Q1 (INIT_Q1),
.INIT_Q2 (INIT_Q2),
.DDR_CLK_EDGE (DDR_CLK_EDGE)
) the_iddr (
.C (C),
.CB (CB),
.CE (CE),
.S (S),
.R (R),
.D (d),
.Q1 (Q1),
.Q2 (Q2)
);
end endgenerate
endmodule
|
//
// Generated by Bluespec Compiler, version 2021.07 (build 4cac6eb)
//
//
// Ports:
// Name I/O size props
// mv_read O 64
// mav_write O 64
// mv_sip_read O 64
// mav_sip_write O 64
// CLK I 1 clock
// RST_N I 1 reset
// mav_write_misa I 28
// mav_write_wordxl I 64
// mav_sip_write_misa I 28
// mav_sip_write_wordxl I 64
// m_external_interrupt_req_req I 1 reg
// s_external_interrupt_req_req I 1 reg
// software_interrupt_req_req I 1 reg
// timer_interrupt_req_req I 1 reg
// EN_reset I 1
// EN_mav_write I 1
// EN_mav_sip_write I 1
//
// Combinational paths from inputs to outputs:
// (mav_write_misa, mav_write_wordxl) -> mav_write
// (mav_sip_write_misa, mav_sip_write_wordxl) -> mav_sip_write
//
//
`ifdef BSV_ASSIGNMENT_DELAY
`else
`define BSV_ASSIGNMENT_DELAY
`endif
`ifdef BSV_POSITIVE_RESET
`define BSV_RESET_VALUE 1'b1
`define BSV_RESET_EDGE posedge
`else
`define BSV_RESET_VALUE 1'b0
`define BSV_RESET_EDGE negedge
`endif
module mkCSR_MIP(CLK,
RST_N,
EN_reset,
mv_read,
mav_write_misa,
mav_write_wordxl,
EN_mav_write,
mav_write,
mv_sip_read,
mav_sip_write_misa,
mav_sip_write_wordxl,
EN_mav_sip_write,
mav_sip_write,
m_external_interrupt_req_req,
s_external_interrupt_req_req,
software_interrupt_req_req,
timer_interrupt_req_req);
input CLK;
input RST_N;
// action method reset
input EN_reset;
// value method mv_read
output [63 : 0] mv_read;
// actionvalue method mav_write
input [27 : 0] mav_write_misa;
input [63 : 0] mav_write_wordxl;
input EN_mav_write;
output [63 : 0] mav_write;
// value method mv_sip_read
output [63 : 0] mv_sip_read;
// actionvalue method mav_sip_write
input [27 : 0] mav_sip_write_misa;
input [63 : 0] mav_sip_write_wordxl;
input EN_mav_sip_write;
output [63 : 0] mav_sip_write;
// action method m_external_interrupt_req
input m_external_interrupt_req_req;
// action method s_external_interrupt_req
input s_external_interrupt_req_req;
// action method software_interrupt_req
input software_interrupt_req_req;
// action method timer_interrupt_req
input timer_interrupt_req_req;
// signals for module outputs
wire [63 : 0] mav_sip_write, mav_write, mv_read, mv_sip_read;
// register rg_meip
reg rg_meip;
wire rg_meip$D_IN, rg_meip$EN;
// register rg_msip
reg rg_msip;
wire rg_msip$D_IN, rg_msip$EN;
// register rg_mtip
reg rg_mtip;
wire rg_mtip$D_IN, rg_mtip$EN;
// register rg_seip
reg rg_seip;
wire rg_seip$D_IN, rg_seip$EN;
// register rg_ssip
reg rg_ssip;
reg rg_ssip$D_IN;
wire rg_ssip$EN;
// register rg_stip
reg rg_stip;
wire rg_stip$D_IN, rg_stip$EN;
// register rg_ueip
reg rg_ueip;
reg rg_ueip$D_IN;
wire rg_ueip$EN;
// register rg_usip
reg rg_usip;
reg rg_usip$D_IN;
wire rg_usip$EN;
// register rg_utip
reg rg_utip;
wire rg_utip$D_IN, rg_utip$EN;
// rule scheduling signals
wire CAN_FIRE_m_external_interrupt_req,
CAN_FIRE_mav_sip_write,
CAN_FIRE_mav_write,
CAN_FIRE_reset,
CAN_FIRE_s_external_interrupt_req,
CAN_FIRE_software_interrupt_req,
CAN_FIRE_timer_interrupt_req,
WILL_FIRE_m_external_interrupt_req,
WILL_FIRE_mav_sip_write,
WILL_FIRE_mav_write,
WILL_FIRE_reset,
WILL_FIRE_s_external_interrupt_req,
WILL_FIRE_software_interrupt_req,
WILL_FIRE_timer_interrupt_req;
// remaining internal signals
wire [11 : 0] new_mip__h1072, new_mip__h600;
wire seip__h634,
ssip__h1112,
ssip__h638,
stip__h636,
ueip__h1111,
ueip__h635,
usip__h1113,
usip__h639,
utip__h637;
// action method reset
assign CAN_FIRE_reset = 1'd1 ;
assign WILL_FIRE_reset = EN_reset ;
// value method mv_read
assign mv_read = { 52'd0, new_mip__h600 } ;
// actionvalue method mav_write
assign mav_write = { 52'd0, new_mip__h1072 } ;
assign CAN_FIRE_mav_write = 1'd1 ;
assign WILL_FIRE_mav_write = EN_mav_write ;
// value method mv_sip_read
assign mv_sip_read =
{ 54'd0,
rg_seip,
rg_ueip,
2'b0,
rg_stip,
rg_utip,
2'b0,
rg_ssip,
rg_usip } ;
// actionvalue method mav_sip_write
assign mav_sip_write =
{ 54'd0,
rg_seip,
ueip__h1111,
2'b0,
rg_stip,
rg_utip,
2'b0,
ssip__h1112,
usip__h1113 } ;
assign CAN_FIRE_mav_sip_write = 1'd1 ;
assign WILL_FIRE_mav_sip_write = EN_mav_sip_write ;
// action method m_external_interrupt_req
assign CAN_FIRE_m_external_interrupt_req = 1'd1 ;
assign WILL_FIRE_m_external_interrupt_req = 1'd1 ;
// action method s_external_interrupt_req
assign CAN_FIRE_s_external_interrupt_req = 1'd1 ;
assign WILL_FIRE_s_external_interrupt_req = 1'd1 ;
// action method software_interrupt_req
assign CAN_FIRE_software_interrupt_req = 1'd1 ;
assign WILL_FIRE_software_interrupt_req = 1'd1 ;
// action method timer_interrupt_req
assign CAN_FIRE_timer_interrupt_req = 1'd1 ;
assign WILL_FIRE_timer_interrupt_req = 1'd1 ;
// register rg_meip
assign rg_meip$D_IN = m_external_interrupt_req_req ;
assign rg_meip$EN = 1'b1 ;
// register rg_msip
assign rg_msip$D_IN = software_interrupt_req_req ;
assign rg_msip$EN = 1'b1 ;
// register rg_mtip
assign rg_mtip$D_IN = timer_interrupt_req_req ;
assign rg_mtip$EN = 1'b1 ;
// register rg_seip
assign rg_seip$D_IN = s_external_interrupt_req_req ;
assign rg_seip$EN = 1'b1 ;
// register rg_ssip
always@(EN_reset or
EN_mav_write or ssip__h638 or EN_mav_sip_write or ssip__h1112)
case (1'b1)
EN_reset: rg_ssip$D_IN = 1'd0;
EN_mav_write: rg_ssip$D_IN = ssip__h638;
EN_mav_sip_write: rg_ssip$D_IN = ssip__h1112;
default: rg_ssip$D_IN = 1'b0 /* unspecified value */ ;
endcase
assign rg_ssip$EN = EN_mav_write || EN_mav_sip_write || EN_reset ;
// register rg_stip
assign rg_stip$D_IN = !EN_reset && stip__h636 ;
assign rg_stip$EN = EN_mav_write || EN_reset ;
// register rg_ueip
always@(EN_reset or
EN_mav_write or ueip__h635 or EN_mav_sip_write or ueip__h1111)
case (1'b1)
EN_reset: rg_ueip$D_IN = 1'd0;
EN_mav_write: rg_ueip$D_IN = ueip__h635;
EN_mav_sip_write: rg_ueip$D_IN = ueip__h1111;
default: rg_ueip$D_IN = 1'b0 /* unspecified value */ ;
endcase
assign rg_ueip$EN = EN_mav_write || EN_mav_sip_write || EN_reset ;
// register rg_usip
always@(EN_reset or
EN_mav_write or usip__h639 or EN_mav_sip_write or usip__h1113)
case (1'b1)
EN_reset: rg_usip$D_IN = 1'd0;
EN_mav_write: rg_usip$D_IN = usip__h639;
EN_mav_sip_write: rg_usip$D_IN = usip__h1113;
default: rg_usip$D_IN = 1'b0 /* unspecified value */ ;
endcase
assign rg_usip$EN = EN_mav_write || EN_mav_sip_write || EN_reset ;
// register rg_utip
assign rg_utip$D_IN = !EN_reset && utip__h637 ;
assign rg_utip$EN = EN_mav_write || EN_reset ;
// remaining internal signals
assign new_mip__h1072 =
{ rg_meip,
1'b0,
seip__h634,
ueip__h635,
rg_mtip,
1'b0,
stip__h636,
utip__h637,
rg_msip,
1'b0,
ssip__h638,
usip__h639 } ;
assign new_mip__h600 =
{ rg_meip,
1'b0,
rg_seip,
rg_ueip,
rg_mtip,
1'b0,
rg_stip,
rg_utip,
rg_msip,
1'b0,
rg_ssip,
rg_usip } ;
assign seip__h634 = mav_write_misa[18] && mav_write_wordxl[9] ;
assign ssip__h1112 = mav_sip_write_misa[18] && mav_sip_write_wordxl[1] ;
assign ssip__h638 = mav_write_misa[18] && mav_write_wordxl[1] ;
assign stip__h636 = mav_write_misa[18] && mav_write_wordxl[5] ;
assign ueip__h1111 = mav_sip_write_misa[13] && mav_sip_write_wordxl[8] ;
assign ueip__h635 = mav_write_misa[13] && mav_write_wordxl[8] ;
assign usip__h1113 = mav_sip_write_misa[13] && mav_sip_write_wordxl[0] ;
assign usip__h639 = mav_write_misa[13] && mav_write_wordxl[0] ;
assign utip__h637 = mav_write_misa[13] && mav_write_wordxl[4] ;
// handling of inlined registers
always@(posedge CLK)
begin
if (RST_N == `BSV_RESET_VALUE)
begin
rg_meip <= `BSV_ASSIGNMENT_DELAY 1'd0;
rg_msip <= `BSV_ASSIGNMENT_DELAY 1'd0;
rg_mtip <= `BSV_ASSIGNMENT_DELAY 1'd0;
rg_seip <= `BSV_ASSIGNMENT_DELAY 1'd0;
rg_ssip <= `BSV_ASSIGNMENT_DELAY 1'd0;
rg_stip <= `BSV_ASSIGNMENT_DELAY 1'd0;
rg_ueip <= `BSV_ASSIGNMENT_DELAY 1'd0;
rg_usip <= `BSV_ASSIGNMENT_DELAY 1'd0;
rg_utip <= `BSV_ASSIGNMENT_DELAY 1'd0;
end
else
begin
if (rg_meip$EN) rg_meip <= `BSV_ASSIGNMENT_DELAY rg_meip$D_IN;
if (rg_msip$EN) rg_msip <= `BSV_ASSIGNMENT_DELAY rg_msip$D_IN;
if (rg_mtip$EN) rg_mtip <= `BSV_ASSIGNMENT_DELAY rg_mtip$D_IN;
if (rg_seip$EN) rg_seip <= `BSV_ASSIGNMENT_DELAY rg_seip$D_IN;
if (rg_ssip$EN) rg_ssip <= `BSV_ASSIGNMENT_DELAY rg_ssip$D_IN;
if (rg_stip$EN) rg_stip <= `BSV_ASSIGNMENT_DELAY rg_stip$D_IN;
if (rg_ueip$EN) rg_ueip <= `BSV_ASSIGNMENT_DELAY rg_ueip$D_IN;
if (rg_usip$EN) rg_usip <= `BSV_ASSIGNMENT_DELAY rg_usip$D_IN;
if (rg_utip$EN) rg_utip <= `BSV_ASSIGNMENT_DELAY rg_utip$D_IN;
end
end
// synopsys translate_off
`ifdef BSV_NO_INITIAL_BLOCKS
`else // not BSV_NO_INITIAL_BLOCKS
initial
begin
rg_meip = 1'h0;
rg_msip = 1'h0;
rg_mtip = 1'h0;
rg_seip = 1'h0;
rg_ssip = 1'h0;
rg_stip = 1'h0;
rg_ueip = 1'h0;
rg_usip = 1'h0;
rg_utip = 1'h0;
end
`endif // BSV_NO_INITIAL_BLOCKS
// synopsys translate_on
endmodule // mkCSR_MIP
|
//Legal Notice: (C)2014 Altera Corporation. All rights reserved. Your
//use of Altera Corporation's design tools, logic functions and other
//software and tools, and its AMPP partner logic functions, and any
//output files any of the foregoing (including device programming or
//simulation files), and any associated documentation or information are
//expressly subject to the terms and conditions of the Altera Program
//License Subscription Agreement or other applicable license agreement,
//including, without limitation, that your use is for the sole purpose
//of programming logic devices manufactured by Altera and sold by Altera
//or its authorized distributors. Please refer to the applicable
//agreement for further details.
// synthesis translate_off
`timescale 1ns / 1ps
// synthesis translate_on
// turn off superfluous verilog processor warnings
// altera message_level Level1
// altera message_off 10034 10035 10036 10037 10230 10240 10030
module DE0_NANO_SOC_QSYS_nios2_qsys_mult_cell (
// inputs:
A_mul_src1,
A_mul_src2,
clk,
reset_n,
// outputs:
A_mul_cell_result
)
;
output [ 31: 0] A_mul_cell_result;
input [ 31: 0] A_mul_src1;
input [ 31: 0] A_mul_src2;
input clk;
input reset_n;
wire [ 31: 0] A_mul_cell_result;
wire [ 31: 0] A_mul_cell_result_part_1;
wire [ 15: 0] A_mul_cell_result_part_2;
wire mul_clr;
assign mul_clr = ~reset_n;
altera_mult_add the_altmult_add_part_1
(
.aclr0 (mul_clr),
.clock0 (clk),
.dataa (A_mul_src1[15 : 0]),
.datab (A_mul_src2[15 : 0]),
.ena0 (1'b1),
.result (A_mul_cell_result_part_1)
);
defparam the_altmult_add_part_1.addnsub_multiplier_pipeline_aclr1 = "ACLR0",
the_altmult_add_part_1.addnsub_multiplier_pipeline_register1 = "CLOCK0",
the_altmult_add_part_1.addnsub_multiplier_register1 = "UNREGISTERED",
the_altmult_add_part_1.dedicated_multiplier_circuitry = "YES",
the_altmult_add_part_1.input_register_a0 = "UNREGISTERED",
the_altmult_add_part_1.input_register_b0 = "UNREGISTERED",
the_altmult_add_part_1.input_source_a0 = "DATAA",
the_altmult_add_part_1.input_source_b0 = "DATAB",
the_altmult_add_part_1.lpm_type = "altera_mult_add",
the_altmult_add_part_1.multiplier1_direction = "ADD",
the_altmult_add_part_1.multiplier_aclr0 = "ACLR0",
the_altmult_add_part_1.multiplier_register0 = "CLOCK0",
the_altmult_add_part_1.number_of_multipliers = 1,
the_altmult_add_part_1.output_register = "UNREGISTERED",
the_altmult_add_part_1.port_addnsub1 = "PORT_UNUSED",
the_altmult_add_part_1.port_addnsub3 = "PORT_UNUSED",
the_altmult_add_part_1.port_signa = "PORT_UNUSED",
the_altmult_add_part_1.port_signb = "PORT_UNUSED",
the_altmult_add_part_1.representation_a = "UNSIGNED",
the_altmult_add_part_1.representation_b = "UNSIGNED",
the_altmult_add_part_1.selected_device_family = "CYCLONEV",
the_altmult_add_part_1.signed_pipeline_aclr_a = "ACLR0",
the_altmult_add_part_1.signed_pipeline_aclr_b = "ACLR0",
the_altmult_add_part_1.signed_pipeline_register_a = "CLOCK0",
the_altmult_add_part_1.signed_pipeline_register_b = "CLOCK0",
the_altmult_add_part_1.signed_register_a = "UNREGISTERED",
the_altmult_add_part_1.signed_register_b = "UNREGISTERED",
the_altmult_add_part_1.width_a = 16,
the_altmult_add_part_1.width_b = 16,
the_altmult_add_part_1.width_result = 32;
altera_mult_add the_altmult_add_part_2
(
.aclr0 (mul_clr),
.clock0 (clk),
.dataa (A_mul_src1[31 : 16]),
.datab (A_mul_src2[15 : 0]),
.ena0 (1'b1),
.result (A_mul_cell_result_part_2)
);
defparam the_altmult_add_part_2.addnsub_multiplier_pipeline_aclr1 = "ACLR0",
the_altmult_add_part_2.addnsub_multiplier_pipeline_register1 = "CLOCK0",
the_altmult_add_part_2.addnsub_multiplier_register1 = "UNREGISTERED",
the_altmult_add_part_2.dedicated_multiplier_circuitry = "YES",
the_altmult_add_part_2.input_register_a0 = "UNREGISTERED",
the_altmult_add_part_2.input_register_b0 = "UNREGISTERED",
the_altmult_add_part_2.input_source_a0 = "DATAA",
the_altmult_add_part_2.input_source_b0 = "DATAB",
the_altmult_add_part_2.lpm_type = "altera_mult_add",
the_altmult_add_part_2.multiplier1_direction = "ADD",
the_altmult_add_part_2.multiplier_aclr0 = "ACLR0",
the_altmult_add_part_2.multiplier_register0 = "CLOCK0",
the_altmult_add_part_2.number_of_multipliers = 1,
the_altmult_add_part_2.output_register = "UNREGISTERED",
the_altmult_add_part_2.port_addnsub1 = "PORT_UNUSED",
the_altmult_add_part_2.port_addnsub3 = "PORT_UNUSED",
the_altmult_add_part_2.port_signa = "PORT_UNUSED",
the_altmult_add_part_2.port_signb = "PORT_UNUSED",
the_altmult_add_part_2.representation_a = "UNSIGNED",
the_altmult_add_part_2.representation_b = "UNSIGNED",
the_altmult_add_part_2.selected_device_family = "CYCLONEV",
the_altmult_add_part_2.signed_pipeline_aclr_a = "ACLR0",
the_altmult_add_part_2.signed_pipeline_aclr_b = "ACLR0",
the_altmult_add_part_2.signed_pipeline_register_a = "CLOCK0",
the_altmult_add_part_2.signed_pipeline_register_b = "CLOCK0",
the_altmult_add_part_2.signed_register_a = "UNREGISTERED",
the_altmult_add_part_2.signed_register_b = "UNREGISTERED",
the_altmult_add_part_2.width_a = 16,
the_altmult_add_part_2.width_b = 16,
the_altmult_add_part_2.width_result = 16;
assign A_mul_cell_result = {A_mul_cell_result_part_1[31 : 16] +
A_mul_cell_result_part_2,
A_mul_cell_result_part_1[15 : 0]};
endmodule
|
/*
Copyright 2018 Nuclei System Technology, Inc.
Licensed under the Apache License, Version 2.0 (the "License");
you may not use this file except in compliance with the License.
You may obtain a copy of the License at
http://www.apache.org/licenses/LICENSE-2.0
Unless required by applicable law or agreed to in writing, software
distributed under the License is distributed on an "AS IS" BASIS,
WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
See the License for the specific language governing permissions and
limitations under the License.
*/
//=====================================================================
// Designer : Bob Hu
//
// Description:
// The Write-Back module to arbitrate the write-back request from all
// long pipe modules
//
// ====================================================================
`include "e203_defines.v"
module e203_exu_longpwbck(
//////////////////////////////////////////////////////////////
// The LSU Write-Back Interface
input lsu_wbck_i_valid, // Handshake valid
output lsu_wbck_i_ready, // Handshake ready
input [`E203_XLEN-1:0] lsu_wbck_i_wdat,
input [`E203_ITAG_WIDTH -1:0] lsu_wbck_i_itag,
input lsu_wbck_i_err , // The error exception generated
input lsu_cmt_i_buserr ,
input [`E203_ADDR_SIZE -1:0] lsu_cmt_i_badaddr,
input lsu_cmt_i_ld,
input lsu_cmt_i_st,
//////////////////////////////////////////////////////////////
// The Long pipe instruction Wback interface to final wbck module
output longp_wbck_o_valid, // Handshake valid
input longp_wbck_o_ready, // Handshake ready
output [`E203_FLEN-1:0] longp_wbck_o_wdat,
output [5-1:0] longp_wbck_o_flags,
output [`E203_RFIDX_WIDTH -1:0] longp_wbck_o_rdidx,
output longp_wbck_o_rdfpu,
//
// The Long pipe instruction Exception interface to commit stage
output longp_excp_o_valid,
input longp_excp_o_ready,
output longp_excp_o_insterr,
output longp_excp_o_ld,
output longp_excp_o_st,
output longp_excp_o_buserr , // The load/store bus-error exception generated
output [`E203_ADDR_SIZE-1:0] longp_excp_o_badaddr,
output [`E203_PC_SIZE -1:0] longp_excp_o_pc,
//
//The itag of toppest entry of OITF
input oitf_empty,
input [`E203_ITAG_WIDTH -1:0] oitf_ret_ptr,
input [`E203_RFIDX_WIDTH-1:0] oitf_ret_rdidx,
input [`E203_PC_SIZE-1:0] oitf_ret_pc,
input oitf_ret_rdwen,
input oitf_ret_rdfpu,
output oitf_ret_ena,
input clk,
input rst_n
);
// The Long-pipe instruction can write-back only when it's itag
// is same as the itag of toppest entry of OITF
wire wbck_ready4lsu = (lsu_wbck_i_itag == oitf_ret_ptr) & (~oitf_empty);
wire wbck_sel_lsu = lsu_wbck_i_valid & wbck_ready4lsu;
//assign longp_excp_o_ld = wbck_sel_lsu & lsu_cmt_i_ld;
//assign longp_excp_o_st = wbck_sel_lsu & lsu_cmt_i_st;
//assign longp_excp_o_buserr = wbck_sel_lsu & lsu_cmt_i_buserr;
//assign longp_excp_o_badaddr = wbck_sel_lsu ? lsu_cmt_i_badaddr : `E203_ADDR_SIZE'b0;
assign {
longp_excp_o_insterr
,longp_excp_o_ld
,longp_excp_o_st
,longp_excp_o_buserr
,longp_excp_o_badaddr } =
({`E203_ADDR_SIZE+4{wbck_sel_lsu}} &
{
1'b0,
lsu_cmt_i_ld,
lsu_cmt_i_st,
lsu_cmt_i_buserr,
lsu_cmt_i_badaddr
})
;
//////////////////////////////////////////////////////////////
// The Final arbitrated Write-Back Interface
wire wbck_i_ready;
wire wbck_i_valid;
wire [`E203_FLEN-1:0] wbck_i_wdat;
wire [5-1:0] wbck_i_flags;
wire [`E203_RFIDX_WIDTH-1:0] wbck_i_rdidx;
wire [`E203_PC_SIZE-1:0] wbck_i_pc;
wire wbck_i_rdwen;
wire wbck_i_rdfpu;
wire wbck_i_err ;
assign lsu_wbck_i_ready = wbck_ready4lsu & wbck_i_ready;
assign wbck_i_valid = ({1{wbck_sel_lsu}} & lsu_wbck_i_valid)
;
`ifdef E203_FLEN_IS_32 //{
wire [`E203_FLEN-1:0] lsu_wbck_i_wdat_exd = lsu_wbck_i_wdat;
`else//}{
wire [`E203_FLEN-1:0] lsu_wbck_i_wdat_exd = {{`E203_FLEN-`E203_XLEN{1'b0}},lsu_wbck_i_wdat};
`endif//}
assign wbck_i_wdat = ({`E203_FLEN{wbck_sel_lsu}} & lsu_wbck_i_wdat_exd )
;
assign wbck_i_flags = 5'b0
;
assign wbck_i_err = wbck_sel_lsu & lsu_wbck_i_err
;
assign wbck_i_pc = oitf_ret_pc;
assign wbck_i_rdidx = oitf_ret_rdidx;
assign wbck_i_rdwen = oitf_ret_rdwen;
assign wbck_i_rdfpu = oitf_ret_rdfpu;
// If the instruction have no error and it have the rdwen, then it need to
// write back into regfile, otherwise, it does not need to write regfile
wire need_wbck = wbck_i_rdwen & (~wbck_i_err);
// If the long pipe instruction have error result, then it need to handshake
// with the commit module.
wire need_excp = wbck_i_err;
assign wbck_i_ready =
(need_wbck ? longp_wbck_o_ready : 1'b1)
& (need_excp ? longp_excp_o_ready : 1'b1);
assign longp_wbck_o_valid = need_wbck & wbck_i_valid & (need_excp ? longp_excp_o_ready : 1'b1);
assign longp_excp_o_valid = need_excp & wbck_i_valid & (need_wbck ? longp_wbck_o_ready : 1'b1);
assign longp_wbck_o_wdat = wbck_i_wdat ;
assign longp_wbck_o_flags = wbck_i_flags ;
assign longp_wbck_o_rdfpu = wbck_i_rdfpu ;
assign longp_wbck_o_rdidx = wbck_i_rdidx;
assign longp_excp_o_pc = wbck_i_pc;
assign oitf_ret_ena = wbck_i_valid & wbck_i_ready;
endmodule
|
// ==============================================================
// RTL generated by Vivado(TM) HLS - High-Level Synthesis from C, C++ and SystemC
// Version: 2016.2
// Copyright (C) 1986-2016 Xilinx, Inc. All Rights Reserved.
//
// ===========================================================
`timescale 1 ns / 1 ps
module sp_best_tracks (
ap_clk,
ap_rst,
phi_0_0_V_read,
phi_0_1_V_read,
phi_0_2_V_read,
phi_1_0_V_read,
phi_1_1_V_read,
phi_1_2_V_read,
phi_2_0_V_read,
phi_2_1_V_read,
phi_2_2_V_read,
phi_3_0_V_read,
phi_3_1_V_read,
phi_3_2_V_read,
theta_0_0_V_read,
theta_0_1_V_read,
theta_0_2_V_read,
theta_1_0_V_read,
theta_1_1_V_read,
theta_1_2_V_read,
theta_2_0_V_read,
theta_2_1_V_read,
theta_2_2_V_read,
theta_3_0_V_read,
theta_3_1_V_read,
theta_3_2_V_read,
cpattern_0_0_0_V_read,
cpattern_0_0_1_V_read,
cpattern_0_0_2_V_read,
cpattern_0_0_3_V_read,
cpattern_0_1_0_V_read,
cpattern_0_1_1_V_read,
cpattern_0_1_2_V_read,
cpattern_0_1_3_V_read,
cpattern_0_2_0_V_read,
cpattern_0_2_1_V_read,
cpattern_0_2_2_V_read,
cpattern_0_2_3_V_read,
cpattern_1_0_0_V_read,
cpattern_1_0_1_V_read,
cpattern_1_0_2_V_read,
cpattern_1_0_3_V_read,
cpattern_1_1_0_V_read,
cpattern_1_1_1_V_read,
cpattern_1_1_2_V_read,
cpattern_1_1_3_V_read,
cpattern_1_2_0_V_read,
cpattern_1_2_1_V_read,
cpattern_1_2_2_V_read,
cpattern_1_2_3_V_read,
cpattern_2_0_0_V_read,
cpattern_2_0_1_V_read,
cpattern_2_0_2_V_read,
cpattern_2_0_3_V_read,
cpattern_2_1_0_V_read,
cpattern_2_1_1_V_read,
cpattern_2_1_2_V_read,
cpattern_2_1_3_V_read,
cpattern_2_2_0_V_read,
cpattern_2_2_1_V_read,
cpattern_2_2_2_V_read,
cpattern_2_2_3_V_read,
cpattern_3_0_0_V_read,
cpattern_3_0_1_V_read,
cpattern_3_0_2_V_read,
cpattern_3_0_3_V_read,
cpattern_3_1_0_V_read,
cpattern_3_1_1_V_read,
cpattern_3_1_2_V_read,
cpattern_3_1_3_V_read,
cpattern_3_2_0_V_read,
cpattern_3_2_1_V_read,
cpattern_3_2_2_V_read,
cpattern_3_2_3_V_read,
delta_ph_0_0_0_V_read,
delta_ph_0_0_1_V_read,
delta_ph_0_0_2_V_read,
delta_ph_0_0_3_V_read,
delta_ph_0_0_4_V_read,
delta_ph_0_0_5_V_read,
delta_ph_0_1_0_V_read,
delta_ph_0_1_1_V_read,
delta_ph_0_1_2_V_read,
delta_ph_0_1_3_V_read,
delta_ph_0_1_4_V_read,
delta_ph_0_1_5_V_read,
delta_ph_0_2_0_V_read,
delta_ph_0_2_1_V_read,
delta_ph_0_2_2_V_read,
delta_ph_0_2_3_V_read,
delta_ph_0_2_4_V_read,
delta_ph_0_2_5_V_read,
delta_ph_1_0_0_V_read,
delta_ph_1_0_1_V_read,
delta_ph_1_0_2_V_read,
delta_ph_1_0_3_V_read,
delta_ph_1_0_4_V_read,
delta_ph_1_0_5_V_read,
delta_ph_1_1_0_V_read,
delta_ph_1_1_1_V_read,
delta_ph_1_1_2_V_read,
delta_ph_1_1_3_V_read,
delta_ph_1_1_4_V_read,
delta_ph_1_1_5_V_read,
delta_ph_1_2_0_V_read,
delta_ph_1_2_1_V_read,
delta_ph_1_2_2_V_read,
delta_ph_1_2_3_V_read,
delta_ph_1_2_4_V_read,
delta_ph_1_2_5_V_read,
delta_ph_2_0_0_V_read,
delta_ph_2_0_1_V_read,
delta_ph_2_0_2_V_read,
delta_ph_2_0_3_V_read,
delta_ph_2_0_4_V_read,
delta_ph_2_0_5_V_read,
delta_ph_2_1_0_V_read,
delta_ph_2_1_1_V_read,
delta_ph_2_1_2_V_read,
delta_ph_2_1_3_V_read,
delta_ph_2_1_4_V_read,
delta_ph_2_1_5_V_read,
delta_ph_2_2_0_V_read,
delta_ph_2_2_1_V_read,
delta_ph_2_2_2_V_read,
delta_ph_2_2_3_V_read,
delta_ph_2_2_4_V_read,
delta_ph_2_2_5_V_read,
delta_ph_3_0_0_V_read,
delta_ph_3_0_1_V_read,
delta_ph_3_0_2_V_read,
delta_ph_3_0_3_V_read,
delta_ph_3_0_4_V_read,
delta_ph_3_0_5_V_read,
delta_ph_3_1_0_V_read,
delta_ph_3_1_1_V_read,
delta_ph_3_1_2_V_read,
delta_ph_3_1_3_V_read,
delta_ph_3_1_4_V_read,
delta_ph_3_1_5_V_read,
delta_ph_3_2_0_V_read,
delta_ph_3_2_1_V_read,
delta_ph_3_2_2_V_read,
delta_ph_3_2_3_V_read,
delta_ph_3_2_4_V_read,
delta_ph_3_2_5_V_read,
delta_th_0_0_0_V_read,
delta_th_0_0_1_V_read,
delta_th_0_0_2_V_read,
delta_th_0_0_3_V_read,
delta_th_0_0_4_V_read,
delta_th_0_0_5_V_read,
delta_th_0_1_0_V_read,
delta_th_0_1_1_V_read,
delta_th_0_1_2_V_read,
delta_th_0_1_3_V_read,
delta_th_0_1_4_V_read,
delta_th_0_1_5_V_read,
delta_th_0_2_0_V_read,
delta_th_0_2_1_V_read,
delta_th_0_2_2_V_read,
delta_th_0_2_3_V_read,
delta_th_0_2_4_V_read,
delta_th_0_2_5_V_read,
delta_th_1_0_0_V_read,
delta_th_1_0_1_V_read,
delta_th_1_0_2_V_read,
delta_th_1_0_3_V_read,
delta_th_1_0_4_V_read,
delta_th_1_0_5_V_read,
delta_th_1_1_0_V_read,
delta_th_1_1_1_V_read,
delta_th_1_1_2_V_read,
delta_th_1_1_3_V_read,
delta_th_1_1_4_V_read,
delta_th_1_1_5_V_read,
delta_th_1_2_0_V_read,
delta_th_1_2_1_V_read,
delta_th_1_2_2_V_read,
delta_th_1_2_3_V_read,
delta_th_1_2_4_V_read,
delta_th_1_2_5_V_read,
delta_th_2_0_0_V_read,
delta_th_2_0_1_V_read,
delta_th_2_0_2_V_read,
delta_th_2_0_3_V_read,
delta_th_2_0_4_V_read,
delta_th_2_0_5_V_read,
delta_th_2_1_0_V_read,
delta_th_2_1_1_V_read,
delta_th_2_1_2_V_read,
delta_th_2_1_3_V_read,
delta_th_2_1_4_V_read,
delta_th_2_1_5_V_read,
delta_th_2_2_0_V_read,
delta_th_2_2_1_V_read,
delta_th_2_2_2_V_read,
delta_th_2_2_3_V_read,
delta_th_2_2_4_V_read,
delta_th_2_2_5_V_read,
delta_th_3_0_0_V_read,
delta_th_3_0_1_V_read,
delta_th_3_0_2_V_read,
delta_th_3_0_3_V_read,
delta_th_3_0_4_V_read,
delta_th_3_0_5_V_read,
delta_th_3_1_0_V_read,
delta_th_3_1_1_V_read,
delta_th_3_1_2_V_read,
delta_th_3_1_3_V_read,
delta_th_3_1_4_V_read,
delta_th_3_1_5_V_read,
delta_th_3_2_0_V_read,
delta_th_3_2_1_V_read,
delta_th_3_2_2_V_read,
delta_th_3_2_3_V_read,
delta_th_3_2_4_V_read,
delta_th_3_2_5_V_read,
sign_ph_0_0_V_read,
sign_ph_0_1_V_read,
sign_ph_0_2_V_read,
sign_ph_1_0_V_read,
sign_ph_1_1_V_read,
sign_ph_1_2_V_read,
sign_ph_2_0_V_read,
sign_ph_2_1_V_read,
sign_ph_2_2_V_read,
sign_ph_3_0_V_read,
sign_ph_3_1_V_read,
sign_ph_3_2_V_read,
sign_th_0_0_V_read,
sign_th_0_1_V_read,
sign_th_0_2_V_read,
sign_th_1_0_V_read,
sign_th_1_1_V_read,
sign_th_1_2_V_read,
sign_th_2_0_V_read,
sign_th_2_1_V_read,
sign_th_2_2_V_read,
sign_th_3_0_V_read,
sign_th_3_1_V_read,
sign_th_3_2_V_read,
rank_0_0_V_read,
rank_0_1_V_read,
rank_0_2_V_read,
rank_1_0_V_read,
rank_1_1_V_read,
rank_1_2_V_read,
rank_2_0_V_read,
rank_2_1_V_read,
rank_2_2_V_read,
rank_3_0_V_read,
rank_3_1_V_read,
rank_3_2_V_read,
si_0_0_V_read,
si_0_1_V_read,
si_0_2_V_read,
si_1_0_V_read,
si_1_1_V_read,
si_1_2_V_read,
si_2_0_V_read,
si_2_1_V_read,
si_2_2_V_read,
si_3_0_V_read,
si_3_1_V_read,
si_3_2_V_read,
ap_return_0,
ap_return_1,
ap_return_2,
ap_return_3,
ap_return_4,
ap_return_5,
ap_return_6,
ap_return_7,
ap_return_8,
ap_return_9,
ap_return_10,
ap_return_11,
ap_return_12,
ap_return_13,
ap_return_14,
ap_return_15,
ap_return_16,
ap_return_17,
ap_return_18,
ap_return_19,
ap_return_20,
ap_return_21,
ap_return_22,
ap_return_23,
ap_return_24,
ap_return_25,
ap_return_26,
ap_return_27,
ap_return_28,
ap_return_29,
ap_return_30,
ap_return_31,
ap_return_32,
ap_return_33,
ap_return_34,
ap_return_35,
ap_return_36,
ap_return_37,
ap_return_38,
ap_return_39,
ap_return_40,
ap_return_41,
ap_return_42,
ap_return_43,
ap_return_44,
ap_return_45,
ap_return_46,
ap_return_47,
ap_return_48,
ap_return_49,
ap_return_50,
ap_return_51,
ap_return_52,
ap_return_53,
ap_return_54,
ap_return_55,
ap_return_56,
ap_return_57,
ap_return_58,
ap_return_59,
ap_return_60,
ap_return_61,
ap_return_62,
ap_return_63,
ap_return_64,
ap_return_65,
ap_return_66,
ap_return_67,
ap_return_68,
ap_return_69,
ap_return_70,
ap_return_71,
ap_return_72,
ap_return_73,
ap_return_74,
ap_return_75,
ap_return_76,
ap_return_77,
ap_return_78,
ap_return_79,
ap_return_80,
ap_return_81,
ap_return_82,
ap_return_83,
ap_return_84,
ap_return_85,
ap_return_86,
ap_return_87,
ap_return_88,
ap_return_89,
ap_return_90,
ap_return_91,
ap_return_92,
ap_return_93,
ap_return_94,
ap_return_95,
ap_return_96,
ap_return_97,
ap_return_98,
ap_return_99,
ap_return_100,
ap_return_101,
ap_return_102,
ap_return_103,
ap_return_104,
ap_return_105,
ap_return_106,
ap_return_107,
ap_return_108,
ap_return_109,
ap_return_110
);
input ap_clk;
input ap_rst;
input [11:0] phi_0_0_V_read;
input [11:0] phi_0_1_V_read;
input [11:0] phi_0_2_V_read;
input [11:0] phi_1_0_V_read;
input [11:0] phi_1_1_V_read;
input [11:0] phi_1_2_V_read;
input [11:0] phi_2_0_V_read;
input [11:0] phi_2_1_V_read;
input [11:0] phi_2_2_V_read;
input [11:0] phi_3_0_V_read;
input [11:0] phi_3_1_V_read;
input [11:0] phi_3_2_V_read;
input [6:0] theta_0_0_V_read;
input [6:0] theta_0_1_V_read;
input [6:0] theta_0_2_V_read;
input [6:0] theta_1_0_V_read;
input [6:0] theta_1_1_V_read;
input [6:0] theta_1_2_V_read;
input [6:0] theta_2_0_V_read;
input [6:0] theta_2_1_V_read;
input [6:0] theta_2_2_V_read;
input [6:0] theta_3_0_V_read;
input [6:0] theta_3_1_V_read;
input [6:0] theta_3_2_V_read;
input [3:0] cpattern_0_0_0_V_read;
input [3:0] cpattern_0_0_1_V_read;
input [3:0] cpattern_0_0_2_V_read;
input [3:0] cpattern_0_0_3_V_read;
input [3:0] cpattern_0_1_0_V_read;
input [3:0] cpattern_0_1_1_V_read;
input [3:0] cpattern_0_1_2_V_read;
input [3:0] cpattern_0_1_3_V_read;
input [3:0] cpattern_0_2_0_V_read;
input [3:0] cpattern_0_2_1_V_read;
input [3:0] cpattern_0_2_2_V_read;
input [3:0] cpattern_0_2_3_V_read;
input [3:0] cpattern_1_0_0_V_read;
input [3:0] cpattern_1_0_1_V_read;
input [3:0] cpattern_1_0_2_V_read;
input [3:0] cpattern_1_0_3_V_read;
input [3:0] cpattern_1_1_0_V_read;
input [3:0] cpattern_1_1_1_V_read;
input [3:0] cpattern_1_1_2_V_read;
input [3:0] cpattern_1_1_3_V_read;
input [3:0] cpattern_1_2_0_V_read;
input [3:0] cpattern_1_2_1_V_read;
input [3:0] cpattern_1_2_2_V_read;
input [3:0] cpattern_1_2_3_V_read;
input [3:0] cpattern_2_0_0_V_read;
input [3:0] cpattern_2_0_1_V_read;
input [3:0] cpattern_2_0_2_V_read;
input [3:0] cpattern_2_0_3_V_read;
input [3:0] cpattern_2_1_0_V_read;
input [3:0] cpattern_2_1_1_V_read;
input [3:0] cpattern_2_1_2_V_read;
input [3:0] cpattern_2_1_3_V_read;
input [3:0] cpattern_2_2_0_V_read;
input [3:0] cpattern_2_2_1_V_read;
input [3:0] cpattern_2_2_2_V_read;
input [3:0] cpattern_2_2_3_V_read;
input [3:0] cpattern_3_0_0_V_read;
input [3:0] cpattern_3_0_1_V_read;
input [3:0] cpattern_3_0_2_V_read;
input [3:0] cpattern_3_0_3_V_read;
input [3:0] cpattern_3_1_0_V_read;
input [3:0] cpattern_3_1_1_V_read;
input [3:0] cpattern_3_1_2_V_read;
input [3:0] cpattern_3_1_3_V_read;
input [3:0] cpattern_3_2_0_V_read;
input [3:0] cpattern_3_2_1_V_read;
input [3:0] cpattern_3_2_2_V_read;
input [3:0] cpattern_3_2_3_V_read;
input [11:0] delta_ph_0_0_0_V_read;
input [11:0] delta_ph_0_0_1_V_read;
input [11:0] delta_ph_0_0_2_V_read;
input [11:0] delta_ph_0_0_3_V_read;
input [11:0] delta_ph_0_0_4_V_read;
input [11:0] delta_ph_0_0_5_V_read;
input [11:0] delta_ph_0_1_0_V_read;
input [11:0] delta_ph_0_1_1_V_read;
input [11:0] delta_ph_0_1_2_V_read;
input [11:0] delta_ph_0_1_3_V_read;
input [11:0] delta_ph_0_1_4_V_read;
input [11:0] delta_ph_0_1_5_V_read;
input [11:0] delta_ph_0_2_0_V_read;
input [11:0] delta_ph_0_2_1_V_read;
input [11:0] delta_ph_0_2_2_V_read;
input [11:0] delta_ph_0_2_3_V_read;
input [11:0] delta_ph_0_2_4_V_read;
input [11:0] delta_ph_0_2_5_V_read;
input [11:0] delta_ph_1_0_0_V_read;
input [11:0] delta_ph_1_0_1_V_read;
input [11:0] delta_ph_1_0_2_V_read;
input [11:0] delta_ph_1_0_3_V_read;
input [11:0] delta_ph_1_0_4_V_read;
input [11:0] delta_ph_1_0_5_V_read;
input [11:0] delta_ph_1_1_0_V_read;
input [11:0] delta_ph_1_1_1_V_read;
input [11:0] delta_ph_1_1_2_V_read;
input [11:0] delta_ph_1_1_3_V_read;
input [11:0] delta_ph_1_1_4_V_read;
input [11:0] delta_ph_1_1_5_V_read;
input [11:0] delta_ph_1_2_0_V_read;
input [11:0] delta_ph_1_2_1_V_read;
input [11:0] delta_ph_1_2_2_V_read;
input [11:0] delta_ph_1_2_3_V_read;
input [11:0] delta_ph_1_2_4_V_read;
input [11:0] delta_ph_1_2_5_V_read;
input [11:0] delta_ph_2_0_0_V_read;
input [11:0] delta_ph_2_0_1_V_read;
input [11:0] delta_ph_2_0_2_V_read;
input [11:0] delta_ph_2_0_3_V_read;
input [11:0] delta_ph_2_0_4_V_read;
input [11:0] delta_ph_2_0_5_V_read;
input [11:0] delta_ph_2_1_0_V_read;
input [11:0] delta_ph_2_1_1_V_read;
input [11:0] delta_ph_2_1_2_V_read;
input [11:0] delta_ph_2_1_3_V_read;
input [11:0] delta_ph_2_1_4_V_read;
input [11:0] delta_ph_2_1_5_V_read;
input [11:0] delta_ph_2_2_0_V_read;
input [11:0] delta_ph_2_2_1_V_read;
input [11:0] delta_ph_2_2_2_V_read;
input [11:0] delta_ph_2_2_3_V_read;
input [11:0] delta_ph_2_2_4_V_read;
input [11:0] delta_ph_2_2_5_V_read;
input [11:0] delta_ph_3_0_0_V_read;
input [11:0] delta_ph_3_0_1_V_read;
input [11:0] delta_ph_3_0_2_V_read;
input [11:0] delta_ph_3_0_3_V_read;
input [11:0] delta_ph_3_0_4_V_read;
input [11:0] delta_ph_3_0_5_V_read;
input [11:0] delta_ph_3_1_0_V_read;
input [11:0] delta_ph_3_1_1_V_read;
input [11:0] delta_ph_3_1_2_V_read;
input [11:0] delta_ph_3_1_3_V_read;
input [11:0] delta_ph_3_1_4_V_read;
input [11:0] delta_ph_3_1_5_V_read;
input [11:0] delta_ph_3_2_0_V_read;
input [11:0] delta_ph_3_2_1_V_read;
input [11:0] delta_ph_3_2_2_V_read;
input [11:0] delta_ph_3_2_3_V_read;
input [11:0] delta_ph_3_2_4_V_read;
input [11:0] delta_ph_3_2_5_V_read;
input [6:0] delta_th_0_0_0_V_read;
input [6:0] delta_th_0_0_1_V_read;
input [6:0] delta_th_0_0_2_V_read;
input [6:0] delta_th_0_0_3_V_read;
input [6:0] delta_th_0_0_4_V_read;
input [6:0] delta_th_0_0_5_V_read;
input [6:0] delta_th_0_1_0_V_read;
input [6:0] delta_th_0_1_1_V_read;
input [6:0] delta_th_0_1_2_V_read;
input [6:0] delta_th_0_1_3_V_read;
input [6:0] delta_th_0_1_4_V_read;
input [6:0] delta_th_0_1_5_V_read;
input [6:0] delta_th_0_2_0_V_read;
input [6:0] delta_th_0_2_1_V_read;
input [6:0] delta_th_0_2_2_V_read;
input [6:0] delta_th_0_2_3_V_read;
input [6:0] delta_th_0_2_4_V_read;
input [6:0] delta_th_0_2_5_V_read;
input [6:0] delta_th_1_0_0_V_read;
input [6:0] delta_th_1_0_1_V_read;
input [6:0] delta_th_1_0_2_V_read;
input [6:0] delta_th_1_0_3_V_read;
input [6:0] delta_th_1_0_4_V_read;
input [6:0] delta_th_1_0_5_V_read;
input [6:0] delta_th_1_1_0_V_read;
input [6:0] delta_th_1_1_1_V_read;
input [6:0] delta_th_1_1_2_V_read;
input [6:0] delta_th_1_1_3_V_read;
input [6:0] delta_th_1_1_4_V_read;
input [6:0] delta_th_1_1_5_V_read;
input [6:0] delta_th_1_2_0_V_read;
input [6:0] delta_th_1_2_1_V_read;
input [6:0] delta_th_1_2_2_V_read;
input [6:0] delta_th_1_2_3_V_read;
input [6:0] delta_th_1_2_4_V_read;
input [6:0] delta_th_1_2_5_V_read;
input [6:0] delta_th_2_0_0_V_read;
input [6:0] delta_th_2_0_1_V_read;
input [6:0] delta_th_2_0_2_V_read;
input [6:0] delta_th_2_0_3_V_read;
input [6:0] delta_th_2_0_4_V_read;
input [6:0] delta_th_2_0_5_V_read;
input [6:0] delta_th_2_1_0_V_read;
input [6:0] delta_th_2_1_1_V_read;
input [6:0] delta_th_2_1_2_V_read;
input [6:0] delta_th_2_1_3_V_read;
input [6:0] delta_th_2_1_4_V_read;
input [6:0] delta_th_2_1_5_V_read;
input [6:0] delta_th_2_2_0_V_read;
input [6:0] delta_th_2_2_1_V_read;
input [6:0] delta_th_2_2_2_V_read;
input [6:0] delta_th_2_2_3_V_read;
input [6:0] delta_th_2_2_4_V_read;
input [6:0] delta_th_2_2_5_V_read;
input [6:0] delta_th_3_0_0_V_read;
input [6:0] delta_th_3_0_1_V_read;
input [6:0] delta_th_3_0_2_V_read;
input [6:0] delta_th_3_0_3_V_read;
input [6:0] delta_th_3_0_4_V_read;
input [6:0] delta_th_3_0_5_V_read;
input [6:0] delta_th_3_1_0_V_read;
input [6:0] delta_th_3_1_1_V_read;
input [6:0] delta_th_3_1_2_V_read;
input [6:0] delta_th_3_1_3_V_read;
input [6:0] delta_th_3_1_4_V_read;
input [6:0] delta_th_3_1_5_V_read;
input [6:0] delta_th_3_2_0_V_read;
input [6:0] delta_th_3_2_1_V_read;
input [6:0] delta_th_3_2_2_V_read;
input [6:0] delta_th_3_2_3_V_read;
input [6:0] delta_th_3_2_4_V_read;
input [6:0] delta_th_3_2_5_V_read;
input [5:0] sign_ph_0_0_V_read;
input [5:0] sign_ph_0_1_V_read;
input [5:0] sign_ph_0_2_V_read;
input [5:0] sign_ph_1_0_V_read;
input [5:0] sign_ph_1_1_V_read;
input [5:0] sign_ph_1_2_V_read;
input [5:0] sign_ph_2_0_V_read;
input [5:0] sign_ph_2_1_V_read;
input [5:0] sign_ph_2_2_V_read;
input [5:0] sign_ph_3_0_V_read;
input [5:0] sign_ph_3_1_V_read;
input [5:0] sign_ph_3_2_V_read;
input [5:0] sign_th_0_0_V_read;
input [5:0] sign_th_0_1_V_read;
input [5:0] sign_th_0_2_V_read;
input [5:0] sign_th_1_0_V_read;
input [5:0] sign_th_1_1_V_read;
input [5:0] sign_th_1_2_V_read;
input [5:0] sign_th_2_0_V_read;
input [5:0] sign_th_2_1_V_read;
input [5:0] sign_th_2_2_V_read;
input [5:0] sign_th_3_0_V_read;
input [5:0] sign_th_3_1_V_read;
input [5:0] sign_th_3_2_V_read;
input [6:0] rank_0_0_V_read;
input [6:0] rank_0_1_V_read;
input [6:0] rank_0_2_V_read;
input [6:0] rank_1_0_V_read;
input [6:0] rank_1_1_V_read;
input [6:0] rank_1_2_V_read;
input [6:0] rank_2_0_V_read;
input [6:0] rank_2_1_V_read;
input [6:0] rank_2_2_V_read;
input [6:0] rank_3_0_V_read;
input [6:0] rank_3_1_V_read;
input [6:0] rank_3_2_V_read;
input [3:0] si_0_0_V_read;
input [3:0] si_0_1_V_read;
input [3:0] si_0_2_V_read;
input [3:0] si_1_0_V_read;
input [3:0] si_1_1_V_read;
input [3:0] si_1_2_V_read;
input [3:0] si_2_0_V_read;
input [3:0] si_2_1_V_read;
input [3:0] si_2_2_V_read;
input [3:0] si_3_0_V_read;
input [3:0] si_3_1_V_read;
input [3:0] si_3_2_V_read;
output [11:0] ap_return_0;
output [11:0] ap_return_1;
output [11:0] ap_return_2;
output [6:0] ap_return_3;
output [6:0] ap_return_4;
output [6:0] ap_return_5;
output [3:0] ap_return_6;
output [3:0] ap_return_7;
output [3:0] ap_return_8;
output [3:0] ap_return_9;
output [3:0] ap_return_10;
output [3:0] ap_return_11;
output [3:0] ap_return_12;
output [3:0] ap_return_13;
output [3:0] ap_return_14;
output [3:0] ap_return_15;
output [3:0] ap_return_16;
output [3:0] ap_return_17;
output [11:0] ap_return_18;
output [11:0] ap_return_19;
output [11:0] ap_return_20;
output [11:0] ap_return_21;
output [11:0] ap_return_22;
output [11:0] ap_return_23;
output [11:0] ap_return_24;
output [11:0] ap_return_25;
output [11:0] ap_return_26;
output [11:0] ap_return_27;
output [11:0] ap_return_28;
output [11:0] ap_return_29;
output [11:0] ap_return_30;
output [11:0] ap_return_31;
output [11:0] ap_return_32;
output [11:0] ap_return_33;
output [11:0] ap_return_34;
output [11:0] ap_return_35;
output [6:0] ap_return_36;
output [6:0] ap_return_37;
output [6:0] ap_return_38;
output [6:0] ap_return_39;
output [6:0] ap_return_40;
output [6:0] ap_return_41;
output [6:0] ap_return_42;
output [6:0] ap_return_43;
output [6:0] ap_return_44;
output [6:0] ap_return_45;
output [6:0] ap_return_46;
output [6:0] ap_return_47;
output [6:0] ap_return_48;
output [6:0] ap_return_49;
output [6:0] ap_return_50;
output [6:0] ap_return_51;
output [6:0] ap_return_52;
output [6:0] ap_return_53;
output [5:0] ap_return_54;
output [5:0] ap_return_55;
output [5:0] ap_return_56;
output [5:0] ap_return_57;
output [5:0] ap_return_58;
output [5:0] ap_return_59;
output [6:0] ap_return_60;
output [6:0] ap_return_61;
output [6:0] ap_return_62;
output [1:0] ap_return_63;
output [1:0] ap_return_64;
output [1:0] ap_return_65;
output [1:0] ap_return_66;
output [1:0] ap_return_67;
output [1:0] ap_return_68;
output [1:0] ap_return_69;
output [1:0] ap_return_70;
output [1:0] ap_return_71;
output [1:0] ap_return_72;
output [1:0] ap_return_73;
output [1:0] ap_return_74;
output [1:0] ap_return_75;
output [1:0] ap_return_76;
output [1:0] ap_return_77;
output [1:0] ap_return_78;
output [1:0] ap_return_79;
output [1:0] ap_return_80;
output [1:0] ap_return_81;
output [1:0] ap_return_82;
output [1:0] ap_return_83;
output [1:0] ap_return_84;
output [1:0] ap_return_85;
output [1:0] ap_return_86;
output [1:0] ap_return_87;
output [1:0] ap_return_88;
output [1:0] ap_return_89;
output [1:0] ap_return_90;
output [1:0] ap_return_91;
output [1:0] ap_return_92;
output [3:0] ap_return_93;
output [3:0] ap_return_94;
output [3:0] ap_return_95;
output [3:0] ap_return_96;
output [3:0] ap_return_97;
output [3:0] ap_return_98;
output [3:0] ap_return_99;
output [3:0] ap_return_100;
output [3:0] ap_return_101;
output [3:0] ap_return_102;
output [3:0] ap_return_103;
output [3:0] ap_return_104;
output [3:0] ap_return_105;
output [3:0] ap_return_106;
output [3:0] ap_return_107;
output [4:0] ap_return_108;
output [4:0] ap_return_109;
output [4:0] ap_return_110;
wire [11:0] grp_sp_best_tracks_actual_fu_2136_ap_return_0;
wire [11:0] grp_sp_best_tracks_actual_fu_2136_ap_return_1;
wire [11:0] grp_sp_best_tracks_actual_fu_2136_ap_return_2;
wire [6:0] grp_sp_best_tracks_actual_fu_2136_ap_return_3;
wire [6:0] grp_sp_best_tracks_actual_fu_2136_ap_return_4;
wire [6:0] grp_sp_best_tracks_actual_fu_2136_ap_return_5;
wire [3:0] grp_sp_best_tracks_actual_fu_2136_ap_return_6;
wire [3:0] grp_sp_best_tracks_actual_fu_2136_ap_return_7;
wire [3:0] grp_sp_best_tracks_actual_fu_2136_ap_return_8;
wire [3:0] grp_sp_best_tracks_actual_fu_2136_ap_return_9;
wire [3:0] grp_sp_best_tracks_actual_fu_2136_ap_return_10;
wire [3:0] grp_sp_best_tracks_actual_fu_2136_ap_return_11;
wire [3:0] grp_sp_best_tracks_actual_fu_2136_ap_return_12;
wire [3:0] grp_sp_best_tracks_actual_fu_2136_ap_return_13;
wire [3:0] grp_sp_best_tracks_actual_fu_2136_ap_return_14;
wire [3:0] grp_sp_best_tracks_actual_fu_2136_ap_return_15;
wire [3:0] grp_sp_best_tracks_actual_fu_2136_ap_return_16;
wire [3:0] grp_sp_best_tracks_actual_fu_2136_ap_return_17;
wire [11:0] grp_sp_best_tracks_actual_fu_2136_ap_return_18;
wire [11:0] grp_sp_best_tracks_actual_fu_2136_ap_return_19;
wire [11:0] grp_sp_best_tracks_actual_fu_2136_ap_return_20;
wire [11:0] grp_sp_best_tracks_actual_fu_2136_ap_return_21;
wire [11:0] grp_sp_best_tracks_actual_fu_2136_ap_return_22;
wire [11:0] grp_sp_best_tracks_actual_fu_2136_ap_return_23;
wire [11:0] grp_sp_best_tracks_actual_fu_2136_ap_return_24;
wire [11:0] grp_sp_best_tracks_actual_fu_2136_ap_return_25;
wire [11:0] grp_sp_best_tracks_actual_fu_2136_ap_return_26;
wire [11:0] grp_sp_best_tracks_actual_fu_2136_ap_return_27;
wire [11:0] grp_sp_best_tracks_actual_fu_2136_ap_return_28;
wire [11:0] grp_sp_best_tracks_actual_fu_2136_ap_return_29;
wire [11:0] grp_sp_best_tracks_actual_fu_2136_ap_return_30;
wire [11:0] grp_sp_best_tracks_actual_fu_2136_ap_return_31;
wire [11:0] grp_sp_best_tracks_actual_fu_2136_ap_return_32;
wire [11:0] grp_sp_best_tracks_actual_fu_2136_ap_return_33;
wire [11:0] grp_sp_best_tracks_actual_fu_2136_ap_return_34;
wire [11:0] grp_sp_best_tracks_actual_fu_2136_ap_return_35;
wire [6:0] grp_sp_best_tracks_actual_fu_2136_ap_return_36;
wire [6:0] grp_sp_best_tracks_actual_fu_2136_ap_return_37;
wire [6:0] grp_sp_best_tracks_actual_fu_2136_ap_return_38;
wire [6:0] grp_sp_best_tracks_actual_fu_2136_ap_return_39;
wire [6:0] grp_sp_best_tracks_actual_fu_2136_ap_return_40;
wire [6:0] grp_sp_best_tracks_actual_fu_2136_ap_return_41;
wire [6:0] grp_sp_best_tracks_actual_fu_2136_ap_return_42;
wire [6:0] grp_sp_best_tracks_actual_fu_2136_ap_return_43;
wire [6:0] grp_sp_best_tracks_actual_fu_2136_ap_return_44;
wire [6:0] grp_sp_best_tracks_actual_fu_2136_ap_return_45;
wire [6:0] grp_sp_best_tracks_actual_fu_2136_ap_return_46;
wire [6:0] grp_sp_best_tracks_actual_fu_2136_ap_return_47;
wire [6:0] grp_sp_best_tracks_actual_fu_2136_ap_return_48;
wire [6:0] grp_sp_best_tracks_actual_fu_2136_ap_return_49;
wire [6:0] grp_sp_best_tracks_actual_fu_2136_ap_return_50;
wire [6:0] grp_sp_best_tracks_actual_fu_2136_ap_return_51;
wire [6:0] grp_sp_best_tracks_actual_fu_2136_ap_return_52;
wire [6:0] grp_sp_best_tracks_actual_fu_2136_ap_return_53;
wire [5:0] grp_sp_best_tracks_actual_fu_2136_ap_return_54;
wire [5:0] grp_sp_best_tracks_actual_fu_2136_ap_return_55;
wire [5:0] grp_sp_best_tracks_actual_fu_2136_ap_return_56;
wire [5:0] grp_sp_best_tracks_actual_fu_2136_ap_return_57;
wire [5:0] grp_sp_best_tracks_actual_fu_2136_ap_return_58;
wire [5:0] grp_sp_best_tracks_actual_fu_2136_ap_return_59;
wire [6:0] grp_sp_best_tracks_actual_fu_2136_ap_return_60;
wire [6:0] grp_sp_best_tracks_actual_fu_2136_ap_return_61;
wire [6:0] grp_sp_best_tracks_actual_fu_2136_ap_return_62;
wire [1:0] grp_sp_best_tracks_actual_fu_2136_ap_return_63;
wire [1:0] grp_sp_best_tracks_actual_fu_2136_ap_return_64;
wire [1:0] grp_sp_best_tracks_actual_fu_2136_ap_return_65;
wire [1:0] grp_sp_best_tracks_actual_fu_2136_ap_return_66;
wire [1:0] grp_sp_best_tracks_actual_fu_2136_ap_return_67;
wire [1:0] grp_sp_best_tracks_actual_fu_2136_ap_return_68;
wire [1:0] grp_sp_best_tracks_actual_fu_2136_ap_return_69;
wire [1:0] grp_sp_best_tracks_actual_fu_2136_ap_return_70;
wire [1:0] grp_sp_best_tracks_actual_fu_2136_ap_return_71;
wire [1:0] grp_sp_best_tracks_actual_fu_2136_ap_return_72;
wire [1:0] grp_sp_best_tracks_actual_fu_2136_ap_return_73;
wire [1:0] grp_sp_best_tracks_actual_fu_2136_ap_return_74;
wire [1:0] grp_sp_best_tracks_actual_fu_2136_ap_return_75;
wire [1:0] grp_sp_best_tracks_actual_fu_2136_ap_return_76;
wire [1:0] grp_sp_best_tracks_actual_fu_2136_ap_return_77;
wire [1:0] grp_sp_best_tracks_actual_fu_2136_ap_return_78;
wire [1:0] grp_sp_best_tracks_actual_fu_2136_ap_return_79;
wire [1:0] grp_sp_best_tracks_actual_fu_2136_ap_return_80;
wire [1:0] grp_sp_best_tracks_actual_fu_2136_ap_return_81;
wire [1:0] grp_sp_best_tracks_actual_fu_2136_ap_return_82;
wire [1:0] grp_sp_best_tracks_actual_fu_2136_ap_return_83;
wire [1:0] grp_sp_best_tracks_actual_fu_2136_ap_return_84;
wire [1:0] grp_sp_best_tracks_actual_fu_2136_ap_return_85;
wire [1:0] grp_sp_best_tracks_actual_fu_2136_ap_return_86;
wire [1:0] grp_sp_best_tracks_actual_fu_2136_ap_return_87;
wire [1:0] grp_sp_best_tracks_actual_fu_2136_ap_return_88;
wire [1:0] grp_sp_best_tracks_actual_fu_2136_ap_return_89;
wire [1:0] grp_sp_best_tracks_actual_fu_2136_ap_return_90;
wire [1:0] grp_sp_best_tracks_actual_fu_2136_ap_return_91;
wire [1:0] grp_sp_best_tracks_actual_fu_2136_ap_return_92;
wire [3:0] grp_sp_best_tracks_actual_fu_2136_ap_return_93;
wire [3:0] grp_sp_best_tracks_actual_fu_2136_ap_return_94;
wire [3:0] grp_sp_best_tracks_actual_fu_2136_ap_return_95;
wire [3:0] grp_sp_best_tracks_actual_fu_2136_ap_return_96;
wire [3:0] grp_sp_best_tracks_actual_fu_2136_ap_return_97;
wire [3:0] grp_sp_best_tracks_actual_fu_2136_ap_return_98;
wire [3:0] grp_sp_best_tracks_actual_fu_2136_ap_return_99;
wire [3:0] grp_sp_best_tracks_actual_fu_2136_ap_return_100;
wire [3:0] grp_sp_best_tracks_actual_fu_2136_ap_return_101;
wire [3:0] grp_sp_best_tracks_actual_fu_2136_ap_return_102;
wire [3:0] grp_sp_best_tracks_actual_fu_2136_ap_return_103;
wire [3:0] grp_sp_best_tracks_actual_fu_2136_ap_return_104;
wire [3:0] grp_sp_best_tracks_actual_fu_2136_ap_return_105;
wire [3:0] grp_sp_best_tracks_actual_fu_2136_ap_return_106;
wire [3:0] grp_sp_best_tracks_actual_fu_2136_ap_return_107;
wire [4:0] grp_sp_best_tracks_actual_fu_2136_ap_return_108;
wire [4:0] grp_sp_best_tracks_actual_fu_2136_ap_return_109;
wire [4:0] grp_sp_best_tracks_actual_fu_2136_ap_return_110;
sp_best_tracks_actual grp_sp_best_tracks_actual_fu_2136(
.ap_clk(ap_clk),
.ap_rst(ap_rst),
.phi_0_0_V_read(phi_0_0_V_read),
.phi_0_1_V_read(phi_0_1_V_read),
.phi_0_2_V_read(phi_0_2_V_read),
.phi_1_0_V_read(phi_1_0_V_read),
.phi_1_1_V_read(phi_1_1_V_read),
.phi_1_2_V_read(phi_1_2_V_read),
.phi_2_0_V_read(phi_2_0_V_read),
.phi_2_1_V_read(phi_2_1_V_read),
.phi_2_2_V_read(phi_2_2_V_read),
.phi_3_0_V_read(phi_3_0_V_read),
.phi_3_1_V_read(phi_3_1_V_read),
.phi_3_2_V_read(phi_3_2_V_read),
.theta_0_0_V_read(theta_0_0_V_read),
.theta_0_1_V_read(theta_0_1_V_read),
.theta_0_2_V_read(theta_0_2_V_read),
.theta_1_0_V_read(theta_1_0_V_read),
.theta_1_1_V_read(theta_1_1_V_read),
.theta_1_2_V_read(theta_1_2_V_read),
.theta_2_0_V_read(theta_2_0_V_read),
.theta_2_1_V_read(theta_2_1_V_read),
.theta_2_2_V_read(theta_2_2_V_read),
.theta_3_0_V_read(theta_3_0_V_read),
.theta_3_1_V_read(theta_3_1_V_read),
.theta_3_2_V_read(theta_3_2_V_read),
.cpattern_0_0_0_V_read(cpattern_0_0_0_V_read),
.cpattern_0_0_1_V_read(cpattern_0_0_1_V_read),
.cpattern_0_0_2_V_read(cpattern_0_0_2_V_read),
.cpattern_0_0_3_V_read(cpattern_0_0_3_V_read),
.cpattern_0_1_0_V_read(cpattern_0_1_0_V_read),
.cpattern_0_1_1_V_read(cpattern_0_1_1_V_read),
.cpattern_0_1_2_V_read(cpattern_0_1_2_V_read),
.cpattern_0_1_3_V_read(cpattern_0_1_3_V_read),
.cpattern_0_2_0_V_read(cpattern_0_2_0_V_read),
.cpattern_0_2_1_V_read(cpattern_0_2_1_V_read),
.cpattern_0_2_2_V_read(cpattern_0_2_2_V_read),
.cpattern_0_2_3_V_read(cpattern_0_2_3_V_read),
.cpattern_1_0_0_V_read(cpattern_1_0_0_V_read),
.cpattern_1_0_1_V_read(cpattern_1_0_1_V_read),
.cpattern_1_0_2_V_read(cpattern_1_0_2_V_read),
.cpattern_1_0_3_V_read(cpattern_1_0_3_V_read),
.cpattern_1_1_0_V_read(cpattern_1_1_0_V_read),
.cpattern_1_1_1_V_read(cpattern_1_1_1_V_read),
.cpattern_1_1_2_V_read(cpattern_1_1_2_V_read),
.cpattern_1_1_3_V_read(cpattern_1_1_3_V_read),
.cpattern_1_2_0_V_read(cpattern_1_2_0_V_read),
.cpattern_1_2_1_V_read(cpattern_1_2_1_V_read),
.cpattern_1_2_2_V_read(cpattern_1_2_2_V_read),
.cpattern_1_2_3_V_read(cpattern_1_2_3_V_read),
.cpattern_2_0_0_V_read(cpattern_2_0_0_V_read),
.cpattern_2_0_1_V_read(cpattern_2_0_1_V_read),
.cpattern_2_0_2_V_read(cpattern_2_0_2_V_read),
.cpattern_2_0_3_V_read(cpattern_2_0_3_V_read),
.cpattern_2_1_0_V_read(cpattern_2_1_0_V_read),
.cpattern_2_1_1_V_read(cpattern_2_1_1_V_read),
.cpattern_2_1_2_V_read(cpattern_2_1_2_V_read),
.cpattern_2_1_3_V_read(cpattern_2_1_3_V_read),
.cpattern_2_2_0_V_read(cpattern_2_2_0_V_read),
.cpattern_2_2_1_V_read(cpattern_2_2_1_V_read),
.cpattern_2_2_2_V_read(cpattern_2_2_2_V_read),
.cpattern_2_2_3_V_read(cpattern_2_2_3_V_read),
.cpattern_3_0_0_V_read(cpattern_3_0_0_V_read),
.cpattern_3_0_1_V_read(cpattern_3_0_1_V_read),
.cpattern_3_0_2_V_read(cpattern_3_0_2_V_read),
.cpattern_3_0_3_V_read(cpattern_3_0_3_V_read),
.cpattern_3_1_0_V_read(cpattern_3_1_0_V_read),
.cpattern_3_1_1_V_read(cpattern_3_1_1_V_read),
.cpattern_3_1_2_V_read(cpattern_3_1_2_V_read),
.cpattern_3_1_3_V_read(cpattern_3_1_3_V_read),
.cpattern_3_2_0_V_read(cpattern_3_2_0_V_read),
.cpattern_3_2_1_V_read(cpattern_3_2_1_V_read),
.cpattern_3_2_2_V_read(cpattern_3_2_2_V_read),
.cpattern_3_2_3_V_read(cpattern_3_2_3_V_read),
.delta_ph_0_0_0_V_read(delta_ph_0_0_0_V_read),
.delta_ph_0_0_1_V_read(delta_ph_0_0_1_V_read),
.delta_ph_0_0_2_V_read(delta_ph_0_0_2_V_read),
.delta_ph_0_0_3_V_read(delta_ph_0_0_3_V_read),
.delta_ph_0_0_4_V_read(delta_ph_0_0_4_V_read),
.delta_ph_0_0_5_V_read(delta_ph_0_0_5_V_read),
.delta_ph_0_1_0_V_read(delta_ph_0_1_0_V_read),
.delta_ph_0_1_1_V_read(delta_ph_0_1_1_V_read),
.delta_ph_0_1_2_V_read(delta_ph_0_1_2_V_read),
.delta_ph_0_1_3_V_read(delta_ph_0_1_3_V_read),
.delta_ph_0_1_4_V_read(delta_ph_0_1_4_V_read),
.delta_ph_0_1_5_V_read(delta_ph_0_1_5_V_read),
.delta_ph_0_2_0_V_read(delta_ph_0_2_0_V_read),
.delta_ph_0_2_1_V_read(delta_ph_0_2_1_V_read),
.delta_ph_0_2_2_V_read(delta_ph_0_2_2_V_read),
.delta_ph_0_2_3_V_read(delta_ph_0_2_3_V_read),
.delta_ph_0_2_4_V_read(delta_ph_0_2_4_V_read),
.delta_ph_0_2_5_V_read(delta_ph_0_2_5_V_read),
.delta_ph_1_0_0_V_read(delta_ph_1_0_0_V_read),
.delta_ph_1_0_1_V_read(delta_ph_1_0_1_V_read),
.delta_ph_1_0_2_V_read(delta_ph_1_0_2_V_read),
.delta_ph_1_0_3_V_read(delta_ph_1_0_3_V_read),
.delta_ph_1_0_4_V_read(delta_ph_1_0_4_V_read),
.delta_ph_1_0_5_V_read(delta_ph_1_0_5_V_read),
.delta_ph_1_1_0_V_read(delta_ph_1_1_0_V_read),
.delta_ph_1_1_1_V_read(delta_ph_1_1_1_V_read),
.delta_ph_1_1_2_V_read(delta_ph_1_1_2_V_read),
.delta_ph_1_1_3_V_read(delta_ph_1_1_3_V_read),
.delta_ph_1_1_4_V_read(delta_ph_1_1_4_V_read),
.delta_ph_1_1_5_V_read(delta_ph_1_1_5_V_read),
.delta_ph_1_2_0_V_read(delta_ph_1_2_0_V_read),
.delta_ph_1_2_1_V_read(delta_ph_1_2_1_V_read),
.delta_ph_1_2_2_V_read(delta_ph_1_2_2_V_read),
.delta_ph_1_2_3_V_read(delta_ph_1_2_3_V_read),
.delta_ph_1_2_4_V_read(delta_ph_1_2_4_V_read),
.delta_ph_1_2_5_V_read(delta_ph_1_2_5_V_read),
.delta_ph_2_0_0_V_read(delta_ph_2_0_0_V_read),
.delta_ph_2_0_1_V_read(delta_ph_2_0_1_V_read),
.delta_ph_2_0_2_V_read(delta_ph_2_0_2_V_read),
.delta_ph_2_0_3_V_read(delta_ph_2_0_3_V_read),
.delta_ph_2_0_4_V_read(delta_ph_2_0_4_V_read),
.delta_ph_2_0_5_V_read(delta_ph_2_0_5_V_read),
.delta_ph_2_1_0_V_read(delta_ph_2_1_0_V_read),
.delta_ph_2_1_1_V_read(delta_ph_2_1_1_V_read),
.delta_ph_2_1_2_V_read(delta_ph_2_1_2_V_read),
.delta_ph_2_1_3_V_read(delta_ph_2_1_3_V_read),
.delta_ph_2_1_4_V_read(delta_ph_2_1_4_V_read),
.delta_ph_2_1_5_V_read(delta_ph_2_1_5_V_read),
.delta_ph_2_2_0_V_read(delta_ph_2_2_0_V_read),
.delta_ph_2_2_1_V_read(delta_ph_2_2_1_V_read),
.delta_ph_2_2_2_V_read(delta_ph_2_2_2_V_read),
.delta_ph_2_2_3_V_read(delta_ph_2_2_3_V_read),
.delta_ph_2_2_4_V_read(delta_ph_2_2_4_V_read),
.delta_ph_2_2_5_V_read(delta_ph_2_2_5_V_read),
.delta_ph_3_0_0_V_read(delta_ph_3_0_0_V_read),
.delta_ph_3_0_1_V_read(delta_ph_3_0_1_V_read),
.delta_ph_3_0_2_V_read(delta_ph_3_0_2_V_read),
.delta_ph_3_0_3_V_read(delta_ph_3_0_3_V_read),
.delta_ph_3_0_4_V_read(delta_ph_3_0_4_V_read),
.delta_ph_3_0_5_V_read(delta_ph_3_0_5_V_read),
.delta_ph_3_1_0_V_read(delta_ph_3_1_0_V_read),
.delta_ph_3_1_1_V_read(delta_ph_3_1_1_V_read),
.delta_ph_3_1_2_V_read(delta_ph_3_1_2_V_read),
.delta_ph_3_1_3_V_read(delta_ph_3_1_3_V_read),
.delta_ph_3_1_4_V_read(delta_ph_3_1_4_V_read),
.delta_ph_3_1_5_V_read(delta_ph_3_1_5_V_read),
.delta_ph_3_2_0_V_read(delta_ph_3_2_0_V_read),
.delta_ph_3_2_1_V_read(delta_ph_3_2_1_V_read),
.delta_ph_3_2_2_V_read(delta_ph_3_2_2_V_read),
.delta_ph_3_2_3_V_read(delta_ph_3_2_3_V_read),
.delta_ph_3_2_4_V_read(delta_ph_3_2_4_V_read),
.delta_ph_3_2_5_V_read(delta_ph_3_2_5_V_read),
.delta_th_0_0_0_V_read(delta_th_0_0_0_V_read),
.delta_th_0_0_1_V_read(delta_th_0_0_1_V_read),
.delta_th_0_0_2_V_read(delta_th_0_0_2_V_read),
.delta_th_0_0_3_V_read(delta_th_0_0_3_V_read),
.delta_th_0_0_4_V_read(delta_th_0_0_4_V_read),
.delta_th_0_0_5_V_read(delta_th_0_0_5_V_read),
.delta_th_0_1_0_V_read(delta_th_0_1_0_V_read),
.delta_th_0_1_1_V_read(delta_th_0_1_1_V_read),
.delta_th_0_1_2_V_read(delta_th_0_1_2_V_read),
.delta_th_0_1_3_V_read(delta_th_0_1_3_V_read),
.delta_th_0_1_4_V_read(delta_th_0_1_4_V_read),
.delta_th_0_1_5_V_read(delta_th_0_1_5_V_read),
.delta_th_0_2_0_V_read(delta_th_0_2_0_V_read),
.delta_th_0_2_1_V_read(delta_th_0_2_1_V_read),
.delta_th_0_2_2_V_read(delta_th_0_2_2_V_read),
.delta_th_0_2_3_V_read(delta_th_0_2_3_V_read),
.delta_th_0_2_4_V_read(delta_th_0_2_4_V_read),
.delta_th_0_2_5_V_read(delta_th_0_2_5_V_read),
.delta_th_1_0_0_V_read(delta_th_1_0_0_V_read),
.delta_th_1_0_1_V_read(delta_th_1_0_1_V_read),
.delta_th_1_0_2_V_read(delta_th_1_0_2_V_read),
.delta_th_1_0_3_V_read(delta_th_1_0_3_V_read),
.delta_th_1_0_4_V_read(delta_th_1_0_4_V_read),
.delta_th_1_0_5_V_read(delta_th_1_0_5_V_read),
.delta_th_1_1_0_V_read(delta_th_1_1_0_V_read),
.delta_th_1_1_1_V_read(delta_th_1_1_1_V_read),
.delta_th_1_1_2_V_read(delta_th_1_1_2_V_read),
.delta_th_1_1_3_V_read(delta_th_1_1_3_V_read),
.delta_th_1_1_4_V_read(delta_th_1_1_4_V_read),
.delta_th_1_1_5_V_read(delta_th_1_1_5_V_read),
.delta_th_1_2_0_V_read(delta_th_1_2_0_V_read),
.delta_th_1_2_1_V_read(delta_th_1_2_1_V_read),
.delta_th_1_2_2_V_read(delta_th_1_2_2_V_read),
.delta_th_1_2_3_V_read(delta_th_1_2_3_V_read),
.delta_th_1_2_4_V_read(delta_th_1_2_4_V_read),
.delta_th_1_2_5_V_read(delta_th_1_2_5_V_read),
.delta_th_2_0_0_V_read(delta_th_2_0_0_V_read),
.delta_th_2_0_1_V_read(delta_th_2_0_1_V_read),
.delta_th_2_0_2_V_read(delta_th_2_0_2_V_read),
.delta_th_2_0_3_V_read(delta_th_2_0_3_V_read),
.delta_th_2_0_4_V_read(delta_th_2_0_4_V_read),
.delta_th_2_0_5_V_read(delta_th_2_0_5_V_read),
.delta_th_2_1_0_V_read(delta_th_2_1_0_V_read),
.delta_th_2_1_1_V_read(delta_th_2_1_1_V_read),
.delta_th_2_1_2_V_read(delta_th_2_1_2_V_read),
.delta_th_2_1_3_V_read(delta_th_2_1_3_V_read),
.delta_th_2_1_4_V_read(delta_th_2_1_4_V_read),
.delta_th_2_1_5_V_read(delta_th_2_1_5_V_read),
.delta_th_2_2_0_V_read(delta_th_2_2_0_V_read),
.delta_th_2_2_1_V_read(delta_th_2_2_1_V_read),
.delta_th_2_2_2_V_read(delta_th_2_2_2_V_read),
.delta_th_2_2_3_V_read(delta_th_2_2_3_V_read),
.delta_th_2_2_4_V_read(delta_th_2_2_4_V_read),
.delta_th_2_2_5_V_read(delta_th_2_2_5_V_read),
.delta_th_3_0_0_V_read(delta_th_3_0_0_V_read),
.delta_th_3_0_1_V_read(delta_th_3_0_1_V_read),
.delta_th_3_0_2_V_read(delta_th_3_0_2_V_read),
.delta_th_3_0_3_V_read(delta_th_3_0_3_V_read),
.delta_th_3_0_4_V_read(delta_th_3_0_4_V_read),
.delta_th_3_0_5_V_read(delta_th_3_0_5_V_read),
.delta_th_3_1_0_V_read(delta_th_3_1_0_V_read),
.delta_th_3_1_1_V_read(delta_th_3_1_1_V_read),
.delta_th_3_1_2_V_read(delta_th_3_1_2_V_read),
.delta_th_3_1_3_V_read(delta_th_3_1_3_V_read),
.delta_th_3_1_4_V_read(delta_th_3_1_4_V_read),
.delta_th_3_1_5_V_read(delta_th_3_1_5_V_read),
.delta_th_3_2_0_V_read(delta_th_3_2_0_V_read),
.delta_th_3_2_1_V_read(delta_th_3_2_1_V_read),
.delta_th_3_2_2_V_read(delta_th_3_2_2_V_read),
.delta_th_3_2_3_V_read(delta_th_3_2_3_V_read),
.delta_th_3_2_4_V_read(delta_th_3_2_4_V_read),
.delta_th_3_2_5_V_read(delta_th_3_2_5_V_read),
.sign_ph_0_0_V_read(sign_ph_0_0_V_read),
.sign_ph_0_1_V_read(sign_ph_0_1_V_read),
.sign_ph_0_2_V_read(sign_ph_0_2_V_read),
.sign_ph_1_0_V_read(sign_ph_1_0_V_read),
.sign_ph_1_1_V_read(sign_ph_1_1_V_read),
.sign_ph_1_2_V_read(sign_ph_1_2_V_read),
.sign_ph_2_0_V_read(sign_ph_2_0_V_read),
.sign_ph_2_1_V_read(sign_ph_2_1_V_read),
.sign_ph_2_2_V_read(sign_ph_2_2_V_read),
.sign_ph_3_0_V_read(sign_ph_3_0_V_read),
.sign_ph_3_1_V_read(sign_ph_3_1_V_read),
.sign_ph_3_2_V_read(sign_ph_3_2_V_read),
.sign_th_0_0_V_read(sign_th_0_0_V_read),
.sign_th_0_1_V_read(sign_th_0_1_V_read),
.sign_th_0_2_V_read(sign_th_0_2_V_read),
.sign_th_1_0_V_read(sign_th_1_0_V_read),
.sign_th_1_1_V_read(sign_th_1_1_V_read),
.sign_th_1_2_V_read(sign_th_1_2_V_read),
.sign_th_2_0_V_read(sign_th_2_0_V_read),
.sign_th_2_1_V_read(sign_th_2_1_V_read),
.sign_th_2_2_V_read(sign_th_2_2_V_read),
.sign_th_3_0_V_read(sign_th_3_0_V_read),
.sign_th_3_1_V_read(sign_th_3_1_V_read),
.sign_th_3_2_V_read(sign_th_3_2_V_read),
.rank_0_0_V_read(rank_0_0_V_read),
.rank_0_1_V_read(rank_0_1_V_read),
.rank_0_2_V_read(rank_0_2_V_read),
.rank_1_0_V_read(rank_1_0_V_read),
.rank_1_1_V_read(rank_1_1_V_read),
.rank_1_2_V_read(rank_1_2_V_read),
.rank_2_0_V_read(rank_2_0_V_read),
.rank_2_1_V_read(rank_2_1_V_read),
.rank_2_2_V_read(rank_2_2_V_read),
.rank_3_0_V_read(rank_3_0_V_read),
.rank_3_1_V_read(rank_3_1_V_read),
.rank_3_2_V_read(rank_3_2_V_read),
.si_0_0_V_read(si_0_0_V_read),
.si_0_1_V_read(si_0_1_V_read),
.si_0_2_V_read(si_0_2_V_read),
.si_1_0_V_read(si_1_0_V_read),
.si_1_1_V_read(si_1_1_V_read),
.si_1_2_V_read(si_1_2_V_read),
.si_2_0_V_read(si_2_0_V_read),
.si_2_1_V_read(si_2_1_V_read),
.si_2_2_V_read(si_2_2_V_read),
.si_3_0_V_read(si_3_0_V_read),
.si_3_1_V_read(si_3_1_V_read),
.si_3_2_V_read(si_3_2_V_read),
.ap_return_0(grp_sp_best_tracks_actual_fu_2136_ap_return_0),
.ap_return_1(grp_sp_best_tracks_actual_fu_2136_ap_return_1),
.ap_return_2(grp_sp_best_tracks_actual_fu_2136_ap_return_2),
.ap_return_3(grp_sp_best_tracks_actual_fu_2136_ap_return_3),
.ap_return_4(grp_sp_best_tracks_actual_fu_2136_ap_return_4),
.ap_return_5(grp_sp_best_tracks_actual_fu_2136_ap_return_5),
.ap_return_6(grp_sp_best_tracks_actual_fu_2136_ap_return_6),
.ap_return_7(grp_sp_best_tracks_actual_fu_2136_ap_return_7),
.ap_return_8(grp_sp_best_tracks_actual_fu_2136_ap_return_8),
.ap_return_9(grp_sp_best_tracks_actual_fu_2136_ap_return_9),
.ap_return_10(grp_sp_best_tracks_actual_fu_2136_ap_return_10),
.ap_return_11(grp_sp_best_tracks_actual_fu_2136_ap_return_11),
.ap_return_12(grp_sp_best_tracks_actual_fu_2136_ap_return_12),
.ap_return_13(grp_sp_best_tracks_actual_fu_2136_ap_return_13),
.ap_return_14(grp_sp_best_tracks_actual_fu_2136_ap_return_14),
.ap_return_15(grp_sp_best_tracks_actual_fu_2136_ap_return_15),
.ap_return_16(grp_sp_best_tracks_actual_fu_2136_ap_return_16),
.ap_return_17(grp_sp_best_tracks_actual_fu_2136_ap_return_17),
.ap_return_18(grp_sp_best_tracks_actual_fu_2136_ap_return_18),
.ap_return_19(grp_sp_best_tracks_actual_fu_2136_ap_return_19),
.ap_return_20(grp_sp_best_tracks_actual_fu_2136_ap_return_20),
.ap_return_21(grp_sp_best_tracks_actual_fu_2136_ap_return_21),
.ap_return_22(grp_sp_best_tracks_actual_fu_2136_ap_return_22),
.ap_return_23(grp_sp_best_tracks_actual_fu_2136_ap_return_23),
.ap_return_24(grp_sp_best_tracks_actual_fu_2136_ap_return_24),
.ap_return_25(grp_sp_best_tracks_actual_fu_2136_ap_return_25),
.ap_return_26(grp_sp_best_tracks_actual_fu_2136_ap_return_26),
.ap_return_27(grp_sp_best_tracks_actual_fu_2136_ap_return_27),
.ap_return_28(grp_sp_best_tracks_actual_fu_2136_ap_return_28),
.ap_return_29(grp_sp_best_tracks_actual_fu_2136_ap_return_29),
.ap_return_30(grp_sp_best_tracks_actual_fu_2136_ap_return_30),
.ap_return_31(grp_sp_best_tracks_actual_fu_2136_ap_return_31),
.ap_return_32(grp_sp_best_tracks_actual_fu_2136_ap_return_32),
.ap_return_33(grp_sp_best_tracks_actual_fu_2136_ap_return_33),
.ap_return_34(grp_sp_best_tracks_actual_fu_2136_ap_return_34),
.ap_return_35(grp_sp_best_tracks_actual_fu_2136_ap_return_35),
.ap_return_36(grp_sp_best_tracks_actual_fu_2136_ap_return_36),
.ap_return_37(grp_sp_best_tracks_actual_fu_2136_ap_return_37),
.ap_return_38(grp_sp_best_tracks_actual_fu_2136_ap_return_38),
.ap_return_39(grp_sp_best_tracks_actual_fu_2136_ap_return_39),
.ap_return_40(grp_sp_best_tracks_actual_fu_2136_ap_return_40),
.ap_return_41(grp_sp_best_tracks_actual_fu_2136_ap_return_41),
.ap_return_42(grp_sp_best_tracks_actual_fu_2136_ap_return_42),
.ap_return_43(grp_sp_best_tracks_actual_fu_2136_ap_return_43),
.ap_return_44(grp_sp_best_tracks_actual_fu_2136_ap_return_44),
.ap_return_45(grp_sp_best_tracks_actual_fu_2136_ap_return_45),
.ap_return_46(grp_sp_best_tracks_actual_fu_2136_ap_return_46),
.ap_return_47(grp_sp_best_tracks_actual_fu_2136_ap_return_47),
.ap_return_48(grp_sp_best_tracks_actual_fu_2136_ap_return_48),
.ap_return_49(grp_sp_best_tracks_actual_fu_2136_ap_return_49),
.ap_return_50(grp_sp_best_tracks_actual_fu_2136_ap_return_50),
.ap_return_51(grp_sp_best_tracks_actual_fu_2136_ap_return_51),
.ap_return_52(grp_sp_best_tracks_actual_fu_2136_ap_return_52),
.ap_return_53(grp_sp_best_tracks_actual_fu_2136_ap_return_53),
.ap_return_54(grp_sp_best_tracks_actual_fu_2136_ap_return_54),
.ap_return_55(grp_sp_best_tracks_actual_fu_2136_ap_return_55),
.ap_return_56(grp_sp_best_tracks_actual_fu_2136_ap_return_56),
.ap_return_57(grp_sp_best_tracks_actual_fu_2136_ap_return_57),
.ap_return_58(grp_sp_best_tracks_actual_fu_2136_ap_return_58),
.ap_return_59(grp_sp_best_tracks_actual_fu_2136_ap_return_59),
.ap_return_60(grp_sp_best_tracks_actual_fu_2136_ap_return_60),
.ap_return_61(grp_sp_best_tracks_actual_fu_2136_ap_return_61),
.ap_return_62(grp_sp_best_tracks_actual_fu_2136_ap_return_62),
.ap_return_63(grp_sp_best_tracks_actual_fu_2136_ap_return_63),
.ap_return_64(grp_sp_best_tracks_actual_fu_2136_ap_return_64),
.ap_return_65(grp_sp_best_tracks_actual_fu_2136_ap_return_65),
.ap_return_66(grp_sp_best_tracks_actual_fu_2136_ap_return_66),
.ap_return_67(grp_sp_best_tracks_actual_fu_2136_ap_return_67),
.ap_return_68(grp_sp_best_tracks_actual_fu_2136_ap_return_68),
.ap_return_69(grp_sp_best_tracks_actual_fu_2136_ap_return_69),
.ap_return_70(grp_sp_best_tracks_actual_fu_2136_ap_return_70),
.ap_return_71(grp_sp_best_tracks_actual_fu_2136_ap_return_71),
.ap_return_72(grp_sp_best_tracks_actual_fu_2136_ap_return_72),
.ap_return_73(grp_sp_best_tracks_actual_fu_2136_ap_return_73),
.ap_return_74(grp_sp_best_tracks_actual_fu_2136_ap_return_74),
.ap_return_75(grp_sp_best_tracks_actual_fu_2136_ap_return_75),
.ap_return_76(grp_sp_best_tracks_actual_fu_2136_ap_return_76),
.ap_return_77(grp_sp_best_tracks_actual_fu_2136_ap_return_77),
.ap_return_78(grp_sp_best_tracks_actual_fu_2136_ap_return_78),
.ap_return_79(grp_sp_best_tracks_actual_fu_2136_ap_return_79),
.ap_return_80(grp_sp_best_tracks_actual_fu_2136_ap_return_80),
.ap_return_81(grp_sp_best_tracks_actual_fu_2136_ap_return_81),
.ap_return_82(grp_sp_best_tracks_actual_fu_2136_ap_return_82),
.ap_return_83(grp_sp_best_tracks_actual_fu_2136_ap_return_83),
.ap_return_84(grp_sp_best_tracks_actual_fu_2136_ap_return_84),
.ap_return_85(grp_sp_best_tracks_actual_fu_2136_ap_return_85),
.ap_return_86(grp_sp_best_tracks_actual_fu_2136_ap_return_86),
.ap_return_87(grp_sp_best_tracks_actual_fu_2136_ap_return_87),
.ap_return_88(grp_sp_best_tracks_actual_fu_2136_ap_return_88),
.ap_return_89(grp_sp_best_tracks_actual_fu_2136_ap_return_89),
.ap_return_90(grp_sp_best_tracks_actual_fu_2136_ap_return_90),
.ap_return_91(grp_sp_best_tracks_actual_fu_2136_ap_return_91),
.ap_return_92(grp_sp_best_tracks_actual_fu_2136_ap_return_92),
.ap_return_93(grp_sp_best_tracks_actual_fu_2136_ap_return_93),
.ap_return_94(grp_sp_best_tracks_actual_fu_2136_ap_return_94),
.ap_return_95(grp_sp_best_tracks_actual_fu_2136_ap_return_95),
.ap_return_96(grp_sp_best_tracks_actual_fu_2136_ap_return_96),
.ap_return_97(grp_sp_best_tracks_actual_fu_2136_ap_return_97),
.ap_return_98(grp_sp_best_tracks_actual_fu_2136_ap_return_98),
.ap_return_99(grp_sp_best_tracks_actual_fu_2136_ap_return_99),
.ap_return_100(grp_sp_best_tracks_actual_fu_2136_ap_return_100),
.ap_return_101(grp_sp_best_tracks_actual_fu_2136_ap_return_101),
.ap_return_102(grp_sp_best_tracks_actual_fu_2136_ap_return_102),
.ap_return_103(grp_sp_best_tracks_actual_fu_2136_ap_return_103),
.ap_return_104(grp_sp_best_tracks_actual_fu_2136_ap_return_104),
.ap_return_105(grp_sp_best_tracks_actual_fu_2136_ap_return_105),
.ap_return_106(grp_sp_best_tracks_actual_fu_2136_ap_return_106),
.ap_return_107(grp_sp_best_tracks_actual_fu_2136_ap_return_107),
.ap_return_108(grp_sp_best_tracks_actual_fu_2136_ap_return_108),
.ap_return_109(grp_sp_best_tracks_actual_fu_2136_ap_return_109),
.ap_return_110(grp_sp_best_tracks_actual_fu_2136_ap_return_110)
);
assign ap_return_0 = grp_sp_best_tracks_actual_fu_2136_ap_return_0;
assign ap_return_1 = grp_sp_best_tracks_actual_fu_2136_ap_return_1;
assign ap_return_10 = grp_sp_best_tracks_actual_fu_2136_ap_return_10;
assign ap_return_100 = grp_sp_best_tracks_actual_fu_2136_ap_return_100;
assign ap_return_101 = grp_sp_best_tracks_actual_fu_2136_ap_return_101;
assign ap_return_102 = grp_sp_best_tracks_actual_fu_2136_ap_return_102;
assign ap_return_103 = grp_sp_best_tracks_actual_fu_2136_ap_return_103;
assign ap_return_104 = grp_sp_best_tracks_actual_fu_2136_ap_return_104;
assign ap_return_105 = grp_sp_best_tracks_actual_fu_2136_ap_return_105;
assign ap_return_106 = grp_sp_best_tracks_actual_fu_2136_ap_return_106;
assign ap_return_107 = grp_sp_best_tracks_actual_fu_2136_ap_return_107;
assign ap_return_108 = grp_sp_best_tracks_actual_fu_2136_ap_return_108;
assign ap_return_109 = grp_sp_best_tracks_actual_fu_2136_ap_return_109;
assign ap_return_11 = grp_sp_best_tracks_actual_fu_2136_ap_return_11;
assign ap_return_110 = grp_sp_best_tracks_actual_fu_2136_ap_return_110;
assign ap_return_12 = grp_sp_best_tracks_actual_fu_2136_ap_return_12;
assign ap_return_13 = grp_sp_best_tracks_actual_fu_2136_ap_return_13;
assign ap_return_14 = grp_sp_best_tracks_actual_fu_2136_ap_return_14;
assign ap_return_15 = grp_sp_best_tracks_actual_fu_2136_ap_return_15;
assign ap_return_16 = grp_sp_best_tracks_actual_fu_2136_ap_return_16;
assign ap_return_17 = grp_sp_best_tracks_actual_fu_2136_ap_return_17;
assign ap_return_18 = grp_sp_best_tracks_actual_fu_2136_ap_return_18;
assign ap_return_19 = grp_sp_best_tracks_actual_fu_2136_ap_return_19;
assign ap_return_2 = grp_sp_best_tracks_actual_fu_2136_ap_return_2;
assign ap_return_20 = grp_sp_best_tracks_actual_fu_2136_ap_return_20;
assign ap_return_21 = grp_sp_best_tracks_actual_fu_2136_ap_return_21;
assign ap_return_22 = grp_sp_best_tracks_actual_fu_2136_ap_return_22;
assign ap_return_23 = grp_sp_best_tracks_actual_fu_2136_ap_return_23;
assign ap_return_24 = grp_sp_best_tracks_actual_fu_2136_ap_return_24;
assign ap_return_25 = grp_sp_best_tracks_actual_fu_2136_ap_return_25;
assign ap_return_26 = grp_sp_best_tracks_actual_fu_2136_ap_return_26;
assign ap_return_27 = grp_sp_best_tracks_actual_fu_2136_ap_return_27;
assign ap_return_28 = grp_sp_best_tracks_actual_fu_2136_ap_return_28;
assign ap_return_29 = grp_sp_best_tracks_actual_fu_2136_ap_return_29;
assign ap_return_3 = grp_sp_best_tracks_actual_fu_2136_ap_return_3;
assign ap_return_30 = grp_sp_best_tracks_actual_fu_2136_ap_return_30;
assign ap_return_31 = grp_sp_best_tracks_actual_fu_2136_ap_return_31;
assign ap_return_32 = grp_sp_best_tracks_actual_fu_2136_ap_return_32;
assign ap_return_33 = grp_sp_best_tracks_actual_fu_2136_ap_return_33;
assign ap_return_34 = grp_sp_best_tracks_actual_fu_2136_ap_return_34;
assign ap_return_35 = grp_sp_best_tracks_actual_fu_2136_ap_return_35;
assign ap_return_36 = grp_sp_best_tracks_actual_fu_2136_ap_return_36;
assign ap_return_37 = grp_sp_best_tracks_actual_fu_2136_ap_return_37;
assign ap_return_38 = grp_sp_best_tracks_actual_fu_2136_ap_return_38;
assign ap_return_39 = grp_sp_best_tracks_actual_fu_2136_ap_return_39;
assign ap_return_4 = grp_sp_best_tracks_actual_fu_2136_ap_return_4;
assign ap_return_40 = grp_sp_best_tracks_actual_fu_2136_ap_return_40;
assign ap_return_41 = grp_sp_best_tracks_actual_fu_2136_ap_return_41;
assign ap_return_42 = grp_sp_best_tracks_actual_fu_2136_ap_return_42;
assign ap_return_43 = grp_sp_best_tracks_actual_fu_2136_ap_return_43;
assign ap_return_44 = grp_sp_best_tracks_actual_fu_2136_ap_return_44;
assign ap_return_45 = grp_sp_best_tracks_actual_fu_2136_ap_return_45;
assign ap_return_46 = grp_sp_best_tracks_actual_fu_2136_ap_return_46;
assign ap_return_47 = grp_sp_best_tracks_actual_fu_2136_ap_return_47;
assign ap_return_48 = grp_sp_best_tracks_actual_fu_2136_ap_return_48;
assign ap_return_49 = grp_sp_best_tracks_actual_fu_2136_ap_return_49;
assign ap_return_5 = grp_sp_best_tracks_actual_fu_2136_ap_return_5;
assign ap_return_50 = grp_sp_best_tracks_actual_fu_2136_ap_return_50;
assign ap_return_51 = grp_sp_best_tracks_actual_fu_2136_ap_return_51;
assign ap_return_52 = grp_sp_best_tracks_actual_fu_2136_ap_return_52;
assign ap_return_53 = grp_sp_best_tracks_actual_fu_2136_ap_return_53;
assign ap_return_54 = grp_sp_best_tracks_actual_fu_2136_ap_return_54;
assign ap_return_55 = grp_sp_best_tracks_actual_fu_2136_ap_return_55;
assign ap_return_56 = grp_sp_best_tracks_actual_fu_2136_ap_return_56;
assign ap_return_57 = grp_sp_best_tracks_actual_fu_2136_ap_return_57;
assign ap_return_58 = grp_sp_best_tracks_actual_fu_2136_ap_return_58;
assign ap_return_59 = grp_sp_best_tracks_actual_fu_2136_ap_return_59;
assign ap_return_6 = grp_sp_best_tracks_actual_fu_2136_ap_return_6;
assign ap_return_60 = grp_sp_best_tracks_actual_fu_2136_ap_return_60;
assign ap_return_61 = grp_sp_best_tracks_actual_fu_2136_ap_return_61;
assign ap_return_62 = grp_sp_best_tracks_actual_fu_2136_ap_return_62;
assign ap_return_63 = grp_sp_best_tracks_actual_fu_2136_ap_return_63;
assign ap_return_64 = grp_sp_best_tracks_actual_fu_2136_ap_return_64;
assign ap_return_65 = grp_sp_best_tracks_actual_fu_2136_ap_return_65;
assign ap_return_66 = grp_sp_best_tracks_actual_fu_2136_ap_return_66;
assign ap_return_67 = grp_sp_best_tracks_actual_fu_2136_ap_return_67;
assign ap_return_68 = grp_sp_best_tracks_actual_fu_2136_ap_return_68;
assign ap_return_69 = grp_sp_best_tracks_actual_fu_2136_ap_return_69;
assign ap_return_7 = grp_sp_best_tracks_actual_fu_2136_ap_return_7;
assign ap_return_70 = grp_sp_best_tracks_actual_fu_2136_ap_return_70;
assign ap_return_71 = grp_sp_best_tracks_actual_fu_2136_ap_return_71;
assign ap_return_72 = grp_sp_best_tracks_actual_fu_2136_ap_return_72;
assign ap_return_73 = grp_sp_best_tracks_actual_fu_2136_ap_return_73;
assign ap_return_74 = grp_sp_best_tracks_actual_fu_2136_ap_return_74;
assign ap_return_75 = grp_sp_best_tracks_actual_fu_2136_ap_return_75;
assign ap_return_76 = grp_sp_best_tracks_actual_fu_2136_ap_return_76;
assign ap_return_77 = grp_sp_best_tracks_actual_fu_2136_ap_return_77;
assign ap_return_78 = grp_sp_best_tracks_actual_fu_2136_ap_return_78;
assign ap_return_79 = grp_sp_best_tracks_actual_fu_2136_ap_return_79;
assign ap_return_8 = grp_sp_best_tracks_actual_fu_2136_ap_return_8;
assign ap_return_80 = grp_sp_best_tracks_actual_fu_2136_ap_return_80;
assign ap_return_81 = grp_sp_best_tracks_actual_fu_2136_ap_return_81;
assign ap_return_82 = grp_sp_best_tracks_actual_fu_2136_ap_return_82;
assign ap_return_83 = grp_sp_best_tracks_actual_fu_2136_ap_return_83;
assign ap_return_84 = grp_sp_best_tracks_actual_fu_2136_ap_return_84;
assign ap_return_85 = grp_sp_best_tracks_actual_fu_2136_ap_return_85;
assign ap_return_86 = grp_sp_best_tracks_actual_fu_2136_ap_return_86;
assign ap_return_87 = grp_sp_best_tracks_actual_fu_2136_ap_return_87;
assign ap_return_88 = grp_sp_best_tracks_actual_fu_2136_ap_return_88;
assign ap_return_89 = grp_sp_best_tracks_actual_fu_2136_ap_return_89;
assign ap_return_9 = grp_sp_best_tracks_actual_fu_2136_ap_return_9;
assign ap_return_90 = grp_sp_best_tracks_actual_fu_2136_ap_return_90;
assign ap_return_91 = grp_sp_best_tracks_actual_fu_2136_ap_return_91;
assign ap_return_92 = grp_sp_best_tracks_actual_fu_2136_ap_return_92;
assign ap_return_93 = grp_sp_best_tracks_actual_fu_2136_ap_return_93;
assign ap_return_94 = grp_sp_best_tracks_actual_fu_2136_ap_return_94;
assign ap_return_95 = grp_sp_best_tracks_actual_fu_2136_ap_return_95;
assign ap_return_96 = grp_sp_best_tracks_actual_fu_2136_ap_return_96;
assign ap_return_97 = grp_sp_best_tracks_actual_fu_2136_ap_return_97;
assign ap_return_98 = grp_sp_best_tracks_actual_fu_2136_ap_return_98;
assign ap_return_99 = grp_sp_best_tracks_actual_fu_2136_ap_return_99;
endmodule //sp_best_tracks
|
//--------------------------------------------------------------------------------
//
// delay_fifo.v
// Copyright (C) 2011 Ian Davis
//
// This program is free software; you can redistribute it and/or modify
// it under the terms of the GNU General Public License as published by
// the Free Software Foundation; either version 2 of the License, or (at
// your option) any later version.
//
// This program is distributed in the hope that it will be useful, but
// WITHOUT ANY WARRANTY; without even the implied warranty of
// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU
// General Public License for more details.
//
// You should have received a copy of the GNU General Public License along
// with this program; if not, write to the Free Software Foundation, Inc.,
// 51 Franklin St, Fifth Floor, Boston, MA 02110, USA
//
//--------------------------------------------------------------------------------
//
// Simple delay FIFO. Input data delayed by parameter "DELAY" numbers of
// clocks (1 to 16). Uses shift register LUT's, so takes only one LUT-RAM
// per bit regardless of delay.
//
module delay_fifo #(
parameter DLY = 3, // 1 to 16
parameter DW = 32
)(
// system signals
input wire clk,
input wire rst,
// input stream
input wire sti_valid,
input wire [DW-1:0] sti_data,
// output stream
output wire sto_valid,
output wire [DW-1:0] sto_data
);
reg [DLY*(DW+1)-1:0] mem;
always @(posedge clk)
mem <= {mem, {sti_valid, sti_data}};
assign {sto_valid, sto_data} = mem[(DLY-1)*(DW+1)+:(DW+1)];
endmodule
|
(*
Quark is Copyright (C) 2012-2015, Quark Team.
You can redistribute and modify it under the terms of the GNU GPL,
version 2 or later, but it is made available WITHOUT ANY WARRANTY.
For more information about Quark, see our web site at:
http://goto.ucsd.edu/quark/
*)
Require Import Ynot.
Require Import Basis.
Require Import List.
Require Import Ascii.
Require Import String.
Require Import List.
Require Import RSep.
Require Import NArith.
Require Import Arith.
Require Import VCRBase.
Require Import VCRIO.
Require Import Message.
Require Import Sumbool.
Open Local Scope stsepi_scope.
Open Local Scope hprop_scope.
(* kernel spec *)
(* returns tabs created by MKTab event *)
(* DON:Q: we will use Kill actions later *)
Fixpoint cur_tabs (trace: Trace) : list tab :=
match trace with
| MkTab t :: tr =>
cur_tabs tr ++ t :: nil
| a :: tr =>
cur_tabs tr
| nil =>
nil
end.
Fixpoint cur_cprocs (trace: Trace) : list cproc :=
match trace with
| MkCProc c :: tr =>
c :: cur_cprocs tr
| a :: tr =>
cur_cprocs tr
| nil =>
nil
end.
Fixpoint cur_cproc (domain:list ascii) (trace: Trace) : option cproc :=
match trace with
| MkCProc c :: tr =>
if laeq (cproc_domain c) domain
then (Some c)
else cur_cproc domain tr
| a :: tr =>
cur_cproc domain tr
| nil => None
end.
Lemma cur_cproc_domain :
forall tr domain c,
cur_cproc domain tr = Some c ->
(cproc_domain c) = domain.
Proof.
induction tr.
intros. simpl in H. discriminate.
intros.
destruct a; simpl in H; auto.
destruct (laeq (cproc_domain c0) domain).
inv H. reflexivity.
apply IHtr. auto.
Qed.
Lemma cur_cproc_in_cur_cprocs:
forall domain tr ts c,
cur_cprocs tr = ts ->
cur_cproc domain tr = Some c ->
In c ts.
Proof.
(* induction tr; simpl; intros. discriminate. *)
induction tr.
simpl. intros. discriminate.
intros.
destruct a; auto.
simpl in H0.
destruct (laeq (cproc_domain c0) domain).
rewrite<- H.
inversion H0.
rewrite<- H2.
simpl. left. auto.
assert (cproc_domain c = domain).
eapply cur_cproc_domain. apply H0.
simpl in H. rewrite<- H.
simpl. right.
apply IHtr. auto.
auto.
Qed.
Lemma cur_cproc_in_cur_cprocs':
forall domain tr c,
cur_cproc domain tr = Some c ->
In c (cur_cprocs tr).
Proof.
(* induction tr; simpl; intros. discriminate. *)
induction tr.
simpl. intros. discriminate.
intros.
destruct a; auto.
simpl in H.
destruct (laeq (cproc_domain c0) domain).
inversion H.
rewrite<- H1.
simpl. left. auto.
assert (cproc_domain c = domain).
eapply cur_cproc_domain. apply H.
simpl. right.
apply IHtr. auto.
Qed.
Fixpoint cur_tab (trace: Trace) : option tab :=
match trace with
| MkTab t :: tr =>
Some t
| ReadFile f c :: tr =>
if file_desc_eq f stdin then
match select_tab_idx c with
| Some i =>
match nth_error (cur_tabs tr) i with
| Some t => Some t
| None => cur_tab tr
end
| None => cur_tab tr
end
else
cur_tab tr
| a :: tr =>
cur_tab tr
| nil =>
None
end.
Lemma cur_tab_in_cur_tabs:
forall tr ts t,
cur_tabs tr = ts ->
cur_tab tr = Some t ->
In t ts.
Proof.
induction tr; simpl; intros. discriminate.
destruct a; auto.
destruct (file_desc_eq f stdin); auto.
destruct (select_tab_idx a); auto. subst.
case_eq (nth_error (cur_tabs tr) n); intros.
rewrite H in H0. inv H0.
eapply nth_error_some_in; eauto.
rewrite H in H0. auto.
inv H0. apply in_or_app. right; left; auto.
Qed.
Lemma cur_tabs_not_nil_cur_tab:
forall tr,
cur_tabs tr <> nil ->
cur_tab tr <> None.
Proof.
induction tr; simpl; intros. auto.
destruct a; auto.
destruct (file_desc_eq f stdin); auto.
destruct (select_tab_idx a); auto.
destruct (nth_error (cur_tabs tr) n); auto.
discriminate.
discriminate.
Qed.
Inductive user_cmd : Set :=
| UAddTab : user_cmd
| UMouseClick : forall (t:tab), user_cmd
| USwitchTab : forall (t: tab), user_cmd
| UEndorseYes: user_cmd
| UEndorseNo : user_cmd
| UKeyPress : forall (t: tab) (k: ascii), user_cmd
| UIgnore : user_cmd.
Definition classify_user_cmd (k: ascii) (tr: Trace) : user_cmd :=
if ascii_dec k "017"%char then
UAddTab
else if ascii_dec k "018"%char then
match cur_tab tr with
| Some t => UMouseClick t
| None => UIgnore
end
else
match select_tab_idx k with
| Some i =>
match nth_error (cur_tabs tr) i with
| Some t => USwitchTab t
| None => UIgnore
end
| None =>
match cur_tab tr with
| Some t => UKeyPress t k
| None => UIgnore
end
end.
Fixpoint get_host (x : list ascii) : list ascii :=
match x with
| nil => nil
| ":"%char :: _ => nil
| a :: t => a :: get_host t
end.
Fixpoint lkup_tab (tabs: list tab) (ic: ichan) : option tab :=
match tabs with
| t::ts =>
if iceq (t2k t) ic then
Some t
else
lkup_tab ts ic
| nil =>
None
end.
Lemma lkup_tab_in:
forall ts ic t,
lkup_tab ts ic = Some t ->
In t ts.
Proof.
induction ts; simpl; intros. discriminate.
destruct (iceq (t2k a) ic).
inv H. left; auto.
right. eapply IHts; eauto.
Qed.
Lemma lkup_tab_nin:
forall ts t,
lkup_tab ts (t2k t) = None ->
~ In t ts.
Proof.
induction ts; simpl; intros. auto.
intro Hcon. inv Hcon.
destruct (iceq (t2k t) (t2k t)); subst.
discriminate. congruence.
destruct (iceq (t2k a) (t2k t)); subst.
discriminate. apply IHts in H. contradiction.
Qed.
Lemma lkup_tab_t2k:
forall ts ic t,
lkup_tab ts ic = Some t ->
ic = t2k t.
Proof.
induction ts; simpl; intros. discriminate.
destruct (iceq (t2k a) ic); subst; auto.
inv H; auto.
Qed.
(*
Q: what happens if we take the domain of a cookie process instead of
the cookie string's domain property?
A: I think it doesn't break the security of the browser much. It
allows for a tab to set a non-cross-domain third-party cookie.
*)
Fixpoint K2TSetCookieActions (c:cproc) (tabs:list tab) (cookie_str:list ascii) :=
match tabs with
| t :: tabs' =>
if (laeq (cproc_domain c) (get_topdomain_tab (tab_origin_url t))) then
(K2TSetCookieActions c tabs' cookie_str) ++
(WroteMsg t (K2TSetCookie (length_in_size cookie_str) cookie_str))
else
(K2TSetCookieActions c tabs' cookie_str)
| nil => nil
end.
Lemma K2TSetCookieActions_not_ResultCookie :
forall tabs cookie c t s p,
K2TSetCookieActions c tabs cookie <> WroteMsg t (ResultCookie s p).
Proof.
intros.
induction tabs.
simpl. unfold not. intros. inversion H.
unfold not; intros. destruct IHtabs.
simpl in H.
destruct (laeq (cproc_domain c) (get_topdomain_tab (tab_origin_url a))).
assert (
rev (K2TSetCookieActions c tabs cookie ++
WroteMsg a (K2TSetCookie (length_in_size cookie) cookie)) =
rev (WroteMsg t (ResultCookie s p))
).
rewrite H. reflexivity.
rewrite rev_app_distr in H0. simpl in H0. inv H0.
auto.
Qed.
Lemma K2TSetCookieActions_not_WroteCMsg :
forall tabs cookie c1 c2 m,
K2TSetCookieActions c1 tabs cookie <> WroteCMsg c2 m.
Proof.
intros.
induction tabs.
simpl. unfold not. intros. inversion H.
unfold not; intros. destruct IHtabs.
simpl in H.
destruct (laeq (cproc_domain c1) (get_topdomain_tab (tab_origin_url a))).
assert (
rev (K2TSetCookieActions c1 tabs cookie ++
WroteMsg a (K2TSetCookie (length_in_size cookie) cookie)) =
rev (WroteCMsg c2 m)).
rewrite H. reflexivity.
simpl in H0.
simpl. unfold not; intros.
rewrite rev_app_distr in H0. simpl in H0. inv H0.
destruct m; inv H3. rewrite H; auto.
Qed.
(*
This tr is not a usual tr.
it must be tr generated by applying KStep many times.
Is it okay to treat tr as a random tr??
1. Define KStep.
2. Define TraceOK based on KStep.
3. ???
1. Isn't it possible to define two inductive types depending on each
other?
2. why can't we say that a cookie process always exists..
A) we can't. -- that's what we can prove over KSteps..I mean,
*)
Inductive KStep : Trace -> Trace -> Trace -> Prop :=
| KStep_add_tab_wo_cproc:
forall tr t ok c,
classify_user_cmd ok tr = UAddTab ->
In c (cur_cprocs tr) ->
cur_cproc (get_topdomain_tab (tab_origin_url t)) tr = Some c ->
KStep tr
(MkTab t :: ReadFile stdin ok :: nil)
(WroteMsg t (Render (size 1%positive) ("000"%char::nil)) ++ Paint ((cur_tabs tr) ++ t::nil) t)
| KStep_add_tab_with_cproc:
forall tr t c ok,
classify_user_cmd ok tr = UAddTab ->
cur_cproc (get_topdomain_tab (tab_origin_url t)) tr = None ->
la_eq (cproc_domain c) (get_topdomain_tab (tab_origin_url t)) ->
KStep tr
(MkCProc c :: MkTab t :: ReadFile stdin ok :: nil)
(WroteMsg t (Render (size 1%positive) ("000"%char::nil)) ++ Paint ((cur_tabs tr) ++ t::nil) t)
| KStep_switch_tab:
forall tr t ok,
classify_user_cmd ok tr = USwitchTab t ->
KStep tr
(ReadFile stdin ok :: nil)
(WroteMsg t (Render (size 1%positive) ("000"%char :: nil)) ++ Paint (cur_tabs tr) t)
| KStep_key_press:
forall tr t ok k,
classify_user_cmd ok tr = UKeyPress t k ->
KStep tr
(ReadFile stdin ok :: nil)
(WroteMsg t (KeyPress (size 1%positive) (k::nil)))
| KStep_mouseclick:
forall tr t ok s,
classify_user_cmd ok tr = UMouseClick t ->
KStep tr
(MousePos s :: ReadFile stdin ok :: nil)
(WroteMsg t (MouseClick (length_in_size s) s))
| KStep_ignore:
forall tr ok,
classify_user_cmd ok tr = UIgnore ->
KStep tr
(ReadFile stdin ok :: nil)
nil
| Kstep_fetch:
forall tr t p url html,
In t (cur_tabs tr) ->
KStep tr
(Wget url html :: ReadMsg t (ReqHtml p url))
(WroteMsg t (RspHtml (length_in_size html) html))
| Kstep_navigate_true_wo_cproc:
forall tr c t t' p url,
In t (cur_tabs tr) -> (la_eq (tab_init_url t') url) ->
cur_cproc (get_topdomain_tab (tab_origin_url t')) tr = Some c ->
KStep tr
(MkTab t' :: Endorse true :: WroteEndorseMsg url :: ReadMsg t (Navigate p url))
(WroteMsg t' (Render (size 1%positive) ("000"%char::nil)) ++ Paint ((cur_tabs tr) ++ t'::nil) t')
| Kstep_navigate_true_with_cproc:
forall tr c t t' p url,
In t (cur_tabs tr) -> (la_eq (tab_init_url t') url) ->
cur_cproc (get_topdomain_tab (tab_origin_url t')) tr = None ->
la_eq (cproc_domain c) (get_topdomain_tab (tab_origin_url t')) ->
KStep tr
(MkCProc c :: MkTab t' :: Endorse true :: WroteEndorseMsg url :: ReadMsg t (Navigate p url))
(WroteMsg t' (Render (size 1%positive) ("000"%char::nil)) ++ Paint ((cur_tabs tr) ++ t'::nil) t')
| Kstep_navigate_false:
forall tr t p url,
In t (cur_tabs tr) ->
KStep tr
(Endorse false :: WroteEndorseMsg url :: ReadMsg t (Navigate p url))
nil
| Kstep_socket_true:
forall tr t s host sock_desc,
In t (cur_tabs tr) ->
la_eq host (get_host sock_desc) ->
is_safe_sock_dest_on host (tab_origin_url t) = true ->
KStep tr
(ReadMsg t (RequestSocket s sock_desc))
(SendSocket t host sock_desc :: WroteMsg t (ResultSocket (size 1%positive) ("000"%char :: nil)))
| Kstep_socket_false:
forall tr t s host sock_desc,
In t (cur_tabs tr) ->
la_eq host (get_host sock_desc) ->
is_safe_sock_dest_on host (tab_origin_url t) <> true ->
KStep tr
(ReadMsg t (RequestSocket s sock_desc))
(WroteMsg t (ResultSocket (size 1%positive) ("001"%char :: nil))) (* 001 stands for an error code *)
(* DON:Q: why do we have to make this change? Why does this help? *)
(* DON:A: so that the kernel's response to a tab only depends on the tab *)
| Kstep_cookie_set:
forall tr t c s cookie,
In t (cur_tabs tr) ->
In c (cur_cprocs tr) ->
(* tab's toporigin = cookie's top domain *)
(* this prevents third-party cookies. But why? why do we have to
disallow this?*)
la_eq (get_topdomain_tab (tab_origin_url t))
(get_topdomain_cookie cookie) ->
(* there exists such cproc *)
cur_cproc (get_topdomain_cookie cookie) tr = (Some c) ->
KStep tr
(ReadMsg t (SetCookie s cookie))
(WroteCMsg c (K2CSetCookie s (cookie)))
| Kstep_cookie_set_error:
forall tr t s cookie,
In t (cur_tabs tr) ->
~ la_eq (get_topdomain_tab (tab_origin_url t)) (get_topdomain_cookie cookie) ->
KStep tr
(ReadMsg t (SetCookie s cookie))
nil
| Kstep_cookie_set_c2k:
forall tr c s cookie_msg,
In c (cur_cprocs tr) ->
KStep tr
(ReadCMsg c (C2KSetCookie s cookie_msg))
(K2TSetCookieActions c (cur_tabs tr) cookie_msg)
| Kstep_cookie_get_t2k:
forall tr t c s url,
In t (cur_tabs tr) ->
In c (cur_cprocs tr) ->
cur_cproc (get_topdomain_tab (tab_origin_url t)) tr = (Some c) ->
KStep tr
(ReadMsg t (GetCookie s url))
(WroteCMsg c (K2CGetCookie s ((tab_id_to_la (t2k t)) ++ url)))
| Kstep_cookie_get_c2k:
forall tr t c s cookie_msg,
In t (cur_tabs tr) ->
In c (cur_cprocs tr) ->
get_tab_id cookie_msg = t2k t ->
cur_cproc (get_topdomain_tab (tab_origin_url t)) tr = Some c ->
KStep tr
(ReadCMsg c (C2KResultCookie s cookie_msg))
(WroteMsg t (ResultCookie (length_in_size (get_cookie_content cookie_msg))
(get_cookie_content cookie_msg)))
| Kstep_cookie_get_c2k_error_wrong_dom:
forall tr t c s cookie_msg,
In t (cur_tabs tr) ->
In c (cur_cprocs tr) ->
get_tab_id cookie_msg = t2k t ->
cur_cproc (get_topdomain_tab (tab_origin_url t)) tr <> Some c ->
KStep tr
(ReadCMsg c (C2KResultCookie s cookie_msg))
nil
| Kstep_cookie_get_c2k_error_bad_tab_id:
forall tr tid c s cookie_msg,
In c (cur_cprocs tr) ->
get_tab_id cookie_msg = tid ->
lkup_tab (cur_tabs tr) tid = None ->
KStep tr
(ReadCMsg c (C2KResultCookie s cookie_msg))
nil
| Kstep_display:
forall tr t s p,
In t (cur_tabs tr) ->
cur_tab tr = Some t ->
KStep tr
(ReadMsg t (Display s p))
(WroteGMsg (K2GDisplay s p))
| Kstep_display_ignore:
forall tr t s p,
In t (cur_tabs tr) ->
cur_tab tr <> Some t ->
KStep tr
(ReadMsg t (Display s p))
nil
| Kstep_bad_msg:
forall tr t s la,
In t (cur_tabs tr) ->
not_msg la ->
KStep tr
(ReadN (t2k t) s la :: nil)
nil.
Theorem KStep_req_no_write :
forall tr req rsp f s p,
KStep tr req rsp -> ~ In (WriteN f s p) req.
Proof.
unfold not. intros tr req rsp f s p kstep in_write.
inv kstep;
repeat destruct in_write as [in_write' | in_write]; try inversion in_write'; auto; fail.
Qed.
Inductive TraceOK : Trace -> Prop :=
| TraceOK_nil:
TraceOK nil
| TraceOK_add:
forall tr req rsp,
TraceOK tr ->
KStep tr req rsp ->
TraceOK (rsp ++ req ++ tr).
Inductive tabs_distinct : Trace -> Prop :=
| tabs_distinct_intro:
forall tr,
uniq (map k2t (cur_tabs tr)) ->
uniq (map t2k (cur_tabs tr)) ->
tabs_distinct tr.
Inductive cprocs_distinct : Trace -> Prop :=
| cprocs_distinct_intro:
forall tr,
uniq (map c2k (cur_cprocs tr)) ->
uniq (map k2c (cur_cprocs tr)) ->
uniq (map cproc_domain (cur_cprocs tr)) ->
cprocs_distinct tr.
Inductive procs_distinct : Trace -> Prop :=
| procs_distinct_intro:
forall tr,
uniq (map k2t (cur_tabs tr) ++ map k2c (cur_cprocs tr)) ->
uniq (map t2k (cur_tabs tr) ++ map c2k (cur_cprocs tr)) ->
uniq (map cproc_domain (cur_cprocs tr)) ->
procs_distinct tr.
Lemma procs_distinct_tabs_distinct :
forall tr, procs_distinct tr -> tabs_distinct tr.
Proof.
intros tr procs_distinct.
inversion procs_distinct.
apply uniq_app in H. destruct H.
apply uniq_app in H0. destruct H0.
econstructor; eauto.
Qed.
Lemma procs_distinct_cprocs_distinct :
forall tr, procs_distinct tr -> cprocs_distinct tr.
Proof.
intros tr procs_distinct.
inversion procs_distinct.
apply uniq_app in H. destruct H.
apply uniq_app in H0. destruct H0.
econstructor; eauto.
Qed.
Lemma cur_cprocs_same :
forall tr1 tr2 domain c1 c2,
cur_cproc domain tr1 = Some c1 ->
cur_cproc domain tr2 = Some c2 ->
cproc_domain c1 = cproc_domain c2.
Proof.
intros.
assert (cproc_domain c1 = domain).
eapply cur_cproc_domain. apply H.
assert (cproc_domain c2 = domain).
eapply cur_cproc_domain. apply H0.
rewrite H1. rewrite<- H2. auto.
Qed.
Lemma cur_cproc_inj :
forall tr1 tr2 domain c1 c2,
cprocs_distinct tr1 ->
cprocs_distinct tr2 ->
cur_cprocs tr2 = cur_cprocs tr1 ->
cur_cproc domain tr1 = Some c1 ->
cur_cproc domain tr2 = Some c2 ->
c1 = c2.
Proof.
intros.
inv H.
inv H0.
assert (In c1 (cur_cprocs tr2)).
rewrite H1.
eapply cur_cproc_in_cur_cprocs in H2; eauto.
assert (In c2 (cur_cprocs tr1)).
rewrite <- H1.
eapply cur_cproc_in_cur_cprocs in H3; eauto.
assert (cproc_domain c1 = cproc_domain c2).
eapply cur_cprocs_same; eauto.
eapply map_in_uniq_inv.
apply H10.
apply H6.
eapply cur_cproc_in_cur_cprocs in H2; eauto.
eapply cur_cproc_in_cur_cprocs in H3; eauto.
Qed.
Definition not_sendsocket_action (act:Action): Prop :=
match act with
| SendSocket _ _ _ => False
| _ => True
end.
Inductive socket_to_safe_origin : Trace -> Prop :=
| socket_to_safe_origin_nil:
socket_to_safe_origin nil
| socket_to_safe_origin_intro:
forall tr t host gib,
is_safe_sock_dest_on host (tab_origin_url t) = true ->
socket_to_safe_origin tr ->
socket_to_safe_origin ((SendSocket t host gib)::tr)
| socket_to_safe_origin_others:
forall act tr,
not_sendsocket_action act ->
socket_to_safe_origin tr ->
socket_to_safe_origin (act :: tr).
Lemma K2TSetCookieActions_dist :
forall c t t' cookie,
(K2TSetCookieActions c (t ++ t') cookie) = (K2TSetCookieActions c t' cookie) ++ (K2TSetCookieActions c t cookie).
Proof.
intros c t t' cookie.
induction t.
(* base case *)
simpl. rewrite app_nil_r. auto.
(* inductive case *)
simpl.
destruct ( laeq (cproc_domain c) (get_topdomain_tab (tab_origin_url a)) ).
rewrite IHt. rewrite app_assoc. auto.
auto.
Qed.
Lemma cur_tabs_same_over_K2TSetCookieActions :
forall c tr cookie tr' tr'',
cur_tabs tr = cur_tabs tr'' ->
cur_tabs tr = cur_tabs (K2TSetCookieActions c (cur_tabs tr') cookie ++ tr'').
Proof.
induction tr'.
simpl; auto.
simpl. destruct a; simpl; auto.
rewrite K2TSetCookieActions_dist.
simpl. destruct (laeq (cproc_domain c) (get_topdomain_tab (tab_origin_url t))); simpl.
intros. simpl. apply IHtr'; auto.
intros. simpl. apply IHtr'; auto.
Qed.
Lemma socket_to_safe_comp :
forall tr1 tr2,
socket_to_safe_origin tr1 ->
socket_to_safe_origin tr2 ->
socket_to_safe_origin (tr1 ++ tr2).
Proof.
intros tr1 tr2.
induction tr1.
intros; auto.
intros. inversion H. rewrite <- app_comm_cons.
econstructor. auto. auto.
rewrite <- app_comm_cons.
destruct a; try econstructor; eauto.
Qed.
Lemma socket_to_safe_dist_l :
forall tr1 tr2,
socket_to_safe_origin (tr1 ++ tr2) ->
socket_to_safe_origin tr1.
Proof.
intros tr1 tr2.
induction tr1.
intros. apply socket_to_safe_origin_nil.
simpl. intros. inv H.
apply socket_to_safe_origin_intro. auto.
auto. econstructor. auto. auto.
Qed.
Lemma socket_to_safe_dist_r :
forall tr1 tr2,
socket_to_safe_origin (tr1 ++ tr2) ->
socket_to_safe_origin tr2.
Proof.
intros tr1 tr2.
induction tr1.
intros. auto.
simpl. intros. inv H. auto. auto.
Qed.
Lemma K2TSetCookieActions_socket_to_safe_origin :
forall c tr tr' cookie,
socket_to_safe_origin tr' -> socket_to_safe_origin (K2TSetCookieActions c (cur_tabs tr) cookie ++ tr').
Proof.
induction tr; auto.
intros. simpl. destruct a; try (apply IHtr; auto; fail).
rewrite K2TSetCookieActions_dist.
simpl. destruct (laeq (cproc_domain c) (get_topdomain_tab (tab_origin_url t))).
simpl.
repeat (apply socket_to_safe_origin_others; simpl; auto).
simpl. auto.
Qed.
Lemma SocketCreation_SOP:
forall tr, TraceOK tr -> socket_to_safe_origin tr.
Proof.
intros. induction H.
econstructor; eauto; simpl; auto.
inv H0; simpl;
repeat match goal with
| |- socket_to_safe_origin (SendSocket ?t ?host ?sock_desc :: ?tr) =>
apply socket_to_safe_origin_intro; simpl; auto
| |- socket_to_safe_origin (?tr) =>
apply socket_to_safe_origin_others; simpl; auto
end.
apply K2TSetCookieActions_socket_to_safe_origin.
repeat (apply socket_to_safe_origin_others; simpl; auto).
Qed.
(*
Lemma K2TSetCookieActions_not_MkCProc :
forall cproc tabs cookie_msg, (In (MkCProc cproc) (K2TSetCookieActions tabs cookie_msg)).
Proof.
intros.
induction tabs.
unfold not. intros. inversion H.
unfold not in *. intros. destruct IHtabs.
simpl in H. destruct (laeq (get_topdomain_tab (tab_origin_url a)) (get_topdomain_cookie cookie_msg)).
apply in_app_or in H. destruct H. auto.
simpl in H.
destruct H as [H' | H]. inversion H'.
destruct H as [H' | H]. inversion H'.
destruct H as [H' | H]. inversion H'.
inversion H. auto.
Qed.
Lemma K2TSetCookieActions_not_MkCProc :
forall cproc tabs cookie_msg, ~ (In (MkCProc cproc) (K2TSetCookieActions tabs cookie_msg)).
Proof.
intros.
induction tabs.
unfold not. intros. inversion H.
unfold not in *. intros. destruct IHtabs.
simpl in H. destruct (laeq (get_topdomain_tab (tab_origin_url a)) (get_topdomain_cookie cookie_msg)).
apply in_app_or in H. destruct H. auto.
simpl in H.
destruct H as [H' | H]. inversion H'.
destruct H as [H' | H]. inversion H'.
destruct H as [H' | H]. inversion H'.
inversion H. auto.
Qed.
Lemma remove_sth_
*)
Lemma cur_proc_preserved_K2TSetCookieActions :
forall c domain tabs cookie_msg tr,
cur_cproc domain (K2TSetCookieActions c tabs cookie_msg ++ tr)
= cur_cproc domain tr.
Proof.
intros.
generalize dependent tr.
induction tabs.
intros; auto.
intros.
simpl. destruct (laeq (cproc_domain c) (get_topdomain_tab (tab_origin_url a))).
rewrite app_assoc.
rewrite IHtabs. reflexivity. apply IHtabs.
Qed.
Theorem cur_cproc_some :
forall tr t, TraceOK tr -> In t (cur_tabs tr) ->
cur_cproc (get_topdomain_tab (tab_origin_url t)) tr <> None.
Proof.
intros tr t TraceOK_tr t_in_cur_tabs.
induction TraceOK_tr.
simpl in t_in_cur_tabs. destruct t_in_cur_tabs.
inv H; simpl; simpl in t_in_cur_tabs; auto.
(*
: forall (A : Type) (l m : list A) (a : A),
In a (l ++ m) -> In a l \/ In a m
*)
(* Add Tab - not creating a new cookie process *)
assert (In t (cur_tabs tr) \/ In t (t0::nil)).
apply in_app_or; auto.
destruct H.
(* when a tab in discussion existed in the past trace *)
auto.
(* when a tab in discussion is created in the current request *)
simpl in H. destruct H. rewrite <- H. rewrite H2.
discriminate. destruct H.
(* Add Tab - create a new cookie process *)
assert (In t (cur_tabs tr) \/ In t (t0::nil)).
apply in_app_or; auto.
destruct H.
destruct (laeq (cproc_domain c) (get_topdomain_tab (tab_origin_url t))).
discriminate.
apply IHTraceOK_tr. auto.
(* --- when a cproc is actually created *)
simpl in H. destruct H.
destruct (laeq (cproc_domain c) (get_topdomain_tab (tab_origin_url t))).
(* what's happened *) discriminate.
destruct n.
rewrite <- H. eapply la_eq_same; eauto. apply la_eq_refl.
destruct H.
(* navigation - when there already exists a cproc for the newly open tab *)
assert (In t (cur_tabs tr) \/ In t (t'::nil)).
apply in_app_or; auto.
destruct H.
apply IHTraceOK_tr; auto.
simpl in H. destruct H. rewrite <- H. rewrite H2.
discriminate. destruct H.
(* navigation - we create a new cproc *)
assert (In t (cur_tabs tr) \/ In t (t'::nil)).
apply in_app_or; auto.
destruct H.
destruct (laeq (cproc_domain c) (get_topdomain_tab (tab_origin_url t))).
discriminate.
apply IHTraceOK_tr. auto.
simpl in H. destruct H.
destruct (laeq (cproc_domain c) (get_topdomain_tab (tab_origin_url t))).
(* what's happened *) discriminate.
destruct n.
rewrite <- H. eapply la_eq_same; eauto. apply la_eq_refl.
destruct H.
rewrite cur_proc_preserved_K2TSetCookieActions.
simpl.
rewrite <- cur_tabs_same_over_K2TSetCookieActions with (tr := ReadN (c2k c) s cookie_msg
:: ReadN (c2k c) (size 4) (pos2la4 s)
:: ReadN (c2k c) (size 1) ("017"%char :: nil)
:: tr ) in t_in_cur_tabs.
simpl in t_in_cur_tabs.
apply IHTraceOK_tr. auto. auto.
Qed.
(*
Lemma KStep_det:
forall hist req rspA rspB,
tabs_distinct hist ->
cprocs_distinct hist ->
KStep hist req rspA ->
KStep hist req rspB ->
rspA = rspB.
Proof.
intros.
inv H1; inv H2; auto.
f_equal.
rewrite H3 in H5. inversion H5. auto.
rewrite H3 in H5. discriminate.
rewrite H3 in H5. discriminate.
rewrite H3 in H5. discriminate.
rewrite H3 in H5; inversion H5; auto.
rewrite H3 in H5. discriminate.
rewrite H3 in H7; inversion H7; auto.
rewrite H3 in H5. discriminate.
rewrite H3 in H5. discriminate.
f_equal. inv H.
eapply map_in_uniq_inv; eauto.
f_equal. f_equal. inv H.
eapply map_in_uniq_inv; eauto.
eapply la_eq_same.
apply H4. apply H14.
f_equal. inv H.
eapply map_in_uniq_inv; eauto.
rewrite<- H5 in H15.
destruct H15.
f_equal.
eapply la_eq_same; eauto.
assert (t0 = t).
eapply map_in_uniq_inv; eauto.
inv H. auto.
rewrite H2. auto.
rewrite<- H15 in H5.
destruct H5.
f_equal.
eapply la_eq_same; eauto.
assert (t0 = t).
eapply map_in_uniq_inv; eauto.
inv H. auto.
rewrite H2. auto.
f_equal.
eapply map_in_uniq_inv. eauto.
inversion H. eauto. auto. auto.
f_equal. f_equal.
eapply map_in_uniq_inv. eauto.
inversion H. eauto. auto. auto.
f_equal. f_equal.
eapply cur_cproc_inj; eauto.
assert (t = t0).
eapply map_in_uniq_inv. eauto.
inversion H. eauto. auto. auto.
destruct H15.
rewrite<- H2 in H7.
destruct H7; auto.
rewrite H6 in H7.
discriminate.
destruct H4.
assert (t = t0).
eapply map_in_uniq_inv. eauto.
inversion H. eauto. auto. auto.
destruct H2.
rewrite<- H4 in H14.
auto.
rewrite H2 in H15. discriminate.
f_equal. f_equal.
assert (t = t0).
eapply map_in_uniq_inv. eauto.
inversion H. eauto. auto. auto.
rewrite<- H2 in H13.
subst.
rewrite H5 in H15.
inv H15. reflexivity.
assert (t = t0).
eapply map_in_uniq_inv. eauto.
inversion H. eauto. auto. auto.
rewrite<- H2 in H13.
rewrite<- H2 in H14.
rewrite H5 in H14.
discriminate.
assert (t = t0).
eapply map_in_uniq_inv. eauto.
inversion H. eauto. auto. auto.
rewrite<- H2 in H13.
rewrite<- H2 in H14.
rewrite H5 in H14.
discriminate.
assert (t = t0).
eapply map_in_uniq_inv. eauto.
inversion H. eauto. auto. auto.
rewrite<- H2 in H14.
rewrite H4 in H14.
discriminate.
f_equal. f_equal.
rewrite H5 in H16.
eapply map_in_uniq_inv. eauto.
inversion H. eauto. auto. auto.
assert (c = c0).
eapply map_in_uniq_inv. eauto.
inversion H0. eauto. auto. auto.
destruct H17. rewrite<- H2.
rewrite H5 in H16.
assert (t = t0).
eapply map_in_uniq_inv. eauto.
inversion H. eauto. auto. auto.
rewrite<- H7. auto.
rewrite H5 in H16.
apply lkup_tab_nin in H16.
contradiction.
assert (t = t0).
rewrite H5 in H16.
eapply map_in_uniq_inv. eauto.
inversion H. eauto. auto. auto.
assert (c = c0).
eapply map_in_uniq_inv. eauto.
inversion H0. eauto. auto. auto.
destruct H6. rewrite H7. rewrite H2.
auto.
rewrite H14 in H5.
apply lkup_tab_nin in H5.
contradiction.
assert (t = t0).
eapply map_in_uniq_inv. eauto.
inversion H. eauto. auto. auto.
rewrite H2 in H4. rewrite H4 in H14. discriminate.
f_equal. f_equal.
eapply map_in_uniq_inv. eauto.
inversion H. eauto. auto. auto.
rewrite H4 in H13. unfold not in H13.
assert (t = t0).
eapply map_in_uniq_inv. eauto.
inversion H. eauto. auto. auto.
rewrite H2 in H13. destruct H13. reflexivity.
rewrite H13 in H4. unfold not in H4.
assert (t = t0).
eapply map_in_uniq_inv. eauto.
inversion H. eauto. auto. auto.
rewrite H2 in H4. destruct H4. reflexivity.
Qed.
*)
(* This says that the kernel's response to a tab's request only
depends on
1) the current tab
2) the currently created tabs.
Since the user input uniquely decides the currently created tabs,
this theorem basically says,
"the response of a kernel just depends on the current tab and the
previous user input"
but this is somewhat weird. This is only about rsp can be the same,
but not should be the same. Actucally, it should be the same because
of KStep_det. *)
Lemma cur_cproc_return_requested_domain:
forall tr c domain,
cur_cproc domain tr = Some c ->
(cproc_domain c) = domain.
Proof.
induction tr.
intros.
simpl in H. discriminate.
intros.
destruct a; simpl in H; auto.
destruct (laeq (cproc_domain c0) domain).
inversion H. rewrite<- H1. apply e.
auto.
Qed.
Lemma in_trace :
forall a domain tr, In (MkCProc a) tr -> (cproc_domain a) = domain -> cur_cproc domain tr <> None.
Proof.
induction tr.
intros.
simpl in H.
contradiction.
intros.
destruct a0.
simpl; simpl in H; destruct H. discriminate. auto.
simpl; simpl in H; destruct H. discriminate. auto.
simpl; simpl in H; destruct H. discriminate. auto.
simpl; simpl in H; destruct H. discriminate. auto.
simpl; simpl in H; destruct H. discriminate. auto.
simpl; simpl in H; destruct H.
discriminate.
auto.
simpl.
(*
simpl; simpl in H; destruct H. discriminate. auto.
simpl;
*)
destruct (laeq (cproc_domain c) domain).
discriminate.
apply IHtr.
simpl in H. destruct H.
inversion H.
rewrite H2 in n. rewrite<- H0 in n.
destruct n. reflexivity.
auto. auto. simpl.
apply IHtr.
simpl in H.
destruct H. discriminate. auto.
auto.
simpl. apply IHtr. simpl in H.
destruct H. discriminate. auto.
auto.
simpl. simpl in H. apply IHtr.
destruct H. discriminate. auto.
auto.
simpl. simpl in H. apply IHtr.
destruct H. discriminate. auto.
auto.
Qed.
Lemma cur_cprocs_to_tr :
forall a tr, In a (cur_cprocs tr) -> In (MkCProc a) tr.
Proof.
induction tr.
intros.
simpl in H. contradiction.
intros.
destruct a0; simpl in H; simpl; auto; simpl; auto.
simpl in H. destruct H.
rewrite H. simpl. auto.
simpl. right. auto.
Qed.
Lemma cproc_some_not_none:
forall tr1 tr2 domain c,
cur_cprocs tr1 = cur_cprocs tr2 ->
cur_cproc domain tr1 = (Some c) ->
cur_cproc domain tr2 <> None.
Proof.
intros.
assert (In c (cur_cprocs tr2)).
eapply cur_cproc_in_cur_cprocs.
apply H. apply H0.
assert (In (MkCProc c) tr2).
apply cur_cprocs_to_tr. apply H1.
eapply in_trace. apply H2.
eapply cur_cproc_return_requested_domain.
apply H0.
Qed.
Lemma cur_cprocs_nil_cur_cproc_none:
forall tr domain,
cur_cprocs tr = nil -> cur_cproc domain tr = None.
Proof.
intros.
induction tr; simpl; auto.
simpl. destruct a; auto.
destruct (laeq (cproc_domain c) domain);
simpl in H; discriminate.
Qed.
Lemma cur_cproc_eq_on_filtered_trace:
forall tr domain,
cur_cproc domain (map MkCProc (cur_cprocs tr)) = cur_cproc domain tr.
Proof.
induction tr.
intros.
simpl. auto.
intros.
simpl. destruct a; auto.
destruct (laeq (cproc_domain c) domain). simpl.
destruct (laeq (cproc_domain c) domain). auto.
destruct n. auto.
simpl.
destruct (laeq (cproc_domain c) domain). simpl.
destruct n. auto.
apply IHtr.
Qed.
Lemma cur_cproc_none_eq_on_filtered_trace:
forall tr1 c domain,
cur_cproc domain (map MkCProc (cur_cprocs tr1)) = None ->
cproc_domain c <> domain ->
cur_cproc domain (MkCProc c ::map MkCProc (cur_cprocs tr1)) = None.
Proof.
intros tr1.
intros.
simpl.
destruct (laeq (cproc_domain c) domain). simpl.
destruct H0. auto.
apply H.
Qed.
Lemma cproc_none_none:
forall tr1 tr2 domain,
cur_cprocs tr1 = cur_cprocs tr2 ->
cur_cproc domain tr1 = None ->
cur_cproc domain tr2 = None.
Proof.
intros.
induction tr1.
simpl in *; auto.
apply cur_cprocs_nil_cur_cproc_none.
rewrite H. auto.
destruct a; auto.
simpl in H0.
destruct (laeq (cproc_domain c) domain).
discriminate.
simpl in H.
rewrite<- cur_cproc_eq_on_filtered_trace.
rewrite<- H.
simpl.
assert (cur_cproc domain (map MkCProc (cur_cprocs tr1)) = None).
rewrite cur_cproc_eq_on_filtered_trace. auto.
apply cur_cproc_none_eq_on_filtered_trace. auto. auto.
Qed.
Lemma cproc_distinct_cur_proc:
forall tr1 tr2 domain,
cprocs_distinct tr1 ->
cprocs_distinct tr2 ->
cur_cprocs tr1 = cur_cprocs tr2 ->
cur_cproc domain tr1 = cur_cproc domain tr2.
Proof.
intros.
destruct (cur_cproc domain tr1) as []_eqn.
destruct (cur_cproc domain tr2) as []_eqn.
assert (c = c0).
eapply cur_cproc_inj.
apply H.
apply H0.
symmetry in H1. apply H1.
apply Heqo.
apply Heqo0.
rewrite H2. auto.
assert (cur_cproc domain tr2 <> None).
eapply cproc_some_not_none; eauto.
destruct H2. auto.
symmetry.
eapply cproc_none_none; eauto.
Qed.
Lemma KStep_response_integrity :
forall tr1 tr2 req rsp,
tabs_distinct tr1 ->
tabs_distinct tr2 ->
cprocs_distinct tr1 ->
cprocs_distinct tr2 ->
KStep tr1 req rsp ->
cur_tab tr2 = cur_tab tr1 ->
cur_tabs tr2 = cur_tabs tr1 ->
cur_cprocs tr2 = cur_cprocs tr1 ->
KStep tr2 req rsp.
Proof.
intros.
inv H3; try (
econstructor; eauto;
unfold classify_user_cmd in *;
try rewrite H4;
try rewrite H5;
try rewrite H6;
try rewrite <- H8;
try apply cproc_distinct_cur_proc;
auto; fail
); try (
try rewrite <- H4;
try rewrite <- H5;
try rewrite <- H6;
try rewrite <- H8
).
econstructor; eauto.
unfold classify_user_cmd in *.
rewrite H4, H5 in *; auto.
rewrite H6; apply H8.
rewrite<- H9.
apply cproc_distinct_cur_proc; auto.
(* econstructor; eauto. *)
econstructor; eauto.
unfold classify_user_cmd in *.
rewrite H4, H5 in *; auto.
eapply cproc_none_none with (tr1 := tr1) (tr2 := tr2); eauto.
econstructor; eauto.
unfold classify_user_cmd in *.
rewrite H4, H5 in *; auto.
rewrite H5; auto.
assert (cur_cproc (get_topdomain_tab (tab_origin_url t')) tr1 = cur_cproc (get_topdomain_tab (tab_origin_url t')) tr2).
apply cproc_distinct_cur_proc; auto.
rewrite <- H5.
econstructor; eauto.
rewrite H5. auto. rewrite H9 in H3. eauto.
econstructor; eauto.
rewrite H5; auto.
eapply cproc_none_none with (tr1 := tr1) (tr2 := tr2); eauto.
econstructor; eauto. rewrite H5; auto.
rewrite H6; auto.
rewrite <- H10.
eapply cproc_distinct_cur_proc; eauto.
econstructor; eauto. rewrite H6; eauto.
econstructor; eauto.
rewrite H5; eauto.
rewrite H6; eauto.
rewrite <- H9.
eapply cproc_distinct_cur_proc; eauto.
econstructor; eauto.
rewrite H5; eauto.
rewrite H6; eauto.
rewrite <- H10.
eapply cproc_distinct_cur_proc; eauto.
econstructor; eauto.
rewrite H5; eauto.
rewrite H6; eauto.
unfold not in *.
intros. destruct H10.
rewrite <- H3.
eapply cproc_distinct_cur_proc; eauto.
rewrite <- H5 in H9.
eapply Kstep_cookie_get_c2k_error_bad_tab_id.
rewrite H6; eauto. eauto. auto.
Qed.
(* BEGIN: projection *)
Inductive ctrl_key : ascii -> Prop :=
| ctrl_key_f1 : ctrl_key "001"%char
| ctrl_key_f2 : ctrl_key "002"%char
| ctrl_key_f3 : ctrl_key "003"%char
| ctrl_key_f4 : ctrl_key "004"%char
| ctrl_key_f5 : ctrl_key "005"%char
| ctrl_key_f6 : ctrl_key "006"%char
| ctrl_key_f7 : ctrl_key "007"%char
| ctrl_key_f8 : ctrl_key "014"%char
| ctrl_key_f9 : ctrl_key "015"%char
| ctrl_key_f10 : ctrl_key "016"%char
| ctrl_key_f11 : ctrl_key "017"%char
| ctrl_key_f12 : ctrl_key "018"%char.
Definition ctrl_key_dec :
forall a, {ctrl_key a} + {~ ctrl_key a}.
Proof.
intros.
destruct a.
destruct b6; [right; unfold not; intros; inversion H; subst |].
destruct b5; [right; unfold not; intros; inversion H; subst |].
destruct b4; [right; unfold not; intros; inversion H; subst |].
destruct b3; destruct b2; destruct b1; destruct b0; destruct b;
try (right; unfold not; intros; inversion H; subst || left; econstructor); left; econstructor.
Qed.
Lemma ctrl_key_dec_true:
forall T (A B: T) f,
ctrl_key f -> (if ctrl_key_dec f then A else B) = A.
Proof.
intros.
case (ctrl_key_dec f); intros. auto.
destruct n. auto.
Qed.
Lemma ctrl_key_dec_false:
forall T (A B: T) f1,
~ ctrl_key f1 ->
(if ctrl_key_dec f1 then A else B) = B.
Proof.
intros. case (ctrl_key_dec f1); intros.
subst. destruct H; auto. auto.
Qed.
Fixpoint proj_useract (trace: Trace) : Trace :=
match trace with
| ReadFile f p :: tr =>
if file_desc_eq f stdin then
if ctrl_key_dec p
then
ReadFile f p :: proj_useract tr
else
proj_useract tr
else
proj_useract tr
| Endorse c :: tr => Endorse c :: proj_useract tr
| a:: tr => proj_useract tr
| nil =>
nil
end.
Lemma proj_useract_WroteMsg:
forall t m tr,
proj_useract (WroteMsg t m ++ tr) = proj_useract tr.
Proof.
intros.
unfold WroteMsg.
rewrite<- app_comm_cons. simpl. reflexivity.
Qed.
Lemma proj_useract_Clear:
forall tr,
proj_useract (Clear :: tr) = proj_useract tr.
Proof.
intros.
unfold Clear.
simpl. reflexivity.
Qed.
Lemma proj_useract_Paint:
forall tr t,
proj_useract (Paint (cur_tabs tr) t ++ tr) = proj_useract tr.
Proof.
intros.
unfold Paint.
simpl. reflexivity.
Qed.
Lemma proj_useract_MkTab:
forall t tr,
proj_useract (MkTab t:: tr) = proj_useract tr.
Proof.
intros.
simpl. reflexivity.
Qed.
Lemma proj_useract_MkCProc:
forall c tr,
proj_useract (MkCProc c:: tr) = proj_useract tr.
Proof.
intros.
simpl. reflexivity.
Qed.
Lemma proj_useract_MousePos:
forall s tr,
proj_useract (MousePos s:: tr) = proj_useract tr.
Proof.
intros.
simpl. reflexivity.
Qed.
Lemma proj_useract_WroteStr:
forall s t tr,
proj_useract (WroteStr t s :: tr) = proj_useract tr.
Proof.
intros.
simpl. reflexivity.
Qed.
Lemma proj_useract_ReadFile_true:
forall c tr,
ctrl_key c ->
proj_useract (ReadFile stdin c :: tr) = ReadFile stdin c :: proj_useract tr.
Proof.
intros.
simpl.
rewrite file_desc_eq_true.
rewrite ctrl_key_dec_true; auto.
Qed.
Lemma proj_useract_ReadFile_false:
forall c tr,
~ ctrl_key c ->
proj_useract (ReadFile stdin c :: tr) = proj_useract tr.
Proof.
intros.
simpl.
rewrite file_desc_eq_true.
destruct c.
rewrite ctrl_key_dec_false; auto.
Qed.
Lemma proj_useract_Endorse:
forall c tr,
proj_useract (Endorse c :: tr) = Endorse c :: proj_useract tr.
Proof.
intros.
simpl. reflexivity.
Qed.
Lemma proj_useract_WroteEndorseMsg:
forall url tr,
proj_useract (WroteEndorseMsg url :: tr) = proj_useract tr.
Proof.
intros.
simpl. reflexivity.
Qed.
(* END: projection *)
Lemma if_none_none_none:
forall (b:bool) (None:option nat), (if b then None else None) = None.
Proof.
intros.
destruct b; auto.
Qed.
Lemma addtab_ctrl_key :
forall a tr,
classify_user_cmd a tr = UAddTab -> ctrl_key a.
Proof.
intros.
unfold classify_user_cmd in H.
destruct (ascii_dec a "017"); subst.
econstructor.
destruct (ascii_dec a "018"); subst.
econstructor.
destruct (select_tab_idx a).
destruct (nth_error (cur_tabs tr) n1); discriminate.
destruct (cur_tab tr); discriminate.
Qed.
Lemma mouseclick_ctrl_key :
forall a tr t,
classify_user_cmd a tr = UMouseClick t -> ctrl_key a.
Proof.
intros.
unfold classify_user_cmd in H.
destruct (ascii_dec a "017"); subst.
econstructor.
destruct (ascii_dec a "018"); subst.
econstructor.
destruct (select_tab_idx a).
destruct (nth_error (cur_tabs tr) n1); discriminate.
destruct (cur_tab tr); discriminate.
Qed.
Lemma switchtab_ctrl_key :
forall a tr t,
classify_user_cmd a tr = USwitchTab t -> ctrl_key a.
Proof.
intros.
unfold classify_user_cmd in H.
destruct (ascii_dec a "017"); subst.
econstructor.
destruct (ascii_dec a "018"); subst.
econstructor.
destruct a.
simpl in H.
destruct b6; destruct b5; destruct b4; destruct b3;
destruct b2; destruct b1; destruct b0; destruct b;
repeat try rewrite if_none_none_none in H ;
repeat try(destruct (cur_tab tr); discriminate); try econstructor.
Qed.
Lemma cur_tab_same_over_K2TSetCookieActions :
forall c tr cookie tr' tr'', cur_tab tr = cur_tab tr'' ->
cur_tab tr = cur_tab (K2TSetCookieActions c (cur_tabs tr') cookie ++ tr'').
Proof.
induction tr'.
simpl; auto.
simpl. destruct a; simpl; auto.
rewrite K2TSetCookieActions_dist.
simpl. destruct (laeq (cproc_domain c) (get_topdomain_tab (tab_origin_url t))).
intros. simpl. apply IHtr'; auto.
intros. simpl. apply IHtr'; auto.
Qed.
Lemma cur_cprocs_same_over_K2TSetCookieActions :
forall c tr cookie tr' tr'', cur_cprocs tr = cur_cprocs tr'' ->
cur_cprocs tr = cur_cprocs (K2TSetCookieActions c (cur_tabs tr') cookie ++ tr'').
Proof.
induction tr'.
simpl; auto.
simpl. destruct a; simpl; auto.
rewrite K2TSetCookieActions_dist.
simpl. destruct (laeq (cproc_domain c) (get_topdomain_tab (tab_origin_url t))).
intros. simpl. apply IHtr'; auto.
intros. simpl. apply IHtr'; auto.
Qed.
Lemma pres_useract_cur_tabs:
forall tr req rsp,
tabs_distinct tr ->
KStep tr req rsp ->
proj_useract tr = proj_useract (rsp ++ req ++ tr) ->
cur_tabs tr = cur_tabs (rsp ++ req ++ tr).
Proof.
destruct 2; norm_list; intros; simpl; try reflexivity.
rewrite proj_useract_WroteMsg in H3.
simpl in H3. rewrite file_desc_eq_true in H3.
destruct ok. rewrite ctrl_key_dec_true in H3.
apply f_equal with (f := @List.length _) in H3.
simpl in H3. cut False; [contradiction | omega].
eapply addtab_ctrl_key; eauto.
rewrite proj_useract_WroteMsg in H3.
simpl in H3. rewrite file_desc_eq_true in H3.
destruct ok. rewrite ctrl_key_dec_true in H3.
apply f_equal with (f := @List.length _) in H3.
simpl in H3. cut False; [contradiction | omega].
eapply addtab_ctrl_key; eauto.
rewrite proj_useract_WroteMsg in H3.
unfold Paint in H3. simpl in H3.
(*
rewrite proj_useract_Endorse in H3.
rewrite proj_useract_WroteEndorseMsg in H3.
Opaque proj_useract.
simpl in H3.
Transparent proj_useract.
simpl in H3.
*)
apply f_equal with (f := @List.length _) in H3.
simpl in H3. cut False; [contradiction | omega].
simpl in H4.
apply f_equal with (f := @List.length _) in H4.
simpl in H4. cut False; [contradiction | omega].
apply cur_tabs_same_over_K2TSetCookieActions.
simpl; auto.
Qed.
Lemma not_ctrl_key_select_tab_idx_none:
forall a,
~ ctrl_key a ->
select_tab_idx a = None.
Proof.
intros.
destruct a.
unfold not in H.
destruct b6; destruct b5; destruct b4; destruct b3; destruct b2; destruct b1; destruct b0; destruct b; simpl; auto;
destruct H; econstructor.
Qed.
Lemma keypress_not_function_keys:
forall a tr t k, classify_user_cmd a tr = UKeyPress t k -> ~ ctrl_key a.
Proof.
intros.
destruct a.
unfold not. intros.
destruct b6; destruct b5; destruct b4; destruct b3; destruct b2; destruct b1; destruct b0; destruct b; simpl; inversion H0.
try (simpl in H; destruct (cur_tab tr); discriminate).
unfold classify_user_cmd in H. simpl in H.
destruct (cur_tab tr). simpl in H. discriminate.
repeat (destruct l; simpl in H; [try discriminate|]; try discriminate).
destruct (cur_tabs tr). simpl in H. discriminate.
(destruct l; simpl in H; [try discriminate|]; try discriminate).
unfold classify_user_cmd in H. simpl in H.
destruct (cur_tabs tr). simpl in H. discriminate.
(destruct l; simpl in H; [try discriminate|]; try discriminate).
unfold classify_user_cmd in H. simpl in H.
destruct (cur_tabs tr). simpl in H. discriminate.
repeat (destruct l; simpl in H; [try discriminate|]; try discriminate).
unfold classify_user_cmd in H. simpl in H.
destruct (cur_tabs tr). simpl in H. discriminate.
repeat (destruct l; simpl in H; [try discriminate|]; try discriminate).
unfold classify_user_cmd in H. simpl in H.
destruct (cur_tabs tr). simpl in H. discriminate.
repeat (destruct l; simpl in H; [try discriminate|]; try discriminate).
unfold classify_user_cmd in H. simpl in H.
destruct (cur_tabs tr). simpl in H. discriminate.
repeat (destruct l; simpl in H; [try discriminate|]; try discriminate).
unfold classify_user_cmd in H. simpl in H.
destruct (cur_tabs tr). simpl in H. discriminate.
repeat (destruct l; simpl in H; [try discriminate|]; try discriminate).
unfold classify_user_cmd in H. simpl in H.
destruct (cur_tabs tr). simpl in H. discriminate.
repeat (destruct l; simpl in H; [try discriminate|]; try discriminate).
unfold classify_user_cmd in H. simpl in H.
destruct (cur_tabs tr). simpl in H. discriminate.
repeat (destruct l; simpl in H; [try discriminate|]; try discriminate).
unfold classify_user_cmd in H. simpl in H.
destruct (cur_tabs tr). simpl in H. discriminate.
repeat (destruct l; simpl in H; [try discriminate|]; try discriminate).
unfold classify_user_cmd in H. simpl in H.
destruct (cur_tabs tr). simpl in H. discriminate.
repeat (destruct l; simpl in H; [try discriminate|]; try discriminate).
unfold classify_user_cmd in H. simpl in H.
destruct (cur_tabs tr). simpl in H. discriminate.
repeat (destruct l; simpl in H; [try discriminate|]; try discriminate).
Qed.
Lemma keypress_select_tab_idx_none :
forall a tr t k,
classify_user_cmd a tr = UKeyPress t k ->
select_tab_idx a = None.
Proof.
intros.
assert ( ~ ctrl_key a).
eapply keypress_not_function_keys; eauto.
apply not_ctrl_key_select_tab_idx_none; auto.
Qed.
Lemma mouseclick_select_tab_idx_none :
forall a tr t,
classify_user_cmd a tr = UMouseClick t ->
select_tab_idx a = None.
Proof.
intros.
destruct a.
unfold not in H.
destruct b6; destruct b5; destruct b4; destruct b3; destruct b2; destruct b1; destruct b0; destruct b; simpl; auto.
unfold classify_user_cmd in H.
destruct (cur_tabs tr). simpl in H. discriminate.
repeat (destruct l; simpl in H; [try discriminate|]; try discriminate).
unfold classify_user_cmd in H.
destruct (cur_tabs tr). simpl in H. discriminate.
repeat (destruct l; simpl in H; [try discriminate|]; try discriminate).
unfold classify_user_cmd in H.
destruct (cur_tabs tr). simpl in H. discriminate.
repeat (destruct l; simpl in H; [try discriminate|]; try discriminate).
unfold classify_user_cmd in H.
destruct (cur_tabs tr). simpl in H. discriminate.
repeat (destruct l; simpl in H; [try discriminate|]; try discriminate).
unfold classify_user_cmd in H.
destruct (cur_tabs tr). simpl in H. discriminate.
repeat (destruct l; simpl in H; [try discriminate|]; try discriminate).
unfold classify_user_cmd in H.
destruct (cur_tabs tr). simpl in H. discriminate.
repeat (destruct l; simpl in H; [try discriminate|]; try discriminate).
unfold classify_user_cmd in H.
destruct (cur_tabs tr). simpl in H. discriminate.
repeat (destruct l; simpl in H; [try discriminate|]; try discriminate).
unfold classify_user_cmd in H.
destruct (cur_tabs tr). simpl in H. discriminate.
repeat (destruct l; simpl in H; [try discriminate|]; try discriminate).
unfold classify_user_cmd in H.
destruct (cur_tabs tr). simpl in H. discriminate.
repeat (destruct l; simpl in H; [try discriminate|]; try discriminate).
unfold classify_user_cmd in H.
destruct (cur_tabs tr). simpl in H. discriminate.
repeat (destruct l; simpl in H; [try discriminate|]; try discriminate).
Qed.
Lemma pres_useract_cur_tab:
forall tr req rsp,
tabs_distinct tr ->
cprocs_distinct tr ->
KStep tr req rsp ->
proj_useract tr = proj_useract (rsp ++ req ++ tr) ->
cur_tab tr = cur_tab (rsp ++ req ++ tr).
Proof.
destruct 3; norm_list; intros; simpl; auto.
rewrite proj_useract_WroteMsg in H4.
unfold Paint in H4.
simpl in H4.
rewrite file_desc_eq_true in H4.
destruct (ctrl_key_dec ok).
apply f_equal with (f := @List.length _) in H4.
simpl in H4. cut False; [contradiction | omega].
destruct n.
eapply addtab_ctrl_key; eauto.
rewrite proj_useract_WroteMsg in H4.
unfold Paint in H4. simpl in H4.
rewrite (file_desc_eq_true) in H4.
destruct (ctrl_key_dec ok).
apply f_equal with (f := @List.length _) in H4.
simpl in H4. cut False; [contradiction | omega].
destruct n.
eapply addtab_ctrl_key; eauto.
simpl.
rewrite proj_useract_WroteMsg in H2.
unfold Paint in H2. simpl in H2.
rewrite (file_desc_eq_true) in H2.
destruct (ctrl_key_dec ok).
apply f_equal with (f := @List.length _) in H2.
simpl in H2. cut False; [contradiction | omega].
destruct n. eapply switchtab_ctrl_key; eauto.
simpl. rewrite file_desc_eq_true.
assert ((select_tab_idx ok) = None).
eapply keypress_select_tab_idx_none; eauto.
rewrite H3; auto.
auto.
simpl in H2. rewrite file_desc_eq_true in H2.
destruct (ctrl_key_dec ok).
apply f_equal with (f := @List.length _) in H2.
simpl in H2. cut False; [contradiction | omega].
simpl. rewrite file_desc_eq_true.
assert ((select_tab_idx ok) = None).
eapply mouseclick_select_tab_idx_none; eauto.
rewrite H3; auto.
auto.
destruct ok.
destruct b6; destruct b5; destruct b4; destruct b3; destruct b2; destruct b1; destruct b0; destruct b; simpl; rewrite file_desc_eq_true; auto;
repeat (simpl in H2; rewrite file_desc_eq_true in H2; rewrite ctrl_key_dec_true in H2;
apply f_equal with (f := @List.length _) in H2;
[ simpl in H2; cut False; [contradiction | omega] | econstructor ]).
simpl in H4.
apply f_equal with (f := @List.length _) in H4.
simpl in H4. cut False; [contradiction | omega].
simpl in H5.
apply f_equal with (f := @List.length _) in H5.
simpl in H5. cut False; [contradiction | omega].
apply cur_tab_same_over_K2TSetCookieActions.
simpl; auto.
Qed.
Lemma pres_useract_cur_cprocs:
forall tr req rsp,
tabs_distinct tr ->
cprocs_distinct tr ->
KStep tr req rsp ->
proj_useract tr = proj_useract (rsp ++ req ++ tr) ->
cur_cprocs tr = cur_cprocs (rsp ++ req ++ tr).
Proof.
destruct 3; norm_list; intros; simpl; auto.
rewrite proj_useract_WroteMsg in H4.
simpl in H4. rewrite file_desc_eq_true in H4.
rewrite ctrl_key_dec_true in H4.
destruct ok.
apply f_equal with (f := @List.length _) in H4.
simpl in H4. cut False; [contradiction | omega].
eapply addtab_ctrl_key. apply H1.
simpl in H5.
apply f_equal with (f := @List.length _) in H5;
simpl in H5. cut False; [contradiction | omega].
apply cur_cprocs_same_over_K2TSetCookieActions.
simpl; auto.
Qed.
Theorem state_integrity :
forall tr req rsp,
tabs_distinct tr ->
cprocs_distinct tr ->
KStep tr req rsp ->
proj_useract tr = proj_useract (rsp ++ req ++ tr) ->
cur_tabs tr = cur_tabs (rsp ++ req ++ tr) /\
cur_tab tr = cur_tab (rsp ++ req ++ tr) /\
cur_cprocs tr = cur_cprocs (rsp ++ req ++ tr).
Proof.
intros.
split. apply pres_useract_cur_tabs; auto.
split. apply pres_useract_cur_tab; auto.
apply pres_useract_cur_cprocs; auto.
Qed.
Fixpoint get_cproc (domain: list ascii) (cprocs:list cproc) : option cproc :=
match cprocs with
| c :: cps => if laeq (cproc_domain c) domain then (Some c) else get_cproc domain cps
| nil => None
end.
Lemma get_cprocs_in :
forall domain ccps c,
get_cproc domain ccps = Some c -> In c ccps.
Proof.
induction ccps.
intros.
simpl in H. discriminate.
intros.
destruct a.
simpl in H.
destruct (laeq l domain).
simpl. inv H. left. reflexivity.
simpl. right. apply IHccps.
apply H.
Qed.
Lemma cur_cproc_eq_get_cproc :
forall domain tr,
cur_cproc domain tr = get_cproc domain (cur_cprocs tr).
Proof.
induction tr.
simpl. auto.
simpl. destruct a; auto. simpl.
destruct (laeq (cproc_domain c) domain).
auto.
apply IHtr.
Qed.
Theorem get_cproc_some :
forall tr t, TraceOK tr -> In t (cur_tabs tr) ->
get_cproc (get_topdomain_tab (tab_origin_url t)) (cur_cprocs tr) <> None.
Proof.
intros tr t TraceOK_tr t_in_cur_tabs.
rewrite <- cur_cproc_eq_get_cproc.
apply cur_cproc_some. auto. auto. Qed.
Lemma get_cproc_none_not_in:
forall domain cprocslist,
get_cproc domain cprocslist = None -> ~ In domain (map cproc_domain cprocslist).
Proof.
induction cprocslist.
simpl. unfold not. intros. destruct H0.
intros.
destruct a; simpl; auto.
unfold not. unfold not in IHcprocslist.
simpl in H.
destruct (laeq l domain).
discriminate.
unfold not in n. intros. destruct H0.
apply n; auto.
apply IHcprocslist; auto.
Qed.
Check length.
Inductive from_tab : tab -> Trace -> Prop :=
| from_tab_intro :
forall t s la, from_tab t (ReadN (t2k t) s la :: nil)
| from_tab_more :
forall t tr a,
from_tab t tr -> from_tab t (a :: tr).
Lemma in_map :
forall (T1 T2:Type) l (g:T1->T2) (a:T1),
In a l -> In (g a) (map g l).
Proof.
intros.
induction l.
inversion H.
simpl in H. destruct H.
simpl. left. rewrite H. auto.
simpl. right. apply IHl. auto.
Qed.
Lemma uniq_map_noteq :
forall (T1 T2 T3:Type) (f:T1->T3) (g:T2->T3) l1 l2 (a:T1) (b:T2),
uniq (map f l1 ++ map g l2) ->
In a l1 ->
In b l2 ->
f a <> g b.
Proof.
intros T1 T2 T3 f g l1.
induction l1.
intros. simpl in H0. inversion H0.
intros.
(*
destruct l2.
simpl in H1. inversion H1.
*)
assert (map f (a :: l1) = f a :: map f l1). auto.
rewrite H2 in H.
simpl in H0. destruct H0.
unfold not. intros.
inversion H. rewrite <- H0 in *.
destruct H7. apply in_or_app. right.
rewrite H3.
apply in_map. auto.
eapply IHl1; eauto.
simpl in H. inversion H. auto.
Qed.
Lemma readn_not_from_readcmsg:
forall tr t c s p m,
procs_distinct tr ->
In t (cur_tabs tr) ->
In c (cur_cprocs tr) ->
~ In (ReadN (t2k t) s p) (ReadCMsg c m).
Proof.
intros tr t c s p m procs_distinct in_t in_c.
inversion procs_distinct.
assert (t2k t <> c2k c).
eapply uniq_map_noteq; eauto.
unfold not. intros.
inversion H4. inversion H5. rewrite H7 in H3. destruct H3; auto.
inversion H5. inversion H6. rewrite H8 in H3. destruct H3; auto.
inversion H6. inversion H7. rewrite H9 in H3. destruct H3; auto.
inversion H7.
Qed.
Lemma read_msg_from_tab :
forall t t' s p m,
In (ReadN (t2k t) s p) (ReadMsg t' m) ->
from_tab t (ReadMsg t' m).
Proof.
intros.
apply from_tab_more.
apply from_tab_more.
simpl in H. destruct H.
inversion H.
rewrite H1. apply from_tab_intro.
simpl in H. destruct H.
inversion H.
rewrite H1. apply from_tab_intro.
simpl in H. destruct H.
inversion H.
rewrite H1. apply from_tab_intro.
inversion H.
Qed.
Theorem from_tab_correct :
forall tr req res t s m,
In t (cur_tabs tr) ->
procs_distinct tr ->
KStep tr req res ->
In (ReadN (t2k t) s m) req ->
from_tab t req.
Proof.
intros tr req res t s m in_t procs_distinct kstep in_read.
inv kstep.
(destruct in_read as [in_read' | in_read];
[inversion in_read' | destruct in_read as [in_read' | in_read];
[inversion in_read' | destruct in_read ]
]).
(destruct in_read as [in_read' | in_read];
[inversion in_read' | destruct in_read as [in_read' | in_read];
[inversion in_read' | destruct in_read as [in_read' | in_read];
[inversion in_read' | destruct in_read]
]
]).
(destruct in_read as [in_read' | in_read];
[inversion in_read' | destruct in_read]).
destruct in_read as [in_read' | in_read];
[inversion in_read' | destruct in_read].
(destruct in_read as [in_read' | in_read];
[inversion in_read' | destruct in_read as [in_read' | in_read];
[inversion in_read' | destruct in_read ]
]).
destruct in_read as [in_read' | in_read];
[inversion in_read' | destruct in_read].
Opaque ReadMsg.
simpl in in_read. destruct in_read.
inversion H0.
apply from_tab_more.
eapply read_msg_from_tab; eauto.
simpl in in_read.
destruct in_read as [ in_read' | in_read];
[inversion in_read' | destruct in_read].
inversion H2.
destruct H2. inversion H2.
apply from_tab_more. apply from_tab_more. apply from_tab_more.
eapply read_msg_from_tab; eauto.
apply from_tab_more. apply from_tab_more. apply from_tab_more.
apply from_tab_more.
simpl in in_read.
destruct in_read as [ in_read' | in_read];
[inversion in_read' | destruct in_read].
inversion H3.
destruct H3. inversion H3.
destruct H3. inversion H3.
eapply read_msg_from_tab; eauto.
simpl in in_read.
destruct in_read. inversion H0. destruct H0.
inversion H0.
apply from_tab_more. apply from_tab_more.
eapply read_msg_from_tab; eauto.
eapply read_msg_from_tab; eauto.
eapply read_msg_from_tab; eauto.
eapply read_msg_from_tab; eauto.
eapply read_msg_from_tab; eauto.
Check readn_not_from_readcmsg.
eapply readn_not_from_readcmsg with (t:=t) in in_read.
contradiction.
eauto. auto. auto.
eapply read_msg_from_tab; eauto.
eapply readn_not_from_readcmsg with (t:=t) in in_read.
contradiction.
eauto. auto. auto.
eapply readn_not_from_readcmsg with (t:=t) in in_read.
contradiction.
eauto. auto. auto.
eapply readn_not_from_readcmsg with (t:=t) in in_read.
contradiction.
eauto. auto. auto.
eapply read_msg_from_tab; eauto.
eapply read_msg_from_tab; eauto.
simpl in in_read.
destruct in_read.
inversion H1. rewrite H3.
apply from_tab_intro.
inversion H1.
Qed.
Lemma list_eq_last :
forall (T:Type) (l1:list T) (l2:list T) (a1:T) (a2:T),
(l1 ++ a1 :: nil = l2 ++ a2 :: nil) -> a1 = a2.
Proof.
intros T l1.
induction l1.
simpl. intros.
destruct l2. simpl in H. inversion H; auto.
apply f_equal with (f := @List.length _) in H.
rewrite app_length in H. simpl in H.
cut False; [contradiction | omega].
intros.
destruct l2. simpl in H.
apply f_equal with (f := @List.length _) in H.
simpl in H. rewrite app_length in H. simpl in H.
cut False; [contradiction | omega].
simpl in H. inversion H. eapply IHl1; eauto.
Qed.
Lemma in_readn_readmsg_same_t:
forall t t' s la m,
In (ReadN (t2k t) s la) (ReadMsg t' m) -> (t2k t) = (t2k t').
Proof.
intros.
Transparent ReadMsg.
unfold ReadMsg in H.
simpl in H.
destruct H. inversion H. auto.
destruct H. inversion H. auto.
destruct H. inversion H. auto.
inversion H.
Qed.
Lemma in_readn_readmsg :
forall tr tr' req rsp t t' s la m,
tabs_distinct tr ->
In t (cur_tabs tr) ->
In t' (cur_tabs tr) ->
req = tr' ++ ReadMsg t' m ->
KStep tr req rsp ->
In (ReadN (t2k t) s la) req ->
t = t'.
Proof.
intros.
inv H3;
try (
unfold ReadMsg in H9;
apply f_equal with (f := @List.rev _) in H9;
rewrite rev_app_distr in H9; simpl in H9;
inversion H9
);
try (
unfold ReadMsg in H7;
apply f_equal with (f := @List.rev _) in H7;
rewrite rev_app_distr in H7; simpl in H7;
inversion H7
).
inversion H7. inversion H7.
apply f_equal with (f := @List.rev _) in H21.
rewrite rev_involutive in H21.
simpl in H21.
rewrite <- H21 in H4.
apply in_app_or in H4.
destruct H4. simpl in H2. destruct H2; [discriminate H2 | inversion H2].
apply in_readn_readmsg_same_t in H2.
eapply map_in_uniq_inv; eauto;
inv H; auto.
inversion H9. inversion H9.
apply f_equal with (f := @List.rev _) in H23.
rewrite rev_involutive in H23.
simpl in H23.
rewrite <- H23 in H4.
apply in_app_or in H4.
destruct H4. simpl in H2.
destruct H2; try discriminate H2.
destruct H2; try discriminate H2.
destruct H2; try discriminate H2.
inversion H2.
apply in_readn_readmsg_same_t in H2.
eapply map_in_uniq_inv; eauto;
inv H; auto.
try (
unfold ReadMsg in H10;
apply f_equal with (f := @List.rev _) in H10;
rewrite rev_app_distr in H10; simpl in H10;
inversion H10
).
inversion H10. inversion H10.
apply f_equal with (f := @List.rev _) in H24.
rewrite rev_involutive in H24.
simpl in H24.
rewrite <- H24 in H4.
apply in_app_or in H4.
destruct H4. simpl in H2.
destruct H2; try discriminate H2.
destruct H2; try discriminate H2.
destruct H2; try discriminate H2.
destruct H2; try discriminate H2.
inversion H2.
apply in_readn_readmsg_same_t in H2.
eapply map_in_uniq_inv; eauto;
inv H; auto.
apply f_equal with (f := @List.rev _) in H13.
rewrite rev_involutive in H13.
simpl in H13.
rewrite <- H13 in H4.
apply in_app_or in H4.
destruct H4. simpl in H2.
destruct H2; try discriminate H2.
destruct H2; try discriminate H2.
inversion H2.
apply in_readn_readmsg_same_t in H2.
eapply map_in_uniq_inv; eauto;
inv H; auto.
inversion H9.
apply f_equal with (f := @List.rev _) in H15.
rewrite rev_involutive in H15.
simpl in H15.
rewrite <- H15 in H4.
apply in_app_or in H4.
destruct H4. simpl in H2.
destruct H2; try discriminate H2.
apply in_readn_readmsg_same_t in H2.
eapply map_in_uniq_inv; eauto;
inv H; auto.
inversion H9.
apply f_equal with (f := @List.rev _) in H15.
rewrite rev_involutive in H15.
simpl in H15.
rewrite <- H15 in H4.
apply in_app_or in H4.
destruct H4. simpl in H2.
destruct H2; try discriminate H2.
apply in_readn_readmsg_same_t in H2.
eapply map_in_uniq_inv; eauto;
inv H; auto.
unfold ReadMsg in H10;
apply f_equal with (f := @List.rev _) in H10.
simpl in H10.
rewrite rev_app_distr in H10; simpl in H10.
inversion H10.
apply f_equal with (f := @List.rev _) in H16.
rewrite rev_involutive in H16. simpl in H16.
rewrite <- H16 in H4.
apply in_app_or in H4.
destruct H4. simpl in H2.
destruct H2; try discriminate H2.
apply in_readn_readmsg_same_t in H2.
eapply map_in_uniq_inv; eauto;
inv H; auto.
unfold ReadMsg in H8;
apply f_equal with (f := @List.rev _) in H8.
simpl in H8.
rewrite rev_app_distr in H8; simpl in H8.
inversion H8.
apply f_equal with (f := @List.rev _) in H14.
rewrite rev_involutive in H14. simpl in H14.
rewrite <- H14 in H4.
apply in_app_or in H4.
destruct H4. simpl in H2.
inversion H2.
apply in_readn_readmsg_same_t in H2.
eapply map_in_uniq_inv; eauto.
inv H; auto.
destruct m; discriminate H6.
apply f_equal with (f := @List.rev _) in H15.
rewrite rev_involutive in H15.
simpl in H15.
rewrite <- H15 in H4.
apply in_app_or in H4.
destruct H4. simpl in H2.
destruct H2; try discriminate H2.
apply in_readn_readmsg_same_t in H2.
eapply map_in_uniq_inv; eauto;
inv H; auto.
unfold ReadMsg in H10.
apply f_equal with (f := @List.rev _) in H10.
rewrite rev_app_distr in H10; simpl in H10.
inversion H10.
destruct m; discriminate H9.
unfold ReadMsg in H10.
apply f_equal with (f := @List.rev _) in H10.
rewrite rev_app_distr in H10; simpl in H10.
inversion H10.
destruct m; discriminate H9.
apply f_equal with (f := @List.rev _) in H14.
rewrite rev_involutive in H14.
simpl in H14.
rewrite <- H14 in H4.
apply in_app_or in H4.
destruct H4. simpl in H2.
destruct H2; try discriminate H2.
apply in_readn_readmsg_same_t in H2.
eapply map_in_uniq_inv; eauto;
inv H; auto.
unfold ReadMsg in H8.
apply f_equal with (f := @List.rev _) in H8.
rewrite rev_app_distr in H8; simpl in H8.
inversion H8.
apply f_equal with (f := @List.rev _) in H14.
rewrite rev_involutive in H14.
simpl in H14.
rewrite <- H14 in H4.
apply in_app_or in H4.
destruct H4. simpl in H2.
destruct H2; try discriminate H2.
apply in_readn_readmsg_same_t in H2.
eapply map_in_uniq_inv; eauto;
inv H; auto.
unfold ReadMsg in H8.
apply f_equal with (f := @List.rev _) in H8.
rewrite rev_app_distr in H8; simpl in H8.
inversion H8.
apply f_equal with (f := @List.rev _) in H14.
rewrite rev_involutive in H14.
simpl in H14.
rewrite <- H14 in H4.
apply in_app_or in H4.
destruct H4. simpl in H2.
destruct H2; try discriminate H2.
apply in_readn_readmsg_same_t in H2.
eapply map_in_uniq_inv; eauto;
inv H; auto.
unfold ReadMsg in H8.
apply f_equal with (f := @List.rev _) in H8.
rewrite rev_app_distr in H8; simpl in H8.
inversion H8.
Qed.
Lemma uniq_elem_swap :
forall (T:Type) (a:T) (b:T) l1 l2,
uniq (a :: l1 ++ b :: l2) -> uniq (a :: b :: nil ++ l1 ++ l2).
Proof.
intros T a b l1.
induction l1.
simpl. intros. auto.
simpl. intros.
inversion H.
inversion H2.
assert (uniq (a:: l1 ++ b :: l2)).
apply uniq_cons. auto.
simpl in H3. unfold not in H3.
unfold not. intros. apply H3. auto.
apply IHl1 in H8.
constructor. constructor. constructor.
inversion H8. inversion H11. auto.
unfold not. intros.
unfold not in H7. apply H7.
apply in_or_app.
apply in_app_or in H9.
destruct H9. left; auto.
right. apply in_cons. auto.
simpl. unfold not. intros.
destruct H9.
rewrite H9 in H7. destruct H7.
apply in_or_app. right. simpl. left. auto.
inversion H8. inversion H12. auto.
simpl. unfold not. intros.
destruct H9.
rewrite H9 in H8. inversion H8. destruct H13.
simpl. left. auto.
destruct H9.
rewrite H9 in H3. destruct H3. simpl. left; auto.
inversion H8. destruct H13. simpl. right. auto.
Qed.
(*
1) when the tab in discussion is the current tab : 1
2) and the response is *NOT* to a cookie process : 0
*)
Lemma Tab_NI_cur_tab_not_to_cookie:
forall tr1 tr2 req rsp1 rsp2 t,
procs_distinct tr1 ->
procs_distinct tr2 ->
proj_useract req = nil ->
from_tab t req ->
In t (cur_tabs tr1) ->
In t (cur_tabs tr2) ->
cur_tab tr1 = Some t ->
cur_tab tr2 = Some t ->
~ (exists c, exists m, rsp1 = WroteCMsg c m) ->
True ->
(* ~ (exists c, exists m, rsp2 = WroteCMsg c m) -> *)
KStep tr1 req rsp1 ->
KStep tr2 req rsp2 ->
rsp1 = rsp2.
Proof.
intros.
inv H9; try (
econstructor; eauto;
unfold classify_user_cmd in *;
try rewrite H8;
try rewrite H9;
try rewrite H10;
try rewrite <- H11;
try apply cproc_distinct_cur_proc;
auto; fail
).
(* Mktab *)
rewrite proj_useract_MkTab in H1.
rewrite proj_useract_ReadFile_true in H1.
discriminate H1.
destruct ok. eapply addtab_ctrl_key; eauto.
(* Mktab + MkCProc *)
rewrite proj_useract_MkCProc in H1.
rewrite proj_useract_MkTab in H1.
rewrite proj_useract_ReadFile_true in H1.
discriminate H1.
destruct ok. eapply addtab_ctrl_key; eauto.
(* Switch Tab *)
rewrite proj_useract_ReadFile_true in H1.
discriminate H1.
destruct ok. eapply switchtab_ctrl_key; eauto.
(* KeyPress *)
inversion H2. inversion H13.
(* MousePress *)
inversion H2. inversion H13. inversion H17.
(* ignore *)
(* we'll have to think about two cases -- 1) ok is a control key to
be ignored. -- not possible. 2) ok it NOT a control key, but
ignored -- no tab must exist there. But it's not- *)
(* 1 *)
inversion H2. inversion H13.
(* readmsg t followed by wget *)
(* econstructor; eauto. *)
inversion H2. inversion H13. inversion H17. inversion H21.
assert (t0 = t).
(* Check map_in_uniq_inv. *)
eapply map_in_uniq_inv; eauto.
inv H. apply uniq_app in H28. destruct H28. auto.
(* inv H. auto.*)
rewrite <- H24 in *.
inversion H10.
assert (t0 = t5).
Check map_in_uniq_inv.
eapply map_in_uniq_inv with (l := cur_tabs tr2); eauto.
inv H0. apply uniq_app in H40. destruct H40. auto.
rewrite <- H39 in *. auto.
inversion H25.
(* readmsg t : navigation action *)
simpl in H1. inversion H1.
simpl in H1. inversion H1.
(* navigation : when the user doesn't endorse it *)
simpl in H1. inversion H1.
simpl in H1. inversion H1.
(* send socket - socket allowed to a same sub-domain *)
inversion H2. inversion H15. inversion H16. inversion H19.
assert (t0 = t).
(* Check map_in_uniq_inv. *)
eapply map_in_uniq_inv; eauto.
inv H. apply uniq_app in H27. destruct H27. auto.
(* inv H. auto.*)
rewrite <- H23 in *.
inversion H10.
assert (t0 = t4).
eapply map_in_uniq_inv with (l := cur_tabs tr2); eauto.
inv H0. apply uniq_app in H39. destruct H39. auto.
rewrite <- H38 in *. auto.
assert (host = host0).
eapply la_eq_same; eauto.
rewrite <- H39 in *. auto.
assert (t0 = t4).
eapply map_in_uniq_inv with (l := cur_tabs tr2); eauto.
inv H0. apply uniq_app in H39. destruct H39. auto.
rewrite <- H38 in *. auto.
assert (host = host0).
eapply la_eq_same; eauto.
rewrite <- H39 in *. auto.
contradiction.
inversion H24.
(* send socket - socket allowed to a same sub-domain *)
inversion H2. inversion H15. inversion H16. inversion H19.
assert (t0 = t).
(* Check map_in_uniq_inv. *)
eapply map_in_uniq_inv; eauto.
inv H. apply uniq_app in H27. destruct H27. auto.
(* inv H. auto.*)
rewrite <- H23 in *.
inversion H10.
assert (t0 = t4).
eapply map_in_uniq_inv with (l := cur_tabs tr2); eauto.
inv H0. apply uniq_app in H39. destruct H39. auto.
rewrite <- H38 in *. auto.
assert (host = host0).
eapply la_eq_same; eauto.
rewrite <- H39 in *. auto.
contradiction.
assert (t0 = t4).
eapply map_in_uniq_inv with (l := cur_tabs tr2); eauto.
inv H0. apply uniq_app in H39. destruct H39. auto.
rewrite <- H38 in *. auto.
inversion H24.
(* t2k set cookie *)
destruct H7.
econstructor; eauto.
(* t2k set cookie -- ignore *)
(* econstructor; eauto. *)
inversion H2. inversion H14. inversion H18.
assert (t0 = t).
(* Check map_in_uniq_inv. *)
eapply map_in_uniq_inv; eauto.
inv H. apply uniq_app in H25. destruct H25. auto.
(* eapply cur_tab_in_cur_tabs; eauto. *)
rewrite <- H21 in *. eauto.
inversion H10.
assert (t0 = t4).
eapply map_in_uniq_inv with (l := cur_tabs tr2); eauto.
inv H0. apply uniq_app in H38. destruct H38. auto.
rewrite <- H37 in *. auto.
contradiction.
auto.
inversion H22.
(* c->k set cookie. *)
inversion H2. inversion H13. inversion H17.
(* attack the point that t cannot be the same as c *)
inv H.
assert (t2k t <> c2k c).
eapply uniq_map_noteq; eauto.
rewrite H21 in H. destruct H; auto.
inversion H21.
(* t2k get cookie *)
destruct H7.
econstructor; eauto.
(* c2t get cookie *)
inversion H2. inversion H16. inversion H20.
(* attack the point that t cannot be the same as c *)
inv H.
assert (t2k t <> c2k c).
eapply uniq_map_noteq; eauto.
rewrite H24 in H. destruct H; auto.
inversion H24.
(* c2t get cookie -- ignored *)
inversion H2. inversion H16. inversion H20.
(* attack the point that t cannot be the same as c *)
inv H.
assert (t2k t <> c2k c).
eapply uniq_map_noteq; eauto.
rewrite H24 in H. destruct H; auto.
inversion H24.
(* c2t get cookie - ignored *)
inversion H2. inversion H14. inversion H18.
(* attack the point that t cannot be the same as c *)
inv H.
assert (t2k t <> c2k c).
eapply uniq_map_noteq; eauto.
rewrite H22 in H. destruct H; auto.
inversion H22.
(* display message *)
(* econstructor; eauto. *)
inversion H2. inversion H14. inversion H18.
assert (t0 = t).
(* Check map_in_uniq_inv. *)
eapply map_in_uniq_inv; eauto.
inv H. apply uniq_app in H25. destruct H25. auto.
(* inv H. auto.*)
rewrite <- H21 in *. eauto.
inversion H10.
assert (t0 = t4).
eapply map_in_uniq_inv with (l := cur_tabs tr2); eauto.
inv H0. apply uniq_app in H36. destruct H36. auto.
rewrite <- H35 in *. auto.
assert (t0 = t4).
eapply map_in_uniq_inv with (l := cur_tabs tr2); eauto.
inv H0. apply uniq_app in H36. destruct H36. auto.
rewrite <- H35 in *. auto. contradiction.
inversion H22.
(* display message - ignored *)
(* econstructor; eauto. *)
inversion H2. inversion H14. inversion H18.
assert (t0 = t).
(* Check map_in_uniq_inv. *)
eapply map_in_uniq_inv; eauto.
inv H. apply uniq_app in H25. destruct H25. auto.
(* inv H. auto.*)
rewrite <- H21 in *. eauto.
contradiction.
inversion H22.
(* wrong message id - ignored *)
inversion H2.
assert (t0 = t).
(* Check map_in_uniq_inv. *)
eapply map_in_uniq_inv; eauto.
inv H. apply uniq_app in H17. destruct H17. auto.
(* inv H. auto.*)
rewrite <- H13 in *. eauto.
inversion H10. auto.
inversion H14.
Qed.
Lemma tab_eq_prop :
forall (t1 t2:tab), t1 = t2 \/ t1 <> t2.
Proof.
intros.
assert ({t1 = t2} + {t1 <> t2}).
apply tabeq.
inversion H. left. auto.
right. auto.
Qed.
Lemma fold_tab_agree :
forall t0 t1 (t:tab),
(t0 = (Some t) <-> t1 = (Some t)) ->
(t0 = (Some t) /\ t1 = (Some t)) \/ (t0 <> (Some t) /\ t1 <> (Some t)).
Proof.
intros.
inversion H.
destruct t0. destruct t1.
assert (t0 = t \/ t0 <> t).
apply tab_eq_prop.
destruct H2.
rewrite H2 in *.
left.
split.
auto.
apply H0. auto.
right.
split. unfold not in *. intros.
destruct H2. inversion H3. auto.
unfold not in *. intros.
destruct H2.
assert (Some t0 = Some t).
apply H1. auto.
inversion H2; auto.
right.
split.
unfold not; intros.
apply H0 in H2. inversion H2.
unfold not; intros.
inversion H2.
right.
unfold not.
split.
intros. inversion H2.
intros. apply H1 in H2. inversion H2.
Qed.
(*
1) when the tab in discussion is the current tab : 0
2) and the response is *NOT* to a cookie process : 0
*)
Lemma Tab_NI_not_cur_tab_not_to_cookie:
forall tr1 tr2 req rsp1 rsp2 t,
procs_distinct tr1 ->
procs_distinct tr2 ->
proj_useract req = nil ->
from_tab t req ->
In t (cur_tabs tr1) ->
In t (cur_tabs tr2) ->
cur_tab tr1 <> Some t ->
cur_tab tr2 <> Some t ->
~ (exists c, exists m, rsp1 = WroteCMsg c m) ->
True ->
(* ~ (exists c, exists m, rsp2 = WroteCMsg c m) -> *)
KStep tr1 req rsp1 ->
KStep tr2 req rsp2 ->
rsp1 = rsp2.
Proof.
intros.
inv H9; try (
econstructor; eauto;
unfold classify_user_cmd in *;
try rewrite H8;
try rewrite H9;
try rewrite H10;
try rewrite <- H11;
try apply cproc_distinct_cur_proc;
auto; fail
).
(* Mktab *)
rewrite proj_useract_MkTab in H1.
rewrite proj_useract_ReadFile_true in H1.
discriminate H1.
destruct ok. eapply addtab_ctrl_key; eauto.
(* Mktab + MkCProc *)
rewrite proj_useract_MkCProc in H1.
rewrite proj_useract_MkTab in H1.
rewrite proj_useract_ReadFile_true in H1.
discriminate H1.
destruct ok. eapply addtab_ctrl_key; eauto.
(* Switch Tab *)
rewrite proj_useract_ReadFile_true in H1.
discriminate H1.
destruct ok. eapply switchtab_ctrl_key; eauto.
(* KeyPress *)
inversion H2. inversion H13.
(* MousePress *)
inversion H2. inversion H13. inversion H17.
(* ignore *)
(* we'll have to think about two cases -- 1) ok is a control key to
be ignored. -- not possible. 2) ok it NOT a control key, but
ignored -- no tab must exist there. But it's not- *)
(* 1 *)
inversion H2. inversion H13.
(* readmsg t followed by wget *)
(* econstructor; eauto. *)
inversion H2. inversion H13. inversion H17. inversion H21.
assert (t0 = t).
(* Check map_in_uniq_inv. *)
eapply map_in_uniq_inv; eauto.
inv H. apply uniq_app in H28. destruct H28. auto.
(* inv H. auto.*)
rewrite <- H24 in *.
inversion H10.
assert (t0 = t5).
Check map_in_uniq_inv.
eapply map_in_uniq_inv with (l := cur_tabs tr2); eauto.
inv H0. apply uniq_app in H40. destruct H40. auto.
rewrite <- H39 in *. auto.
inversion H25.
(* readmsg t : navigation action *)
simpl in H1. inversion H1.
simpl in H1. inversion H1.
(* navigation : when the user doesn't endorse it *)
simpl in H1. inversion H1.
simpl in H1. inversion H1.
(* send socket - socket allowed to a same sub-domain *)
inversion H2. inversion H15. inversion H16. inversion H19.
assert (t0 = t).
(* Check map_in_uniq_inv. *)
eapply map_in_uniq_inv; eauto.
inv H. apply uniq_app in H27. destruct H27. auto.
(* inv H. auto.*)
rewrite <- H23 in *.
inversion H10.
assert (t0 = t4).
eapply map_in_uniq_inv with (l := cur_tabs tr2); eauto.
inv H0. apply uniq_app in H39. destruct H39. auto.
rewrite <- H38 in *. auto.
assert (host = host0).
eapply la_eq_same; eauto.
rewrite <- H39 in *. auto.
assert (t0 = t4).
eapply map_in_uniq_inv with (l := cur_tabs tr2); eauto.
inv H0. apply uniq_app in H39. destruct H39. auto.
rewrite <- H38 in *. auto.
assert (host = host0).
eapply la_eq_same; eauto.
rewrite <- H39 in *. auto.
contradiction.
inversion H24.
(* send socket - socket allowed to a same sub-domain *)
inversion H2. inversion H15. inversion H16. inversion H19.
assert (t0 = t).
(* Check map_in_uniq_inv. *)
eapply map_in_uniq_inv; eauto.
inv H. apply uniq_app in H27. destruct H27. auto.
(* inv H. auto.*)
rewrite <- H23 in *.
inversion H10.
assert (t0 = t4).
eapply map_in_uniq_inv with (l := cur_tabs tr2); eauto.
inv H0. apply uniq_app in H39. destruct H39. auto.
rewrite <- H38 in *. auto.
assert (host = host0).
eapply la_eq_same; eauto.
rewrite <- H39 in *. auto.
contradiction.
assert (t0 = t4).
eapply map_in_uniq_inv with (l := cur_tabs tr2); eauto.
inv H0. apply uniq_app in H39. destruct H39. auto.
rewrite <- H38 in *. auto.
inversion H24.
(* t2k set cookie *)
destruct H7.
econstructor; eauto.
(* t2k set cookie -- ignore *)
(* econstructor; eauto. *)
inversion H2. inversion H14. inversion H18.
assert (t0 = t).
(* Check map_in_uniq_inv. *)
eapply map_in_uniq_inv; eauto.
inv H. apply uniq_app in H25. destruct H25. auto.
(* eapply cur_tab_in_cur_tabs; eauto. *)
rewrite <- H21 in *. eauto.
inversion H10.
assert (t0 = t4).
eapply map_in_uniq_inv with (l := cur_tabs tr2); eauto.
inv H0. apply uniq_app in H38. destruct H38. auto.
rewrite <- H37 in *. auto.
contradiction.
auto.
inversion H22.
(* c->k set cookie. *)
inversion H2. inversion H13. inversion H17.
(* attack the point that t cannot be the same as c *)
inv H.
assert (t2k t <> c2k c).
eapply uniq_map_noteq; eauto.
rewrite H21 in H. destruct H; auto.
inversion H21.
(* t2k get cookie *)
destruct H7.
econstructor; eauto.
(* c2t get cookie *)
inversion H2. inversion H16. inversion H20.
(* attack the point that t cannot be the same as c *)
inv H.
assert (t2k t <> c2k c).
eapply uniq_map_noteq; eauto.
rewrite H24 in H. destruct H; auto.
inversion H24.
(* c2t get cookie -- ignored *)
inversion H2. inversion H16. inversion H20.
(* attack the point that t cannot be the same as c *)
inv H.
assert (t2k t <> c2k c).
eapply uniq_map_noteq; eauto.
rewrite H24 in H. destruct H; auto.
inversion H24.
(* c2t get cookie - ignored *)
inversion H2. inversion H14. inversion H18.
(* attack the point that t cannot be the same as c *)
inv H.
assert (t2k t <> c2k c).
eapply uniq_map_noteq; eauto.
rewrite H22 in H. destruct H; auto.
inversion H22.
(* display message *)
(* econstructor; eauto. *)
inversion H2. inversion H14. inversion H18.
assert (t0 = t).
(* Check map_in_uniq_inv. *)
eapply map_in_uniq_inv; eauto.
inv H. apply uniq_app in H25. destruct H25. auto.
(* inv H. auto.*)
rewrite <- H21 in *. contradiction.
inversion H22.
(* display message - ignored *)
(* econstructor; eauto. *)
inversion H2. inversion H14. inversion H18.
assert (t0 = t).
(* Check map_in_uniq_inv. *)
eapply map_in_uniq_inv; eauto.
inv H. apply uniq_app in H25. destruct H25. auto.
(* inv H. auto.*)
rewrite <- H21 in *.
inversion H10.
assert (t0 = t4).
eapply map_in_uniq_inv with (l := cur_tabs tr2); eauto.
inv H0. apply uniq_app in H36. destruct H36. auto.
rewrite <- H35 in *. auto.
contradiction.
auto.
inversion H22.
(* wrong message id - ignored *)
inversion H2.
assert (t0 = t).
(* Check map_in_uniq_inv. *)
eapply map_in_uniq_inv; eauto.
inv H. apply uniq_app in H17. destruct H17. auto.
(* inv H. auto.*)
rewrite <- H13 in *. eauto.
inversion H10. auto.
inversion H14.
Qed.
Lemma WroteCMsg_payload_inv :
forall c1 c2 m1 m2,
WroteCMsg c1 m1 = WroteCMsg c2 m2 -> m1 = m2.
Proof.
intros.
destruct m1. destruct m2.
inversion H. auto.
inversion H.
destruct m2.
inversion H.
inversion H; auto.
Qed.
(*
1) when the tab in discussion is the current tab : 1
1-1) or the tab in discussion is not the current tab : 0
2) and the response is to a cookie process : 1
*)
Lemma Tab_NI_to_cookie:
forall tr1 tr2 req rsp1 rsp2 t c1 c2 m1 m2,
procs_distinct tr1 ->
procs_distinct tr2 ->
proj_useract req = nil ->
from_tab t req ->
In t (cur_tabs tr1) ->
In t (cur_tabs tr2) ->
cur_cproc (get_topdomain_tab (tab_origin_url t)) tr1 = Some c1 ->
cur_cproc (get_topdomain_tab (tab_origin_url t)) tr2 = Some c2 ->
KStep tr1 req rsp1 ->
KStep tr2 req rsp2 ->
rsp1 = WroteCMsg c1 m1 ->
rsp2 = WroteCMsg c2 m2 ->
m1 = m2.
Proof.
intros.
inv H7; try (
econstructor; eauto;
unfold classify_user_cmd in *;
try rewrite H7;
try rewrite H8;
try rewrite H9;
try rewrite <- H10;
try apply cproc_distinct_cur_proc;
auto; fail
).
(* Mktab *)
rewrite proj_useract_MkTab in H1.
rewrite proj_useract_ReadFile_true in H1.
discriminate H1.
destruct ok. eapply addtab_ctrl_key; eauto.
(* Mktab + MkCProc *)
rewrite proj_useract_MkCProc in H1.
rewrite proj_useract_MkTab in H1.
rewrite proj_useract_ReadFile_true in H1.
discriminate H1.
destruct ok. eapply addtab_ctrl_key; eauto.
(* Switch Tab *)
rewrite proj_useract_ReadFile_true in H1.
discriminate H1.
destruct ok. eapply switchtab_ctrl_key; eauto.
(* KeyPress *)
inversion H2. inversion H10.
(* MousePress *)
inversion H2. inversion H10. inversion H16.
(* ignore *)
inversion H2. inversion H10.
(* readmsg t followed by wget *)
inv H14. destruct m1; inversion H17.
(* navigation : when the user doesn't endorse it *)
simpl in H1. inversion H1.
simpl in H1. inversion H1.
simpl in H1. inversion H1.
(* send socket - socket allowed to a same sub-domain *)
inv H16. inv H16.
destruct m1; repeat inversion H19.
(* t2k set cookie *)
inv H8.
destruct m1; destruct m2; inv H17; auto.
inversion H27.
(* t2k set cookie -- ignore *)
inversion H15.
(* c->k set cookie. *)
inv H2. inv H10. inv H9.
inv H.
assert (t2k t <> c2k c).
eapply uniq_map_noteq; eauto.
rewrite H10 in H. destruct H; auto.
inversion H10.
(* t2k get cookie *)
inv H2. inv H10. inv H9.
assert (t0 = t).
(* Check map_in_uniq_inv. *)
eapply map_in_uniq_inv; eauto.
inv H. apply uniq_app in H7. destruct H7. auto.
rewrite <- H2 in *. eauto.
inv H8.
destruct m1; destruct m2; try inv H16.
simpl in H27. inv H27. inv H27.
unfold k2c_msg_payload in H23.
rewrite <- H23.
(* t2k get cookie *)
assert (t1 = t).
(* Check map_in_uniq_inv. *)
eapply map_in_uniq_inv; eauto.
inv H0. apply uniq_app in H9. destruct H9. auto.
inv H2. auto.
inv H10.
(* c2t get cookie *)
inv H2. inv H10. inv H9.
(* attack the point that t cannot be the same as c *)
inv H.
assert (t2k t <> c2k c).
eapply uniq_map_noteq; eauto.
rewrite H10 in H. destruct H; auto.
inversion H10.
(* c2t get cookie -- ignored *)
inv H2. inv H10. inv H9.
(* attack the point that t cannot be the same as c *)
inv H.
assert (t2k t <> c2k c).
eapply uniq_map_noteq; eauto.
rewrite H10 in H. destruct H; auto.
inversion H10.
(* c2t get cookie - ignored *)
inv H2. inv H10. inv H9.
(* attack the point that t cannot be the same as c *)
inv H.
assert (t2k t <> c2k c).
eapply uniq_map_noteq; eauto.
rewrite H10 in H. destruct H; auto.
inversion H10.
(* display message *)
inv H15. inv H15.
(* display message - ignored *)
inv H15.
Qed.
Lemma KStep_rsp_WroteCMsg_or_not:
forall req rsp tr,
KStep tr req rsp ->
~ (exists c, exists m, rsp = WroteCMsg c m) \/ (exists c, exists m, rsp = WroteCMsg c m).
Proof.
intros.
inversion H;
try (
left;
unfold not; intros;
inversion H5;
inversion H6;
inversion H7;
fail
);
try (
left;
unfold not; intros;
inversion H6;
inversion H7;
inversion H8;
fail
);
try (
left;
unfold not; intros;
inversion H4;
inversion H5;
inversion H6;
fail
);
try (
left;
unfold not; intros;
inversion H7;
inversion H8;
inversion H9;
fail
);
try (
left;
unfold not; intros;
inversion H4;
inversion H5;
inversion H6;
destruct x0; repeat inversion H14;
fail
);
try (
left;
unfold not; intros;
inversion H6;
inversion H7;
inversion H8;
destruct x0; repeat inversion H16;
fail
);
try (
right;
econstructor; eauto
fail
).
right.
econstructor. eauto.
left.
unfold not; intros.
inversion H4. inversion H5.
assert (K2TSetCookieActions c (cur_tabs tr) cookie_msg <> WroteCMsg x x0).
apply K2TSetCookieActions_not_WroteCMsg.
contradiction.
right.
econstructor. eauto.
left.
unfold not; intros.
inversion H7. inversion H8.
inversion H9. destruct x0. inversion H17. inversion H17.
Qed.
Lemma exists_must_exist :
forall req rsp1 rsp2 tr1 tr2 t,
procs_distinct tr1 ->
procs_distinct tr2 ->
from_tab t req ->
In t (cur_tabs tr1) ->
In t (cur_tabs tr2) ->
KStep tr1 req rsp1 ->
KStep tr2 req rsp2 ->
(exists c, exists m, rsp1 = WroteCMsg c m) ->
(exists c, exists m, rsp2 = WroteCMsg c m).
Proof.
intros.
inv H4; try ( inv H6; inversion H4; inversion H6; fail).
inv H6. inv H4. inversion H6. destruct x0; repeat inversion H14.
inv H6. inv H4. inversion H6. destruct x0; repeat inversion H14.
inv H6. inv H4. inversion H6. destruct x0; repeat inversion H14.
inv H6. inv H4. inversion H6. destruct x0; repeat inversion H16.
inv H1. inv H12. inv H11.
assert (t0 = t).
(* Check map_in_uniq_inv. *)
eapply map_in_uniq_inv; eauto.
inv H. apply uniq_app in H4. destruct H4. auto.
inv H5. econstructor; eauto.
assert (t1 = t).
eapply map_in_uniq_inv; eauto.
inv H0. apply uniq_app in H5. destruct H5. auto.
rewrite <- H1 in *.
contradiction.
inv H12.
inv H6. inv H4.
apply K2TSetCookieActions_not_WroteCMsg in H6. contradiction.
inv H1. inv H11. inv H10.
assert (t0 = t).
(* Check map_in_uniq_inv. *)
eapply map_in_uniq_inv; eauto.
inv H. apply uniq_app in H4. destruct H4. auto.
rewrite <- H1 in *.
inv H5. econstructor; eauto.
inv H11.
inv H6. inv H4. inv H6. destruct x0; repeat inversion H17.
Qed.
(*
Theorem Tab_NI_ideal:
forall tr1 tr2 req rsp1 rsp2 t,
TraceOK tr1 ->
TraceOK tr2 ->
from_tab t req ->
(cur_tab tr1 = Some t <-> cur_tab tr2 = Some t)
KStep tr1 req rsp1 ->
KStep tr2 req rsp2 ->
rsp1 = rsp2 \/
(exists m, rsp1 = WroteCMsg (get_cproc_for t tr1) m /\
rsp2 = WroteCMsg (get_cproc_for t tr2) m).
Proof.
admit.
Qed.
*)
Theorem Tab_noninteference:
forall tr1 tr2 req rsp1 rsp2 t c1 c2 m1 m2,
procs_distinct tr1 ->
procs_distinct tr2 ->
proj_useract req = nil ->
from_tab t req ->
In t (cur_tabs tr1) ->
In t (cur_tabs tr2) ->
cur_cproc (get_topdomain_tab (tab_origin_url t)) tr1 = Some c1 ->
cur_cproc (get_topdomain_tab (tab_origin_url t)) tr2 = Some c2 ->
(cur_tab tr1 = Some t /\ cur_tab tr2 = Some t) \/ (cur_tab tr1 <> Some t /\ cur_tab tr2 <> Some t) ->
KStep tr1 req rsp1 ->
KStep tr2 req rsp2 ->
rsp1 = rsp2 \/ ((rsp1 = WroteCMsg c1 m1 /\ rsp2 = WroteCMsg c2 m2) -> m1 = m2).
Proof.
intros.
destruct H7.
destruct H7.
(* when the tab is focused *)
assert (~ (exists c, exists sm, rsp1 = WroteCMsg c sm) \/ (exists c, exists sm, rsp1 = WroteCMsg c sm)).
eapply KStep_rsp_WroteCMsg_or_not; eauto.
assert (~ (exists c, exists sm, rsp2 = WroteCMsg c sm) \/ (exists c, exists sm, rsp2 = WroteCMsg c sm)).
eapply KStep_rsp_WroteCMsg_or_not; eauto.
destruct H11. destruct H12.
left.
eapply Tab_NI_cur_tab_not_to_cookie with (tr1 := tr1) (tr2 := tr2); eauto.
assert ((exists c : cproc, exists sm : k2c_msg, rsp1 = WroteCMsg c sm)).
eapply exists_must_exist with (tr1 := tr2) (tr2 := tr1); eauto.
contradiction.
destruct H12.
assert ((exists c : cproc, exists sm : k2c_msg, rsp2 = WroteCMsg c sm)).
eapply exists_must_exist with (tr1 := tr1) (tr2 := tr2); eauto.
contradiction.
right.
intros.
destruct H13.
Check Tab_NI_to_cookie.
eapply Tab_NI_to_cookie with (tr1:=tr1)(tr2:=tr2)(m1:=m1)(m2:=m2); eauto.
assert (~ (exists c, exists sm, rsp1 = WroteCMsg c sm) \/ (exists c, exists sm, rsp1 = WroteCMsg c sm)).
eapply KStep_rsp_WroteCMsg_or_not; eauto.
assert (~ (exists c, exists sm, rsp2 = WroteCMsg c sm) \/ (exists c, exists sm, rsp2 = WroteCMsg c sm)).
eapply KStep_rsp_WroteCMsg_or_not; eauto.
destruct H7.
destruct H10. destruct H11.
left.
eapply Tab_NI_not_cur_tab_not_to_cookie with (tr1 := tr1) (tr2 := tr2); eauto.
assert ((exists c : cproc, exists sm : k2c_msg, rsp1 = WroteCMsg c sm)).
eapply exists_must_exist with (tr1 := tr2) (tr2 := tr1); eauto.
contradiction.
destruct H11.
assert ((exists c : cproc, exists sm : k2c_msg, rsp2 = WroteCMsg c sm)).
eapply exists_must_exist with (tr1 := tr1) (tr2 := tr2); eauto.
contradiction.
right.
intros.
destruct H13.
Check Tab_NI_to_cookie.
eapply Tab_NI_to_cookie with (tr1:=tr1)(tr2:=tr2)(m1:=m1)(m2:=m2); eauto.
Qed.
(*
Lemma K2TSetCookieActions_inv :
forall t0 pts t cookie,
la_eq (get_topdomain_tab (tab_origin_url t)) (get_topdomain_cookie cookie) ->
t <> t0 ->
K2TSetCookieActions t0 (pts ++ t :: nil) cookie =
(WriteN (k2t t) (length_in_size cookie) cookie
:: WriteN (k2t t) (size 4) (pos2la4 (length_in_size cookie))
:: WriteN (k2t t) (size 1) ("016"%char :: nil)
:: K2TSetCookieActions t0 pts cookie).
Proof.
induction pts.
intros. simpl.
destruct (laeq (get_topdomain_tab (tab_origin_url t)) (get_topdomain_cookie cookie)).
destruct (tabeq t0 t).
rewrite e0 in H0. destruct H0; auto.
auto.
destruct n.
eapply la_eq_same; eauto. eapply la_eq_refl.
intros.
simpl.
destruct (laeq (get_topdomain_tab (tab_origin_url a)) (get_topdomain_cookie cookie)); simpl.
destruct (tabeq t0 a); simpl.
rewrite IHpts.
simpl. auto. auto. auto.
rewrite IHpts.
simpl. auto. auto. auto.
destruct (tabeq t0 a); simpl.
apply IHpts. auto. auto.
apply IHpts. auto. auto.
Qed.
Lemma K2TSetCookieActions_inv2 :
forall t0 pts t cookie,
~ la_eq (get_topdomain_tab (tab_origin_url t)) (get_topdomain_cookie cookie) \/
t0 = t ->
K2TSetCookieActions t0 (pts ++ t :: nil) cookie = K2TSetCookieActions t0 pts cookie.
Proof.
induction pts.
intros. simpl.
destruct (laeq (get_topdomain_tab (tab_origin_url t)) (get_topdomain_cookie cookie)); simpl.
destruct (tabeq t0 t); simpl; auto.
destruct H.
destruct H. rewrite e. apply la_eq_refl.
rewrite H in n. destruct n; auto.
auto.
destruct (tabeq t0 t); simpl; auto.
intros.
simpl.
destruct (laeq (get_topdomain_tab (tab_origin_url a)) (get_topdomain_cookie cookie)); simpl.
destruct (tabeq t0 a); simpl; auto.
rewrite IHpts.
simpl. auto. auto.
destruct (tabeq t0 a); simpl; auto.
Qed.
*)
(* kernel impl *)
Record kstate : Set :=
mkst { ctab : option tab
; ctabs : list tab
; cprocs: list cproc
; ktr : [Trace]
}.
(*
kcorrect consists of the following:
1) stdin & stdout & gout should be open.
2) thandles (ctabs s) : the current tabs' input and ouput channels should be op.
3) the current tab from the trace is the same as what is found in the state.
4) the current tabs from the trace is the same as what are found in the state.
5) TraceOK tr is true (see TraceOK)
6) tabs_distinct tr : all the tabs are distinct from each other.
7) SOP_OK tr : the tabs whose origins are different cannot talk to each other.
*)
(* cookie isolation *)
Lemma la_eq_eq:
forall x y, la_eq x y -> x = y.
Proof.
induction x; simpl; intros.
inv H; auto.
inv H. f_equal; auto.
Qed.
Lemma eq_la_eq:
forall x y, x = y -> la_eq x y.
Proof.
induction x; simpl; intros.
inv H; constructor; auto.
inv H; constructor; auto.
Qed.
Transparent WroteCMsg.
Transparent WroteMsg.
Lemma uniq_cproc_lkup:
forall cs c1 c2,
uniq (map k2c cs) ->
In c1 cs ->
In c2 cs ->
k2c c1 = k2c c2 ->
c1 = c2.
Proof.
induction cs; simpl; intros.
contradiction.
destruct H0; destruct H1; subst; auto.
inv H. destruct H5. rewrite H2. apply in_map; auto.
inv H. destruct H5. rewrite <- H2. apply in_map; auto.
inv H; auto.
Qed.
Lemma uniq_tab_lkup:
forall ts t1 t2,
uniq (map k2t ts) ->
In t1 ts ->
In t2 ts ->
k2t t1 = k2t t2 ->
t1 = t2.
Proof.
induction ts; simpl; intros.
contradiction.
destruct H0; destruct H1; subst; auto.
inv H. destruct H5. rewrite H2. apply in_map; auto.
inv H. destruct H5. rewrite <- H2. apply in_map; auto.
inv H; auto.
Qed.
Lemma uniq_tab_lkup2:
forall ts t1 t2,
uniq (map t2k ts) ->
In t1 ts ->
In t2 ts ->
t2k t1 = t2k t2 ->
t1 = t2.
Proof.
induction ts; simpl; intros.
contradiction.
destruct H0; destruct H1; subst; auto.
inv H. destruct H5. rewrite H2. apply in_map; auto.
inv H. destruct H5. rewrite <- H2. apply in_map; auto.
inv H; auto.
Qed.
Inductive cookie_set_ok : positive -> list ascii -> Trace -> cproc -> Prop :=
| cookie_set_ok_intro:
forall t c n cookie,
la_eq (get_topdomain_tab (tab_origin_url t)) (cproc_domain c) ->
cookie_set_ok n cookie (ReadMsg t (SetCookie n cookie)) c.
Lemma eq_rev_eq (A:Type):
forall (ls:list A) (ls':list A), ls = ls' -> rev ls = rev ls'.
Proof.
intros. rewrite H. auto.
Qed.
Lemma rev_app_distr (A:Type): forall x y:list A, rev (x ++ y) = rev y ++ rev x.
Proof.
induction x as [| a l IHl].
destruct y as [| a l].
simpl.
auto.
simpl.
rewrite app_nil_r; auto.
intro y.
simpl.
rewrite (IHl y).
rewrite app_assoc; trivial.
Qed.
Lemma no_xdom_cookie_set:
forall tr req c s cookie,
cprocs_distinct tr ->
In c (cur_cprocs tr) ->
KStep tr req (WroteCMsg c (K2CSetCookie s (cookie))) ->
cookie_set_ok s cookie req c.
Proof.
intros. inv H1.
econstructor.
apply cur_cproc_domain in H13.
assert (c0 = c).
eapply uniq_cproc_lkup; eauto.
inv H; auto. rewrite H1 in *.
rewrite H13. auto.
assert (K2TSetCookieActions c0 (cur_tabs tr) cookie_msg <> WroteCMsg c (K2CSetCookie s cookie)).
apply K2TSetCookieActions_not_WroteCMsg. rewrite H2 in H1. destruct H1; auto.
Qed.
(*
Lemma no_xdom_cookie_set:
forall tr req c n cookie,
cprocs_distinct tr ->
In c (cur_cprocs tr) ->
KStep tr req (K2TSetCookieActions (cur_tabs tr) cookie) ->
cookie_set_ok n cookie req c.
Proof.
intros. inv H1; try Unify;
unfold WroteCMsg in H2;
apply eq_rev_eq in H2;
rewrite rev_app_distr in H2;
simpl in H2; try discriminate.
unfold WroteCMsg in H2.
rewrite rev_app_distr in H2.
simpl in H2. inversion H2.
cut (c = c0); intros; subst.
constructor; auto.
apply cur_cproc_domain in H8.
rewrite H8; auto.
eapply uniq_cproc_lkup; eauto.
inv H; auto.
Qed.
*)
Inductive cookie_set_position_ok : Trace -> Prop :=
| cookie_set_pos_ok_nil:
cookie_set_position_ok nil
| cookie_set_pos_ok_no_set:
forall tr req rsp,
cookie_set_position_ok tr ->
KStep tr req rsp ->
(forall n cookie c, req <> WroteCMsg c (K2CSetCookie n cookie)) ->
(forall n cookie c, rsp <> WroteCMsg c (K2CSetCookie n cookie)) ->
cookie_set_position_ok (rsp ++ req ++ tr)
| cookie_set_pos_set:
forall c n cookie tr req rsp,
cookie_set_position_ok tr ->
KStep tr req rsp ->
cookie_set_ok n cookie req c ->
rsp = (WroteCMsg c (K2CSetCookie n cookie)) ->
cookie_set_position_ok (rsp ++ req ++ tr).
Lemma cookie_set_pos_ok:
forall tr,
TraceOK tr ->
cookie_set_position_ok tr.
Proof.
intros. induction H.
constructor; auto.
inv H0; try (
repeat (econstructor; eauto);
intros; try discriminate;
fail
).
eapply cookie_set_pos_set; eauto.
econstructor; eauto. econstructor; eauto.
apply cur_cproc_domain in H4.
rewrite<- H4 in H3.
eauto.
eapply cookie_set_pos_ok_no_set; eauto.
econstructor; eauto.
intros; discriminate.
intros.
apply K2TSetCookieActions_not_WroteCMsg.
econstructor; eauto.
eapply Kstep_cookie_get_c2k_error_bad_tab_id; eauto.
intros; discriminate.
intros; discriminate.
Qed.
Inductive cookie_get_ok : list ascii -> Trace -> tab -> Prop :=
| cookie_get_ok_intro:
forall n t c cookie,
la_eq (get_topdomain_tab (tab_origin_url t)) (cproc_domain c) ->
cookie_get_ok (get_cookie_content cookie) (ReadCMsg c (C2KResultCookie n cookie)) t.
Lemma no_xdom_cookie_get:
forall tr req t cookie,
tabs_distinct tr ->
In t (cur_tabs tr) ->
KStep tr req
(WroteMsg t (ResultCookie (length_in_size cookie) cookie)) ->
cookie_get_ok cookie req t.
Proof.
intros.
inv H1.
assert (K2TSetCookieActions c (cur_tabs tr) cookie_msg <>
WroteMsg t (ResultCookie (length_in_size cookie) cookie)).
apply K2TSetCookieActions_not_ResultCookie.
rewrite H2 in H1. destruct H1; auto.
assert (t0 = t).
eapply map_in_uniq_inv; eauto. inv H; auto.
rewrite H1 in *. econstructor.
apply cur_cproc_return_requested_domain in H13.
apply eq_la_eq; auto.
Qed.
Inductive cookie_get_position_ok : Trace -> Prop :=
| cookie_get_pos_ok_nil:
cookie_get_position_ok nil
| cookie_get_pos_ok_no_set:
forall tr req rsp,
cookie_get_position_ok tr ->
KStep tr req rsp ->
(forall t cookie, req <> WroteMsg t (ResultCookie (length_in_size cookie) cookie)) ->
(forall t cookie, rsp <> WroteMsg t (ResultCookie (length_in_size cookie) cookie)) ->
cookie_get_position_ok (rsp ++ req ++ tr)
| cookie_get_pos_get:
forall t cookie tr req rsp,
cookie_get_position_ok tr ->
KStep tr req rsp ->
cookie_get_ok cookie req t ->
rsp = WroteMsg t (ResultCookie (length_in_size cookie) cookie) ->
cookie_get_position_ok (rsp ++ req ++ tr).
Lemma cookie_get_pos_ok:
forall tr,
TraceOK tr ->
cookie_get_position_ok tr.
Proof.
intros. induction H.
constructor; auto.
inv H0; try (
repeat (econstructor; eauto);
intros; try discriminate;
fail
).
eapply cookie_get_pos_ok_no_set; eauto.
econstructor; eauto.
intros; discriminate.
intros. apply K2TSetCookieActions_not_ResultCookie.
eapply cookie_get_pos_get; eauto.
econstructor; eauto. econstructor; eauto.
apply cur_cproc_domain in H4. rewrite H4; auto.
apply same_la_eq.
econstructor; eauto.
eapply Kstep_cookie_get_c2k_error_bad_tab_id; eauto.
intros; discriminate.
intros; discriminate.
Qed.
Inductive hilited_string : list ascii -> Trace -> Prop :=
| hilited_string_hilite:
forall s tr,
hilited_string s (WriteStrFile stdout ("@"%char :: s ++ newline :: nil) :: tr)
| hilited_string_pres:
forall a s tr,
hilited_string s tr ->
(forall s, a <> (WriteStrFile stdout ("@"%char :: s ++ newline :: nil))) ->
hilited_string s (a :: tr).
Transparent Paint.
Lemma paint_hilites_focus:
forall tabs t tr,
In t tabs ->
hilited_string (tab_origin_url t) (Paint tabs t ++ tr).
Proof.
induction tabs; intros; simpl. inv H.
inv H; simpl; unfold screen; simpl;
repeat (constructor; auto);
repeat (simpl; intros; discriminate).
Qed.
Lemma paint_hilites_curtab:
forall t tr,
cur_tab tr = Some t ->
hilited_string (tab_origin_url t) (Paint (cur_tabs tr) t ++ tr).
Proof.
intros. apply paint_hilites_focus.
eapply cur_tab_in_cur_tabs; eauto.
Qed.
Lemma endorse_pres_hilite:
forall tr s url,
hilited_string s tr ->
hilited_string s (WroteEndorseMsg url :: tr).
Proof.
intros. apply hilited_string_pres; auto.
unfold WroteEndorseMsg, endorsemsg; simpl.
repeat intro. inv H0.
Qed.
Inductive stdout_cur_dom : Trace -> Prop :=
| stdout_cur_dom_nil:
stdout_cur_dom nil
| stdout_cur_dom_nowrite:
forall a tr,
stdout_cur_dom tr ->
(forall s, a <> WriteStrFile stdout s) ->
stdout_cur_dom (a :: tr)
| stdout_cur_dom_clear:
forall tr,
stdout_cur_dom (Clear :: tr)
| stdout_cur_dom_paint:
forall t tr,
cur_tab tr = Some t ->
stdout_cur_dom (Paint (cur_tabs tr) t ++ tr)
| stdout_cur_dom_endorse:
forall url tr,
stdout_cur_dom (WroteEndorseMsg url :: tr).
Lemma K2TSetCookieActions_nowrite :
forall t0 a tabs cookie f s,
In a (K2TSetCookieActions t0 tabs cookie) -> a <> WriteStrFile f s.
Proof.
induction tabs.
intros; simpl; auto.
simpl. destruct a; intros; try discriminate.
destruct (laeq (cproc_domain t0) (get_topdomain_tab (tab_origin_url a0))).
apply in_app_iff in H.
destruct H. eapply IHtabs; eauto.
inv H; inv H0. inv H. destruct H.
inv H. inv H.
eapply IHtabs; eauto.
Qed.
Lemma K2TSetCookieActions_stdout_cur_dom :
forall c tabs tr cookie,
stdout_cur_dom tr -> stdout_cur_dom (K2TSetCookieActions c tabs cookie ++ tr).
Proof.
induction tabs.
intros; simpl; auto.
intros. simpl.
simpl in H.
destruct (laeq (cproc_domain c) (get_topdomain_tab (tab_origin_url a))); simpl; auto.
(* destruct (tabeq t0 a); simpl; auto. *)
rewrite app_assoc.
apply IHtabs. unfold WroteMsg. simpl.
repeat econstructor; eauto.
repeat intros; discriminate.
intros; discriminate.
intros; discriminate.
Qed.
Transparent Clear.
Lemma uniq_mod_last:
forall A B (f: A -> B) (xs : list A) (x: A),
uniq (map f (xs ++ x :: nil)) ->
uniq (map f xs).
Proof.
induction xs; simpl; intros.
constructor; auto.
inv H. apply IHxs in H2.
constructor; auto. intro.
destruct H3. rewrite map_app.
apply in_or_app. left; auto.
Qed.
Lemma classify_switch_tab:
forall tr x t,
classify_user_cmd x tr = USwitchTab t ->
In t (cur_tabs tr).
Proof with try discriminate.
unfold classify_user_cmd; simpl; intros.
destruct (ascii_dec x "017")...
destruct (ascii_dec x "018")...
destruct (cur_tab tr)...
destruct (select_tab_idx x)...
destruct (nth_error (cur_tabs tr) n1) as []_eqn...
apply nth_error_some_in in Heqe. inv H; auto.
destruct (cur_tab tr)...
Qed.
Lemma classify_keypress:
forall tr x t k,
classify_user_cmd x tr = UKeyPress t k ->
In t (cur_tabs tr).
Proof with try discriminate.
unfold classify_user_cmd; simpl; intros.
destruct (ascii_dec x "017")...
destruct (ascii_dec x "018")...
destruct (cur_tab tr)...
destruct (select_tab_idx x)...
destruct (nth_error (cur_tabs tr) n1)...
destruct (cur_tab tr) as []_eqn...
inv H. eapply cur_tab_in_cur_tabs; eauto.
Qed.
Lemma classify_mouse:
forall tr x t,
classify_user_cmd x tr = UMouseClick t ->
In t (cur_tabs tr).
Proof with try discriminate.
unfold classify_user_cmd; simpl; intros.
destruct (ascii_dec x "017")...
destruct (ascii_dec x "018")...
destruct (cur_tab tr) as []_eqn...
inv H. eapply cur_tab_in_cur_tabs; eauto.
destruct (select_tab_idx x)...
destruct (nth_error (cur_tabs tr) n1)...
destruct (cur_tab tr)...
Qed.
Lemma tabs_distinct_not_mktab:
forall a x,
(forall t, a <> MkTab t) ->
tabs_distinct (a :: x) ->
tabs_distinct x.
Proof.
intros.
destruct a; try (
inv H0; constructor; auto; fail
).
destruct (H t); auto.
Qed.
Lemma tabs_distinct_paint:
forall ts t x,
tabs_distinct (Paint ts t ++ x) ->
tabs_distinct x.
Proof.
simpl; intros.
apply tabs_distinct_not_mktab in H.
apply tabs_distinct_not_mktab in H.
apply tabs_distinct_not_mktab in H; auto.
intros; discriminate.
intros; discriminate.
intros; discriminate.
Qed.
Lemma tabs_distinct_not_mktab_after:
forall t a x,
(forall t, a <> MkTab t) ->
tabs_distinct (MkTab t :: a :: x) ->
tabs_distinct (MkTab t :: x).
Proof.
intros.
destruct a; try (
inv H0; simpl in *;
constructor; auto;
fail
).
destruct (H t0); auto.
Qed.
Lemma cprocs_distinct_not_mkcproc:
forall a x,
(forall t, a <> MkCProc t) ->
cprocs_distinct (a :: x) ->
cprocs_distinct x.
Proof.
intros.
destruct a; try (
inv H0; constructor; auto; fail
).
destruct (H c); auto.
Qed.
Lemma cprocs_distinct_paint:
forall ts t x,
cprocs_distinct (Paint ts t ++ x) ->
cprocs_distinct x.
Proof.
simpl; intros.
apply cprocs_distinct_not_mkcproc in H.
apply cprocs_distinct_not_mkcproc in H.
apply cprocs_distinct_not_mkcproc in H; auto.
intros; discriminate.
intros; discriminate.
intros; discriminate.
Qed.
Lemma cproc_distinct_not_mkcproc_after:
forall t a x,
(forall t, a <> MkCProc t) ->
cprocs_distinct (MkCProc t :: a :: x) ->
cprocs_distinct (MkCProc t :: x).
Proof.
intros.
destruct a; try (
inv H0; simpl in *;
constructor; auto;
fail
).
destruct (H c); auto.
Qed.
Transparent ReadCMsg.
Transparent WroteGMsg.
Transparent ReadMsg.
Opaque Paint.
Lemma cur_dom_correct:
forall tr,
cprocs_distinct tr ->
tabs_distinct tr ->
TraceOK tr ->
stdout_cur_dom tr.
Proof.
intros. induction H1. constructor; auto.
inv H2; unfold ReadCMsg, WroteGMsg; simpl in *;
repeat (apply tabs_distinct_not_mktab in H0; [| repeat intro; discriminate]);
repeat (apply tabs_distinct_not_mktab_after in H0; [| repeat intro; discriminate]);
repeat (apply cprocs_distinct_not_mkcproc in H; [| repeat intro; discriminate]);
repeat (apply cprocs_distinct_not_mkcproc_after in H; [| repeat intro; discriminate]);
repeat match goal with
| |- stdout_cur_dom (WriteN (k2t ?t) ?n ?s :: ?tr) =>
apply stdout_cur_dom_nowrite; auto
| |- stdout_cur_dom (WriteN (k2c ?t) ?n ?s :: ?tr) =>
apply stdout_cur_dom_nowrite; auto
| |- stdout_cur_dom (WriteN gout ?n ?s :: ?tr) =>
apply stdout_cur_dom_nowrite; auto
| |- stdout_cur_dom (Clear :: ?tr) =>
apply stdout_cur_dom_clear; auto
| |- stdout_cur_dom (ReadN ?f ?n ?s :: ?tr) =>
apply stdout_cur_dom_nowrite; auto
| |- stdout_cur_dom (MousePos ?s :: ?tr) =>
apply stdout_cur_dom_nowrite; auto
| |- stdout_cur_dom (Wget ?x ?y :: ?tr) =>
apply stdout_cur_dom_nowrite; auto
| |- stdout_cur_dom (Endorse ?x :: ?tr) =>
apply stdout_cur_dom_nowrite; auto
| |- stdout_cur_dom (WroteEndorseMsg ?x :: ?tr) =>
apply stdout_cur_dom_endorse; auto
| |- stdout_cur_dom (SendSocket ?x ?y ?z :: ?tr) =>
apply stdout_cur_dom_nowrite; auto
end;
try (
repeat intro;
match goal with
| H: WriteN (k2t ?t) _ _ = WriteN stdout _ _ |- _ =>
inv H
end;
eapply tab_k2t_neq_stdout; eauto; simpl;
apply in_or_app; simpl; auto
);
try (
repeat intro;
match goal with
| H: WriteN (k2t ?t) _ _ = WriteN stdout _ _ |- _ =>
inv H
end;
eapply tab_k2t_neq_stdout with (t := t'); eauto; simpl;
apply in_or_app; simpl; auto
);
try (
repeat intro;
match goal with
| H: WriteN (k2t ?t) _ _ = WriteN stdout _ _ |- _ =>
inv H
end;
eapply tab_k2t_neq_stdout; eauto; simpl;
match goal with
| H: context[classify_user_cmd ?c ?tr] |- _ =>
try apply classify_switch_tab in H;
try apply classify_keypress in H;
try apply classify_mouse in H
end; auto
);
try (
repeat intro; discriminate
).
replace (cur_tabs tr ++ t :: nil)
with (cur_tabs (MkTab t :: ReadFile stdin ok :: tr)).
apply stdout_cur_dom_paint. auto.
simpl. reflexivity.
replace (cur_tabs tr ++ t :: nil)
with (cur_tabs (MkCProc c :: MkTab t :: ReadFile stdin ok :: tr)).
apply stdout_cur_dom_paint. auto.
simpl. reflexivity.
(* rewrite app_assoc. *)
assert (cur_tab (ReadFile stdin ok :: tr) = Some t).
simpl.
destruct (file_desc_eq stdin stdin).
unfold classify_user_cmd in H3.
destruct (ascii_dec ok "017"); inv H3.
destruct (ascii_dec ok "018"); inv H4.
destruct (cur_tab tr); inv H3.
destruct (select_tab_idx ok).
destruct (nth_error (cur_tabs tr) n1). inv H3; auto.
inv H3.
destruct (cur_tab tr); inv H3.
destruct n; auto.
replace (cur_tabs tr)
with (cur_tabs (ReadFile stdin ok :: tr)).
apply stdout_cur_dom_paint. auto. auto.
apply stdout_cur_dom_nowrite. auto.
intros. discriminate.
apply stdout_cur_dom_nowrite. auto.
intros. discriminate.
apply stdout_cur_dom_nowrite. auto.
intros. discriminate.
replace (cur_tabs tr ++ t' :: nil)
with (cur_tabs (MkTab t'
:: Endorse true
:: WroteEndorseMsg url
:: ReadN (t2k t) p url
:: ReadN (t2k t) (size 4) (pos2la4 p)
:: ReadN (t2k t) (size 1) ("009"%char :: nil) :: tr)).
apply stdout_cur_dom_paint. auto.
simpl. reflexivity.
replace (cur_tabs tr ++ t' :: nil)
with (cur_tabs (MkCProc c
:: MkTab t'
:: Endorse true
:: WroteEndorseMsg url
:: ReadN (t2k t) p url
:: ReadN (t2k t) (size 4) (pos2la4 p)
:: ReadN (t2k t) (size 1) ("009"%char :: nil) :: tr)).
apply stdout_cur_dom_paint. auto.
simpl. reflexivity.
apply K2TSetCookieActions_stdout_cur_dom.
repeat (apply stdout_cur_dom_nowrite; auto).
apply IHTraceOK.
inv H.
assert (cur_cprocs tr = cur_cprocs (K2TSetCookieActions c (cur_tabs tr) cookie_msg ++ ReadN (c2k c) s cookie_msg
:: ReadN (c2k c) (size 4) (pos2la4 s)
:: ReadN (c2k c) (size 1) ("017"%char :: nil) :: tr)).
eapply cur_cprocs_same_over_K2TSetCookieActions.
simpl; auto.
rewrite<- H in *.
econstructor; eauto.
inv H0.
assert (cur_tabs tr = cur_tabs (K2TSetCookieActions c (cur_tabs tr) cookie_msg ++
ReadN (c2k c) s cookie_msg
:: ReadN (c2k c) (size 4) (pos2la4 s)
:: ReadN (c2k c) (size 1) ("017"%char :: nil) :: tr)).
eapply cur_tabs_same_over_K2TSetCookieActions.
simpl; auto.
rewrite<- H0 in *.
econstructor; eauto.
intros; discriminate.
intros; discriminate.
intros; discriminate.
Qed.
Definition kcorrect (s: kstate) : hprop :=
tr :~~ ktr s in
traced tr * fhandle stdin * fhandle stdout * ohandle gout * thandles (ctabs s) * chandles (cprocs s) * [cur_tab tr = ctab s /\ cur_tabs tr = ctabs s /\ cur_cprocs tr = cprocs s /\ TraceOK tr /\ procs_distinct tr ].
Definition khandle_u:
forall (s: kstate),
STsep (kcorrect s)
(fun s' => kcorrect s').
Proof.
unfold kcorrect; intros; destruct s as [ct cts ccps tr].
remember (tr ~~ [cur_tab tr = ct /\ cur_tabs tr = cts /\ cur_cprocs tr = ccps /\ TraceOK tr /\ procs_distinct tr]) as PRE.
Opaque WroteMsg.
Opaque Paint.
refine (
c <- readfile stdin
tr <@> fhandle stdout * ohandle gout * thandles cts * chandles ccps * PRE;
(* addtab command *)
if ascii_dec c "017"%char then
let avoid := (map t2k cts) ++ (map c2k ccps) in
let oavoid := (map k2t cts) ++ (map k2c ccps) in
raw_tab <- mktab nil avoid oavoid None
(tr ~~~ ReadFile stdin c :: tr) <@>
fhandle stdin * fhandle stdout * ohandle gout * thandles cts * chandles ccps * PRE;
let t := (coqtab nil raw_tab None) in
let topdomain := (get_topdomain_tab (tab_origin_url t)) in
let ocp := get_cproc topdomain ccps in
match ocp as ocp' return ocp = ocp' -> _ with
| Some cp => fun _ =>
(* KStep_add_tab_wo_cproc *)
paint (cts ++ t::nil) t
(tr ~~~ MkTab t :: ReadFile stdin c :: tr) <@>
fhandle stdin * ohandle gout * thandles cts * thandle t * chandles ccps * PRE * [~ In (t2k t) avoid] * [~ In (k2t t) oavoid] * [ In cp ccps];;
writemsg t (Render (size 1%positive) ("000"%char :: nil))
(tr ~~~ Paint (cts ++ t::nil) t ++ MkTab t :: ReadFile stdin c :: tr) <@>
fhandle stdin * fhandle stdout * ohandle gout * thandles cts * chandles ccps * PRE * [~ In (t2k t) avoid] * [~ In (k2t t) oavoid] * [ In cp ccps];;
{{ Return (mkst (Some t) (cts ++ t :: nil) ccps
(tr ~~~ WroteMsg t (Render (size 1%positive) ("000"%char::nil)) ++ Paint (cts ++ t::nil) t ++ MkTab t :: ReadFile stdin c :: tr)) }}
| None => fun _ =>
(* KStep_add_tab_with_cproc *)
let cavoid := (map t2k (cts ++ t :: nil)) ++ (map c2k ccps) in
let coavoid := (map k2t (cts ++ t :: nil)) ++ (map k2c ccps) in
raw_cproc <- mkcproc topdomain cavoid coavoid
(tr ~~~ MkTab t :: ReadFile stdin c :: tr) <@>
fhandle stdin * fhandle stdout * ohandle gout * thandles cts * thandle t * chandles ccps * PRE * [~ In (t2k t) avoid] * [~ In (k2t t) oavoid];
let cp := (coqcproc topdomain raw_cproc) in
paint (cts ++ t::nil) t
(tr ~~~ MkCProc cp :: MkTab t :: ReadFile stdin c :: tr) <@>
fhandle stdin * ohandle gout * thandles cts * thandle t * chandles ccps * chandle cp * PRE * [~ In (t2k t) avoid] * [~ In (k2t t) oavoid] * [~ In (c2k cp) cavoid] * [~ In (k2c cp) coavoid];;
writemsg t (Render (size 1%positive) ("000"%char :: nil))
(tr ~~~ Paint (cts ++ t :: nil) t ++ MkCProc cp :: MkTab t :: ReadFile stdin c :: tr) <@>
fhandle stdin * fhandle stdout * ohandle gout * thandles cts * chandles ccps * chandle cp * PRE * [~ In (t2k t) avoid] * [~ In (k2t t) oavoid] * [~ In (c2k cp) cavoid] * [~ In (k2c cp) coavoid];;
{{ Return (mkst (Some t) (cts ++ t :: nil) (cp::ccps)
(tr ~~~ WroteMsg t (Render (size 1%positive) ("000"%char::nil)) ++ Paint (cts ++ t::nil) t ++ MkCProc cp :: MkTab t :: ReadFile stdin c :: tr)) }}
end (refl_equal ocp)
else if ascii_dec c "018"%char then
(* mouse click *)
match ct as ct' return ct = ct' -> _ with
| Some t => fun _ =>
mouse_str <- mousepos (tr ~~~ ReadFile stdin c :: tr) <@>
fhandle stdin * fhandle stdout * ohandle gout * thandles cts * chandles ccps * PRE;
writemsg t (MouseClick (length_in_size mouse_str) mouse_str)
(tr ~~~ MousePos mouse_str :: ReadFile stdin c :: tr) <@>
fhandle stdin * fhandle stdout * ohandle gout * thandles_drop cts t * chandles ccps * PRE;;
{{ Return (mkst ct cts ccps (tr ~~~
WroteMsg t (MouseClick (length_in_size mouse_str) mouse_str) ++ MousePos mouse_str :: ReadFile stdin c :: tr)) }}
| None => fun _ =>
(* mouse is clicked, but no tab exists. The read input is ignored *)
{{ Return (mkst ct cts ccps
(tr ~~~ ReadFile stdin c :: tr)) }}
end (refl_equal ct)
else
let i := select_tab_idx c in
match i as i' return i = i' -> _ with
| Some i => fun _ =>
(* switch tab *)
let t := nth_error cts i in
match t as t' return t = t' -> _ with
| Some t => fun _ =>
paint cts t
(tr ~~~ ReadFile stdin c :: tr) <@>
fhandle stdin * ohandle gout * thandles cts * chandles ccps * PRE;;
writemsg t (Render (size 1%positive) ("000"%char::nil))
(tr ~~~ Paint cts t ++ ReadFile stdin c :: tr) <@>
fhandle stdin * fhandle stdout * ohandle gout * thandles_drop cts t * chandles ccps * PRE;;
{{ Return (mkst (Some t) cts ccps
(tr ~~~ WroteMsg t (Render (size 1%positive) ("000"%char::nil)) ++ Paint cts t ++ ReadFile stdin c :: tr)) }}
| None => fun _ =>
{{ Return (mkst ct cts ccps
(tr ~~~ ReadFile stdin c :: tr)) }}
end (refl_equal t)
| None => fun _ =>
(* keypress *)
match ct as ct' return ct = ct' -> _ with
| Some t => fun _ =>
writemsg t (KeyPress (size 1%positive) (c::nil))
(tr ~~~ ReadFile stdin c :: tr) <@>
fhandle stdin * fhandle stdout * ohandle gout * thandles_drop cts t * chandles ccps * PRE;;
{{ Return (mkst ct cts ccps (tr ~~~
WroteMsg t (KeyPress (size 1%positive) (c::nil)) ++ ReadFile stdin c :: tr)) }}
| None => fun _ =>
{{ Return (mkst ct cts ccps
(tr ~~~ ReadFile stdin c :: tr)) }}
end (refl_equal ct)
end (refl_equal i)
); sep fail auto.
(* create tab *)
apply himp_inj_conc'. apply himp_refl.
eapply get_cprocs_in; eauto.
intuition.
rewrite H4 in H1. simpl in H1.
apply pack_injective in H1.
rewrite<- H1; simpl.
rewrite H4. simpl.
apply himp_inj_conc. repeat split; simpl.
(* new part *)
Transparent WroteMsg. Transparent Paint. simpl.
rewrite H. auto.
(* new part *)
simpl. rewrite H6. auto.
(*
Check (WroteMsg t (Render (size 1) ("000"%char :: nil)) ++ Paint (cts ++ t :: nil) t ++ Clear :: nil).
*)
match goal with
|- TraceOK ?tr =>
replace tr with
(
(WroteMsg t (Render (size 1) ("000"%char :: nil)) ++ Paint (cts ++ t :: nil) t)
++ (MkTab t :: ReadFile stdin "017"%char :: nil)
++ x
)
end.
econstructor. eauto.
rewrite <- H.
(*
econstructor. eauto.
*)
rewrite<- H6 in H3.
econstructor; eauto.
rewrite<- _H0.
unfold topdomain.
rewrite<- H6.
apply cur_cproc_eq_get_cproc.
simpl. auto.
(* uniqness for k -> * file desc *)
simpl. rewrite map_app. simpl.
apply uniq_app_comm.
rewrite <- app_assoc.
apply uniq_end; auto.
inversion H9. apply uniq_app_comm in H8. auto.
unfold not; intros. destruct H2. unfold oavoid.
rewrite <- H. rewrite <- H6.
apply in_app_iff. apply in_app_or in H8.
apply or_comm in H8; auto.
(* uniqueness for * -> k file desc *)
simpl. rewrite map_app. simpl.
apply uniq_app_comm.
rewrite <- app_assoc.
apply uniq_end; auto.
inversion H9. apply uniq_app_comm in H10. auto.
unfold not; intros. destruct H0. unfold avoid.
rewrite <- H. rewrite <- H6.
apply in_app_iff. apply in_app_or in H8.
apply or_comm in H8; auto.
(* uniqueness for domain fields of cookie processes *)
simpl. inversion H9. auto.
Opaque WroteMsg. Opaque Paint.
sep fail auto.
apply himp_comm_prem.
apply repack_thandles_last.
(* when the cookie process doesn't exist *)
intuition.
rewrite H5 in H1. simpl in H1.
apply pack_injective in H1.
rewrite H5.
rewrite<- H. rewrite<- H7.
simpl.
apply himp_inj_conc.
rewrite<- H1. simpl.
repeat split; simpl.
match goal with
|- TraceOK ?tr =>
replace tr with
(
(WroteMsg t (Render (size 1) ("000"%char :: nil)) ++ (Paint (cts ++ t :: nil) t ))
++ (MkCProc cp :: MkTab t :: ReadFile stdin "017"%char :: nil)
++ x
)
end.
econstructor; eauto.
rewrite <- H.
econstructor; eauto.
rewrite cur_cproc_eq_get_cproc.
unfold topdomain in _H0.
rewrite<- H7 in _H0.
auto.
apply same_la_eq.
simpl. auto.
(* uniqness for k -> * file desc *)
Transparent WroteMsg. Transparent Paint.
simpl. rewrite map_app. simpl.
apply uniq_app_comm.
rewrite <- app_assoc.
apply uniq_end; auto.
simpl. apply uniq_cons.
inversion H10. apply uniq_app_comm in H9. auto.
unfold not; intros. destruct H4. unfold coavoid.
rewrite <- H. rewrite <- H7.
apply in_app_iff. apply in_app_or in H9.
destruct H9. right; auto. left. rewrite map_app.
apply in_app_iff. left; auto.
unfold not. intros. destruct H2. unfold oavoid.
simpl in H9. destruct H9. destruct H4. unfold coavoid.
rewrite map_app. simpl. apply in_app_iff. left.
apply in_app_iff. right. simpl. left; auto.
rewrite <- H. rewrite <- H7.
apply in_app_iff. apply in_app_or in H2.
apply or_comm in H2; auto.
(* uniqueness for * -> k file desc *)
simpl. rewrite map_app. simpl.
apply uniq_app_comm.
rewrite <- app_assoc.
apply uniq_end; auto.
inversion H10. apply uniq_app_comm in H11. auto.
simpl. apply uniq_cons. auto.
unfold not; intros. destruct H3. unfold cavoid.
rewrite <- H. rewrite <- H7.
apply in_app_iff. apply in_app_or in H14.
destruct H14. right; auto. left.
rewrite map_app. apply in_app_iff. left; auto.
unfold not; intros. destruct H0. unfold avoid.
simpl in H9. destruct H9. destruct H3. unfold cavoid.
rewrite map_app. simpl. apply in_app_iff. left.
apply in_app_iff. right. simpl. left. auto.
rewrite <- H. rewrite <- H7.
apply in_app_iff. apply in_app_or in H0.
apply or_comm in H0; auto.
(* uniqueness for domain fields of cookie processes *)
simpl. apply uniq_cons. inversion H10; auto.
apply get_cproc_none_not_in; auto.
rewrite H7. auto.
Opaque WroteMsg. Opaque Paint.
sep fail auto.
(* rewrite<- H1.*)
apply himp_assoc_prem1.
apply himp_comm_prem.
apply himp_split.
apply himp_comm_prem.
apply repack_thandles_last.
rewrite <- H1. rewrite <- H. apply himp_refl.
apply unpack_thandles.
eapply cur_tab_in_cur_tabs; eauto.
(* mouseclick *)
apply himp_inj_conc. repeat split.
Transparent WroteMsg. simpl.
rewrite file_desc_eq_true. rewrite H0; auto.
match goal with
|- TraceOK ?tr =>
replace tr with
( WroteMsg t (MouseClick (length_in_size mouse_str) mouse_str)
++ (MousePos mouse_str :: ReadFile stdin "018"%char :: nil)
++ x
)
end. auto.
econstructor. eauto.
econstructor. eauto.
unfold classify_user_cmd. simpl.
rewrite H0. reflexivity. auto.
simpl. inv H5. auto.
simpl. inv H5. auto.
simpl. inv H5. auto.
simpl. inv H5. auto.
apply repack_thandles.
eapply cur_tab_in_cur_tabs. eauto. auto.
(* mouseclick : when there's no focused tab *)
apply himp_inj_conc'. apply himp_refl. repeat split.
rewrite file_desc_eq_true. auto.
match goal with
|- TraceOK ?tr =>
replace tr with
( nil
++ (ReadFile stdin "018"%char :: nil)
++ x
)
end; auto.
econstructor; eauto.
econstructor; eauto.
unfold classify_user_cmd. simpl. rewrite H1. auto.
simpl. inv H5. auto.
simpl. inv H5. auto.
simpl. inv H5. auto.
(* switch tab *)
apply unpack_thandles.
eapply nth_error_some_in; eauto.
apply himp_inj_conc. repeat split.
Transparent WroteMsg. Transparent Paint. simpl.
rewrite file_desc_eq_true. rewrite _H1, _H2; auto.
match goal with
|- TraceOK ?tr =>
replace tr with
(
(WroteMsg t0 (Render (size 1%positive) ("000"%char :: nil)) ++ Paint (cur_tabs x) t0)
++ (ReadFile stdin c :: nil)
++ x
)
end; auto.
econstructor; eauto.
econstructor; eauto.
unfold classify_user_cmd.
rewrite ascii_dec_false; auto.
rewrite ascii_dec_false; auto.
rewrite _H1. rewrite _H2. auto.
simpl. inv H5. auto.
simpl. inv H5. auto.
simpl. inv H5. auto.
apply repack_thandles.
eapply nth_error_some_in; eauto.
(* ignore : no tab to switch to *)
apply himp_inj_conc'. apply himp_refl. repeat split.
rewrite file_desc_eq_true. rewrite _H1, _H2; auto.
match goal with
|- TraceOK ?tr =>
replace tr with
( nil
++ (ReadFile stdin c :: nil)
++ x
)
end; auto.
econstructor; eauto.
econstructor; eauto.
unfold classify_user_cmd.
rewrite ascii_dec_false; auto.
rewrite ascii_dec_false; auto.
rewrite _H1, _H2; auto.
simpl. inv H5; auto.
simpl. inv H5; auto.
simpl. inv H5; auto.
simpl. inv H4; auto.
apply unpack_thandles.
eapply cur_tab_in_cur_tabs; eauto.
(* keypress : not a tab switch char *)
apply himp_inj_conc. repeat split.
Transparent WroteMsg. simpl.
rewrite file_desc_eq_true. rewrite _H1; auto.
match goal with
|- TraceOK ?tr =>
replace tr with
( WroteMsg t (KeyPress (size 1%positive) (c::nil))
++ (ReadFile stdin c :: nil)
++ x
)
end; auto.
econstructor; eauto.
econstructor; eauto.
unfold classify_user_cmd. simpl. rewrite ascii_dec_false; auto.
rewrite _H1, H0; auto.
simpl. rewrite ascii_dec_false; auto.
simpl. inv H5; auto.
simpl. inv H5; auto.
simpl. inv H5; auto.
apply repack_thandles.
eapply cur_tab_in_cur_tabs; eauto.
(* keypress : but no current tab *)
apply himp_inj_conc'. apply himp_refl. repeat split.
rewrite file_desc_eq_true. rewrite _H1; auto.
match goal with
|- TraceOK ?tr =>
replace tr with
( nil
++ (ReadFile stdin c :: nil)
++ x
)
end; auto.
econstructor; eauto.
econstructor; eauto.
unfold classify_user_cmd. simpl. rewrite ascii_dec_false; auto.
rewrite _H1.
destruct (ascii_dec c "018"%char); auto.
rewrite H1. auto.
rewrite H1. auto.
simpl. inv H5; auto.
simpl. inv H5; auto.
simpl. inv H5; auto.
Qed.
(*
Fixpoint K2TSetCookieActions (c:cproc) (tabs:list tab) (cookie_str:list ascii) :=
match tabs with
| t :: tabs' =>
if (laeq (cproc_domain c) (get_topdomain_tab (tab_origin_url t))) then
(K2TSetCookieActions c tabs' cookie_str) ++
(WroteMsg t (K2TSetCookie (length_in_size cookie_str) cookie_str))
else
(K2TSetCookieActions c tabs' cookie_str)
| nil => nil
end.
*)
(*
Definition invalidatecookie : forall (c: cproc) (cts: list tab) (cookie : list ascii) (PRE:hprop) (tr : [Trace]),
STsep (tr ~~ PRE * traced tr * thandles cts)
(fun tr':[Trace] => tr ~~ tr' ~~ PRE * thandles cts * traced tr' * [tr' = K2TSetCookieActions t0 cts cookie ++ tr]).
refine (
fun (t0:tab) (cts:list tab) (cookie:list ascii) (PRE:hprop) (tr:[Trace]) =>
{{ Fix3
(fun pts ts tr' => tr ~~ tr' ~~ PRE * traced tr' * thandles cts
* [pts ++ ts = cts ]
* [tr' = K2TSetCookieActions t0 pts cookie ++ tr])
(fun pts ts tr' tr'' => tr ~~ tr' ~~ tr'' ~~ PRE * traced tr'' * thandles cts
* [pts ++ ts = cts]
* [tr' = K2TSetCookieActions t0 pts cookie ++ tr]
* [tr'' = K2TSetCookieActions t0 ts cookie ++ tr'])
(fun self pts ts tr' =>
match ts as ncts return ts = ncts -> _ with
| nil => fun _ => {{Return tr'}}
| t :: cts'' => fun _ =>
if sumbool_and
((get_topdomain_tab (tab_origin_url t)) = (get_topdomain_cookie cookie))
((get_topdomain_tab (tab_origin_url t)) <> (get_topdomain_cookie cookie))
(t0 <> t)
(t0 = t)
(laeq (get_topdomain_tab (tab_origin_url t)) (get_topdomain_cookie cookie))
(sumbool_not (t0 = t) (t0 <> t) (tabeq t0 t))
then
writemsg t (InvalidateCookie (length_in_size cookie) cookie) tr' <@>
(tr ~~ tr' ~~ [pts ++ ts = cts ] * [tr' = K2TSetCookieActions t0 pts cookie ++ tr]
* thandles_drop cts t * PRE) ;;
{{self (pts ++ t :: nil) cts'' (tr' ~~~ WroteMsg t (InvalidateCookie (length_in_size cookie) cookie) ++ tr')}}
else
{{self (pts ++ t :: nil) cts'' (tr' ~~~ tr')}}
end (refl_equal ts)
)
nil cts tr}}); sep fail auto.
apply unpack_thandles; auto.
apply in_or_app.
right. simpl. auto.
apply himp_inj_conc; auto; intros.
rewrite K2TSetCookieActions_inv. simpl. auto.
rewrite H2. apply la_eq_refl.
unfold not. intros. destruct H3. symmetry. auto.
apply himp_inj_conc.
rewrite app_assoc. simpl; auto.
apply repack_thandles; auto.
apply in_or_app.
right. simpl. auto.
apply himp_inj_conc.
destruct (laeq (get_topdomain_tab (tab_origin_url t)) (get_topdomain_cookie cookie)); simpl; auto.
destruct (tabeq t0 t); simpl; auto.
destruct H4; auto.
unfold WroteMsg. simpl; auto.
rewrite app_assoc. simpl; auto.
destruct (tabeq t0 t); simpl; auto.
destruct H4; auto.
destruct n; auto.
apply himp_inj_conc.
rewrite K2TSetCookieActions_inv in H. simpl in H.
inversion H; auto.
rewrite H3; apply la_eq_refl.
unfold not; intros. destruct H4. symmetry; auto.
apply himp_inj_conc'.
apply himp_refl.
rewrite app_assoc. simpl; auto.
apply himp_inj_conc.
rewrite K2TSetCookieActions_inv2; auto.
unfold not. intros.
left. intros.
destruct H2.
eapply la_eq_same; eauto. apply la_eq_refl.
apply himp_inj_conc'.
apply himp_refl.
rewrite app_assoc. simpl; auto.
apply himp_inj_conc.
rewrite K2TSetCookieActions_inv2; auto.
apply himp_inj_conc'.
apply himp_refl.
rewrite app_assoc. simpl; auto.
apply himp_inj_conc.
destruct (laeq (get_topdomain_tab (tab_origin_url t)) (get_topdomain_cookie cookie)); simpl; auto.
destruct (tabeq t0 t); simpl; auto.
rewrite e in H3. destruct H3; auto.
destruct (tabeq t0 t); simpl; auto.
apply himp_inj_conc.
rewrite K2TSetCookieActions_inv2; auto.
unfold not.
left. intros.
destruct H3.
eapply la_eq_same; eauto. apply la_eq_refl.
apply himp_inj_conc'.
apply himp_refl.
rewrite app_assoc. simpl; auto.
apply himp_inj_conc.
destruct (laeq (get_topdomain_tab (tab_origin_url t)) (get_topdomain_cookie cookie)); simpl; auto.
destruct (tabeq t t); simpl; auto.
destruct n; auto.
destruct (tabeq t t); simpl; auto.
apply himp_inj_conc.
rewrite K2TSetCookieActions_inv2; auto.
apply himp_inj_conc'.
apply himp_refl.
rewrite app_assoc. simpl; auto.
Qed.
*)
Definition khandle_t:
forall (s: kstate) (t: tab),
STsep (kcorrect s * (tr :~~ ktr s in [In t (cur_tabs tr)]))
(fun s' => kcorrect s').
Proof.
unfold kcorrect; intros; destruct s as [ct cts ccps tr].
remember (tr ~~ [cur_tab tr = ct /\ cur_tabs tr = cts /\ TraceOK tr /\ cur_cprocs tr = ccps /\ procs_distinct tr]) as PRE.
Opaque ReadMsg. Opaque WroteMsg. Opaque WroteCMsg. Opaque Paint.
refine (
mm <- readmsg t tr <@>
fhandle stdin * fhandle stdout * ohandle gout * thandles_drop cts t * chandles ccps * PRE * [In t cts];
match mm with
| T2Kmsg m =>
match m as m' return m = m' -> _ with
| Display s_s s => fun _ =>
match ct as ct' return ct = ct' -> _ with
| Some x => fun _ =>
if tabeq t x then
(* display message *)
writegmsg (K2GDisplay s_s s)
(tr ~~~ ReadMsg t m ++ tr) <@>
fhandle stdin * fhandle stdout * thandles cts * chandles ccps * PRE;;
{{ Return (mkst ct cts ccps
(tr ~~~ WroteGMsg (K2GDisplay s_s s) ++ ReadMsg t m ++ tr)) }}
else
(* display message -- ignored - case 1 *)
{{ Return (mkst ct cts ccps
(tr ~~~ ReadMsg t m ++ tr)) }}
| None => fun _ =>
(* display message -- ignored - case 2 *)
{{ Return (mkst ct cts ccps
(tr ~~~ ReadMsg t m ++ tr)) }}
end (refl_equal ct)
| ReqHtml s_s url => fun _ =>
(* fetch html *)
html <- wget url
(tr ~~~ ReadMsg t m ++ tr) <@>
fhandle stdin * fhandle stdout * ohandle gout * thandles cts * chandles ccps * PRE * [In t cts];
writemsg t (RspHtml (length_in_size html) html)
(tr ~~~ Wget url html :: ReadMsg t m ++ tr) <@>
fhandle stdin * fhandle stdout * ohandle gout * thandles_drop cts t * chandles ccps * PRE * [In t cts];;
{{ Return (mkst ct cts ccps
(tr ~~~ WroteMsg t (RspHtml (length_in_size html) html) ++ Wget url html :: ReadMsg t m ++ tr)) }}
| RequestSocket s_s sock_desc => fun _ =>
(* request socket *)
let host := get_host sock_desc in
let t_origin := (tab_origin_url t) in
let decision := is_safe_sock_dest_on host t_origin in
match decision as decision' return decision = decision' -> _ with
| true => fun _ =>
writemsg t (ResultSocket (size 1%positive) ("000"%char::nil))
(tr ~~~ ReadMsg t m ++ tr) <@>
fhandle stdin * fhandle stdout * ohandle gout * thandles_drop cts t * chandles ccps * PRE * [In t cts];;
sendsocket t host sock_desc
(tr ~~~ WroteMsg t (ResultSocket (size 1%positive) ("000"%char::nil)) ++ ReadMsg t m ++ tr) <@>
fhandle stdin * fhandle stdout * ohandle gout * thandles_drop cts t * chandles ccps * PRE * [In t cts];;
{{ Return (mkst ct cts ccps
(tr ~~~ SendSocket t host sock_desc :: WroteMsg t (ResultSocket (size 1%positive) ("000"%char::nil)) ++ ReadMsg t m ++ tr)) }}
| false => fun _ =>
writemsg t (ResultSocket (size 1%positive) ("001"%char::nil))
(tr ~~~ ReadMsg t m ++ tr) <@>
fhandle stdin * fhandle stdout * ohandle gout * thandles_drop cts t * chandles ccps * PRE * [In t cts];;
{{ Return (mkst ct cts ccps
(tr ~~~ WroteMsg t (ResultSocket (size 1%positive) ("001"%char::nil)) ++ ReadMsg t m ++ tr)) }}
end (refl_equal decision)
| Navigate s_s init_url => fun _ =>
(* navigation actions *)
writeendorsemsg init_url
(tr ~~~ ReadMsg t m ++ tr) <@>
fhandle stdin * ohandle gout * thandles cts * chandles ccps * PRE * [In t cts];;
c <- endorse
(tr ~~~ WroteEndorseMsg init_url :: ReadMsg t m ++ tr) <@>
fhandle stdin * fhandle stdout * ohandle gout * thandles cts * chandles ccps * PRE * [In t cts];
match c with
| true =>
(* the user endorses this navigation *)
let avoid := (map t2k cts) ++ (map c2k ccps) in
let oavoid := (map k2t cts) ++ (map k2c ccps) in
raw_tab <- mktab init_url avoid oavoid None
(tr ~~~ Endorse true :: WroteEndorseMsg init_url :: ReadMsg t m ++ tr ) <@>
fhandle stdin * fhandle stdout * ohandle gout * thandles cts * chandles ccps * PRE * [In t cts];
let nt := (coqtab init_url raw_tab None) in
let topdomain := (get_topdomain_tab (tab_origin_url nt)) in
let ocp := get_cproc topdomain ccps in
match ocp as ocp' return ocp = ocp' -> _ with
| Some cp => fun _ =>
(* there was a cproc for this navigation *)
paint (cts ++ nt::nil) nt
(tr ~~~ MkTab nt :: Endorse true :: WroteEndorseMsg init_url :: ReadMsg t m ++ tr ) <@> fhandle stdin * ohandle gout * thandles cts * thandle nt * chandles ccps * PRE * [~ In (t2k nt) avoid] * [~ In (k2t nt) oavoid] * [In t cts];;
writemsg nt (Render (size 1%positive) ("000"%char :: nil))
(tr ~~~ Paint (cts ++ nt::nil) nt ++ MkTab nt :: Endorse true :: WroteEndorseMsg init_url :: ReadMsg t m ++ tr ) <@>
fhandle stdin * fhandle stdout * ohandle gout * thandles cts * chandles ccps * PRE * [~ In (t2k nt) avoid] * [~ In (k2t nt) oavoid] * [In t cts];;
{{ Return (mkst (Some nt) (cts ++ nt :: nil) ccps
(tr ~~~ WroteMsg nt (Render (size 1%positive) ("000"%char::nil)) ++ Paint (cts ++ nt::nil) nt ++ MkTab nt :: Endorse true :: WroteEndorseMsg init_url :: ReadMsg t m ++ tr)) }}
| None => fun _ =>
let cavoid := (map t2k (cts ++ nt :: nil)) ++ (map c2k ccps) in
let coavoid := (map k2t (cts ++ nt :: nil)) ++ (map k2c ccps) in
raw_cproc <- mkcproc topdomain cavoid coavoid
(tr ~~~ MkTab nt :: Endorse true :: WroteEndorseMsg init_url :: ReadMsg t m ++ tr ) <@>
fhandle stdin * fhandle stdout * ohandle gout * thandles cts * thandle nt * chandles ccps * PRE * [~ In (t2k nt) avoid] * [~ In (k2t nt) oavoid] * [In t cts] ;
let cp := (coqcproc topdomain raw_cproc) in
paint (cts ++ nt::nil) nt
(tr ~~~ MkCProc cp :: MkTab nt :: Endorse true :: WroteEndorseMsg init_url :: ReadMsg t m ++ tr ) <@>
fhandle stdin * ohandle gout * thandles cts * thandle nt * chandles ccps * chandle cp * PRE * [~ In (t2k nt) avoid] * [~ In (k2t nt) oavoid] * [In t cts] * [~ In (c2k cp) cavoid] * [~ In (k2c cp) coavoid];;
writemsg nt (Render (size 1%positive) ("000"%char :: nil))
(tr ~~~ Paint (cts ++ nt::nil) nt ++ MkCProc cp :: MkTab nt :: Endorse true :: WroteEndorseMsg init_url :: ReadMsg t m ++ tr ) <@>
fhandle stdin * fhandle stdout * ohandle gout * thandles cts * chandles ccps * chandle cp * PRE * [~ In (t2k nt) avoid] * [~ In (k2t nt) oavoid] * [In t cts] * [~ In (c2k cp) cavoid] * [~ In (k2c cp) coavoid];;
{{ Return (mkst (Some nt) (cts ++ nt :: nil) (cp :: ccps )
(tr ~~~ WroteMsg nt (Render (size 1%positive) ("000"%char::nil)) ++ Paint (cts ++ nt::nil) nt ++ MkCProc cp :: MkTab nt :: Endorse true :: WroteEndorseMsg init_url :: ReadMsg t m ++ tr)) }}
end (refl_equal ocp)
| false =>
{{ Return (mkst ct cts ccps
(tr ~~~ Endorse false :: WroteEndorseMsg init_url :: ReadMsg t m ++ tr)) }}
end
(*
| SetCookie s_s cookie => fun _ =>
let topdomain_tab := (get_topdomain_tab (tab_origin_url t)) in
let topdomain_cookie := (get_topdomain_cookie cookie) in
let topdomain_same := laeq topdomain_tab topdomain_cookie in
if topdomain_same
then
let ocp := get_cproc topdomain_cookie ccps in
match ocp as ocp' return ocp = ocp' -> _ with
| Some cp => fun _ =>
writecmsg cp (K2CSetCookie s_s cookie)
(tr ~~~ ReadMsg t m ++ tr ) <@>
fhandle stdin * fhandle stdout * ohandle gout * thandles cts * chandles_drop ccps cp * PRE * [In t cts];;
dtr <- invalidatecookie t cts cookie (fhandle stdin * fhandle stdout * ohandle gout * chandles ccps * PRE * [In t cts]) (tr ~~~ WroteCMsg cp (K2CSetCookie s_s cookie) ++ ReadMsg t m ++ tr);
{{ Return (mkst ct cts ccps
(tr ~~~ (K2TSetCookieActions t cts cookie) ++ WroteCMsg cp (K2CSetCookie s_s cookie) ++ ReadMsg t m ++ tr)) }}
| None => fun _ =>
{{ Return (mkst ct cts ccps
(tr ~~~ ReadMsg t m ++ tr)) }}
end (refl_equal ocp)
else
{{ Return (mkst ct cts ccps
(tr ~~~ ReadMsg t m ++ tr)) }}
*)
| SetCookie s_s cookie => fun _ =>
let topdomain_tab := (get_topdomain_tab (tab_origin_url t)) in
let topdomain_cookie := (get_topdomain_cookie cookie) in
let topdomain_same := laeq topdomain_tab topdomain_cookie in
if topdomain_same
then
let ocp := get_cproc topdomain_cookie ccps in
match ocp as ocp' return ocp = ocp' -> _ with
| Some cp => fun _ =>
writecmsg cp (K2CSetCookie s_s cookie)
(tr ~~~ ReadMsg t m ++ tr ) <@>
fhandle stdin * fhandle stdout * ohandle gout * thandles cts * chandles_drop ccps cp * PRE * [In t cts];;
{{ Return (mkst ct cts ccps
(tr ~~~ WroteCMsg cp (K2CSetCookie s_s cookie) ++ ReadMsg t m ++ tr)) }}
| None => fun _ =>
(* it's okay. because we know that this never happens *)
{{ Return (mkst ct cts ccps
(tr ~~~ ReadMsg t m ++ tr)) }}
end (refl_equal ocp)
else
(* when the domain property of the cookie doesn't agree with the tab *)
{{ Return (mkst ct cts ccps
(tr ~~~ ReadMsg t m ++ tr)) }}
| GetCookie s_s url => fun _ =>
let topdomain_tab := (get_topdomain_tab (tab_origin_url t)) in
let ocp := get_cproc topdomain_tab ccps in
let tab_id_url := (tab_id_to_la (t2k t)) ++ url in
match ocp as ocp' return ocp = ocp' -> _ with
| Some cp => fun _ =>
writecmsg cp (K2CGetCookie s_s tab_id_url)
(tr ~~~ ReadMsg t m ++ tr ) <@>
fhandle stdin * fhandle stdout * ohandle gout * thandles cts * chandles_drop ccps cp * PRE * [In t cts];;
{{ Return (mkst ct cts ccps
(tr ~~~ WroteCMsg cp (K2CGetCookie s_s tab_id_url) ++ ReadMsg t m ++ tr)) }}
| None => fun _ =>
{{ Return (mkst ct cts ccps
(tr ~~~ ReadMsg t m ++ tr)) }}
end (refl_equal ocp)
end (refl_equal m)
| BadTag garbage =>
{{ Return (mkst ct cts ccps
(tr ~~~ ReadN (t2k t) (size 1%positive) garbage :: tr)) }}
end
); sep fail auto; simpl.
simpl.
simpl.
(* display current tab *)
apply unpack_thandles; auto.
apply himp_comm_prem. apply repack_thandles. auto.
apply himp_inj_conc'; repeat split; norm_list; simpl.
apply himp_refl. auto.
(* inv H3. auto. *)
match goal with
|- TraceOK ?tr =>
replace tr with
(
(WriteN gout s_s s
:: WriteN gout 4 (pos2la4 s_s)
:: WriteN gout 1 ("000"%char :: nil) :: nil) ++
(*
(WriteStrFile stdout (screen (cur_tabs x0) x nil)
:: WriteStrFile stdout ("@"%char :: tab_origin_url x ++ newline :: nil)
:: Clear :: nil)
++
*)
(ReadMsg x (Display s_s s) ++ x0)
)
end.
econstructor; eauto.
econstructor; eauto.
eapply cur_tab_in_cur_tabs. auto. auto. auto.
inv H5. auto. inv H5. auto. inv H5. auto.
(* disply request from non-current tab *)
apply himp_inj_conc. repeat split; simpl.
inv H5. auto.
match goal with
|- TraceOK ?tr =>
replace tr with
( nil
++ ReadMsg t (Display s_s s)
++ x0
)
end; auto.
econstructor; eauto.
econstructor; eauto.
unfold not; intro. destruct _H1.
rewrite H1 in H0. inv H0; auto.
inv H5. auto. inv H5. auto. inv H5. auto.
apply repack_thandles; auto.
apply himp_inj_conc. repeat split; simpl; auto.
match goal with
|- TraceOK ?tr =>
replace tr with
( nil
++ ReadMsg t (Display s_s s)
++ x
)
end; auto.
econstructor; eauto.
econstructor; eauto.
unfold not; intro. rewrite H1 in H0.
discriminate.
inv H5. auto. inv H5. auto. inv H5. auto.
(* request html *)
apply repack_thandles; auto.
apply repack_thandles; auto.
apply unpack_thandles; auto.
apply himp_inj_conc. repeat split; norm_list; simpl.
match goal with
|- TraceOK ?tr =>
replace tr with
(
WroteMsg t (RspHtml (length_in_size html) html)
++ (Wget url html ::
ReadMsg t (ReqHtml s_s url))
++ x
)
end; auto.
econstructor; eauto.
econstructor; eauto.
inv H5. auto. inv H5. auto. inv H5. auto.
(* socket *)
apply himp_comm_prem.
apply himp_comm_prem.
apply repack_thandles; auto.
apply himp_inj_conc. repeat split; simpl; auto.
match goal with
|- TraceOK ?tr =>
replace tr with
(
(SendSocket t host sock_desc
:: WroteMsg t (ResultSocket (size 1) ("000"%char :: nil)))
++ ReadMsg t (RequestSocket s_s sock_desc)
++ x
)
end; auto.
econstructor; eauto.
econstructor; eauto.
apply la_eq_refl.
inv H5. auto. inv H5. auto. inv H5. auto.
apply repack_thandles; auto.
apply himp_inj_conc. repeat split; simpl; auto.
match goal with
|- TraceOK ?tr =>
replace tr with
(
WroteMsg t (ResultSocket (size 1) ("001"%char :: nil))
++ ReadMsg t (RequestSocket s_s sock_desc)
++ x
)
end; auto.
econstructor; eauto.
econstructor; eauto.
apply la_eq_refl.
unfold host in _H0. unfold t_origin in _H0.
rewrite _H0. discriminate.
inv H5. auto. inv H5. auto. inv H5. auto.
apply repack_thandles; auto.
apply himp_comm_prem. apply repack_thandles; auto.
unfold size in H. simpl in H.
(* navigation - when the user endorses this action and there exists a cookie proc for this tab *)
apply himp_inj_conc. repeat split;
intuition;
rewrite H4 in H1; simpl in H1;
apply pack_injective in H1;
try rewrite H4; try rewrite H4;
try rewrite<- H1;
try rewrite<- H; try rewrite<- H10;
try rewrite<- H in H3; try rewrite<- H10 in _H0;
try unfold avoid in H0; try unfold oavoid in H2;
try rewrite<- H in H0; try rewrite<- H in H2;
simpl; auto.
match goal with
|- TraceOK ?tr =>
replace tr with
(
(WroteMsg nt (Render (size 1) ("000"%char :: nil)) ++
Paint (cur_tabs x ++ nt :: nil) nt) ++
(MkTab nt
:: Endorse true
:: WroteEndorseMsg init_url
:: ReadMsg t (Navigate s_s init_url))
++ x
)
end; auto.
econstructor; eauto.
econstructor; eauto.
unfold tab_init_url. simpl. apply same_la_eq.
rewrite <- H7 in _H0. unfold topdomain in _H0.
rewrite cur_cproc_eq_get_cproc; eauto.
(* uniqness for k -> * file desc *)
Transparent WroteMsg. Transparent Paint. Transparent ReadMsg.
simpl. rewrite map_app. simpl.
apply uniq_app_comm.
rewrite <- app_assoc.
apply uniq_end; auto.
inversion H9. apply uniq_app_comm in H8. auto.
unfold not; intros. destruct H2. auto.
rewrite <- H7.
apply in_app_iff. apply in_app_or in H8.
destruct H8. right; auto. left. auto.
(* uniqueness for * -> k file desc *)
simpl. rewrite map_app. simpl.
apply uniq_app_comm.
rewrite <- app_assoc.
apply uniq_end; auto.
inversion H9. apply uniq_app_comm in H10. auto.
unfold not; intros. destruct H0.
rewrite <- H7.
apply in_app_iff. apply in_app_or in H8. destruct H8.
right; auto. left; auto.
(* uniqueness for domain fields of cookie processes *)
simpl. inv H9. auto.
Opaque ReadMsg. Opaque WroteMsg. Opaque WroteCMsg. Opaque Paint.
intuition;
rewrite H4 in H1; simpl in H1;
apply pack_injective in H1;
try rewrite H4; try rewrite H4;
try rewrite<- H1;
try rewrite<- H; try rewrite<- H10;
try rewrite<- H in H3; try rewrite<- H10 in _H0;
try unfold avoid in H0; try unfold oavoid in H2;
try rewrite<- H in H0; try rewrite<- H in H2;
simpl; auto; sep fail auto.
apply himp_comm_prem.
apply repack_thandles_last.
(* navigation - when the user endorses this action and there isn't a cookie proc for this tab *)
apply himp_inj_conc. repeat split;
intuition;
rewrite H6 in H1; simpl in H1;
apply pack_injective in H1;
try rewrite H6; try rewrite<- H1;
try rewrite<- H; try rewrite<- H7; try rewrite<- H12;
try rewrite<- H in H3; try rewrite<- H12 in _H0;
try unfold avoid in H0; try unfold oavoid in H2;
try unfold cavoid in H4; try unfold coavoid in H5;
try rewrite<- H in H0; try rewrite<- H in H2;
try rewrite<- H12 in H4; try rewrite<- H12 in H5;
simpl; auto. rewrite <- H9. auto.
match goal with
|- TraceOK ?tr =>
replace tr with
(
(WroteMsg nt (Render (size 1) ("000"%char :: nil)) ++
Paint (cur_tabs x ++ nt :: nil) nt) ++
(MkCProc cp
:: MkTab nt
:: Endorse true
:: WroteEndorseMsg init_url
:: ReadMsg t (Navigate s_s init_url))
++ x
)
end; auto.
econstructor; eauto.
econstructor; eauto.
unfold tab_init_url. simpl. apply same_la_eq.
rewrite cur_cproc_eq_get_cproc; eauto.
unfold topdomain in _H0. rewrite <- H9 in _H0. auto.
apply same_la_eq.
Transparent WroteMsg. Transparent Paint. Transparent ReadMsg. simpl. auto.
(* uniqness for k -> * file desc *)
Transparent WroteMsg. Transparent Paint.
simpl. rewrite map_app. simpl.
apply uniq_app_comm.
rewrite <- app_assoc.
apply uniq_end; auto.
simpl. apply uniq_cons.
inversion H11. apply uniq_app_comm in H10. auto.
unfold not; intros. destruct H5. unfold coavoid.
rewrite <- H. rewrite <- H9.
apply in_app_iff. apply in_app_or in H10.
destruct H10. right; auto. left. rewrite map_app.
apply in_app_iff. left; auto.
unfold not. intros. destruct H2. rewrite <- H9.
simpl in H10. destruct H10. destruct H5. rewrite <- H9.
rewrite map_app. simpl. apply in_app_iff. left.
apply in_app_iff. right. simpl. left; auto.
apply in_app_iff. apply in_app_or in H2.
apply or_comm in H2; auto.
(* uniqueness for * -> k file desc *)
simpl. rewrite map_app. simpl.
apply uniq_app_comm.
rewrite <- app_assoc.
apply uniq_end; auto.
inversion H11. apply uniq_app_comm in H12. auto.
simpl. apply uniq_cons. auto.
unfold not; intros. destruct H4.
rewrite <- H. rewrite <- H9.
apply in_app_iff. apply in_app_or in H15.
destruct H15. right; auto. left.
rewrite map_app. apply in_app_iff. left; auto.
unfold not; intros. destruct H0.
simpl in H10. destruct H10. destruct H4.
rewrite map_app. simpl. apply in_app_iff. left.
apply in_app_iff. right. simpl. left. auto.
rewrite <- H9.
apply in_app_iff. apply in_app_or in H0.
apply or_comm in H0; auto.
(* uniqueness for domain fields of cookie processes *)
simpl. apply uniq_cons. inversion H11; auto.
apply get_cproc_none_not_in; auto.
rewrite H9. auto.
Opaque ReadMsg. Opaque WroteMsg. Opaque WroteCMsg. Opaque Paint.
intuition;
rewrite H6 in H1; simpl in H1;
apply pack_injective in H1;
try rewrite H6; try rewrite<- H1;
try rewrite<- H; try rewrite<- H7; try rewrite<- H12;
try rewrite<- H in H3; try rewrite<- H12 in _H0;
try unfold avoid in H0; try unfold oavoid in H2;
try unfold cavoid in H4; try unfold coavoid in H5;
try rewrite<- H in H0; try rewrite<- H in H2;
try rewrite<- H12 in H4; try rewrite<- H12 in H5;
simpl; auto; sep fail auto.
apply himp_comm_prem.
apply repack_thandles_last.
apply himp_inj_conc'. apply himp_refl.
repeat split.
match goal with
|- TraceOK ?tr =>
replace tr with
(
nil
++ (Endorse false:: WroteEndorseMsg init_url :: ReadMsg t (Navigate s_s init_url))
++ x
)
end; auto.
econstructor; auto.
econstructor; auto.
inv H5. auto. inv H5. auto. inv H5. auto.
apply himp_comm_prem.
apply himp_assoc_prem1.
apply himp_comm_prem.
apply himp_comm_conc.
apply himp_assoc_conc1.
apply himp_comm_conc.
apply himp_assoc_conc1.
apply himp_split with (p1 := thandles_drop (cur_tabs x) t * thandle t) (p2 := chandles (cur_cprocs x)) (q1 := thandles (cur_tabs x)) (q2:= chandle cp * chandles_drop (cur_cprocs x) cp).
apply himp_comm_prem.
apply repack_thandles.
auto.
apply unpack_chandles.
eapply cur_cproc_in_cur_cprocs. eauto.
rewrite<- _H0.
apply cur_cproc_eq_get_cproc.
(* cookie get (t2k) *)
apply himp_inj_conc.
repeat split; simpl.
unfold tab_id_url.
match goal with
|- TraceOK ?tr =>
replace tr with
(
(WroteCMsg cp (K2CGetCookie s_s (tab_id_to_la (t2k t) ++ url)))
++ ReadMsg t (GetCookie s_s url)
++ x
)
end; auto.
econstructor; eauto.
econstructor; eauto.
inv H5.
eapply cur_cproc_in_cur_cprocs. auto.
rewrite cur_cproc_eq_get_cproc; eauto.
rewrite cur_cproc_eq_get_cproc; auto.
inv H5. auto. inv H5. auto. inv H5. auto.
apply himp_comm_prem.
apply repack_chandles.
eapply cur_cproc_in_cur_cprocs. auto.
rewrite cur_cproc_eq_get_cproc; eauto.
apply himp_inj_conc. repeat split; simpl.
(* cookie get - when there's no cproc for the tab *)
assert (get_cproc topdomain_tab (cur_cprocs x) <> None).
unfold topdomain_tab. apply get_cproc_some. auto. auto.
rewrite _H0 in H0. destruct H0. reflexivity.
(*
match goal with
|- TraceOK ?tr =>
replace tr with
(
nil
++
(ReadN (t2k t) s_s url
:: ReadN (t2k t) (size 4) (pos2la4 s_s)
:: ReadN (t2k t) (size 1) ("010"%char :: nil) :: nil)
++ x
)
end; auto.
econstructor; eauto.
econstructor; eauto.
rewrite cur_cproc_eq_get_cproc; eauto.
*)
inv H5. auto. inv H5. auto. inv H5. auto.
apply repack_thandles; auto.
(* Show Existentials.*)
apply himp_comm_prem.
apply himp_assoc_prem1.
apply himp_comm_prem.
apply himp_comm_conc.
apply himp_assoc_conc1.
apply himp_comm_conc.
apply himp_assoc_conc1.
apply himp_split with (p1 := thandles_drop (cur_tabs x) t * thandle t) (p2 := chandles (cur_cprocs x)) (q1 := thandles (cur_tabs x)) (q2:= chandle cp * chandles_drop (cur_cprocs x) cp).
apply himp_comm_prem.
apply repack_thandles.
auto.
apply unpack_chandles.
inv H4; auto.
eapply cur_cproc_in_cur_cprocs. auto.
rewrite cur_cproc_eq_get_cproc; eauto.
apply himp_inj_conc.
Transparent WroteCMsg. Transparent ReadMsg.
split. simpl. auto.
split. simpl. auto.
split. simpl. auto.
split.
(*
simpl. a
simpl.
apply himp_comm_conc.
apply himp_comm_prem.
apply repack_chandles.
inv H5; auto.
eapply cur_cproc_in_cur_cprocs. auto.
rewrite cur_cproc_eq_get_cproc; eauto.
apply himp_inj_conc'. apply himp_refl.
repeat split; simpl; auto.
symmetry. eapply cur_tab_same_over_K2TSetCookieActions.
simpl; auto.
symmetry. eapply cur_tabs_same_over_K2TSetCookieActions.
simpl; auto.
symmetry. eapply cur_cprocs_same_over_K2TSetCookieActions.
simpl; auto.
*)
match goal with
|- TraceOK ?tr =>
replace tr with
(
(WroteCMsg cp (K2CSetCookie s_s cookie)) ++
(ReadMsg t (SetCookie s_s cookie)) ++
x
)
end; auto.
econstructor; eauto.
econstructor; eauto.
eapply cur_cproc_in_cur_cprocs. auto.
rewrite cur_cproc_eq_get_cproc; eauto.
rewrite _H0.
apply la_eq_refl.
rewrite cur_cproc_eq_get_cproc; eauto.
simpl. econstructor. simpl. inv H5; auto.
simpl; inv H5; auto.
simpl; inv H5; auto.
apply himp_comm_prem.
apply repack_chandles.
eapply cur_cproc_in_cur_cprocs. auto.
rewrite cur_cproc_eq_get_cproc; eauto.
apply himp_inj_conc.
(* Transparent WroteCMsg. Transparent ReadMsg. *)
split. simpl. auto.
split. simpl. auto.
split. simpl. auto.
split.
(* cookie proces always exists *)
Check cur_cproc_some.
assert (cur_cproc (get_topdomain_tab (tab_origin_url t)) x <> None).
eapply cur_cproc_some; eauto.
rewrite cur_cproc_eq_get_cproc in H0. rewrite _H0 in H0. contradiction.
simpl. econstructor; simpl; eauto.
simpl; inv H5; auto.
simpl; inv H5; auto.
simpl; inv H5; auto.
apply repack_thandles; auto.
apply himp_inj_conc.
(* Transparent WroteCMsg. Transparent ReadMsg. *)
split. simpl. auto.
split. simpl. auto.
split. simpl. auto.
split.
match goal with
|- TraceOK ?tr =>
replace tr with
(
nil ++
(ReadMsg t (SetCookie s_s cookie)) ++
x
)
end; auto.
econstructor; eauto.
econstructor; eauto.
intros not; intros. destruct b.
unfold topdomain_tab. unfold topdomain_cookie.
eapply la_eq_same; eauto. apply la_eq_refl.
econstructor; simpl; eauto.
simpl; inv H5; auto.
simpl; inv H5; auto.
simpl; inv H5; auto.
apply repack_thandles; auto.
apply himp_inj_conc.
(* Transparent WroteCMsg. Transparent ReadMsg. *)
split. simpl. auto.
split. simpl. auto.
split. simpl. auto.
split.
match goal with
|- TraceOK ?tr =>
replace tr with
(
nil
++ (ReadN (t2k t) (size 1) garbage :: nil)
++ x
)
end; auto.
econstructor; eauto.
econstructor; eauto.
econstructor; eauto.
simpl; inv H6; auto.
simpl; inv H6; auto.
simpl; inv H6; auto.
apply repack_thandles; auto.
Qed.
(*
(*
rewrite app_assoc. simpl. auto.
rewrite<- cur_tabs_same_over_K2TSetCookieActions with (tr := WriteN (k2c cp) s_s cookie
:: WriteN (k2c cp) (size 4) (pos2la4 s_s)
:: WriteN (k2c cp) (size 1) ("013"%char :: nil)
:: ReadN (t2k t) s_s cookie
:: ReadN (t2k t) (size 4) (pos2la4 s_s)
:: ReadN (t2k t) (size 1) ("011"%char :: nil) :: x0).
simpl. inv H3; auto. auto.
rewrite<- cur_tabs_same_over_K2TSetCookieActions with (tr := WriteN (k2c cp) s_s cookie
:: WriteN (k2c cp) (size 4) (pos2la4 s_s)
:: WriteN (k2c cp) (size 1) ("013"%char :: nil)
:: ReadN (t2k t) s_s cookie
:: ReadN (t2k t) (size 4) (pos2la4 s_s)
:: ReadN (t2k t) (size 1) ("011"%char :: nil) :: x0).
simpl. inv H3; auto. auto.
rewrite<- cur_cprocs_same_over_K2TSetCookieActions with (tr := WriteN (k2c cp) s_s cookie
:: WriteN (k2c cp) (size 4) (pos2la4 s_s)
:: WriteN (k2c cp) (size 1) ("013"%char :: nil)
:: ReadN (t2k t) s_s cookie
:: ReadN (t2k t) (size 4) (pos2la4 s_s)
:: ReadN (t2k t) (size 1) ("011"%char :: nil) :: x0).
simpl. inv H4; auto. auto.
rewrite<- cur_cprocs_same_over_K2TSetCookieActions with (tr := WriteN (k2c cp) s_s cookie
:: WriteN (k2c cp) (size 4) (pos2la4 s_s)
:: WriteN (k2c cp) (size 1) ("013"%char :: nil)
:: ReadN (t2k t) s_s cookie
:: ReadN (t2k t) (size 4) (pos2la4 s_s)
:: ReadN (t2k t) (size 1) ("011"%char :: nil) :: x0).
simpl. inv H4; auto. auto.
rewrite<- cur_cprocs_same_over_K2TSetCookieActions with (tr := WriteN (k2c cp) s_s cookie
:: WriteN (k2c cp) (size 4) (pos2la4 s_s)
:: WriteN (k2c cp) (size 1) ("013"%char :: nil)
:: ReadN (t2k t) s_s cookie
:: ReadN (t2k t) (size 4) (pos2la4 s_s)
:: ReadN (t2k t) (size 1) ("011"%char :: nil) :: x0).
simpl. inv H4; auto. auto.
*)
apply himp_inj_conc.
repeat split; simpl; auto.
match goal with
|- TraceOK ?tr =>
replace tr with
(
nil
++ (ReadN (t2k t) s_s cookie
:: ReadN (t2k t) (size 4) (pos2la4 s_s)
:: ReadN (t2k t) (size 1) ("011"%char :: nil) :: nil)
++ x
)
end; auto.
econstructor; eauto.
econstructor; eauto.
right; auto.
rewrite cur_cproc_eq_get_cproc; eauto.
inv H3; auto. inv H3; auto. inv H3; auto.
inv H4; auto. inv H4; auto. inv H4; auto.
apply repack_thandles; auto.
apply himp_inj_conc. repeat split.
match goal with
|- TraceOK ?tr =>
replace tr with
(
nil
++ (ReadN (t2k t) s_s cookie
:: ReadN (t2k t) (size 4) (pos2la4 s_s)
:: ReadN (t2k t) (size 1) ("011"%char :: nil) :: nil)
++ x
)
end; auto.
econstructor; eauto.
econstructor; eauto.
left. unfold not. intros.
destruct _H0. unfold topdomain_tab. unfold topdomain_cookie.
eapply la_eq_same; eauto. apply la_eq_refl.
inv H3; auto. inv H3; auto. inv H3; auto.
inv H4; auto. inv H4; auto. inv H4; auto.
apply repack_thandles; auto.
(* garbage *)
apply himp_inj_conc. repeat split.
match goal with
|- TraceOK ?tr =>
replace tr with
(
nil
++ (ReadN (t2k t) (size 1) garbage :: nil)
++ x
)
end; auto.
econstructor; eauto.
econstructor; eauto.
inv H4; auto. inv H4; auto. inv H4; auto.
inv H5; auto. inv H5; auto. inv H5; auto.
apply repack_thandles; auto.
Qed.
*)
(* working *)
(*
Fixpoint K2TSetCookieActions (c:cproc) (tabs:list tab) (cookie_str:list ascii) :=
match tabs with
| t :: tabs' =>
if (laeq (cproc_domain c) (get_topdomain_tab (tab_origin_url t))) then
(K2TSetCookieActions c tabs' cookie_str) ++
(WroteMsg t (K2TSetCookie (length_in_size cookie_str) cookie_str))
else
(K2TSetCookieActions c tabs' cookie_str)
| nil => nil
end.
*)
Lemma K2TSetCookieActions_inv :
forall c pts t cookie,
la_eq (cproc_domain c) (get_topdomain_tab (tab_origin_url t)) ->
K2TSetCookieActions c (pts ++ t :: nil) cookie =
(WroteMsg t (K2TSetCookie (length_in_size cookie) cookie) ++
K2TSetCookieActions c pts cookie).
Proof.
induction pts.
intros. simpl.
destruct (laeq (cproc_domain c) (get_topdomain_tab (tab_origin_url t))).
rewrite app_nil_r. auto.
assert (cproc_domain c = get_topdomain_tab (tab_origin_url t)).
eapply la_eq_same. eauto. eapply la_eq_refl. rewrite H0 in n.
destruct n. auto.
intros.
simpl.
destruct (laeq (cproc_domain c) (get_topdomain_tab (tab_origin_url a))); simpl.
rewrite IHpts.
simpl. auto. auto. auto.
Qed.
Lemma K2TSetCookieActions_inv2 :
forall c pts t cookie,
~ la_eq (cproc_domain c) (get_topdomain_tab (tab_origin_url t)) ->
K2TSetCookieActions c (pts ++ t :: nil) cookie = K2TSetCookieActions c pts cookie.
Proof.
induction pts.
intros. simpl.
destruct (laeq (cproc_domain c) (get_topdomain_tab (tab_origin_url t))); simpl.
destruct H.
rewrite e. apply la_eq_refl.
auto.
intros.
simpl.
destruct (laeq (cproc_domain c) (get_topdomain_tab (tab_origin_url a))); simpl.
rewrite IHpts.
simpl. auto. auto.
apply IHpts. auto.
Qed.
Definition invalidatecookie : forall (c: cproc) (cts: list tab) (cookie_msg : list ascii) (PRE:hprop) (tr : [Trace]),
STsep (tr ~~ PRE * traced tr * thandles cts)
(fun tr':[Trace] => tr ~~ tr' ~~ PRE * thandles cts * traced tr' * [tr' = K2TSetCookieActions c cts cookie_msg ++ tr]).
refine (
fun (c:cproc) (cts:list tab) (cookie:list ascii) (PRE:hprop) (tr:[Trace]) =>
{{ Fix3
(fun pts ts tr' => tr ~~ tr' ~~ PRE * traced tr' * thandles cts
* [pts ++ ts = cts ]
* [tr' = K2TSetCookieActions c pts cookie ++ tr])
(fun pts ts tr' tr'' => tr ~~ tr' ~~ tr'' ~~ PRE * traced tr'' * thandles cts
* [pts ++ ts = cts]
* [tr' = K2TSetCookieActions c pts cookie ++ tr]
* [tr'' = K2TSetCookieActions c ts cookie ++ tr'])
(fun self pts ts tr' =>
match ts as ncts return ts = ncts -> _ with
| nil => fun _ => {{Return tr'}}
| t :: cts'' => fun _ =>
if (laeq (cproc_domain c) (get_topdomain_tab (tab_origin_url t))) then
writemsg t (K2TSetCookie (length_in_size cookie) cookie) tr' <@>
(tr ~~ tr' ~~ [pts ++ ts = cts ] * [tr' = K2TSetCookieActions c pts cookie ++ tr]
* thandles_drop cts t * PRE) ;;
{{self (pts ++ t :: nil) cts'' (tr' ~~~ WroteMsg t (K2TSetCookie (length_in_size cookie) cookie) ++ tr')}}
else
{{self (pts ++ t :: nil) cts'' (tr' ~~~ tr')}}
end (refl_equal ts)
)
nil cts tr}}); sep fail auto.
apply unpack_thandles; auto.
apply in_or_app.
right. simpl. auto.
apply himp_inj_conc; auto; intros.
rewrite K2TSetCookieActions_inv. simpl. auto.
rewrite _H0. apply la_eq_refl.
(*
unfold not. intros. destruct H3. symmetry. auto.
*)
apply himp_inj_conc.
rewrite app_assoc. simpl; auto.
apply repack_thandles; auto.
apply in_or_app.
right. simpl. auto.
apply himp_inj_conc.
destruct (laeq (cproc_domain c) (get_topdomain_tab (tab_origin_url t))); simpl; auto.
rewrite app_assoc. auto.
rewrite _H0 in n. destruct n; auto.
(*
destruct H4; auto.
unfold WroteMsg. simpl; auto.
rewrite app_assoc. simpl; auto.
destruct (tabeq t0 t); simpl; auto.
destruct H4; auto.
destruct n; auto.
*)
apply himp_inj_conc.
rewrite K2TSetCookieActions_inv in H. simpl in H.
inversion H; auto.
rewrite _H0; apply la_eq_refl.
(*
unfold not; intros. destruct H4. symmetry; auto.
*)
apply himp_inj_conc'.
apply himp_refl.
rewrite app_assoc. simpl; auto.
apply himp_inj_conc.
rewrite K2TSetCookieActions_inv2; auto.
unfold not. intros.
destruct _H0.
eapply la_eq_same; eauto. apply la_eq_refl.
apply himp_inj_conc'.
apply himp_refl.
rewrite app_assoc. simpl; auto.
apply himp_inj_conc.
(*
rewrite K2TSetCookieActions_inv2; auto.
apply himp_inj_conc'.
apply himp_refl.
rewrite app_assoc. simpl; auto.
apply himp_inj_conc.
*)
destruct (laeq (cproc_domain c) (get_topdomain_tab (tab_origin_url t))); simpl; auto.
destruct _H0. auto.
apply himp_inj_conc.
rewrite K2TSetCookieActions_inv2; auto.
unfold not. intros.
destruct _H0.
eapply la_eq_same; eauto. apply la_eq_refl.
apply himp_inj_conc'.
apply himp_refl.
rewrite app_assoc. simpl; auto.
Qed.
Fixpoint lkup_cproc (cprocs: list cproc) (ic: ichan) : option cproc :=
match cprocs with
| c::cs =>
if iceq (c2k c) ic then
Some c
else
lkup_cproc cs ic
| nil =>
None
end.
Lemma lkup_cproc_in:
forall ts ic t,
lkup_cproc ts ic = Some t ->
In t ts.
Proof.
induction ts; simpl; intros. discriminate.
destruct (iceq (c2k a) ic).
inv H. left; auto.
right. eapply IHts; eauto.
Qed.
Lemma uniq_cproc_dom:
forall cs c,
In c cs ->
uniq (map cproc_domain cs) ->
get_cproc (cproc_domain c) cs = Some c.
Proof.
induction cs; simpl; intros. contradiction.
destruct H. subst.
destruct (laeq (cproc_domain c) (cproc_domain c));
auto || congruence.
inv H0.
destruct (laeq (cproc_domain a) (cproc_domain c)); auto.
destruct H4. rewrite e. apply in_map; auto.
Qed.
Fixpoint lkup_cproc_dom (cprocs: list cproc) (dom: list ascii) : option cproc :=
match cprocs with
| c::cs =>
if laeq (cproc_domain c) dom then
Some c
else
lkup_cproc_dom cs dom
| nil =>
None
end.
Definition khandle_c:
forall (s: kstate) (c: cproc),
STsep (kcorrect s * (tr :~~ ktr s in [In c (cur_cprocs tr)]))
(fun s' => kcorrect s').
Proof.
unfold kcorrect; intros; destruct s as [ct cts ccps tr].
Opaque ReadCMsg.
remember (tr ~~ [cur_tab tr = ct /\ cur_tabs tr = cts /\
cur_cprocs tr = ccps /\ TraceOK tr /\ procs_distinct tr]) as PRE.
refine (
m <- readcmsg c tr <@>
fhandle stdin * fhandle stdout * ohandle gout * thandles cts * chandles_drop ccps c * PRE * [In c ccps];
match m as m' return m = m' -> _ with
| C2KSetCookie s cookie => fun _ =>
dtr <- invalidatecookie c cts cookie (fhandle stdin * fhandle stdout * ohandle gout * chandles ccps * PRE * [In c ccps]) (tr ~~~ ReadCMsg c m ++ tr);
{{ Return (mkst ct cts ccps
(tr ~~~ (K2TSetCookieActions c cts cookie) ++ ReadCMsg c m ++ tr)) }}
| C2KResultCookie s cookie_msg => fun _ =>
let ot := lkup_tab cts (get_tab_id cookie_msg) in
match ot as ot' return ot = ot' -> _ with
| Some t => fun _ =>
if laeq (get_topdomain_tab (tab_origin_url t)) (cproc_domain c) then
let pay := get_cookie_content cookie_msg in
writemsg t (ResultCookie (length_in_size pay) pay)
(tr ~~~ ReadCMsg c (C2KResultCookie s cookie_msg) ++ tr) <@>
fhandle stdin * fhandle stdout * ohandle gout * thandles_drop cts t * chandles ccps * PRE * [ In c ccps];;
{{ Return (mkst ct cts ccps
(tr ~~~ WroteMsg t (ResultCookie (length_in_size pay) pay) ++ ReadCMsg c (C2KResultCookie s cookie_msg) ++ tr)) }}
else
{{ Return (mkst ct cts ccps
(tr ~~~ ReadCMsg c (C2KResultCookie s cookie_msg) ++ tr)) }}
| None => fun _ =>
{{ Return (mkst ct cts ccps
(tr ~~~ ReadCMsg c (C2KResultCookie s cookie_msg) ++ tr)) }}
end (refl_equal ot)
end (refl_equal m)
);
sep fail auto.
apply unpack_chandles; auto.
apply himp_comm_prem.
apply repack_chandles; auto.
(*
apply himp_comm_prem.
apply himp_assoc_prem2.
apply himp_comm_conc.
apply himp_assoc_conc1.
apply himp_comm_conc.
apply himp_split.
apply himp_comm_conc. apply unpack_thandles; auto.
eapply lkup_tab_in; eauto.
apply himp_comm_prem. apply repack_chandles; auto.
*)
apply himp_pure'.
repeat split.
(* working *)
rewrite <- cur_tab_same_over_K2TSetCookieActions with (tr := ReadCMsg c (C2KSetCookie s cookie) ++ x0).
Transparent ReadCMsg. simpl. auto. simpl. auto.
rewrite <- cur_tabs_same_over_K2TSetCookieActions with (tr := ReadCMsg c (C2KSetCookie s cookie) ++ x0).
simpl. auto. simpl. auto.
rewrite <- cur_cprocs_same_over_K2TSetCookieActions with (tr := ReadCMsg c (C2KSetCookie s cookie) ++ x0).
Transparent ReadCMsg. simpl. auto. simpl. auto.
econstructor. auto.
econstructor; eauto.
rewrite <- cur_tabs_same_over_K2TSetCookieActions with (tr := ReadCMsg c (C2KSetCookie s cookie) ++ x0).
rewrite <- cur_cprocs_same_over_K2TSetCookieActions with (tr := ReadCMsg c (C2KSetCookie s cookie) ++ x0).
simpl. inv H5; auto. auto. auto.
rewrite <- cur_tabs_same_over_K2TSetCookieActions with (tr := ReadCMsg c (C2KSetCookie s cookie) ++ x0).
rewrite <- cur_cprocs_same_over_K2TSetCookieActions with (tr := ReadCMsg c (C2KSetCookie s cookie) ++ x0).
simpl. inv H5. auto. auto. auto.
rewrite <- cur_cprocs_same_over_K2TSetCookieActions with (tr := ReadCMsg c (C2KSetCookie s cookie) ++ x0). simpl. inv H5; auto. auto.
apply himp_comm_conc.
apply himp_assoc_conc1.
apply himp_comm_prem.
apply himp_assoc_prem1.
apply himp_comm_prem.
apply himp_assoc_prem1.
apply himp_comm_conc.
apply himp_split.
apply himp_comm_conc. apply unpack_thandles; auto.
eapply lkup_tab_in; eauto.
apply repack_chandles; auto.
apply himp_inj_conc.
repeat split.
econstructor; eauto.
econstructor; eauto.
eapply lkup_tab_in; eauto.
eapply lkup_tab_t2k; eauto.
rewrite cur_cproc_eq_get_cproc.
rewrite _H1.
eapply uniq_cproc_dom; eauto. inv H5; auto.
Transparent WroteMsg.
Transparent ReadMsg.
simpl. inv H5; auto. simpl; inv H5; auto.
simpl; inv H5; auto.
apply repack_thandles; auto.
eapply lkup_tab_in; eauto.
apply himp_inj_conc.
repeat split.
match goal with
|- TraceOK ?tr =>
replace tr with
(
nil
++ (ReadCMsg c (C2KResultCookie s cookie_msg))
++ x
)
end; auto.
econstructor; eauto.
econstructor; eauto.
eapply lkup_tab_in; eauto.
eapply lkup_tab_t2k; eauto.
intro Hcon. apply _H1.
apply cur_cproc_return_requested_domain in Hcon;
symmetry; auto.
simpl. inv H5; auto.
simpl; inv H5; auto.
simpl; inv H5; auto.
apply himp_comm_prem.
apply repack_chandles; auto.
apply himp_inj_conc.
repeat split.
match goal with
|- TraceOK ?tr =>
replace tr with
(
nil
++ (ReadCMsg c (C2KResultCookie s cookie_msg))
++ x
)
end; auto.
econstructor; eauto.
eapply Kstep_cookie_get_c2k_error_bad_tab_id; eauto.
simpl; inv H5; auto.
simpl; inv H5; auto.
simpl; inv H5; auto.
apply himp_comm_prem.
apply repack_chandles; auto.
Qed.
(* input selection *)
Inductive input: Set :=
| File : file_desc -> input
| Tab : tab -> input
| CProc : cproc -> input
| Error : input.
Definition iselect:
forall (stdin:file_desc) (ts: list tab) (cs: list cproc) (tr: [Trace]),
STsep (tr ~~ traced tr)
(fun i =>
match i with
| File f => tr ~~ traced tr * [f = stdin]
| Tab t => tr ~~ traced tr * [In t ts]
| CProc c => tr ~~ traced tr * [In c cs]
| Error => tr ~~ traced tr
end).
Proof.
intros; refine (
prod_f_ics <- select stdin (map t2k ts ++ map c2k cs) tr;
let of := fst prod_f_ics in
match of as of' return of = of' -> _ with
| Some f =>
fun _ =>
if file_desc_eq f stdin then {{ Return (File f)}}
else {{ Return Error }}
| _ => fun _ =>
let ics := snd prod_f_ics in
match ics with
| ic :: _ =>
let ot := lkup_tab ts ic in
match ot as ot' return ot = ot' -> _ with
| Some t => fun _ => {{ Return (Tab t) }}
| None => fun _ =>
let oc := lkup_cproc cs ic in
match oc as oc' return oc = oc' -> _ with
| Some c => fun _ => {{ Return (CProc c) }}
(* this error case never happens. But why do we have to care about it
and mention it here? : How can we remove this case here? *)
| None => fun _ => {{ Return Error }}
end (refl_equal oc)
end (refl_equal ot)
| nil => {{ Return Error }}
end
end (refl_equal of)
);
sep fail auto.
apply himp_inj_conc'. apply himp_refl.
eapply lkup_tab_in; eauto.
apply himp_inj_conc'. apply himp_refl.
eapply lkup_tab_in; eauto.
apply himp_inj_conc'. apply himp_refl.
eapply lkup_cproc_in; eauto.
apply himp_inj_conc'. apply himp_refl.
eapply lkup_cproc_in; eauto.
Qed.
Definition kbody:
forall (s: kstate),
STsep (kcorrect s)
(fun s' => kcorrect s').
Proof.
unfold kcorrect; intros; destruct s as [ct cts ccps tr].
remember (tr ~~ [cur_tab tr = ct /\ cur_tabs tr = cts /\ cur_cprocs tr = ccps /\ TraceOK tr /\ procs_distinct tr]) as PRE.
refine (
i <- iselect stdin cts ccps
tr <@>
fhandle stdin * fhandle stdout * ohandle gout * thandles cts * chandles ccps * PRE;
match i with
| File f =>
s <- khandle_u (mkst ct cts ccps tr);
{{ Return s }}
| Tab t =>
s <- khandle_t (mkst ct cts ccps tr) t;
{{ Return s }}
| CProc c =>
s <- khandle_c (mkst ct cts ccps tr) c;
{{ Return s }}
| Error =>
{{ Return (mkst ct cts ccps tr)}}
end
);
unfold kcorrect;
sep fail auto.
Qed.
Definition kloop:
forall (s: kstate),
STsep (kcorrect s)
(fun s' => kcorrect s').
Proof.
unfold kcorrect; intros; refine (
Fix
(fun s => kcorrect s)
(fun s s' => kcorrect s')
(fun self s =>
s <- kbody s;
s <- self s;
{{ Return s }}
)
s
);
sep fail auto.
Qed.
Definition main:
STsep (traced nil * fhandle stdin * fhandle stdout * ohandle gout)
(fun s => kcorrect s).
Proof.
unfold kcorrect; intros; refine (
s <- kloop (mkst None nil nil [nil]);
{{ Return s }}
);
unfold kcorrect;
sep fail auto.
apply himp_inj_conc'.
apply himp_refl.
repeat split; auto; econstructor; eauto.
Qed.
|
/**
* Copyright 2020 The SkyWater PDK Authors
*
* Licensed under the Apache License, Version 2.0 (the "License");
* you may not use this file except in compliance with the License.
* You may obtain a copy of the License at
*
* https://www.apache.org/licenses/LICENSE-2.0
*
* Unless required by applicable law or agreed to in writing, software
* distributed under the License is distributed on an "AS IS" BASIS,
* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
* See the License for the specific language governing permissions and
* limitations under the License.
*
* SPDX-License-Identifier: Apache-2.0
*/
`ifndef SKY130_FD_SC_LP__HA_M_V
`define SKY130_FD_SC_LP__HA_M_V
/**
* ha: Half adder.
*
* Verilog wrapper for ha with size minimum.
*
* WARNING: This file is autogenerated, do not modify directly!
*/
`timescale 1ns / 1ps
`default_nettype none
`include "sky130_fd_sc_lp__ha.v"
`ifdef USE_POWER_PINS
/*********************************************************/
`celldefine
module sky130_fd_sc_lp__ha_m (
COUT,
SUM ,
A ,
B ,
VPWR,
VGND,
VPB ,
VNB
);
output COUT;
output SUM ;
input A ;
input B ;
input VPWR;
input VGND;
input VPB ;
input VNB ;
sky130_fd_sc_lp__ha base (
.COUT(COUT),
.SUM(SUM),
.A(A),
.B(B),
.VPWR(VPWR),
.VGND(VGND),
.VPB(VPB),
.VNB(VNB)
);
endmodule
`endcelldefine
/*********************************************************/
`else // If not USE_POWER_PINS
/*********************************************************/
`celldefine
module sky130_fd_sc_lp__ha_m (
COUT,
SUM ,
A ,
B
);
output COUT;
output SUM ;
input A ;
input B ;
// Voltage supply signals
supply1 VPWR;
supply0 VGND;
supply1 VPB ;
supply0 VNB ;
sky130_fd_sc_lp__ha base (
.COUT(COUT),
.SUM(SUM),
.A(A),
.B(B)
);
endmodule
`endcelldefine
/*********************************************************/
`endif // USE_POWER_PINS
`default_nettype wire
`endif // SKY130_FD_SC_LP__HA_M_V
|
/*
Distributed under the MIT license.
Copyright (c) 2015 Dave McCoy ([email protected])
Permission is hereby granted, free of charge, to any person obtaining a copy of
this software and associated documentation files (the "Software"), to deal in
the Software without restriction, including without limitation the rights to
use, copy, modify, merge, publish, distribute, sublicense, and/or sell copies
of the Software, and to permit persons to whom the Software is furnished to do
so, subject to the following conditions:
The above copyright notice and this permission notice shall be included in all
copies or substantial portions of the Software.
THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR
IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY,
FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE
AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER
LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING FROM,
OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN THE
SOFTWARE.
*/
/*
* Author: David McCoy ([email protected])
* Description: Common Interface Access (CIA)
* Controls many aspects of the card.
* Define values are values that do not change with implementation, for
* for example: CCCR version number and SDIO version number
* Parameter values change with every implementation, examples include
* Buffer depth and function numbers
*
* Changes:
* 2015.13.09: Inital Commit
*/
`include "sdio_cia_defines.v"
module sdio_cia #(
parameter BUFFER_DEPTH = 8,
parameter EN_8BIT_BUS = 1'b0
)(
input clk, // SDIO PHY Clock
input rst,
input i_activate,
input i_ready,
output o_ready,
output o_finished,
input i_write_flag,
input i_inc_addr,
input [17:0] i_address,
input i_data_stb,
input [17:0] i_data_count,
input [7:0] i_data_in,
output [7:0] o_data_out,
output o_data_stb, //If reading, this strobes a new piece of data in, if writing strobes data out
//FBR Interface
output [7:0] o_fbr_select,
output o_fbr_activate,
output o_fbr_ready,
output o_fbr_write_flag,
output o_fbr_addr_in,
output [17:0] o_fbr_address,
output o_fbr_data_stb,
output [17:0] o_fbr_data_count,
output [7:0] o_fbr_data_in,
input i_fbr1_finished,
input i_fbr1_ready,
input [7:0] i_fbr1_data_out,
input i_fbr1_data_stb,
input i_fbr2_finished,
input i_fbr2_ready,
input [7:0] i_fbr2_data_out,
input i_fbr2_data_stb,
input i_fbr3_finished,
input i_fbr3_ready,
input [7:0] i_fbr3_data_out,
input i_fbr3_data_stb,
input i_fbr4_finished,
input i_fbr4_ready,
input [7:0] i_fbr4_data_out,
input i_fbr4_data_stb,
input i_fbr5_finished,
input i_fbr5_ready,
input [7:0] i_fbr5_data_out,
input i_fbr5_data_stb,
input i_fbr6_finished,
input i_fbr6_ready,
input [7:0] i_fbr6_data_out,
input i_fbr6_data_stb,
input i_fbr7_finished,
input i_fbr7_ready,
input [7:0] i_fbr7_data_out,
input i_fbr7_data_stb,
//Function Configuration Interface
output reg [7:0] o_func_enable,
input [7:0] i_func_ready,
output reg [7:0] o_func_int_enable,
input [7:0] i_func_int_pending,
output reg o_soft_reset,
output reg [2:0] o_func_abort_stb,
output reg o_en_card_detect_n,
output reg o_en_4bit_block_int, /* Enable interrupts durring 4-bit block data mode */
input i_func_active,
output reg o_bus_release_req_stb,
output reg [3:0] o_func_select,
input i_data_txrx_in_progress_flag,
input [7:0] i_func_exec_status,
input [7:0] i_func_ready_for_data,
output reg [15:0] o_max_f0_block_size,
output o_1_bit_mode,
output o_4_bit_mode,
output o_8_bit_mode,
output o_sdr_12,
output o_sdr_25,
output o_sdr_50,
output o_ddr_50,
output o_sdr_104,
output o_driver_type_a,
output o_driver_type_b,
output o_driver_type_c,
output o_driver_type_d,
output reg o_enable_async_interrupt
);
//Local Parameters
//Local Registers/Wires
wire cia_i_activate[0:`NO_SELECT_INDEX + 1];
wire cia_o_ready [0:`NO_SELECT_INDEX + 1];
wire cia_o_finished[0:`NO_SELECT_INDEX + 1];
wire [7:0] cia_o_data_out[0:`NO_SELECT_INDEX + 1];
wire [7:0] cia_o_data_stb[0:`NO_SELECT_INDEX + 1];
reg [3:0] func_sel;
//submodules
sdio_cccr #(
.BUFFER_DEPTH (BUFFER_DEPTH ),
.EN_8BIT_BUS (EN_8BIT_BUS )
) cccr (
.clk (clk ),
.rst (rst ),
.i_activate (cia_i_activate[`CCCR_INDEX] ),
.i_ready (i_ready ),
.o_ready (cia_o_ready[`CCCR_INDEX] ),
.o_finished (cia_o_finished[`CCCR_INDEX] ),
.i_write_flag (i_write_flag ),
.i_inc_addr (i_inc_addr ),
.i_address (i_address ),
.i_data_stb (i_data_stb ),
.i_data_count (i_data_count ),
.i_data_in (i_data_in ),
.o_data_out (cia_o_data_out[`CCCR_INDEX] ),
.o_data_stb (cia_o_data_stb[`CCCR_INDEX] ),
.o_func_enable (o_func_enable ),
.i_func_ready (i_func_ready ),
.o_func_int_enable (o_func_int_enable ),
.i_func_int_pending (i_func_int_pending ),
.o_soft_reset (o_soft_reset ),
.o_func_abort_stb (o_func_abort_stb ),
.o_en_card_detect_n (o_en_card_detect_n ),
.o_en_4bit_block_int (o_en_4bit_block_int ),
.i_func_active (i_func_active ),
.o_bus_release_req_stb (o_bus_release_req_stb ),
.o_func_select (o_func_select ),
.i_data_txrx_in_progress_flag (i_data_txrx_in_progress_flag ),
.i_func_exec_status (i_func_exec_status ),
.i_func_ready_for_data (i_func_ready_for_data ),
.o_max_f0_block_size (o_max_f0_block_size ),
.o_1_bit_mode (o_1_bit_mode ),
.o_4_bit_mode (o_4_bit_mode ),
.o_8_bit_mode (o_8_bit_mode ),
.o_sdr_12 (o_sdr_12 ),
.o_sdr_25 (o_sdr_25 ),
.o_sdr_50 (o_sdr_50 ),
.o_ddr_50 (o_ddr_50 ),
.o_sdr_104 (o_sdr_104 ),
.o_driver_type_a (o_driver_type_a ),
.o_driver_type_b (o_driver_type_b ),
.o_driver_type_c (o_driver_type_c ),
.o_driver_type_d (o_driver_type_d ),
.o_enable_async_interrupt (o_enable_async_interrupt )
);
//asynchronous logic
//Address Multiplexer
always @ (*) begin
if (rst || o_soft_rest) begin
func_sel <= `NO_SELECT_INDEX;
end
else begin
if ((i_address >= `CCCR_FUNCTION_START_ADDR) && (i_address <= `CCCR_FUNCTION_END_ADDR )) begin
//CCCR Selected
func_sel <= `CCCR_INDEX;
end
else if ((i_address >= `FUNCTION_1_START_ADDR) && (i_address <= `FUNCTION_1_END_ADDR )) begin
//Fuction 1 Sected
func_sel <= `F1_INDEX;
end
else if ((i_address >= `FUNCTION_2_START_ADDR) && (i_address <= `FUNCTION_2_END_ADDR )) begin
//Fuction 2 Sected
func_sel <= `F2_INDEX;
end
else if ((i_address >= `FUNCTION_3_START_ADDR) && (i_address <= `FUNCTION_3_END_ADDR )) begin
//Fuction 3 Sected
func_sel <= `F3_INDEX;
end
else if ((i_address >= `FUNCTION_4_START_ADDR) && (i_address <= `FUNCTION_4_END_ADDR )) begin
//Fuction 4 Sected
func_sel <= `F4_INDEX;
end
else if ((i_address >= `FUNCTION_5_START_ADDR) && (i_address <= `FUNCTION_5_END_ADDR )) begin
//Fuction 5 Sected
func_sel <= `F5_INDEX;
end
else if ((i_address >= `FUNCTION_6_START_ADDR) && (i_address <= `FUNCTION_6_END_ADDR )) begin
//Fuction 6 Sected
func_sel <= `F6_INDEX;
end
else if ((i_address >= `FUNCTION_7_START_ADDR) && (i_address <= `FUNCTION_7_END_ADDR )) begin
//Fuction 7 Sected
func_sel <= `F7_INDEX;
end
else if ((i_address >= `MAIN_CIS_START_ADDR) && (i_address <= `MAIN_CIS_END_ADDR )) begin
//Main CIS Region
func_sel <= `MAIN_CIS_INDEX;
end
else begin
func_sel <= `NO_SELECT_INDEX;
end
end
end
//All FPR Channel Specific interfaces are broght ito the multiplexer
assign cia_o_finished[`F1_INDEX] = i_fbr1_ready;
assign cia_o_ready[`F1_INDEX] = i_fbr1_ready;
assign cia_o_data_out[`F1_INDEX] = i_fbr1_data_out;
assign cia_o_data_stb[`F1_INDEX] = i_fbr1_data_stb;
assign cia_o_finished[`F2_INDEX] = i_fbr2_ready;
assign cia_o_ready[`F2_INDEX] = i_fbr2_ready;
assign cia_o_data_out[`F2_INDEX] = i_fbr2_data_out;
assign cia_o_data_stb[`F2_INDEX] = i_fbr2_data_stb;
assign cia_o_finished[`F3_INDEX] = i_fbr3_ready;
assign cia_o_ready[`F3_INDEX] = i_fbr3_ready;
assign cia_o_data_out[`F3_INDEX] = i_fbr3_data_out;
assign cia_o_data_stb[`F3_INDEX] = i_fbr3_data_stb;
assign cia_o_finished[`F4_INDEX] = i_fbr4_ready;
assign cia_o_ready[`F4_INDEX] = i_fbr4_ready;
assign cia_o_data_out[`F4_INDEX] = i_fbr4_data_out;
assign cia_o_data_stb[`F4_INDEX] = i_fbr4_data_stb;
assign cia_o_finished[`F5_INDEX] = i_fbr5_ready;
assign cia_o_ready[`F5_INDEX] = i_fbr5_ready;
assign cia_o_data_out[`F5_INDEX] = i_fbr5_data_out;
assign cia_o_data_stb[`F5_INDEX] = i_fbr5_data_stb;
assign cia_o_finished[`F6_INDEX] = i_fbr6_ready;
assign cia_o_ready[`F6_INDEX] = i_fbr6_ready;
assign cia_o_data_out[`F6_INDEX] = i_fbr6_data_out;
assign cia_o_data_stb[`F6_INDEX] = i_fbr6_data_stb;
assign cia_o_finished[`F7_INDEX] = i_fbr7_ready;
assign cia_o_ready[`F7_INDEX] = i_fbr7_ready;
assign cia_o_data_out[`F7_INDEX] = i_fbr7_data_out;
assign cia_o_data_stb[`F7_INDEX] = i_fbr7_data_stb;
assign cia_i_activate[func_sel] = (func_sel == `CCCR_INDEX) ? i_activate : 1'b0;
assign cia_i_activate[func_sel] = (func_sel == `F1_INDEX) ? i_activate : 1'b0;
assign cia_i_activate[func_sel] = (func_sel == `F2_INDEX) ? i_activate : 1'b0;
assign cia_i_activate[func_sel] = (func_sel == `F3_INDEX) ? i_activate : 1'b0;
assign cia_i_activate[func_sel] = (func_sel == `F4_INDEX) ? i_activate : 1'b0;
assign cia_i_activate[func_sel] = (func_sel == `F5_INDEX) ? i_activate : 1'b0;
assign cia_i_activate[func_sel] = (func_sel == `F7_INDEX) ? i_activate : 1'b0;
assign cia_i_activate[func_sel] = (func_sel == `MAIN_CIS_INDEX) ? i_activate : 1'b0;
assign o_ready = cia_o_ready[func_sel];
assign o_finished = cia_o_finished[func_sel];
assign o_data_out = cia_o_data_out[func_sel];
assign o_data_stb = cia_o_data_stb[func_sel];
assign cia_o_ready [`NO_SELECT_INDEX] = 1'b0;
assign cia_o_finished[`NO_SELECT_INDEX] = 1'b1; //Always Done
assign cia_o_data_out[`NO_SELECT_INDEX] = 8'h0;
assign cia_o_data_stb[`NO_SELECT_INDEX] = 1'b0;
assign o_fbr_select = func_sel;
assign o_fbr_activate = i_activate;
assign o_fbr_ready = i_ready;
assign o_fbr_write_flag = i_write_flag;
assign o_fbr_address = i_address;
assign o_fbr_inc_addr = i_inc_addr;
assign o_fbr_data_stb = i_data_stb;
assign o_fbr_data_count = i_data_count;
assign o_fbr_data_in = i_data_in;
endmodule
|
//--------------------------------------------------------------------------------
// Auto-generated by Migen (--------) & LiteX (3350d33f) on 2020-02-03 11:41:31
//--------------------------------------------------------------------------------
module top(
output reg serial_tx,
input serial_rx,
input cpu_reset,
(* dont_touch = "true" *) input clk100,
output [13:0] ddram_a,
output [2:0] ddram_ba,
output ddram_ras_n,
output ddram_cas_n,
output ddram_we_n,
output ddram_cs_n,
output [1:0] ddram_dm,
inout [15:0] ddram_dq,
output [1:0] ddram_dqs_p,
output [1:0] ddram_dqs_n,
output ddram_clk_p,
output ddram_clk_n,
output ddram_cke,
output ddram_odt,
output ddram_reset_n,
output [3:0] led
);
wire [3:0] led;
assign led[0] = main_locked;
assign led[1] = idelayctl_rdy;
assign led[2] = 0;
assign led[3] = 0;
// Manually inserted OBUFs
wire [13:0] ddram_a_iob;
wire [ 2:0] ddram_ba_iob;
wire ddram_ras_n_iob;
wire ddram_cas_n_iob;
wire ddram_we_n_iob;
wire ddram_cs_n_iob;
wire [ 1:0] ddram_dm_iob;
wire ddram_cke_iob;
wire ddram_odt_iob;
wire ddram_reset_n_iob;
OBUF #(.IOSTANDARD("SSTL135"), .SLEW("FAST")) obuf_a0 (.I(ddram_a_iob[ 0]), .O(ddram_a[ 0]));
OBUF #(.IOSTANDARD("SSTL135"), .SLEW("FAST")) obuf_a1 (.I(ddram_a_iob[ 1]), .O(ddram_a[ 1]));
OBUF #(.IOSTANDARD("SSTL135"), .SLEW("FAST")) obuf_a2 (.I(ddram_a_iob[ 2]), .O(ddram_a[ 2]));
OBUF #(.IOSTANDARD("SSTL135"), .SLEW("FAST")) obuf_a3 (.I(ddram_a_iob[ 3]), .O(ddram_a[ 3]));
OBUF #(.IOSTANDARD("SSTL135"), .SLEW("FAST")) obuf_a4 (.I(ddram_a_iob[ 4]), .O(ddram_a[ 4]));
OBUF #(.IOSTANDARD("SSTL135"), .SLEW("FAST")) obuf_a5 (.I(ddram_a_iob[ 5]), .O(ddram_a[ 5]));
OBUF #(.IOSTANDARD("SSTL135"), .SLEW("FAST")) obuf_a6 (.I(ddram_a_iob[ 6]), .O(ddram_a[ 6]));
OBUF #(.IOSTANDARD("SSTL135"), .SLEW("FAST")) obuf_a7 (.I(ddram_a_iob[ 7]), .O(ddram_a[ 7]));
OBUF #(.IOSTANDARD("SSTL135"), .SLEW("FAST")) obuf_a8 (.I(ddram_a_iob[ 8]), .O(ddram_a[ 8]));
OBUF #(.IOSTANDARD("SSTL135"), .SLEW("FAST")) obuf_a9 (.I(ddram_a_iob[ 9]), .O(ddram_a[ 9]));
OBUF #(.IOSTANDARD("SSTL135"), .SLEW("FAST")) obuf_a10 (.I(ddram_a_iob[10]), .O(ddram_a[10]));
OBUF #(.IOSTANDARD("SSTL135"), .SLEW("FAST")) obuf_a11 (.I(ddram_a_iob[11]), .O(ddram_a[11]));
OBUF #(.IOSTANDARD("SSTL135"), .SLEW("FAST")) obuf_a12 (.I(ddram_a_iob[12]), .O(ddram_a[12]));
OBUF #(.IOSTANDARD("SSTL135"), .SLEW("FAST")) obuf_a13 (.I(ddram_a_iob[13]), .O(ddram_a[13]));
OBUF #(.IOSTANDARD("SSTL135"), .SLEW("FAST")) obuf_ba0 (.I(ddram_ba_iob[0]), .O(ddram_ba[0]));
OBUF #(.IOSTANDARD("SSTL135"), .SLEW("FAST")) obuf_ba1 (.I(ddram_ba_iob[1]), .O(ddram_ba[1]));
OBUF #(.IOSTANDARD("SSTL135"), .SLEW("FAST")) obuf_ba2 (.I(ddram_ba_iob[2]), .O(ddram_ba[2]));
OBUF #(.IOSTANDARD("SSTL135"), .SLEW("FAST")) obuf_dm0 (.I(ddram_dm_iob[0]), .O(ddram_dm[0]));
OBUF #(.IOSTANDARD("SSTL135"), .SLEW("FAST")) obuf_dm1 (.I(ddram_dm_iob[1]), .O(ddram_dm[1]));
OBUF #(.IOSTANDARD("SSTL135"), .SLEW("FAST")) obuf_ras (.I(ddram_ras_n_iob), .O(ddram_ras_n));
OBUF #(.IOSTANDARD("SSTL135"), .SLEW("FAST")) obuf_cas (.I(ddram_cas_n_iob), .O(ddram_cas_n));
OBUF #(.IOSTANDARD("SSTL135"), .SLEW("FAST")) obuf_we (.I(ddram_we_n_iob), .O(ddram_we_n));
OBUF #(.IOSTANDARD("SSTL135"), .SLEW("FAST")) obuf_cs (.I(ddram_cs_n_iob), .O(ddram_cs_n));
OBUF #(.IOSTANDARD("SSTL135"), .SLEW("FAST")) obuf_cke (.I(ddram_cke_iob), .O(ddram_cke));
OBUF #(.IOSTANDARD("SSTL135"), .SLEW("FAST")) obuf_odt (.I(ddram_odt_iob), .O(ddram_odt));
OBUF #(.IOSTANDARD("SSTL135"), .SLEW("FAST")) obuf_rst (.I(ddram_reset_n_iob),.O(ddram_reset_n));
// End manually inserted OBUFs
wire idelayctl_rdy;
reg main_ctrl_reset_storage = 1'd0;
reg main_ctrl_reset_re = 1'd0;
reg [31:0] main_ctrl_scratch_storage = 32'd305419896;
reg main_ctrl_scratch_re = 1'd0;
wire [31:0] main_ctrl_bus_errors_status;
wire main_ctrl_bus_errors_we;
wire main_ctrl_reset;
wire main_ctrl_bus_error;
reg [31:0] main_ctrl_bus_errors = 32'd0;
wire [29:0] main_sram_bus_adr;
wire [31:0] main_sram_bus_dat_w;
wire [31:0] main_sram_bus_dat_r;
wire [3:0] main_sram_bus_sel;
wire main_sram_bus_cyc;
wire main_sram_bus_stb;
reg main_sram_bus_ack = 1'd0;
wire main_sram_bus_we;
wire [2:0] main_sram_bus_cti;
wire [1:0] main_sram_bus_bte;
reg main_sram_bus_err = 1'd0;
wire [9:0] main_sram_adr;
wire [31:0] main_sram_dat_r;
reg [3:0] main_sram_we = 4'd0;
wire [31:0] main_sram_dat_w;
reg [31:0] main_uart_storage = 32'd9895604;
reg main_uart_sink_valid = 1'd0;
reg main_uart_sink_ready = 1'd0;
wire main_uart_sink_last;
reg [7:0] main_uart_sink_payload_data = 8'd0;
reg main_uart_uart_clk_txen = 1'd0;
reg [31:0] main_uart_phase_accumulator_tx = 32'd0;
reg [7:0] main_uart_tx_reg = 8'd0;
reg [3:0] main_uart_tx_bitcount = 4'd0;
reg main_uart_tx_busy = 1'd0;
reg main_uart_source_valid = 1'd0;
wire main_uart_source_ready;
reg [7:0] main_uart_source_payload_data = 8'd0;
reg main_uart_uart_clk_rxen = 1'd0;
reg [31:0] main_uart_phase_accumulator_rx = 32'd0;
wire main_uart_rx;
reg main_uart_rx_r = 1'd0;
reg [7:0] main_uart_rx_reg = 8'd0;
reg [3:0] main_uart_rx_bitcount = 4'd0;
reg main_uart_rx_busy = 1'd0;
wire [29:0] main_uart_wishbone_adr;
wire [31:0] main_uart_wishbone_dat_w;
wire [31:0] main_uart_wishbone_dat_r;
wire [3:0] main_uart_wishbone_sel;
reg main_uart_wishbone_cyc = 1'd0;
reg main_uart_wishbone_stb = 1'd0;
wire main_uart_wishbone_ack;
reg main_uart_wishbone_we = 1'd0;
reg [2:0] main_uart_wishbone_cti = 3'd0;
reg [1:0] main_uart_wishbone_bte = 2'd0;
wire main_uart_wishbone_err;
reg [2:0] main_uart_byte_counter = 3'd0;
reg main_uart_byte_counter_reset = 1'd0;
reg main_uart_byte_counter_ce = 1'd0;
reg [2:0] main_uart_word_counter = 3'd0;
reg main_uart_word_counter_reset = 1'd0;
reg main_uart_word_counter_ce = 1'd0;
reg [7:0] main_uart_cmd = 8'd0;
reg main_uart_cmd_ce = 1'd0;
reg [7:0] main_uart_length = 8'd0;
reg main_uart_length_ce = 1'd0;
reg [31:0] main_uart_address = 32'd0;
reg main_uart_address_ce = 1'd0;
reg [31:0] main_uart_data = 32'd0;
reg main_uart_rx_data_ce = 1'd0;
reg main_uart_tx_data_ce = 1'd0;
wire main_uart_reset;
wire main_uart_wait;
wire main_uart_done;
reg [22:0] main_uart_count = 23'd5000000;
reg main_uart_is_ongoing = 1'd0;
reg [31:0] main_load_storage = 32'd0;
reg main_load_re = 1'd0;
reg [31:0] main_reload_storage = 32'd0;
reg main_reload_re = 1'd0;
reg main_en_storage = 1'd0;
reg main_en_re = 1'd0;
reg main_update_value_storage = 1'd0;
reg main_update_value_re = 1'd0;
reg [31:0] main_value_status = 32'd0;
wire main_value_we;
wire main_irq;
wire main_zero_status;
reg main_zero_pending = 1'd0;
wire main_zero_trigger;
reg main_zero_clear = 1'd0;
reg main_zero_old_trigger = 1'd0;
wire main_eventmanager_status_re;
wire main_eventmanager_status_r;
wire main_eventmanager_status_we;
wire main_eventmanager_status_w;
wire main_eventmanager_pending_re;
wire main_eventmanager_pending_r;
wire main_eventmanager_pending_we;
wire main_eventmanager_pending_w;
reg main_eventmanager_storage = 1'd0;
reg main_eventmanager_re = 1'd0;
reg [31:0] main_value = 32'd0;
reg [13:0] main_interface_adr = 14'd0;
reg main_interface_we = 1'd0;
wire [7:0] main_interface_dat_w;
wire [7:0] main_interface_dat_r;
wire [29:0] main_bus_wishbone_adr;
wire [31:0] main_bus_wishbone_dat_w;
wire [31:0] main_bus_wishbone_dat_r;
wire [3:0] main_bus_wishbone_sel;
wire main_bus_wishbone_cyc;
wire main_bus_wishbone_stb;
reg main_bus_wishbone_ack = 1'd0;
wire main_bus_wishbone_we;
wire [2:0] main_bus_wishbone_cti;
wire [1:0] main_bus_wishbone_bte;
reg main_bus_wishbone_err = 1'd0;
wire [29:0] main_interface0_wb_sdram_adr;
wire [31:0] main_interface0_wb_sdram_dat_w;
reg [31:0] main_interface0_wb_sdram_dat_r = 32'd0;
wire [3:0] main_interface0_wb_sdram_sel;
wire main_interface0_wb_sdram_cyc;
wire main_interface0_wb_sdram_stb;
reg main_interface0_wb_sdram_ack = 1'd0;
wire main_interface0_wb_sdram_we;
wire [2:0] main_interface0_wb_sdram_cti;
wire [1:0] main_interface0_wb_sdram_bte;
reg main_interface0_wb_sdram_err = 1'd0;
wire sys_clk;
wire sys_rst;
wire sys4x_clk;
wire sys4x_clkb;
wire sys4x_dqs_clk;
wire clk200_clk;
wire clk200_rst;
wire main_reset;
wire main_locked;
wire main_pll_clkin;
wire main_clkout0;
wire main_clkout_buf0;
wire main_clkout1;
wire main_clkout_buf1;
wire main_clkout2;
wire main_clkout_buf2;
wire main_clkout3;
wire main_clkout_buf3;
wire main_clkout_buf4;
reg [3:0] main_reset_counter = 4'd15;
reg main_ic_reset = 1'd1;
reg [4:0] main_a7ddrphy_half_sys8x_taps_storage = 5'd16;
reg main_a7ddrphy_half_sys8x_taps_re = 1'd0;
wire main_a7ddrphy_cdly_rst_re;
wire main_a7ddrphy_cdly_rst_r;
wire main_a7ddrphy_cdly_rst_we;
reg main_a7ddrphy_cdly_rst_w = 1'd0;
wire main_a7ddrphy_cdly_inc_re;
wire main_a7ddrphy_cdly_inc_r;
wire main_a7ddrphy_cdly_inc_we;
reg main_a7ddrphy_cdly_inc_w = 1'd0;
reg [1:0] main_a7ddrphy_dly_sel_storage = 2'd0;
reg main_a7ddrphy_dly_sel_re = 1'd0;
wire main_a7ddrphy_rdly_dq_rst_re;
wire main_a7ddrphy_rdly_dq_rst_r;
wire main_a7ddrphy_rdly_dq_rst_we;
reg main_a7ddrphy_rdly_dq_rst_w = 1'd0;
wire main_a7ddrphy_rdly_dq_inc_re;
wire main_a7ddrphy_rdly_dq_inc_r;
wire main_a7ddrphy_rdly_dq_inc_we;
reg main_a7ddrphy_rdly_dq_inc_w = 1'd0;
wire main_a7ddrphy_rdly_dq_bitslip_rst_re;
wire main_a7ddrphy_rdly_dq_bitslip_rst_r;
wire main_a7ddrphy_rdly_dq_bitslip_rst_we;
reg main_a7ddrphy_rdly_dq_bitslip_rst_w = 1'd0;
wire main_a7ddrphy_rdly_dq_bitslip_re;
wire main_a7ddrphy_rdly_dq_bitslip_r;
wire main_a7ddrphy_rdly_dq_bitslip_we;
reg main_a7ddrphy_rdly_dq_bitslip_w = 1'd0;
wire [13:0] main_a7ddrphy_dfi_p0_address;
wire [2:0] main_a7ddrphy_dfi_p0_bank;
wire main_a7ddrphy_dfi_p0_cas_n;
wire main_a7ddrphy_dfi_p0_cs_n;
wire main_a7ddrphy_dfi_p0_ras_n;
wire main_a7ddrphy_dfi_p0_we_n;
wire main_a7ddrphy_dfi_p0_cke;
wire main_a7ddrphy_dfi_p0_odt;
wire main_a7ddrphy_dfi_p0_reset_n;
wire main_a7ddrphy_dfi_p0_act_n;
wire [31:0] main_a7ddrphy_dfi_p0_wrdata;
wire main_a7ddrphy_dfi_p0_wrdata_en;
wire [3:0] main_a7ddrphy_dfi_p0_wrdata_mask;
wire main_a7ddrphy_dfi_p0_rddata_en;
reg [31:0] main_a7ddrphy_dfi_p0_rddata = 32'd0;
reg main_a7ddrphy_dfi_p0_rddata_valid = 1'd0;
wire [13:0] main_a7ddrphy_dfi_p1_address;
wire [2:0] main_a7ddrphy_dfi_p1_bank;
wire main_a7ddrphy_dfi_p1_cas_n;
wire main_a7ddrphy_dfi_p1_cs_n;
wire main_a7ddrphy_dfi_p1_ras_n;
wire main_a7ddrphy_dfi_p1_we_n;
wire main_a7ddrphy_dfi_p1_cke;
wire main_a7ddrphy_dfi_p1_odt;
wire main_a7ddrphy_dfi_p1_reset_n;
wire main_a7ddrphy_dfi_p1_act_n;
wire [31:0] main_a7ddrphy_dfi_p1_wrdata;
wire main_a7ddrphy_dfi_p1_wrdata_en;
wire [3:0] main_a7ddrphy_dfi_p1_wrdata_mask;
wire main_a7ddrphy_dfi_p1_rddata_en;
reg [31:0] main_a7ddrphy_dfi_p1_rddata = 32'd0;
reg main_a7ddrphy_dfi_p1_rddata_valid = 1'd0;
wire [13:0] main_a7ddrphy_dfi_p2_address;
wire [2:0] main_a7ddrphy_dfi_p2_bank;
wire main_a7ddrphy_dfi_p2_cas_n;
wire main_a7ddrphy_dfi_p2_cs_n;
wire main_a7ddrphy_dfi_p2_ras_n;
wire main_a7ddrphy_dfi_p2_we_n;
wire main_a7ddrphy_dfi_p2_cke;
wire main_a7ddrphy_dfi_p2_odt;
wire main_a7ddrphy_dfi_p2_reset_n;
wire main_a7ddrphy_dfi_p2_act_n;
wire [31:0] main_a7ddrphy_dfi_p2_wrdata;
wire main_a7ddrphy_dfi_p2_wrdata_en;
wire [3:0] main_a7ddrphy_dfi_p2_wrdata_mask;
wire main_a7ddrphy_dfi_p2_rddata_en;
reg [31:0] main_a7ddrphy_dfi_p2_rddata = 32'd0;
reg main_a7ddrphy_dfi_p2_rddata_valid = 1'd0;
wire [13:0] main_a7ddrphy_dfi_p3_address;
wire [2:0] main_a7ddrphy_dfi_p3_bank;
wire main_a7ddrphy_dfi_p3_cas_n;
wire main_a7ddrphy_dfi_p3_cs_n;
wire main_a7ddrphy_dfi_p3_ras_n;
wire main_a7ddrphy_dfi_p3_we_n;
wire main_a7ddrphy_dfi_p3_cke;
wire main_a7ddrphy_dfi_p3_odt;
wire main_a7ddrphy_dfi_p3_reset_n;
wire main_a7ddrphy_dfi_p3_act_n;
wire [31:0] main_a7ddrphy_dfi_p3_wrdata;
wire main_a7ddrphy_dfi_p3_wrdata_en;
wire [3:0] main_a7ddrphy_dfi_p3_wrdata_mask;
wire main_a7ddrphy_dfi_p3_rddata_en;
reg [31:0] main_a7ddrphy_dfi_p3_rddata = 32'd0;
reg main_a7ddrphy_dfi_p3_rddata_valid = 1'd0;
wire main_a7ddrphy_sd_clk_se_nodelay;
reg main_a7ddrphy_oe_dqs = 1'd0;
wire main_a7ddrphy_dqs_preamble;
wire main_a7ddrphy_dqs_postamble;
reg [7:0] main_a7ddrphy_dqs_serdes_pattern = 8'd85;
wire main_a7ddrphy_dqs_nodelay0;
wire main_a7ddrphy_dqs_t0;
wire main_a7ddrphy0;
wire main_a7ddrphy_dqs_nodelay1;
wire main_a7ddrphy_dqs_t1;
wire main_a7ddrphy1;
reg main_a7ddrphy_oe_dq = 1'd0;
wire main_a7ddrphy_dq_o_nodelay0;
wire main_a7ddrphy_dq_i_nodelay0;
wire main_a7ddrphy_dq_i_delayed0;
wire main_a7ddrphy_dq_t0;
wire [7:0] main_a7ddrphy_dq_i_data0;
wire [7:0] main_a7ddrphy_bitslip0_i;
reg [7:0] main_a7ddrphy_bitslip0_o = 8'd0;
reg [2:0] main_a7ddrphy_bitslip0_value = 3'd0;
reg [15:0] main_a7ddrphy_bitslip0_r = 16'd0;
wire main_a7ddrphy_dq_o_nodelay1;
wire main_a7ddrphy_dq_i_nodelay1;
wire main_a7ddrphy_dq_i_delayed1;
wire main_a7ddrphy_dq_t1;
wire [7:0] main_a7ddrphy_dq_i_data1;
wire [7:0] main_a7ddrphy_bitslip1_i;
reg [7:0] main_a7ddrphy_bitslip1_o = 8'd0;
reg [2:0] main_a7ddrphy_bitslip1_value = 3'd0;
reg [15:0] main_a7ddrphy_bitslip1_r = 16'd0;
wire main_a7ddrphy_dq_o_nodelay2;
wire main_a7ddrphy_dq_i_nodelay2;
wire main_a7ddrphy_dq_i_delayed2;
wire main_a7ddrphy_dq_t2;
wire [7:0] main_a7ddrphy_dq_i_data2;
wire [7:0] main_a7ddrphy_bitslip2_i;
reg [7:0] main_a7ddrphy_bitslip2_o = 8'd0;
reg [2:0] main_a7ddrphy_bitslip2_value = 3'd0;
reg [15:0] main_a7ddrphy_bitslip2_r = 16'd0;
wire main_a7ddrphy_dq_o_nodelay3;
wire main_a7ddrphy_dq_i_nodelay3;
wire main_a7ddrphy_dq_i_delayed3;
wire main_a7ddrphy_dq_t3;
wire [7:0] main_a7ddrphy_dq_i_data3;
wire [7:0] main_a7ddrphy_bitslip3_i;
reg [7:0] main_a7ddrphy_bitslip3_o = 8'd0;
reg [2:0] main_a7ddrphy_bitslip3_value = 3'd0;
reg [15:0] main_a7ddrphy_bitslip3_r = 16'd0;
wire main_a7ddrphy_dq_o_nodelay4;
wire main_a7ddrphy_dq_i_nodelay4;
wire main_a7ddrphy_dq_i_delayed4;
wire main_a7ddrphy_dq_t4;
wire [7:0] main_a7ddrphy_dq_i_data4;
wire [7:0] main_a7ddrphy_bitslip4_i;
reg [7:0] main_a7ddrphy_bitslip4_o = 8'd0;
reg [2:0] main_a7ddrphy_bitslip4_value = 3'd0;
reg [15:0] main_a7ddrphy_bitslip4_r = 16'd0;
wire main_a7ddrphy_dq_o_nodelay5;
wire main_a7ddrphy_dq_i_nodelay5;
wire main_a7ddrphy_dq_i_delayed5;
wire main_a7ddrphy_dq_t5;
wire [7:0] main_a7ddrphy_dq_i_data5;
wire [7:0] main_a7ddrphy_bitslip5_i;
reg [7:0] main_a7ddrphy_bitslip5_o = 8'd0;
reg [2:0] main_a7ddrphy_bitslip5_value = 3'd0;
reg [15:0] main_a7ddrphy_bitslip5_r = 16'd0;
wire main_a7ddrphy_dq_o_nodelay6;
wire main_a7ddrphy_dq_i_nodelay6;
wire main_a7ddrphy_dq_i_delayed6;
wire main_a7ddrphy_dq_t6;
wire [7:0] main_a7ddrphy_dq_i_data6;
wire [7:0] main_a7ddrphy_bitslip6_i;
reg [7:0] main_a7ddrphy_bitslip6_o = 8'd0;
reg [2:0] main_a7ddrphy_bitslip6_value = 3'd0;
reg [15:0] main_a7ddrphy_bitslip6_r = 16'd0;
wire main_a7ddrphy_dq_o_nodelay7;
wire main_a7ddrphy_dq_i_nodelay7;
wire main_a7ddrphy_dq_i_delayed7;
wire main_a7ddrphy_dq_t7;
wire [7:0] main_a7ddrphy_dq_i_data7;
wire [7:0] main_a7ddrphy_bitslip7_i;
reg [7:0] main_a7ddrphy_bitslip7_o = 8'd0;
reg [2:0] main_a7ddrphy_bitslip7_value = 3'd0;
reg [15:0] main_a7ddrphy_bitslip7_r = 16'd0;
wire main_a7ddrphy_dq_o_nodelay8;
wire main_a7ddrphy_dq_i_nodelay8;
wire main_a7ddrphy_dq_i_delayed8;
wire main_a7ddrphy_dq_t8;
wire [7:0] main_a7ddrphy_dq_i_data8;
wire [7:0] main_a7ddrphy_bitslip8_i;
reg [7:0] main_a7ddrphy_bitslip8_o = 8'd0;
reg [2:0] main_a7ddrphy_bitslip8_value = 3'd0;
reg [15:0] main_a7ddrphy_bitslip8_r = 16'd0;
wire main_a7ddrphy_dq_o_nodelay9;
wire main_a7ddrphy_dq_i_nodelay9;
wire main_a7ddrphy_dq_i_delayed9;
wire main_a7ddrphy_dq_t9;
wire [7:0] main_a7ddrphy_dq_i_data9;
wire [7:0] main_a7ddrphy_bitslip9_i;
reg [7:0] main_a7ddrphy_bitslip9_o = 8'd0;
reg [2:0] main_a7ddrphy_bitslip9_value = 3'd0;
reg [15:0] main_a7ddrphy_bitslip9_r = 16'd0;
wire main_a7ddrphy_dq_o_nodelay10;
wire main_a7ddrphy_dq_i_nodelay10;
wire main_a7ddrphy_dq_i_delayed10;
wire main_a7ddrphy_dq_t10;
wire [7:0] main_a7ddrphy_dq_i_data10;
wire [7:0] main_a7ddrphy_bitslip10_i;
reg [7:0] main_a7ddrphy_bitslip10_o = 8'd0;
reg [2:0] main_a7ddrphy_bitslip10_value = 3'd0;
reg [15:0] main_a7ddrphy_bitslip10_r = 16'd0;
wire main_a7ddrphy_dq_o_nodelay11;
wire main_a7ddrphy_dq_i_nodelay11;
wire main_a7ddrphy_dq_i_delayed11;
wire main_a7ddrphy_dq_t11;
wire [7:0] main_a7ddrphy_dq_i_data11;
wire [7:0] main_a7ddrphy_bitslip11_i;
reg [7:0] main_a7ddrphy_bitslip11_o = 8'd0;
reg [2:0] main_a7ddrphy_bitslip11_value = 3'd0;
reg [15:0] main_a7ddrphy_bitslip11_r = 16'd0;
wire main_a7ddrphy_dq_o_nodelay12;
wire main_a7ddrphy_dq_i_nodelay12;
wire main_a7ddrphy_dq_i_delayed12;
wire main_a7ddrphy_dq_t12;
wire [7:0] main_a7ddrphy_dq_i_data12;
wire [7:0] main_a7ddrphy_bitslip12_i;
reg [7:0] main_a7ddrphy_bitslip12_o = 8'd0;
reg [2:0] main_a7ddrphy_bitslip12_value = 3'd0;
reg [15:0] main_a7ddrphy_bitslip12_r = 16'd0;
wire main_a7ddrphy_dq_o_nodelay13;
wire main_a7ddrphy_dq_i_nodelay13;
wire main_a7ddrphy_dq_i_delayed13;
wire main_a7ddrphy_dq_t13;
wire [7:0] main_a7ddrphy_dq_i_data13;
wire [7:0] main_a7ddrphy_bitslip13_i;
reg [7:0] main_a7ddrphy_bitslip13_o = 8'd0;
reg [2:0] main_a7ddrphy_bitslip13_value = 3'd0;
reg [15:0] main_a7ddrphy_bitslip13_r = 16'd0;
wire main_a7ddrphy_dq_o_nodelay14;
wire main_a7ddrphy_dq_i_nodelay14;
wire main_a7ddrphy_dq_i_delayed14;
wire main_a7ddrphy_dq_t14;
wire [7:0] main_a7ddrphy_dq_i_data14;
wire [7:0] main_a7ddrphy_bitslip14_i;
reg [7:0] main_a7ddrphy_bitslip14_o = 8'd0;
reg [2:0] main_a7ddrphy_bitslip14_value = 3'd0;
reg [15:0] main_a7ddrphy_bitslip14_r = 16'd0;
wire main_a7ddrphy_dq_o_nodelay15;
wire main_a7ddrphy_dq_i_nodelay15;
wire main_a7ddrphy_dq_i_delayed15;
wire main_a7ddrphy_dq_t15;
wire [7:0] main_a7ddrphy_dq_i_data15;
wire [7:0] main_a7ddrphy_bitslip15_i;
reg [7:0] main_a7ddrphy_bitslip15_o = 8'd0;
reg [2:0] main_a7ddrphy_bitslip15_value = 3'd0;
reg [15:0] main_a7ddrphy_bitslip15_r = 16'd0;
reg main_a7ddrphy_n_rddata_en0 = 1'd0;
reg main_a7ddrphy_n_rddata_en1 = 1'd0;
reg main_a7ddrphy_n_rddata_en2 = 1'd0;
reg main_a7ddrphy_n_rddata_en3 = 1'd0;
reg main_a7ddrphy_n_rddata_en4 = 1'd0;
reg main_a7ddrphy_n_rddata_en5 = 1'd0;
reg main_a7ddrphy_n_rddata_en6 = 1'd0;
reg main_a7ddrphy_n_rddata_en7 = 1'd0;
wire main_a7ddrphy_oe;
reg [3:0] main_a7ddrphy_last_wrdata_en = 4'd0;
wire [13:0] main_sdram_inti_p0_address;
wire [2:0] main_sdram_inti_p0_bank;
reg main_sdram_inti_p0_cas_n = 1'd1;
reg main_sdram_inti_p0_cs_n = 1'd1;
reg main_sdram_inti_p0_ras_n = 1'd1;
reg main_sdram_inti_p0_we_n = 1'd1;
wire main_sdram_inti_p0_cke;
wire main_sdram_inti_p0_odt;
wire main_sdram_inti_p0_reset_n;
reg main_sdram_inti_p0_act_n = 1'd1;
wire [31:0] main_sdram_inti_p0_wrdata;
wire main_sdram_inti_p0_wrdata_en;
wire [3:0] main_sdram_inti_p0_wrdata_mask;
wire main_sdram_inti_p0_rddata_en;
reg [31:0] main_sdram_inti_p0_rddata = 32'd0;
reg main_sdram_inti_p0_rddata_valid = 1'd0;
wire [13:0] main_sdram_inti_p1_address;
wire [2:0] main_sdram_inti_p1_bank;
reg main_sdram_inti_p1_cas_n = 1'd1;
reg main_sdram_inti_p1_cs_n = 1'd1;
reg main_sdram_inti_p1_ras_n = 1'd1;
reg main_sdram_inti_p1_we_n = 1'd1;
wire main_sdram_inti_p1_cke;
wire main_sdram_inti_p1_odt;
wire main_sdram_inti_p1_reset_n;
reg main_sdram_inti_p1_act_n = 1'd1;
wire [31:0] main_sdram_inti_p1_wrdata;
wire main_sdram_inti_p1_wrdata_en;
wire [3:0] main_sdram_inti_p1_wrdata_mask;
wire main_sdram_inti_p1_rddata_en;
reg [31:0] main_sdram_inti_p1_rddata = 32'd0;
reg main_sdram_inti_p1_rddata_valid = 1'd0;
wire [13:0] main_sdram_inti_p2_address;
wire [2:0] main_sdram_inti_p2_bank;
reg main_sdram_inti_p2_cas_n = 1'd1;
reg main_sdram_inti_p2_cs_n = 1'd1;
reg main_sdram_inti_p2_ras_n = 1'd1;
reg main_sdram_inti_p2_we_n = 1'd1;
wire main_sdram_inti_p2_cke;
wire main_sdram_inti_p2_odt;
wire main_sdram_inti_p2_reset_n;
reg main_sdram_inti_p2_act_n = 1'd1;
wire [31:0] main_sdram_inti_p2_wrdata;
wire main_sdram_inti_p2_wrdata_en;
wire [3:0] main_sdram_inti_p2_wrdata_mask;
wire main_sdram_inti_p2_rddata_en;
reg [31:0] main_sdram_inti_p2_rddata = 32'd0;
reg main_sdram_inti_p2_rddata_valid = 1'd0;
wire [13:0] main_sdram_inti_p3_address;
wire [2:0] main_sdram_inti_p3_bank;
reg main_sdram_inti_p3_cas_n = 1'd1;
reg main_sdram_inti_p3_cs_n = 1'd1;
reg main_sdram_inti_p3_ras_n = 1'd1;
reg main_sdram_inti_p3_we_n = 1'd1;
wire main_sdram_inti_p3_cke;
wire main_sdram_inti_p3_odt;
wire main_sdram_inti_p3_reset_n;
reg main_sdram_inti_p3_act_n = 1'd1;
wire [31:0] main_sdram_inti_p3_wrdata;
wire main_sdram_inti_p3_wrdata_en;
wire [3:0] main_sdram_inti_p3_wrdata_mask;
wire main_sdram_inti_p3_rddata_en;
reg [31:0] main_sdram_inti_p3_rddata = 32'd0;
reg main_sdram_inti_p3_rddata_valid = 1'd0;
wire [13:0] main_sdram_slave_p0_address;
wire [2:0] main_sdram_slave_p0_bank;
wire main_sdram_slave_p0_cas_n;
wire main_sdram_slave_p0_cs_n;
wire main_sdram_slave_p0_ras_n;
wire main_sdram_slave_p0_we_n;
wire main_sdram_slave_p0_cke;
wire main_sdram_slave_p0_odt;
wire main_sdram_slave_p0_reset_n;
wire main_sdram_slave_p0_act_n;
wire [31:0] main_sdram_slave_p0_wrdata;
wire main_sdram_slave_p0_wrdata_en;
wire [3:0] main_sdram_slave_p0_wrdata_mask;
wire main_sdram_slave_p0_rddata_en;
reg [31:0] main_sdram_slave_p0_rddata = 32'd0;
reg main_sdram_slave_p0_rddata_valid = 1'd0;
wire [13:0] main_sdram_slave_p1_address;
wire [2:0] main_sdram_slave_p1_bank;
wire main_sdram_slave_p1_cas_n;
wire main_sdram_slave_p1_cs_n;
wire main_sdram_slave_p1_ras_n;
wire main_sdram_slave_p1_we_n;
wire main_sdram_slave_p1_cke;
wire main_sdram_slave_p1_odt;
wire main_sdram_slave_p1_reset_n;
wire main_sdram_slave_p1_act_n;
wire [31:0] main_sdram_slave_p1_wrdata;
wire main_sdram_slave_p1_wrdata_en;
wire [3:0] main_sdram_slave_p1_wrdata_mask;
wire main_sdram_slave_p1_rddata_en;
reg [31:0] main_sdram_slave_p1_rddata = 32'd0;
reg main_sdram_slave_p1_rddata_valid = 1'd0;
wire [13:0] main_sdram_slave_p2_address;
wire [2:0] main_sdram_slave_p2_bank;
wire main_sdram_slave_p2_cas_n;
wire main_sdram_slave_p2_cs_n;
wire main_sdram_slave_p2_ras_n;
wire main_sdram_slave_p2_we_n;
wire main_sdram_slave_p2_cke;
wire main_sdram_slave_p2_odt;
wire main_sdram_slave_p2_reset_n;
wire main_sdram_slave_p2_act_n;
wire [31:0] main_sdram_slave_p2_wrdata;
wire main_sdram_slave_p2_wrdata_en;
wire [3:0] main_sdram_slave_p2_wrdata_mask;
wire main_sdram_slave_p2_rddata_en;
reg [31:0] main_sdram_slave_p2_rddata = 32'd0;
reg main_sdram_slave_p2_rddata_valid = 1'd0;
wire [13:0] main_sdram_slave_p3_address;
wire [2:0] main_sdram_slave_p3_bank;
wire main_sdram_slave_p3_cas_n;
wire main_sdram_slave_p3_cs_n;
wire main_sdram_slave_p3_ras_n;
wire main_sdram_slave_p3_we_n;
wire main_sdram_slave_p3_cke;
wire main_sdram_slave_p3_odt;
wire main_sdram_slave_p3_reset_n;
wire main_sdram_slave_p3_act_n;
wire [31:0] main_sdram_slave_p3_wrdata;
wire main_sdram_slave_p3_wrdata_en;
wire [3:0] main_sdram_slave_p3_wrdata_mask;
wire main_sdram_slave_p3_rddata_en;
reg [31:0] main_sdram_slave_p3_rddata = 32'd0;
reg main_sdram_slave_p3_rddata_valid = 1'd0;
reg [13:0] main_sdram_master_p0_address = 14'd0;
reg [2:0] main_sdram_master_p0_bank = 3'd0;
reg main_sdram_master_p0_cas_n = 1'd1;
reg main_sdram_master_p0_cs_n = 1'd1;
reg main_sdram_master_p0_ras_n = 1'd1;
reg main_sdram_master_p0_we_n = 1'd1;
reg main_sdram_master_p0_cke = 1'd0;
reg main_sdram_master_p0_odt = 1'd0;
reg main_sdram_master_p0_reset_n = 1'd0;
reg main_sdram_master_p0_act_n = 1'd1;
reg [31:0] main_sdram_master_p0_wrdata = 32'd0;
reg main_sdram_master_p0_wrdata_en = 1'd0;
reg [3:0] main_sdram_master_p0_wrdata_mask = 4'd0;
reg main_sdram_master_p0_rddata_en = 1'd0;
wire [31:0] main_sdram_master_p0_rddata;
wire main_sdram_master_p0_rddata_valid;
reg [13:0] main_sdram_master_p1_address = 14'd0;
reg [2:0] main_sdram_master_p1_bank = 3'd0;
reg main_sdram_master_p1_cas_n = 1'd1;
reg main_sdram_master_p1_cs_n = 1'd1;
reg main_sdram_master_p1_ras_n = 1'd1;
reg main_sdram_master_p1_we_n = 1'd1;
reg main_sdram_master_p1_cke = 1'd0;
reg main_sdram_master_p1_odt = 1'd0;
reg main_sdram_master_p1_reset_n = 1'd0;
reg main_sdram_master_p1_act_n = 1'd1;
reg [31:0] main_sdram_master_p1_wrdata = 32'd0;
reg main_sdram_master_p1_wrdata_en = 1'd0;
reg [3:0] main_sdram_master_p1_wrdata_mask = 4'd0;
reg main_sdram_master_p1_rddata_en = 1'd0;
wire [31:0] main_sdram_master_p1_rddata;
wire main_sdram_master_p1_rddata_valid;
reg [13:0] main_sdram_master_p2_address = 14'd0;
reg [2:0] main_sdram_master_p2_bank = 3'd0;
reg main_sdram_master_p2_cas_n = 1'd1;
reg main_sdram_master_p2_cs_n = 1'd1;
reg main_sdram_master_p2_ras_n = 1'd1;
reg main_sdram_master_p2_we_n = 1'd1;
reg main_sdram_master_p2_cke = 1'd0;
reg main_sdram_master_p2_odt = 1'd0;
reg main_sdram_master_p2_reset_n = 1'd0;
reg main_sdram_master_p2_act_n = 1'd1;
reg [31:0] main_sdram_master_p2_wrdata = 32'd0;
reg main_sdram_master_p2_wrdata_en = 1'd0;
reg [3:0] main_sdram_master_p2_wrdata_mask = 4'd0;
reg main_sdram_master_p2_rddata_en = 1'd0;
wire [31:0] main_sdram_master_p2_rddata;
wire main_sdram_master_p2_rddata_valid;
reg [13:0] main_sdram_master_p3_address = 14'd0;
reg [2:0] main_sdram_master_p3_bank = 3'd0;
reg main_sdram_master_p3_cas_n = 1'd1;
reg main_sdram_master_p3_cs_n = 1'd1;
reg main_sdram_master_p3_ras_n = 1'd1;
reg main_sdram_master_p3_we_n = 1'd1;
reg main_sdram_master_p3_cke = 1'd0;
reg main_sdram_master_p3_odt = 1'd0;
reg main_sdram_master_p3_reset_n = 1'd0;
reg main_sdram_master_p3_act_n = 1'd1;
reg [31:0] main_sdram_master_p3_wrdata = 32'd0;
reg main_sdram_master_p3_wrdata_en = 1'd0;
reg [3:0] main_sdram_master_p3_wrdata_mask = 4'd0;
reg main_sdram_master_p3_rddata_en = 1'd0;
wire [31:0] main_sdram_master_p3_rddata;
wire main_sdram_master_p3_rddata_valid;
reg [3:0] main_sdram_storage = 4'd0;
reg main_sdram_re = 1'd0;
reg [5:0] main_sdram_phaseinjector0_command_storage = 6'd0;
reg main_sdram_phaseinjector0_command_re = 1'd0;
wire main_sdram_phaseinjector0_command_issue_re;
wire main_sdram_phaseinjector0_command_issue_r;
wire main_sdram_phaseinjector0_command_issue_we;
reg main_sdram_phaseinjector0_command_issue_w = 1'd0;
reg [13:0] main_sdram_phaseinjector0_address_storage = 14'd0;
reg main_sdram_phaseinjector0_address_re = 1'd0;
reg [2:0] main_sdram_phaseinjector0_baddress_storage = 3'd0;
reg main_sdram_phaseinjector0_baddress_re = 1'd0;
reg [31:0] main_sdram_phaseinjector0_wrdata_storage = 32'd0;
reg main_sdram_phaseinjector0_wrdata_re = 1'd0;
reg [31:0] main_sdram_phaseinjector0_status = 32'd0;
wire main_sdram_phaseinjector0_we;
reg [5:0] main_sdram_phaseinjector1_command_storage = 6'd0;
reg main_sdram_phaseinjector1_command_re = 1'd0;
wire main_sdram_phaseinjector1_command_issue_re;
wire main_sdram_phaseinjector1_command_issue_r;
wire main_sdram_phaseinjector1_command_issue_we;
reg main_sdram_phaseinjector1_command_issue_w = 1'd0;
reg [13:0] main_sdram_phaseinjector1_address_storage = 14'd0;
reg main_sdram_phaseinjector1_address_re = 1'd0;
reg [2:0] main_sdram_phaseinjector1_baddress_storage = 3'd0;
reg main_sdram_phaseinjector1_baddress_re = 1'd0;
reg [31:0] main_sdram_phaseinjector1_wrdata_storage = 32'd0;
reg main_sdram_phaseinjector1_wrdata_re = 1'd0;
reg [31:0] main_sdram_phaseinjector1_status = 32'd0;
wire main_sdram_phaseinjector1_we;
reg [5:0] main_sdram_phaseinjector2_command_storage = 6'd0;
reg main_sdram_phaseinjector2_command_re = 1'd0;
wire main_sdram_phaseinjector2_command_issue_re;
wire main_sdram_phaseinjector2_command_issue_r;
wire main_sdram_phaseinjector2_command_issue_we;
reg main_sdram_phaseinjector2_command_issue_w = 1'd0;
reg [13:0] main_sdram_phaseinjector2_address_storage = 14'd0;
reg main_sdram_phaseinjector2_address_re = 1'd0;
reg [2:0] main_sdram_phaseinjector2_baddress_storage = 3'd0;
reg main_sdram_phaseinjector2_baddress_re = 1'd0;
reg [31:0] main_sdram_phaseinjector2_wrdata_storage = 32'd0;
reg main_sdram_phaseinjector2_wrdata_re = 1'd0;
reg [31:0] main_sdram_phaseinjector2_status = 32'd0;
wire main_sdram_phaseinjector2_we;
reg [5:0] main_sdram_phaseinjector3_command_storage = 6'd0;
reg main_sdram_phaseinjector3_command_re = 1'd0;
wire main_sdram_phaseinjector3_command_issue_re;
wire main_sdram_phaseinjector3_command_issue_r;
wire main_sdram_phaseinjector3_command_issue_we;
reg main_sdram_phaseinjector3_command_issue_w = 1'd0;
reg [13:0] main_sdram_phaseinjector3_address_storage = 14'd0;
reg main_sdram_phaseinjector3_address_re = 1'd0;
reg [2:0] main_sdram_phaseinjector3_baddress_storage = 3'd0;
reg main_sdram_phaseinjector3_baddress_re = 1'd0;
reg [31:0] main_sdram_phaseinjector3_wrdata_storage = 32'd0;
reg main_sdram_phaseinjector3_wrdata_re = 1'd0;
reg [31:0] main_sdram_phaseinjector3_status = 32'd0;
wire main_sdram_phaseinjector3_we;
wire main_sdram_interface_bank0_valid;
wire main_sdram_interface_bank0_ready;
wire main_sdram_interface_bank0_we;
wire [20:0] main_sdram_interface_bank0_addr;
wire main_sdram_interface_bank0_lock;
wire main_sdram_interface_bank0_wdata_ready;
wire main_sdram_interface_bank0_rdata_valid;
wire main_sdram_interface_bank1_valid;
wire main_sdram_interface_bank1_ready;
wire main_sdram_interface_bank1_we;
wire [20:0] main_sdram_interface_bank1_addr;
wire main_sdram_interface_bank1_lock;
wire main_sdram_interface_bank1_wdata_ready;
wire main_sdram_interface_bank1_rdata_valid;
wire main_sdram_interface_bank2_valid;
wire main_sdram_interface_bank2_ready;
wire main_sdram_interface_bank2_we;
wire [20:0] main_sdram_interface_bank2_addr;
wire main_sdram_interface_bank2_lock;
wire main_sdram_interface_bank2_wdata_ready;
wire main_sdram_interface_bank2_rdata_valid;
wire main_sdram_interface_bank3_valid;
wire main_sdram_interface_bank3_ready;
wire main_sdram_interface_bank3_we;
wire [20:0] main_sdram_interface_bank3_addr;
wire main_sdram_interface_bank3_lock;
wire main_sdram_interface_bank3_wdata_ready;
wire main_sdram_interface_bank3_rdata_valid;
wire main_sdram_interface_bank4_valid;
wire main_sdram_interface_bank4_ready;
wire main_sdram_interface_bank4_we;
wire [20:0] main_sdram_interface_bank4_addr;
wire main_sdram_interface_bank4_lock;
wire main_sdram_interface_bank4_wdata_ready;
wire main_sdram_interface_bank4_rdata_valid;
wire main_sdram_interface_bank5_valid;
wire main_sdram_interface_bank5_ready;
wire main_sdram_interface_bank5_we;
wire [20:0] main_sdram_interface_bank5_addr;
wire main_sdram_interface_bank5_lock;
wire main_sdram_interface_bank5_wdata_ready;
wire main_sdram_interface_bank5_rdata_valid;
wire main_sdram_interface_bank6_valid;
wire main_sdram_interface_bank6_ready;
wire main_sdram_interface_bank6_we;
wire [20:0] main_sdram_interface_bank6_addr;
wire main_sdram_interface_bank6_lock;
wire main_sdram_interface_bank6_wdata_ready;
wire main_sdram_interface_bank6_rdata_valid;
wire main_sdram_interface_bank7_valid;
wire main_sdram_interface_bank7_ready;
wire main_sdram_interface_bank7_we;
wire [20:0] main_sdram_interface_bank7_addr;
wire main_sdram_interface_bank7_lock;
wire main_sdram_interface_bank7_wdata_ready;
wire main_sdram_interface_bank7_rdata_valid;
reg [127:0] main_sdram_interface_wdata = 128'd0;
reg [15:0] main_sdram_interface_wdata_we = 16'd0;
wire [127:0] main_sdram_interface_rdata;
reg [13:0] main_sdram_dfi_p0_address = 14'd0;
reg [2:0] main_sdram_dfi_p0_bank = 3'd0;
reg main_sdram_dfi_p0_cas_n = 1'd1;
reg main_sdram_dfi_p0_cs_n = 1'd1;
reg main_sdram_dfi_p0_ras_n = 1'd1;
reg main_sdram_dfi_p0_we_n = 1'd1;
wire main_sdram_dfi_p0_cke;
wire main_sdram_dfi_p0_odt;
wire main_sdram_dfi_p0_reset_n;
reg main_sdram_dfi_p0_act_n = 1'd1;
wire [31:0] main_sdram_dfi_p0_wrdata;
reg main_sdram_dfi_p0_wrdata_en = 1'd0;
wire [3:0] main_sdram_dfi_p0_wrdata_mask;
reg main_sdram_dfi_p0_rddata_en = 1'd0;
wire [31:0] main_sdram_dfi_p0_rddata;
wire main_sdram_dfi_p0_rddata_valid;
reg [13:0] main_sdram_dfi_p1_address = 14'd0;
reg [2:0] main_sdram_dfi_p1_bank = 3'd0;
reg main_sdram_dfi_p1_cas_n = 1'd1;
reg main_sdram_dfi_p1_cs_n = 1'd1;
reg main_sdram_dfi_p1_ras_n = 1'd1;
reg main_sdram_dfi_p1_we_n = 1'd1;
wire main_sdram_dfi_p1_cke;
wire main_sdram_dfi_p1_odt;
wire main_sdram_dfi_p1_reset_n;
reg main_sdram_dfi_p1_act_n = 1'd1;
wire [31:0] main_sdram_dfi_p1_wrdata;
reg main_sdram_dfi_p1_wrdata_en = 1'd0;
wire [3:0] main_sdram_dfi_p1_wrdata_mask;
reg main_sdram_dfi_p1_rddata_en = 1'd0;
wire [31:0] main_sdram_dfi_p1_rddata;
wire main_sdram_dfi_p1_rddata_valid;
reg [13:0] main_sdram_dfi_p2_address = 14'd0;
reg [2:0] main_sdram_dfi_p2_bank = 3'd0;
reg main_sdram_dfi_p2_cas_n = 1'd1;
reg main_sdram_dfi_p2_cs_n = 1'd1;
reg main_sdram_dfi_p2_ras_n = 1'd1;
reg main_sdram_dfi_p2_we_n = 1'd1;
wire main_sdram_dfi_p2_cke;
wire main_sdram_dfi_p2_odt;
wire main_sdram_dfi_p2_reset_n;
reg main_sdram_dfi_p2_act_n = 1'd1;
wire [31:0] main_sdram_dfi_p2_wrdata;
reg main_sdram_dfi_p2_wrdata_en = 1'd0;
wire [3:0] main_sdram_dfi_p2_wrdata_mask;
reg main_sdram_dfi_p2_rddata_en = 1'd0;
wire [31:0] main_sdram_dfi_p2_rddata;
wire main_sdram_dfi_p2_rddata_valid;
reg [13:0] main_sdram_dfi_p3_address = 14'd0;
reg [2:0] main_sdram_dfi_p3_bank = 3'd0;
reg main_sdram_dfi_p3_cas_n = 1'd1;
reg main_sdram_dfi_p3_cs_n = 1'd1;
reg main_sdram_dfi_p3_ras_n = 1'd1;
reg main_sdram_dfi_p3_we_n = 1'd1;
wire main_sdram_dfi_p3_cke;
wire main_sdram_dfi_p3_odt;
wire main_sdram_dfi_p3_reset_n;
reg main_sdram_dfi_p3_act_n = 1'd1;
wire [31:0] main_sdram_dfi_p3_wrdata;
reg main_sdram_dfi_p3_wrdata_en = 1'd0;
wire [3:0] main_sdram_dfi_p3_wrdata_mask;
reg main_sdram_dfi_p3_rddata_en = 1'd0;
wire [31:0] main_sdram_dfi_p3_rddata;
wire main_sdram_dfi_p3_rddata_valid;
reg main_sdram_cmd_valid = 1'd0;
reg main_sdram_cmd_ready = 1'd0;
reg main_sdram_cmd_last = 1'd0;
reg [13:0] main_sdram_cmd_payload_a = 14'd0;
reg [2:0] main_sdram_cmd_payload_ba = 3'd0;
reg main_sdram_cmd_payload_cas = 1'd0;
reg main_sdram_cmd_payload_ras = 1'd0;
reg main_sdram_cmd_payload_we = 1'd0;
reg main_sdram_cmd_payload_is_read = 1'd0;
reg main_sdram_cmd_payload_is_write = 1'd0;
wire main_sdram_wants_refresh;
wire main_sdram_wants_zqcs;
wire main_sdram_timer_wait;
wire main_sdram_timer_done0;
wire [8:0] main_sdram_timer_count0;
wire main_sdram_timer_done1;
reg [8:0] main_sdram_timer_count1 = 9'd390;
wire main_sdram_postponer_req_i;
reg main_sdram_postponer_req_o = 1'd0;
reg main_sdram_postponer_count = 1'd0;
reg main_sdram_sequencer_start0 = 1'd0;
wire main_sdram_sequencer_done0;
wire main_sdram_sequencer_start1;
reg main_sdram_sequencer_done1 = 1'd0;
reg [5:0] main_sdram_sequencer_counter = 6'd0;
reg main_sdram_sequencer_count = 1'd0;
wire main_sdram_zqcs_timer_wait;
wire main_sdram_zqcs_timer_done0;
wire [25:0] main_sdram_zqcs_timer_count0;
wire main_sdram_zqcs_timer_done1;
reg [25:0] main_sdram_zqcs_timer_count1 = 26'd49999999;
reg main_sdram_zqcs_executer_start = 1'd0;
reg main_sdram_zqcs_executer_done = 1'd0;
reg [4:0] main_sdram_zqcs_executer_counter = 5'd0;
wire main_sdram_bankmachine0_req_valid;
wire main_sdram_bankmachine0_req_ready;
wire main_sdram_bankmachine0_req_we;
wire [20:0] main_sdram_bankmachine0_req_addr;
wire main_sdram_bankmachine0_req_lock;
reg main_sdram_bankmachine0_req_wdata_ready = 1'd0;
reg main_sdram_bankmachine0_req_rdata_valid = 1'd0;
wire main_sdram_bankmachine0_refresh_req;
reg main_sdram_bankmachine0_refresh_gnt = 1'd0;
reg main_sdram_bankmachine0_cmd_valid = 1'd0;
reg main_sdram_bankmachine0_cmd_ready = 1'd0;
reg [13:0] main_sdram_bankmachine0_cmd_payload_a = 14'd0;
wire [2:0] main_sdram_bankmachine0_cmd_payload_ba;
reg main_sdram_bankmachine0_cmd_payload_cas = 1'd0;
reg main_sdram_bankmachine0_cmd_payload_ras = 1'd0;
reg main_sdram_bankmachine0_cmd_payload_we = 1'd0;
reg main_sdram_bankmachine0_cmd_payload_is_cmd = 1'd0;
reg main_sdram_bankmachine0_cmd_payload_is_read = 1'd0;
reg main_sdram_bankmachine0_cmd_payload_is_write = 1'd0;
reg main_sdram_bankmachine0_auto_precharge = 1'd0;
wire main_sdram_bankmachine0_cmd_buffer_lookahead_sink_valid;
wire main_sdram_bankmachine0_cmd_buffer_lookahead_sink_ready;
reg main_sdram_bankmachine0_cmd_buffer_lookahead_sink_first = 1'd0;
reg main_sdram_bankmachine0_cmd_buffer_lookahead_sink_last = 1'd0;
wire main_sdram_bankmachine0_cmd_buffer_lookahead_sink_payload_we;
wire [20:0] main_sdram_bankmachine0_cmd_buffer_lookahead_sink_payload_addr;
wire main_sdram_bankmachine0_cmd_buffer_lookahead_source_valid;
wire main_sdram_bankmachine0_cmd_buffer_lookahead_source_ready;
wire main_sdram_bankmachine0_cmd_buffer_lookahead_source_first;
wire main_sdram_bankmachine0_cmd_buffer_lookahead_source_last;
wire main_sdram_bankmachine0_cmd_buffer_lookahead_source_payload_we;
wire [20:0] main_sdram_bankmachine0_cmd_buffer_lookahead_source_payload_addr;
wire main_sdram_bankmachine0_cmd_buffer_lookahead_syncfifo0_we;
wire main_sdram_bankmachine0_cmd_buffer_lookahead_syncfifo0_writable;
wire main_sdram_bankmachine0_cmd_buffer_lookahead_syncfifo0_re;
wire main_sdram_bankmachine0_cmd_buffer_lookahead_syncfifo0_readable;
wire [23:0] main_sdram_bankmachine0_cmd_buffer_lookahead_syncfifo0_din;
wire [23:0] main_sdram_bankmachine0_cmd_buffer_lookahead_syncfifo0_dout;
reg [3:0] main_sdram_bankmachine0_cmd_buffer_lookahead_level = 4'd0;
reg main_sdram_bankmachine0_cmd_buffer_lookahead_replace = 1'd0;
reg [2:0] main_sdram_bankmachine0_cmd_buffer_lookahead_produce = 3'd0;
reg [2:0] main_sdram_bankmachine0_cmd_buffer_lookahead_consume = 3'd0;
reg [2:0] main_sdram_bankmachine0_cmd_buffer_lookahead_wrport_adr = 3'd0;
wire [23:0] main_sdram_bankmachine0_cmd_buffer_lookahead_wrport_dat_r;
wire main_sdram_bankmachine0_cmd_buffer_lookahead_wrport_we;
wire [23:0] main_sdram_bankmachine0_cmd_buffer_lookahead_wrport_dat_w;
wire main_sdram_bankmachine0_cmd_buffer_lookahead_do_read;
wire [2:0] main_sdram_bankmachine0_cmd_buffer_lookahead_rdport_adr;
wire [23:0] main_sdram_bankmachine0_cmd_buffer_lookahead_rdport_dat_r;
wire main_sdram_bankmachine0_cmd_buffer_lookahead_fifo_in_payload_we;
wire [20:0] main_sdram_bankmachine0_cmd_buffer_lookahead_fifo_in_payload_addr;
wire main_sdram_bankmachine0_cmd_buffer_lookahead_fifo_in_first;
wire main_sdram_bankmachine0_cmd_buffer_lookahead_fifo_in_last;
wire main_sdram_bankmachine0_cmd_buffer_lookahead_fifo_out_payload_we;
wire [20:0] main_sdram_bankmachine0_cmd_buffer_lookahead_fifo_out_payload_addr;
wire main_sdram_bankmachine0_cmd_buffer_lookahead_fifo_out_first;
wire main_sdram_bankmachine0_cmd_buffer_lookahead_fifo_out_last;
wire main_sdram_bankmachine0_cmd_buffer_sink_valid;
wire main_sdram_bankmachine0_cmd_buffer_sink_ready;
wire main_sdram_bankmachine0_cmd_buffer_sink_first;
wire main_sdram_bankmachine0_cmd_buffer_sink_last;
wire main_sdram_bankmachine0_cmd_buffer_sink_payload_we;
wire [20:0] main_sdram_bankmachine0_cmd_buffer_sink_payload_addr;
reg main_sdram_bankmachine0_cmd_buffer_source_valid = 1'd0;
wire main_sdram_bankmachine0_cmd_buffer_source_ready;
reg main_sdram_bankmachine0_cmd_buffer_source_first = 1'd0;
reg main_sdram_bankmachine0_cmd_buffer_source_last = 1'd0;
reg main_sdram_bankmachine0_cmd_buffer_source_payload_we = 1'd0;
reg [20:0] main_sdram_bankmachine0_cmd_buffer_source_payload_addr = 21'd0;
reg [13:0] main_sdram_bankmachine0_row = 14'd0;
reg main_sdram_bankmachine0_row_opened = 1'd0;
wire main_sdram_bankmachine0_row_hit;
reg main_sdram_bankmachine0_row_open = 1'd0;
reg main_sdram_bankmachine0_row_close = 1'd0;
reg main_sdram_bankmachine0_row_col_n_addr_sel = 1'd0;
wire main_sdram_bankmachine0_twtpcon_valid;
(* dont_touch = "true" *) reg main_sdram_bankmachine0_twtpcon_ready = 1'd1;
reg [2:0] main_sdram_bankmachine0_twtpcon_count = 3'd0;
wire main_sdram_bankmachine0_trccon_valid;
(* dont_touch = "true" *) reg main_sdram_bankmachine0_trccon_ready = 1'd1;
reg [1:0] main_sdram_bankmachine0_trccon_count = 2'd0;
wire main_sdram_bankmachine0_trascon_valid;
(* dont_touch = "true" *) reg main_sdram_bankmachine0_trascon_ready = 1'd1;
reg [1:0] main_sdram_bankmachine0_trascon_count = 2'd0;
wire main_sdram_bankmachine1_req_valid;
wire main_sdram_bankmachine1_req_ready;
wire main_sdram_bankmachine1_req_we;
wire [20:0] main_sdram_bankmachine1_req_addr;
wire main_sdram_bankmachine1_req_lock;
reg main_sdram_bankmachine1_req_wdata_ready = 1'd0;
reg main_sdram_bankmachine1_req_rdata_valid = 1'd0;
wire main_sdram_bankmachine1_refresh_req;
reg main_sdram_bankmachine1_refresh_gnt = 1'd0;
reg main_sdram_bankmachine1_cmd_valid = 1'd0;
reg main_sdram_bankmachine1_cmd_ready = 1'd0;
reg [13:0] main_sdram_bankmachine1_cmd_payload_a = 14'd0;
wire [2:0] main_sdram_bankmachine1_cmd_payload_ba;
reg main_sdram_bankmachine1_cmd_payload_cas = 1'd0;
reg main_sdram_bankmachine1_cmd_payload_ras = 1'd0;
reg main_sdram_bankmachine1_cmd_payload_we = 1'd0;
reg main_sdram_bankmachine1_cmd_payload_is_cmd = 1'd0;
reg main_sdram_bankmachine1_cmd_payload_is_read = 1'd0;
reg main_sdram_bankmachine1_cmd_payload_is_write = 1'd0;
reg main_sdram_bankmachine1_auto_precharge = 1'd0;
wire main_sdram_bankmachine1_cmd_buffer_lookahead_sink_valid;
wire main_sdram_bankmachine1_cmd_buffer_lookahead_sink_ready;
reg main_sdram_bankmachine1_cmd_buffer_lookahead_sink_first = 1'd0;
reg main_sdram_bankmachine1_cmd_buffer_lookahead_sink_last = 1'd0;
wire main_sdram_bankmachine1_cmd_buffer_lookahead_sink_payload_we;
wire [20:0] main_sdram_bankmachine1_cmd_buffer_lookahead_sink_payload_addr;
wire main_sdram_bankmachine1_cmd_buffer_lookahead_source_valid;
wire main_sdram_bankmachine1_cmd_buffer_lookahead_source_ready;
wire main_sdram_bankmachine1_cmd_buffer_lookahead_source_first;
wire main_sdram_bankmachine1_cmd_buffer_lookahead_source_last;
wire main_sdram_bankmachine1_cmd_buffer_lookahead_source_payload_we;
wire [20:0] main_sdram_bankmachine1_cmd_buffer_lookahead_source_payload_addr;
wire main_sdram_bankmachine1_cmd_buffer_lookahead_syncfifo1_we;
wire main_sdram_bankmachine1_cmd_buffer_lookahead_syncfifo1_writable;
wire main_sdram_bankmachine1_cmd_buffer_lookahead_syncfifo1_re;
wire main_sdram_bankmachine1_cmd_buffer_lookahead_syncfifo1_readable;
wire [23:0] main_sdram_bankmachine1_cmd_buffer_lookahead_syncfifo1_din;
wire [23:0] main_sdram_bankmachine1_cmd_buffer_lookahead_syncfifo1_dout;
reg [3:0] main_sdram_bankmachine1_cmd_buffer_lookahead_level = 4'd0;
reg main_sdram_bankmachine1_cmd_buffer_lookahead_replace = 1'd0;
reg [2:0] main_sdram_bankmachine1_cmd_buffer_lookahead_produce = 3'd0;
reg [2:0] main_sdram_bankmachine1_cmd_buffer_lookahead_consume = 3'd0;
reg [2:0] main_sdram_bankmachine1_cmd_buffer_lookahead_wrport_adr = 3'd0;
wire [23:0] main_sdram_bankmachine1_cmd_buffer_lookahead_wrport_dat_r;
wire main_sdram_bankmachine1_cmd_buffer_lookahead_wrport_we;
wire [23:0] main_sdram_bankmachine1_cmd_buffer_lookahead_wrport_dat_w;
wire main_sdram_bankmachine1_cmd_buffer_lookahead_do_read;
wire [2:0] main_sdram_bankmachine1_cmd_buffer_lookahead_rdport_adr;
wire [23:0] main_sdram_bankmachine1_cmd_buffer_lookahead_rdport_dat_r;
wire main_sdram_bankmachine1_cmd_buffer_lookahead_fifo_in_payload_we;
wire [20:0] main_sdram_bankmachine1_cmd_buffer_lookahead_fifo_in_payload_addr;
wire main_sdram_bankmachine1_cmd_buffer_lookahead_fifo_in_first;
wire main_sdram_bankmachine1_cmd_buffer_lookahead_fifo_in_last;
wire main_sdram_bankmachine1_cmd_buffer_lookahead_fifo_out_payload_we;
wire [20:0] main_sdram_bankmachine1_cmd_buffer_lookahead_fifo_out_payload_addr;
wire main_sdram_bankmachine1_cmd_buffer_lookahead_fifo_out_first;
wire main_sdram_bankmachine1_cmd_buffer_lookahead_fifo_out_last;
wire main_sdram_bankmachine1_cmd_buffer_sink_valid;
wire main_sdram_bankmachine1_cmd_buffer_sink_ready;
wire main_sdram_bankmachine1_cmd_buffer_sink_first;
wire main_sdram_bankmachine1_cmd_buffer_sink_last;
wire main_sdram_bankmachine1_cmd_buffer_sink_payload_we;
wire [20:0] main_sdram_bankmachine1_cmd_buffer_sink_payload_addr;
reg main_sdram_bankmachine1_cmd_buffer_source_valid = 1'd0;
wire main_sdram_bankmachine1_cmd_buffer_source_ready;
reg main_sdram_bankmachine1_cmd_buffer_source_first = 1'd0;
reg main_sdram_bankmachine1_cmd_buffer_source_last = 1'd0;
reg main_sdram_bankmachine1_cmd_buffer_source_payload_we = 1'd0;
reg [20:0] main_sdram_bankmachine1_cmd_buffer_source_payload_addr = 21'd0;
reg [13:0] main_sdram_bankmachine1_row = 14'd0;
reg main_sdram_bankmachine1_row_opened = 1'd0;
wire main_sdram_bankmachine1_row_hit;
reg main_sdram_bankmachine1_row_open = 1'd0;
reg main_sdram_bankmachine1_row_close = 1'd0;
reg main_sdram_bankmachine1_row_col_n_addr_sel = 1'd0;
wire main_sdram_bankmachine1_twtpcon_valid;
(* dont_touch = "true" *) reg main_sdram_bankmachine1_twtpcon_ready = 1'd1;
reg [2:0] main_sdram_bankmachine1_twtpcon_count = 3'd0;
wire main_sdram_bankmachine1_trccon_valid;
(* dont_touch = "true" *) reg main_sdram_bankmachine1_trccon_ready = 1'd1;
reg [1:0] main_sdram_bankmachine1_trccon_count = 2'd0;
wire main_sdram_bankmachine1_trascon_valid;
(* dont_touch = "true" *) reg main_sdram_bankmachine1_trascon_ready = 1'd1;
reg [1:0] main_sdram_bankmachine1_trascon_count = 2'd0;
wire main_sdram_bankmachine2_req_valid;
wire main_sdram_bankmachine2_req_ready;
wire main_sdram_bankmachine2_req_we;
wire [20:0] main_sdram_bankmachine2_req_addr;
wire main_sdram_bankmachine2_req_lock;
reg main_sdram_bankmachine2_req_wdata_ready = 1'd0;
reg main_sdram_bankmachine2_req_rdata_valid = 1'd0;
wire main_sdram_bankmachine2_refresh_req;
reg main_sdram_bankmachine2_refresh_gnt = 1'd0;
reg main_sdram_bankmachine2_cmd_valid = 1'd0;
reg main_sdram_bankmachine2_cmd_ready = 1'd0;
reg [13:0] main_sdram_bankmachine2_cmd_payload_a = 14'd0;
wire [2:0] main_sdram_bankmachine2_cmd_payload_ba;
reg main_sdram_bankmachine2_cmd_payload_cas = 1'd0;
reg main_sdram_bankmachine2_cmd_payload_ras = 1'd0;
reg main_sdram_bankmachine2_cmd_payload_we = 1'd0;
reg main_sdram_bankmachine2_cmd_payload_is_cmd = 1'd0;
reg main_sdram_bankmachine2_cmd_payload_is_read = 1'd0;
reg main_sdram_bankmachine2_cmd_payload_is_write = 1'd0;
reg main_sdram_bankmachine2_auto_precharge = 1'd0;
wire main_sdram_bankmachine2_cmd_buffer_lookahead_sink_valid;
wire main_sdram_bankmachine2_cmd_buffer_lookahead_sink_ready;
reg main_sdram_bankmachine2_cmd_buffer_lookahead_sink_first = 1'd0;
reg main_sdram_bankmachine2_cmd_buffer_lookahead_sink_last = 1'd0;
wire main_sdram_bankmachine2_cmd_buffer_lookahead_sink_payload_we;
wire [20:0] main_sdram_bankmachine2_cmd_buffer_lookahead_sink_payload_addr;
wire main_sdram_bankmachine2_cmd_buffer_lookahead_source_valid;
wire main_sdram_bankmachine2_cmd_buffer_lookahead_source_ready;
wire main_sdram_bankmachine2_cmd_buffer_lookahead_source_first;
wire main_sdram_bankmachine2_cmd_buffer_lookahead_source_last;
wire main_sdram_bankmachine2_cmd_buffer_lookahead_source_payload_we;
wire [20:0] main_sdram_bankmachine2_cmd_buffer_lookahead_source_payload_addr;
wire main_sdram_bankmachine2_cmd_buffer_lookahead_syncfifo2_we;
wire main_sdram_bankmachine2_cmd_buffer_lookahead_syncfifo2_writable;
wire main_sdram_bankmachine2_cmd_buffer_lookahead_syncfifo2_re;
wire main_sdram_bankmachine2_cmd_buffer_lookahead_syncfifo2_readable;
wire [23:0] main_sdram_bankmachine2_cmd_buffer_lookahead_syncfifo2_din;
wire [23:0] main_sdram_bankmachine2_cmd_buffer_lookahead_syncfifo2_dout;
reg [3:0] main_sdram_bankmachine2_cmd_buffer_lookahead_level = 4'd0;
reg main_sdram_bankmachine2_cmd_buffer_lookahead_replace = 1'd0;
reg [2:0] main_sdram_bankmachine2_cmd_buffer_lookahead_produce = 3'd0;
reg [2:0] main_sdram_bankmachine2_cmd_buffer_lookahead_consume = 3'd0;
reg [2:0] main_sdram_bankmachine2_cmd_buffer_lookahead_wrport_adr = 3'd0;
wire [23:0] main_sdram_bankmachine2_cmd_buffer_lookahead_wrport_dat_r;
wire main_sdram_bankmachine2_cmd_buffer_lookahead_wrport_we;
wire [23:0] main_sdram_bankmachine2_cmd_buffer_lookahead_wrport_dat_w;
wire main_sdram_bankmachine2_cmd_buffer_lookahead_do_read;
wire [2:0] main_sdram_bankmachine2_cmd_buffer_lookahead_rdport_adr;
wire [23:0] main_sdram_bankmachine2_cmd_buffer_lookahead_rdport_dat_r;
wire main_sdram_bankmachine2_cmd_buffer_lookahead_fifo_in_payload_we;
wire [20:0] main_sdram_bankmachine2_cmd_buffer_lookahead_fifo_in_payload_addr;
wire main_sdram_bankmachine2_cmd_buffer_lookahead_fifo_in_first;
wire main_sdram_bankmachine2_cmd_buffer_lookahead_fifo_in_last;
wire main_sdram_bankmachine2_cmd_buffer_lookahead_fifo_out_payload_we;
wire [20:0] main_sdram_bankmachine2_cmd_buffer_lookahead_fifo_out_payload_addr;
wire main_sdram_bankmachine2_cmd_buffer_lookahead_fifo_out_first;
wire main_sdram_bankmachine2_cmd_buffer_lookahead_fifo_out_last;
wire main_sdram_bankmachine2_cmd_buffer_sink_valid;
wire main_sdram_bankmachine2_cmd_buffer_sink_ready;
wire main_sdram_bankmachine2_cmd_buffer_sink_first;
wire main_sdram_bankmachine2_cmd_buffer_sink_last;
wire main_sdram_bankmachine2_cmd_buffer_sink_payload_we;
wire [20:0] main_sdram_bankmachine2_cmd_buffer_sink_payload_addr;
reg main_sdram_bankmachine2_cmd_buffer_source_valid = 1'd0;
wire main_sdram_bankmachine2_cmd_buffer_source_ready;
reg main_sdram_bankmachine2_cmd_buffer_source_first = 1'd0;
reg main_sdram_bankmachine2_cmd_buffer_source_last = 1'd0;
reg main_sdram_bankmachine2_cmd_buffer_source_payload_we = 1'd0;
reg [20:0] main_sdram_bankmachine2_cmd_buffer_source_payload_addr = 21'd0;
reg [13:0] main_sdram_bankmachine2_row = 14'd0;
reg main_sdram_bankmachine2_row_opened = 1'd0;
wire main_sdram_bankmachine2_row_hit;
reg main_sdram_bankmachine2_row_open = 1'd0;
reg main_sdram_bankmachine2_row_close = 1'd0;
reg main_sdram_bankmachine2_row_col_n_addr_sel = 1'd0;
wire main_sdram_bankmachine2_twtpcon_valid;
(* dont_touch = "true" *) reg main_sdram_bankmachine2_twtpcon_ready = 1'd1;
reg [2:0] main_sdram_bankmachine2_twtpcon_count = 3'd0;
wire main_sdram_bankmachine2_trccon_valid;
(* dont_touch = "true" *) reg main_sdram_bankmachine2_trccon_ready = 1'd1;
reg [1:0] main_sdram_bankmachine2_trccon_count = 2'd0;
wire main_sdram_bankmachine2_trascon_valid;
(* dont_touch = "true" *) reg main_sdram_bankmachine2_trascon_ready = 1'd1;
reg [1:0] main_sdram_bankmachine2_trascon_count = 2'd0;
wire main_sdram_bankmachine3_req_valid;
wire main_sdram_bankmachine3_req_ready;
wire main_sdram_bankmachine3_req_we;
wire [20:0] main_sdram_bankmachine3_req_addr;
wire main_sdram_bankmachine3_req_lock;
reg main_sdram_bankmachine3_req_wdata_ready = 1'd0;
reg main_sdram_bankmachine3_req_rdata_valid = 1'd0;
wire main_sdram_bankmachine3_refresh_req;
reg main_sdram_bankmachine3_refresh_gnt = 1'd0;
reg main_sdram_bankmachine3_cmd_valid = 1'd0;
reg main_sdram_bankmachine3_cmd_ready = 1'd0;
reg [13:0] main_sdram_bankmachine3_cmd_payload_a = 14'd0;
wire [2:0] main_sdram_bankmachine3_cmd_payload_ba;
reg main_sdram_bankmachine3_cmd_payload_cas = 1'd0;
reg main_sdram_bankmachine3_cmd_payload_ras = 1'd0;
reg main_sdram_bankmachine3_cmd_payload_we = 1'd0;
reg main_sdram_bankmachine3_cmd_payload_is_cmd = 1'd0;
reg main_sdram_bankmachine3_cmd_payload_is_read = 1'd0;
reg main_sdram_bankmachine3_cmd_payload_is_write = 1'd0;
reg main_sdram_bankmachine3_auto_precharge = 1'd0;
wire main_sdram_bankmachine3_cmd_buffer_lookahead_sink_valid;
wire main_sdram_bankmachine3_cmd_buffer_lookahead_sink_ready;
reg main_sdram_bankmachine3_cmd_buffer_lookahead_sink_first = 1'd0;
reg main_sdram_bankmachine3_cmd_buffer_lookahead_sink_last = 1'd0;
wire main_sdram_bankmachine3_cmd_buffer_lookahead_sink_payload_we;
wire [20:0] main_sdram_bankmachine3_cmd_buffer_lookahead_sink_payload_addr;
wire main_sdram_bankmachine3_cmd_buffer_lookahead_source_valid;
wire main_sdram_bankmachine3_cmd_buffer_lookahead_source_ready;
wire main_sdram_bankmachine3_cmd_buffer_lookahead_source_first;
wire main_sdram_bankmachine3_cmd_buffer_lookahead_source_last;
wire main_sdram_bankmachine3_cmd_buffer_lookahead_source_payload_we;
wire [20:0] main_sdram_bankmachine3_cmd_buffer_lookahead_source_payload_addr;
wire main_sdram_bankmachine3_cmd_buffer_lookahead_syncfifo3_we;
wire main_sdram_bankmachine3_cmd_buffer_lookahead_syncfifo3_writable;
wire main_sdram_bankmachine3_cmd_buffer_lookahead_syncfifo3_re;
wire main_sdram_bankmachine3_cmd_buffer_lookahead_syncfifo3_readable;
wire [23:0] main_sdram_bankmachine3_cmd_buffer_lookahead_syncfifo3_din;
wire [23:0] main_sdram_bankmachine3_cmd_buffer_lookahead_syncfifo3_dout;
reg [3:0] main_sdram_bankmachine3_cmd_buffer_lookahead_level = 4'd0;
reg main_sdram_bankmachine3_cmd_buffer_lookahead_replace = 1'd0;
reg [2:0] main_sdram_bankmachine3_cmd_buffer_lookahead_produce = 3'd0;
reg [2:0] main_sdram_bankmachine3_cmd_buffer_lookahead_consume = 3'd0;
reg [2:0] main_sdram_bankmachine3_cmd_buffer_lookahead_wrport_adr = 3'd0;
wire [23:0] main_sdram_bankmachine3_cmd_buffer_lookahead_wrport_dat_r;
wire main_sdram_bankmachine3_cmd_buffer_lookahead_wrport_we;
wire [23:0] main_sdram_bankmachine3_cmd_buffer_lookahead_wrport_dat_w;
wire main_sdram_bankmachine3_cmd_buffer_lookahead_do_read;
wire [2:0] main_sdram_bankmachine3_cmd_buffer_lookahead_rdport_adr;
wire [23:0] main_sdram_bankmachine3_cmd_buffer_lookahead_rdport_dat_r;
wire main_sdram_bankmachine3_cmd_buffer_lookahead_fifo_in_payload_we;
wire [20:0] main_sdram_bankmachine3_cmd_buffer_lookahead_fifo_in_payload_addr;
wire main_sdram_bankmachine3_cmd_buffer_lookahead_fifo_in_first;
wire main_sdram_bankmachine3_cmd_buffer_lookahead_fifo_in_last;
wire main_sdram_bankmachine3_cmd_buffer_lookahead_fifo_out_payload_we;
wire [20:0] main_sdram_bankmachine3_cmd_buffer_lookahead_fifo_out_payload_addr;
wire main_sdram_bankmachine3_cmd_buffer_lookahead_fifo_out_first;
wire main_sdram_bankmachine3_cmd_buffer_lookahead_fifo_out_last;
wire main_sdram_bankmachine3_cmd_buffer_sink_valid;
wire main_sdram_bankmachine3_cmd_buffer_sink_ready;
wire main_sdram_bankmachine3_cmd_buffer_sink_first;
wire main_sdram_bankmachine3_cmd_buffer_sink_last;
wire main_sdram_bankmachine3_cmd_buffer_sink_payload_we;
wire [20:0] main_sdram_bankmachine3_cmd_buffer_sink_payload_addr;
reg main_sdram_bankmachine3_cmd_buffer_source_valid = 1'd0;
wire main_sdram_bankmachine3_cmd_buffer_source_ready;
reg main_sdram_bankmachine3_cmd_buffer_source_first = 1'd0;
reg main_sdram_bankmachine3_cmd_buffer_source_last = 1'd0;
reg main_sdram_bankmachine3_cmd_buffer_source_payload_we = 1'd0;
reg [20:0] main_sdram_bankmachine3_cmd_buffer_source_payload_addr = 21'd0;
reg [13:0] main_sdram_bankmachine3_row = 14'd0;
reg main_sdram_bankmachine3_row_opened = 1'd0;
wire main_sdram_bankmachine3_row_hit;
reg main_sdram_bankmachine3_row_open = 1'd0;
reg main_sdram_bankmachine3_row_close = 1'd0;
reg main_sdram_bankmachine3_row_col_n_addr_sel = 1'd0;
wire main_sdram_bankmachine3_twtpcon_valid;
(* dont_touch = "true" *) reg main_sdram_bankmachine3_twtpcon_ready = 1'd1;
reg [2:0] main_sdram_bankmachine3_twtpcon_count = 3'd0;
wire main_sdram_bankmachine3_trccon_valid;
(* dont_touch = "true" *) reg main_sdram_bankmachine3_trccon_ready = 1'd1;
reg [1:0] main_sdram_bankmachine3_trccon_count = 2'd0;
wire main_sdram_bankmachine3_trascon_valid;
(* dont_touch = "true" *) reg main_sdram_bankmachine3_trascon_ready = 1'd1;
reg [1:0] main_sdram_bankmachine3_trascon_count = 2'd0;
wire main_sdram_bankmachine4_req_valid;
wire main_sdram_bankmachine4_req_ready;
wire main_sdram_bankmachine4_req_we;
wire [20:0] main_sdram_bankmachine4_req_addr;
wire main_sdram_bankmachine4_req_lock;
reg main_sdram_bankmachine4_req_wdata_ready = 1'd0;
reg main_sdram_bankmachine4_req_rdata_valid = 1'd0;
wire main_sdram_bankmachine4_refresh_req;
reg main_sdram_bankmachine4_refresh_gnt = 1'd0;
reg main_sdram_bankmachine4_cmd_valid = 1'd0;
reg main_sdram_bankmachine4_cmd_ready = 1'd0;
reg [13:0] main_sdram_bankmachine4_cmd_payload_a = 14'd0;
wire [2:0] main_sdram_bankmachine4_cmd_payload_ba;
reg main_sdram_bankmachine4_cmd_payload_cas = 1'd0;
reg main_sdram_bankmachine4_cmd_payload_ras = 1'd0;
reg main_sdram_bankmachine4_cmd_payload_we = 1'd0;
reg main_sdram_bankmachine4_cmd_payload_is_cmd = 1'd0;
reg main_sdram_bankmachine4_cmd_payload_is_read = 1'd0;
reg main_sdram_bankmachine4_cmd_payload_is_write = 1'd0;
reg main_sdram_bankmachine4_auto_precharge = 1'd0;
wire main_sdram_bankmachine4_cmd_buffer_lookahead_sink_valid;
wire main_sdram_bankmachine4_cmd_buffer_lookahead_sink_ready;
reg main_sdram_bankmachine4_cmd_buffer_lookahead_sink_first = 1'd0;
reg main_sdram_bankmachine4_cmd_buffer_lookahead_sink_last = 1'd0;
wire main_sdram_bankmachine4_cmd_buffer_lookahead_sink_payload_we;
wire [20:0] main_sdram_bankmachine4_cmd_buffer_lookahead_sink_payload_addr;
wire main_sdram_bankmachine4_cmd_buffer_lookahead_source_valid;
wire main_sdram_bankmachine4_cmd_buffer_lookahead_source_ready;
wire main_sdram_bankmachine4_cmd_buffer_lookahead_source_first;
wire main_sdram_bankmachine4_cmd_buffer_lookahead_source_last;
wire main_sdram_bankmachine4_cmd_buffer_lookahead_source_payload_we;
wire [20:0] main_sdram_bankmachine4_cmd_buffer_lookahead_source_payload_addr;
wire main_sdram_bankmachine4_cmd_buffer_lookahead_syncfifo4_we;
wire main_sdram_bankmachine4_cmd_buffer_lookahead_syncfifo4_writable;
wire main_sdram_bankmachine4_cmd_buffer_lookahead_syncfifo4_re;
wire main_sdram_bankmachine4_cmd_buffer_lookahead_syncfifo4_readable;
wire [23:0] main_sdram_bankmachine4_cmd_buffer_lookahead_syncfifo4_din;
wire [23:0] main_sdram_bankmachine4_cmd_buffer_lookahead_syncfifo4_dout;
reg [3:0] main_sdram_bankmachine4_cmd_buffer_lookahead_level = 4'd0;
reg main_sdram_bankmachine4_cmd_buffer_lookahead_replace = 1'd0;
reg [2:0] main_sdram_bankmachine4_cmd_buffer_lookahead_produce = 3'd0;
reg [2:0] main_sdram_bankmachine4_cmd_buffer_lookahead_consume = 3'd0;
reg [2:0] main_sdram_bankmachine4_cmd_buffer_lookahead_wrport_adr = 3'd0;
wire [23:0] main_sdram_bankmachine4_cmd_buffer_lookahead_wrport_dat_r;
wire main_sdram_bankmachine4_cmd_buffer_lookahead_wrport_we;
wire [23:0] main_sdram_bankmachine4_cmd_buffer_lookahead_wrport_dat_w;
wire main_sdram_bankmachine4_cmd_buffer_lookahead_do_read;
wire [2:0] main_sdram_bankmachine4_cmd_buffer_lookahead_rdport_adr;
wire [23:0] main_sdram_bankmachine4_cmd_buffer_lookahead_rdport_dat_r;
wire main_sdram_bankmachine4_cmd_buffer_lookahead_fifo_in_payload_we;
wire [20:0] main_sdram_bankmachine4_cmd_buffer_lookahead_fifo_in_payload_addr;
wire main_sdram_bankmachine4_cmd_buffer_lookahead_fifo_in_first;
wire main_sdram_bankmachine4_cmd_buffer_lookahead_fifo_in_last;
wire main_sdram_bankmachine4_cmd_buffer_lookahead_fifo_out_payload_we;
wire [20:0] main_sdram_bankmachine4_cmd_buffer_lookahead_fifo_out_payload_addr;
wire main_sdram_bankmachine4_cmd_buffer_lookahead_fifo_out_first;
wire main_sdram_bankmachine4_cmd_buffer_lookahead_fifo_out_last;
wire main_sdram_bankmachine4_cmd_buffer_sink_valid;
wire main_sdram_bankmachine4_cmd_buffer_sink_ready;
wire main_sdram_bankmachine4_cmd_buffer_sink_first;
wire main_sdram_bankmachine4_cmd_buffer_sink_last;
wire main_sdram_bankmachine4_cmd_buffer_sink_payload_we;
wire [20:0] main_sdram_bankmachine4_cmd_buffer_sink_payload_addr;
reg main_sdram_bankmachine4_cmd_buffer_source_valid = 1'd0;
wire main_sdram_bankmachine4_cmd_buffer_source_ready;
reg main_sdram_bankmachine4_cmd_buffer_source_first = 1'd0;
reg main_sdram_bankmachine4_cmd_buffer_source_last = 1'd0;
reg main_sdram_bankmachine4_cmd_buffer_source_payload_we = 1'd0;
reg [20:0] main_sdram_bankmachine4_cmd_buffer_source_payload_addr = 21'd0;
reg [13:0] main_sdram_bankmachine4_row = 14'd0;
reg main_sdram_bankmachine4_row_opened = 1'd0;
wire main_sdram_bankmachine4_row_hit;
reg main_sdram_bankmachine4_row_open = 1'd0;
reg main_sdram_bankmachine4_row_close = 1'd0;
reg main_sdram_bankmachine4_row_col_n_addr_sel = 1'd0;
wire main_sdram_bankmachine4_twtpcon_valid;
(* dont_touch = "true" *) reg main_sdram_bankmachine4_twtpcon_ready = 1'd1;
reg [2:0] main_sdram_bankmachine4_twtpcon_count = 3'd0;
wire main_sdram_bankmachine4_trccon_valid;
(* dont_touch = "true" *) reg main_sdram_bankmachine4_trccon_ready = 1'd1;
reg [1:0] main_sdram_bankmachine4_trccon_count = 2'd0;
wire main_sdram_bankmachine4_trascon_valid;
(* dont_touch = "true" *) reg main_sdram_bankmachine4_trascon_ready = 1'd1;
reg [1:0] main_sdram_bankmachine4_trascon_count = 2'd0;
wire main_sdram_bankmachine5_req_valid;
wire main_sdram_bankmachine5_req_ready;
wire main_sdram_bankmachine5_req_we;
wire [20:0] main_sdram_bankmachine5_req_addr;
wire main_sdram_bankmachine5_req_lock;
reg main_sdram_bankmachine5_req_wdata_ready = 1'd0;
reg main_sdram_bankmachine5_req_rdata_valid = 1'd0;
wire main_sdram_bankmachine5_refresh_req;
reg main_sdram_bankmachine5_refresh_gnt = 1'd0;
reg main_sdram_bankmachine5_cmd_valid = 1'd0;
reg main_sdram_bankmachine5_cmd_ready = 1'd0;
reg [13:0] main_sdram_bankmachine5_cmd_payload_a = 14'd0;
wire [2:0] main_sdram_bankmachine5_cmd_payload_ba;
reg main_sdram_bankmachine5_cmd_payload_cas = 1'd0;
reg main_sdram_bankmachine5_cmd_payload_ras = 1'd0;
reg main_sdram_bankmachine5_cmd_payload_we = 1'd0;
reg main_sdram_bankmachine5_cmd_payload_is_cmd = 1'd0;
reg main_sdram_bankmachine5_cmd_payload_is_read = 1'd0;
reg main_sdram_bankmachine5_cmd_payload_is_write = 1'd0;
reg main_sdram_bankmachine5_auto_precharge = 1'd0;
wire main_sdram_bankmachine5_cmd_buffer_lookahead_sink_valid;
wire main_sdram_bankmachine5_cmd_buffer_lookahead_sink_ready;
reg main_sdram_bankmachine5_cmd_buffer_lookahead_sink_first = 1'd0;
reg main_sdram_bankmachine5_cmd_buffer_lookahead_sink_last = 1'd0;
wire main_sdram_bankmachine5_cmd_buffer_lookahead_sink_payload_we;
wire [20:0] main_sdram_bankmachine5_cmd_buffer_lookahead_sink_payload_addr;
wire main_sdram_bankmachine5_cmd_buffer_lookahead_source_valid;
wire main_sdram_bankmachine5_cmd_buffer_lookahead_source_ready;
wire main_sdram_bankmachine5_cmd_buffer_lookahead_source_first;
wire main_sdram_bankmachine5_cmd_buffer_lookahead_source_last;
wire main_sdram_bankmachine5_cmd_buffer_lookahead_source_payload_we;
wire [20:0] main_sdram_bankmachine5_cmd_buffer_lookahead_source_payload_addr;
wire main_sdram_bankmachine5_cmd_buffer_lookahead_syncfifo5_we;
wire main_sdram_bankmachine5_cmd_buffer_lookahead_syncfifo5_writable;
wire main_sdram_bankmachine5_cmd_buffer_lookahead_syncfifo5_re;
wire main_sdram_bankmachine5_cmd_buffer_lookahead_syncfifo5_readable;
wire [23:0] main_sdram_bankmachine5_cmd_buffer_lookahead_syncfifo5_din;
wire [23:0] main_sdram_bankmachine5_cmd_buffer_lookahead_syncfifo5_dout;
reg [3:0] main_sdram_bankmachine5_cmd_buffer_lookahead_level = 4'd0;
reg main_sdram_bankmachine5_cmd_buffer_lookahead_replace = 1'd0;
reg [2:0] main_sdram_bankmachine5_cmd_buffer_lookahead_produce = 3'd0;
reg [2:0] main_sdram_bankmachine5_cmd_buffer_lookahead_consume = 3'd0;
reg [2:0] main_sdram_bankmachine5_cmd_buffer_lookahead_wrport_adr = 3'd0;
wire [23:0] main_sdram_bankmachine5_cmd_buffer_lookahead_wrport_dat_r;
wire main_sdram_bankmachine5_cmd_buffer_lookahead_wrport_we;
wire [23:0] main_sdram_bankmachine5_cmd_buffer_lookahead_wrport_dat_w;
wire main_sdram_bankmachine5_cmd_buffer_lookahead_do_read;
wire [2:0] main_sdram_bankmachine5_cmd_buffer_lookahead_rdport_adr;
wire [23:0] main_sdram_bankmachine5_cmd_buffer_lookahead_rdport_dat_r;
wire main_sdram_bankmachine5_cmd_buffer_lookahead_fifo_in_payload_we;
wire [20:0] main_sdram_bankmachine5_cmd_buffer_lookahead_fifo_in_payload_addr;
wire main_sdram_bankmachine5_cmd_buffer_lookahead_fifo_in_first;
wire main_sdram_bankmachine5_cmd_buffer_lookahead_fifo_in_last;
wire main_sdram_bankmachine5_cmd_buffer_lookahead_fifo_out_payload_we;
wire [20:0] main_sdram_bankmachine5_cmd_buffer_lookahead_fifo_out_payload_addr;
wire main_sdram_bankmachine5_cmd_buffer_lookahead_fifo_out_first;
wire main_sdram_bankmachine5_cmd_buffer_lookahead_fifo_out_last;
wire main_sdram_bankmachine5_cmd_buffer_sink_valid;
wire main_sdram_bankmachine5_cmd_buffer_sink_ready;
wire main_sdram_bankmachine5_cmd_buffer_sink_first;
wire main_sdram_bankmachine5_cmd_buffer_sink_last;
wire main_sdram_bankmachine5_cmd_buffer_sink_payload_we;
wire [20:0] main_sdram_bankmachine5_cmd_buffer_sink_payload_addr;
reg main_sdram_bankmachine5_cmd_buffer_source_valid = 1'd0;
wire main_sdram_bankmachine5_cmd_buffer_source_ready;
reg main_sdram_bankmachine5_cmd_buffer_source_first = 1'd0;
reg main_sdram_bankmachine5_cmd_buffer_source_last = 1'd0;
reg main_sdram_bankmachine5_cmd_buffer_source_payload_we = 1'd0;
reg [20:0] main_sdram_bankmachine5_cmd_buffer_source_payload_addr = 21'd0;
reg [13:0] main_sdram_bankmachine5_row = 14'd0;
reg main_sdram_bankmachine5_row_opened = 1'd0;
wire main_sdram_bankmachine5_row_hit;
reg main_sdram_bankmachine5_row_open = 1'd0;
reg main_sdram_bankmachine5_row_close = 1'd0;
reg main_sdram_bankmachine5_row_col_n_addr_sel = 1'd0;
wire main_sdram_bankmachine5_twtpcon_valid;
(* dont_touch = "true" *) reg main_sdram_bankmachine5_twtpcon_ready = 1'd1;
reg [2:0] main_sdram_bankmachine5_twtpcon_count = 3'd0;
wire main_sdram_bankmachine5_trccon_valid;
(* dont_touch = "true" *) reg main_sdram_bankmachine5_trccon_ready = 1'd1;
reg [1:0] main_sdram_bankmachine5_trccon_count = 2'd0;
wire main_sdram_bankmachine5_trascon_valid;
(* dont_touch = "true" *) reg main_sdram_bankmachine5_trascon_ready = 1'd1;
reg [1:0] main_sdram_bankmachine5_trascon_count = 2'd0;
wire main_sdram_bankmachine6_req_valid;
wire main_sdram_bankmachine6_req_ready;
wire main_sdram_bankmachine6_req_we;
wire [20:0] main_sdram_bankmachine6_req_addr;
wire main_sdram_bankmachine6_req_lock;
reg main_sdram_bankmachine6_req_wdata_ready = 1'd0;
reg main_sdram_bankmachine6_req_rdata_valid = 1'd0;
wire main_sdram_bankmachine6_refresh_req;
reg main_sdram_bankmachine6_refresh_gnt = 1'd0;
reg main_sdram_bankmachine6_cmd_valid = 1'd0;
reg main_sdram_bankmachine6_cmd_ready = 1'd0;
reg [13:0] main_sdram_bankmachine6_cmd_payload_a = 14'd0;
wire [2:0] main_sdram_bankmachine6_cmd_payload_ba;
reg main_sdram_bankmachine6_cmd_payload_cas = 1'd0;
reg main_sdram_bankmachine6_cmd_payload_ras = 1'd0;
reg main_sdram_bankmachine6_cmd_payload_we = 1'd0;
reg main_sdram_bankmachine6_cmd_payload_is_cmd = 1'd0;
reg main_sdram_bankmachine6_cmd_payload_is_read = 1'd0;
reg main_sdram_bankmachine6_cmd_payload_is_write = 1'd0;
reg main_sdram_bankmachine6_auto_precharge = 1'd0;
wire main_sdram_bankmachine6_cmd_buffer_lookahead_sink_valid;
wire main_sdram_bankmachine6_cmd_buffer_lookahead_sink_ready;
reg main_sdram_bankmachine6_cmd_buffer_lookahead_sink_first = 1'd0;
reg main_sdram_bankmachine6_cmd_buffer_lookahead_sink_last = 1'd0;
wire main_sdram_bankmachine6_cmd_buffer_lookahead_sink_payload_we;
wire [20:0] main_sdram_bankmachine6_cmd_buffer_lookahead_sink_payload_addr;
wire main_sdram_bankmachine6_cmd_buffer_lookahead_source_valid;
wire main_sdram_bankmachine6_cmd_buffer_lookahead_source_ready;
wire main_sdram_bankmachine6_cmd_buffer_lookahead_source_first;
wire main_sdram_bankmachine6_cmd_buffer_lookahead_source_last;
wire main_sdram_bankmachine6_cmd_buffer_lookahead_source_payload_we;
wire [20:0] main_sdram_bankmachine6_cmd_buffer_lookahead_source_payload_addr;
wire main_sdram_bankmachine6_cmd_buffer_lookahead_syncfifo6_we;
wire main_sdram_bankmachine6_cmd_buffer_lookahead_syncfifo6_writable;
wire main_sdram_bankmachine6_cmd_buffer_lookahead_syncfifo6_re;
wire main_sdram_bankmachine6_cmd_buffer_lookahead_syncfifo6_readable;
wire [23:0] main_sdram_bankmachine6_cmd_buffer_lookahead_syncfifo6_din;
wire [23:0] main_sdram_bankmachine6_cmd_buffer_lookahead_syncfifo6_dout;
reg [3:0] main_sdram_bankmachine6_cmd_buffer_lookahead_level = 4'd0;
reg main_sdram_bankmachine6_cmd_buffer_lookahead_replace = 1'd0;
reg [2:0] main_sdram_bankmachine6_cmd_buffer_lookahead_produce = 3'd0;
reg [2:0] main_sdram_bankmachine6_cmd_buffer_lookahead_consume = 3'd0;
reg [2:0] main_sdram_bankmachine6_cmd_buffer_lookahead_wrport_adr = 3'd0;
wire [23:0] main_sdram_bankmachine6_cmd_buffer_lookahead_wrport_dat_r;
wire main_sdram_bankmachine6_cmd_buffer_lookahead_wrport_we;
wire [23:0] main_sdram_bankmachine6_cmd_buffer_lookahead_wrport_dat_w;
wire main_sdram_bankmachine6_cmd_buffer_lookahead_do_read;
wire [2:0] main_sdram_bankmachine6_cmd_buffer_lookahead_rdport_adr;
wire [23:0] main_sdram_bankmachine6_cmd_buffer_lookahead_rdport_dat_r;
wire main_sdram_bankmachine6_cmd_buffer_lookahead_fifo_in_payload_we;
wire [20:0] main_sdram_bankmachine6_cmd_buffer_lookahead_fifo_in_payload_addr;
wire main_sdram_bankmachine6_cmd_buffer_lookahead_fifo_in_first;
wire main_sdram_bankmachine6_cmd_buffer_lookahead_fifo_in_last;
wire main_sdram_bankmachine6_cmd_buffer_lookahead_fifo_out_payload_we;
wire [20:0] main_sdram_bankmachine6_cmd_buffer_lookahead_fifo_out_payload_addr;
wire main_sdram_bankmachine6_cmd_buffer_lookahead_fifo_out_first;
wire main_sdram_bankmachine6_cmd_buffer_lookahead_fifo_out_last;
wire main_sdram_bankmachine6_cmd_buffer_sink_valid;
wire main_sdram_bankmachine6_cmd_buffer_sink_ready;
wire main_sdram_bankmachine6_cmd_buffer_sink_first;
wire main_sdram_bankmachine6_cmd_buffer_sink_last;
wire main_sdram_bankmachine6_cmd_buffer_sink_payload_we;
wire [20:0] main_sdram_bankmachine6_cmd_buffer_sink_payload_addr;
reg main_sdram_bankmachine6_cmd_buffer_source_valid = 1'd0;
wire main_sdram_bankmachine6_cmd_buffer_source_ready;
reg main_sdram_bankmachine6_cmd_buffer_source_first = 1'd0;
reg main_sdram_bankmachine6_cmd_buffer_source_last = 1'd0;
reg main_sdram_bankmachine6_cmd_buffer_source_payload_we = 1'd0;
reg [20:0] main_sdram_bankmachine6_cmd_buffer_source_payload_addr = 21'd0;
reg [13:0] main_sdram_bankmachine6_row = 14'd0;
reg main_sdram_bankmachine6_row_opened = 1'd0;
wire main_sdram_bankmachine6_row_hit;
reg main_sdram_bankmachine6_row_open = 1'd0;
reg main_sdram_bankmachine6_row_close = 1'd0;
reg main_sdram_bankmachine6_row_col_n_addr_sel = 1'd0;
wire main_sdram_bankmachine6_twtpcon_valid;
(* dont_touch = "true" *) reg main_sdram_bankmachine6_twtpcon_ready = 1'd1;
reg [2:0] main_sdram_bankmachine6_twtpcon_count = 3'd0;
wire main_sdram_bankmachine6_trccon_valid;
(* dont_touch = "true" *) reg main_sdram_bankmachine6_trccon_ready = 1'd1;
reg [1:0] main_sdram_bankmachine6_trccon_count = 2'd0;
wire main_sdram_bankmachine6_trascon_valid;
(* dont_touch = "true" *) reg main_sdram_bankmachine6_trascon_ready = 1'd1;
reg [1:0] main_sdram_bankmachine6_trascon_count = 2'd0;
wire main_sdram_bankmachine7_req_valid;
wire main_sdram_bankmachine7_req_ready;
wire main_sdram_bankmachine7_req_we;
wire [20:0] main_sdram_bankmachine7_req_addr;
wire main_sdram_bankmachine7_req_lock;
reg main_sdram_bankmachine7_req_wdata_ready = 1'd0;
reg main_sdram_bankmachine7_req_rdata_valid = 1'd0;
wire main_sdram_bankmachine7_refresh_req;
reg main_sdram_bankmachine7_refresh_gnt = 1'd0;
reg main_sdram_bankmachine7_cmd_valid = 1'd0;
reg main_sdram_bankmachine7_cmd_ready = 1'd0;
reg [13:0] main_sdram_bankmachine7_cmd_payload_a = 14'd0;
wire [2:0] main_sdram_bankmachine7_cmd_payload_ba;
reg main_sdram_bankmachine7_cmd_payload_cas = 1'd0;
reg main_sdram_bankmachine7_cmd_payload_ras = 1'd0;
reg main_sdram_bankmachine7_cmd_payload_we = 1'd0;
reg main_sdram_bankmachine7_cmd_payload_is_cmd = 1'd0;
reg main_sdram_bankmachine7_cmd_payload_is_read = 1'd0;
reg main_sdram_bankmachine7_cmd_payload_is_write = 1'd0;
reg main_sdram_bankmachine7_auto_precharge = 1'd0;
wire main_sdram_bankmachine7_cmd_buffer_lookahead_sink_valid;
wire main_sdram_bankmachine7_cmd_buffer_lookahead_sink_ready;
reg main_sdram_bankmachine7_cmd_buffer_lookahead_sink_first = 1'd0;
reg main_sdram_bankmachine7_cmd_buffer_lookahead_sink_last = 1'd0;
wire main_sdram_bankmachine7_cmd_buffer_lookahead_sink_payload_we;
wire [20:0] main_sdram_bankmachine7_cmd_buffer_lookahead_sink_payload_addr;
wire main_sdram_bankmachine7_cmd_buffer_lookahead_source_valid;
wire main_sdram_bankmachine7_cmd_buffer_lookahead_source_ready;
wire main_sdram_bankmachine7_cmd_buffer_lookahead_source_first;
wire main_sdram_bankmachine7_cmd_buffer_lookahead_source_last;
wire main_sdram_bankmachine7_cmd_buffer_lookahead_source_payload_we;
wire [20:0] main_sdram_bankmachine7_cmd_buffer_lookahead_source_payload_addr;
wire main_sdram_bankmachine7_cmd_buffer_lookahead_syncfifo7_we;
wire main_sdram_bankmachine7_cmd_buffer_lookahead_syncfifo7_writable;
wire main_sdram_bankmachine7_cmd_buffer_lookahead_syncfifo7_re;
wire main_sdram_bankmachine7_cmd_buffer_lookahead_syncfifo7_readable;
wire [23:0] main_sdram_bankmachine7_cmd_buffer_lookahead_syncfifo7_din;
wire [23:0] main_sdram_bankmachine7_cmd_buffer_lookahead_syncfifo7_dout;
reg [3:0] main_sdram_bankmachine7_cmd_buffer_lookahead_level = 4'd0;
reg main_sdram_bankmachine7_cmd_buffer_lookahead_replace = 1'd0;
reg [2:0] main_sdram_bankmachine7_cmd_buffer_lookahead_produce = 3'd0;
reg [2:0] main_sdram_bankmachine7_cmd_buffer_lookahead_consume = 3'd0;
reg [2:0] main_sdram_bankmachine7_cmd_buffer_lookahead_wrport_adr = 3'd0;
wire [23:0] main_sdram_bankmachine7_cmd_buffer_lookahead_wrport_dat_r;
wire main_sdram_bankmachine7_cmd_buffer_lookahead_wrport_we;
wire [23:0] main_sdram_bankmachine7_cmd_buffer_lookahead_wrport_dat_w;
wire main_sdram_bankmachine7_cmd_buffer_lookahead_do_read;
wire [2:0] main_sdram_bankmachine7_cmd_buffer_lookahead_rdport_adr;
wire [23:0] main_sdram_bankmachine7_cmd_buffer_lookahead_rdport_dat_r;
wire main_sdram_bankmachine7_cmd_buffer_lookahead_fifo_in_payload_we;
wire [20:0] main_sdram_bankmachine7_cmd_buffer_lookahead_fifo_in_payload_addr;
wire main_sdram_bankmachine7_cmd_buffer_lookahead_fifo_in_first;
wire main_sdram_bankmachine7_cmd_buffer_lookahead_fifo_in_last;
wire main_sdram_bankmachine7_cmd_buffer_lookahead_fifo_out_payload_we;
wire [20:0] main_sdram_bankmachine7_cmd_buffer_lookahead_fifo_out_payload_addr;
wire main_sdram_bankmachine7_cmd_buffer_lookahead_fifo_out_first;
wire main_sdram_bankmachine7_cmd_buffer_lookahead_fifo_out_last;
wire main_sdram_bankmachine7_cmd_buffer_sink_valid;
wire main_sdram_bankmachine7_cmd_buffer_sink_ready;
wire main_sdram_bankmachine7_cmd_buffer_sink_first;
wire main_sdram_bankmachine7_cmd_buffer_sink_last;
wire main_sdram_bankmachine7_cmd_buffer_sink_payload_we;
wire [20:0] main_sdram_bankmachine7_cmd_buffer_sink_payload_addr;
reg main_sdram_bankmachine7_cmd_buffer_source_valid = 1'd0;
wire main_sdram_bankmachine7_cmd_buffer_source_ready;
reg main_sdram_bankmachine7_cmd_buffer_source_first = 1'd0;
reg main_sdram_bankmachine7_cmd_buffer_source_last = 1'd0;
reg main_sdram_bankmachine7_cmd_buffer_source_payload_we = 1'd0;
reg [20:0] main_sdram_bankmachine7_cmd_buffer_source_payload_addr = 21'd0;
reg [13:0] main_sdram_bankmachine7_row = 14'd0;
reg main_sdram_bankmachine7_row_opened = 1'd0;
wire main_sdram_bankmachine7_row_hit;
reg main_sdram_bankmachine7_row_open = 1'd0;
reg main_sdram_bankmachine7_row_close = 1'd0;
reg main_sdram_bankmachine7_row_col_n_addr_sel = 1'd0;
wire main_sdram_bankmachine7_twtpcon_valid;
(* dont_touch = "true" *) reg main_sdram_bankmachine7_twtpcon_ready = 1'd1;
reg [2:0] main_sdram_bankmachine7_twtpcon_count = 3'd0;
wire main_sdram_bankmachine7_trccon_valid;
(* dont_touch = "true" *) reg main_sdram_bankmachine7_trccon_ready = 1'd1;
reg [1:0] main_sdram_bankmachine7_trccon_count = 2'd0;
wire main_sdram_bankmachine7_trascon_valid;
(* dont_touch = "true" *) reg main_sdram_bankmachine7_trascon_ready = 1'd1;
reg [1:0] main_sdram_bankmachine7_trascon_count = 2'd0;
wire main_sdram_ras_allowed;
wire main_sdram_cas_allowed;
reg main_sdram_choose_cmd_want_reads = 1'd0;
reg main_sdram_choose_cmd_want_writes = 1'd0;
reg main_sdram_choose_cmd_want_cmds = 1'd0;
reg main_sdram_choose_cmd_want_activates = 1'd0;
wire main_sdram_choose_cmd_cmd_valid;
reg main_sdram_choose_cmd_cmd_ready = 1'd0;
wire [13:0] main_sdram_choose_cmd_cmd_payload_a;
wire [2:0] main_sdram_choose_cmd_cmd_payload_ba;
reg main_sdram_choose_cmd_cmd_payload_cas = 1'd0;
reg main_sdram_choose_cmd_cmd_payload_ras = 1'd0;
reg main_sdram_choose_cmd_cmd_payload_we = 1'd0;
wire main_sdram_choose_cmd_cmd_payload_is_cmd;
wire main_sdram_choose_cmd_cmd_payload_is_read;
wire main_sdram_choose_cmd_cmd_payload_is_write;
reg [7:0] main_sdram_choose_cmd_valids = 8'd0;
wire [7:0] main_sdram_choose_cmd_request;
reg [2:0] main_sdram_choose_cmd_grant = 3'd0;
wire main_sdram_choose_cmd_ce;
reg main_sdram_choose_req_want_reads = 1'd0;
reg main_sdram_choose_req_want_writes = 1'd0;
reg main_sdram_choose_req_want_cmds = 1'd0;
reg main_sdram_choose_req_want_activates = 1'd0;
wire main_sdram_choose_req_cmd_valid;
reg main_sdram_choose_req_cmd_ready = 1'd0;
wire [13:0] main_sdram_choose_req_cmd_payload_a;
wire [2:0] main_sdram_choose_req_cmd_payload_ba;
reg main_sdram_choose_req_cmd_payload_cas = 1'd0;
reg main_sdram_choose_req_cmd_payload_ras = 1'd0;
reg main_sdram_choose_req_cmd_payload_we = 1'd0;
wire main_sdram_choose_req_cmd_payload_is_cmd;
wire main_sdram_choose_req_cmd_payload_is_read;
wire main_sdram_choose_req_cmd_payload_is_write;
reg [7:0] main_sdram_choose_req_valids = 8'd0;
wire [7:0] main_sdram_choose_req_request;
reg [2:0] main_sdram_choose_req_grant = 3'd0;
wire main_sdram_choose_req_ce;
reg [13:0] main_sdram_nop_a = 14'd0;
reg [2:0] main_sdram_nop_ba = 3'd0;
reg [1:0] main_sdram_steerer_sel0 = 2'd0;
reg [1:0] main_sdram_steerer_sel1 = 2'd0;
reg [1:0] main_sdram_steerer_sel2 = 2'd0;
reg [1:0] main_sdram_steerer_sel3 = 2'd0;
reg main_sdram_steerer0 = 1'd1;
reg main_sdram_steerer1 = 1'd1;
reg main_sdram_steerer2 = 1'd1;
reg main_sdram_steerer3 = 1'd1;
reg main_sdram_steerer4 = 1'd1;
reg main_sdram_steerer5 = 1'd1;
reg main_sdram_steerer6 = 1'd1;
reg main_sdram_steerer7 = 1'd1;
wire main_sdram_trrdcon_valid;
(* dont_touch = "true" *) reg main_sdram_trrdcon_ready = 1'd1;
reg main_sdram_trrdcon_count = 1'd0;
wire main_sdram_tfawcon_valid;
(* dont_touch = "true" *) reg main_sdram_tfawcon_ready = 1'd1;
wire [1:0] main_sdram_tfawcon_count;
reg [2:0] main_sdram_tfawcon_window = 3'd0;
wire main_sdram_tccdcon_valid;
(* dont_touch = "true" *) reg main_sdram_tccdcon_ready = 1'd1;
reg main_sdram_tccdcon_count = 1'd0;
wire main_sdram_twtrcon_valid;
(* dont_touch = "true" *) reg main_sdram_twtrcon_ready = 1'd1;
reg [2:0] main_sdram_twtrcon_count = 3'd0;
wire main_sdram_read_available;
wire main_sdram_write_available;
reg main_sdram_en0 = 1'd0;
wire main_sdram_max_time0;
reg [4:0] main_sdram_time0 = 5'd0;
reg main_sdram_en1 = 1'd0;
wire main_sdram_max_time1;
reg [3:0] main_sdram_time1 = 4'd0;
wire main_sdram_go_to_refresh;
reg main_port_cmd_valid = 1'd0;
wire main_port_cmd_ready;
reg main_port_cmd_payload_we = 1'd0;
reg [23:0] main_port_cmd_payload_addr = 24'd0;
wire main_port_wdata_valid;
wire main_port_wdata_ready;
wire main_port_wdata_first;
wire main_port_wdata_last;
wire [127:0] main_port_wdata_payload_data;
wire [15:0] main_port_wdata_payload_we;
wire main_port_rdata_valid;
wire main_port_rdata_ready;
reg main_port_rdata_first = 1'd0;
reg main_port_rdata_last = 1'd0;
wire [127:0] main_port_rdata_payload_data;
wire [29:0] main_interface1_wb_sdram_adr;
wire [31:0] main_interface1_wb_sdram_dat_w;
wire [31:0] main_interface1_wb_sdram_dat_r;
wire [3:0] main_interface1_wb_sdram_sel;
wire main_interface1_wb_sdram_cyc;
wire main_interface1_wb_sdram_stb;
wire main_interface1_wb_sdram_ack;
wire main_interface1_wb_sdram_we;
wire [2:0] main_interface1_wb_sdram_cti;
wire [1:0] main_interface1_wb_sdram_bte;
wire main_interface1_wb_sdram_err;
wire [29:0] main_adr;
wire [127:0] main_dat_w;
wire [127:0] main_dat_r;
wire [15:0] main_sel;
reg main_cyc = 1'd0;
reg main_stb = 1'd0;
reg main_ack = 1'd0;
reg main_we = 1'd0;
wire main_data_port_adr;
wire [127:0] main_data_port_dat_r;
reg [15:0] main_data_port_we = 16'd0;
reg [127:0] main_data_port_dat_w = 128'd0;
reg main_write_from_slave = 1'd0;
reg [1:0] main_adr_offset_r = 2'd0;
wire main_tag_port_adr;
wire [31:0] main_tag_port_dat_r;
reg main_tag_port_we = 1'd0;
wire [31:0] main_tag_port_dat_w;
wire [30:0] main_tag_do_tag;
wire main_tag_do_dirty;
wire [30:0] main_tag_di_tag;
reg main_tag_di_dirty = 1'd0;
reg main_word_clr = 1'd0;
reg main_word_inc = 1'd0;
wire main_wdata_converter_sink_valid;
wire main_wdata_converter_sink_ready;
reg main_wdata_converter_sink_first = 1'd0;
reg main_wdata_converter_sink_last = 1'd0;
wire [127:0] main_wdata_converter_sink_payload_data;
wire [15:0] main_wdata_converter_sink_payload_we;
wire main_wdata_converter_source_valid;
wire main_wdata_converter_source_ready;
wire main_wdata_converter_source_first;
wire main_wdata_converter_source_last;
wire [127:0] main_wdata_converter_source_payload_data;
wire [15:0] main_wdata_converter_source_payload_we;
wire main_wdata_converter_converter_sink_valid;
wire main_wdata_converter_converter_sink_ready;
wire main_wdata_converter_converter_sink_first;
wire main_wdata_converter_converter_sink_last;
wire [143:0] main_wdata_converter_converter_sink_payload_data;
wire main_wdata_converter_converter_source_valid;
wire main_wdata_converter_converter_source_ready;
wire main_wdata_converter_converter_source_first;
wire main_wdata_converter_converter_source_last;
wire [143:0] main_wdata_converter_converter_source_payload_data;
wire main_wdata_converter_converter_source_payload_valid_token_count;
wire main_wdata_converter_source_source_valid;
wire main_wdata_converter_source_source_ready;
wire main_wdata_converter_source_source_first;
wire main_wdata_converter_source_source_last;
wire [143:0] main_wdata_converter_source_source_payload_data;
wire main_rdata_converter_sink_valid;
wire main_rdata_converter_sink_ready;
wire main_rdata_converter_sink_first;
wire main_rdata_converter_sink_last;
wire [127:0] main_rdata_converter_sink_payload_data;
wire main_rdata_converter_source_valid;
wire main_rdata_converter_source_ready;
wire main_rdata_converter_source_first;
wire main_rdata_converter_source_last;
wire [127:0] main_rdata_converter_source_payload_data;
wire main_rdata_converter_converter_sink_valid;
wire main_rdata_converter_converter_sink_ready;
wire main_rdata_converter_converter_sink_first;
wire main_rdata_converter_converter_sink_last;
wire [127:0] main_rdata_converter_converter_sink_payload_data;
wire main_rdata_converter_converter_source_valid;
wire main_rdata_converter_converter_source_ready;
wire main_rdata_converter_converter_source_first;
wire main_rdata_converter_converter_source_last;
wire [127:0] main_rdata_converter_converter_source_payload_data;
wire main_rdata_converter_converter_source_payload_valid_token_count;
wire main_rdata_converter_source_source_valid;
wire main_rdata_converter_source_source_ready;
wire main_rdata_converter_source_source_first;
wire main_rdata_converter_source_source_last;
wire [127:0] main_rdata_converter_source_source_payload_data;
reg main_count = 1'd0;
reg [2:0] builder_uartwishbonebridge_state = 3'd0;
reg [2:0] builder_uartwishbonebridge_next_state = 3'd0;
reg builder_wb2csr_state = 1'd0;
reg builder_wb2csr_next_state = 1'd0;
wire builder_pll_fb;
reg [1:0] builder_refresher_state = 2'd0;
reg [1:0] builder_refresher_next_state = 2'd0;
reg [2:0] builder_bankmachine0_state = 3'd0;
reg [2:0] builder_bankmachine0_next_state = 3'd0;
reg [2:0] builder_bankmachine1_state = 3'd0;
reg [2:0] builder_bankmachine1_next_state = 3'd0;
reg [2:0] builder_bankmachine2_state = 3'd0;
reg [2:0] builder_bankmachine2_next_state = 3'd0;
reg [2:0] builder_bankmachine3_state = 3'd0;
reg [2:0] builder_bankmachine3_next_state = 3'd0;
reg [2:0] builder_bankmachine4_state = 3'd0;
reg [2:0] builder_bankmachine4_next_state = 3'd0;
reg [2:0] builder_bankmachine5_state = 3'd0;
reg [2:0] builder_bankmachine5_next_state = 3'd0;
reg [2:0] builder_bankmachine6_state = 3'd0;
reg [2:0] builder_bankmachine6_next_state = 3'd0;
reg [2:0] builder_bankmachine7_state = 3'd0;
reg [2:0] builder_bankmachine7_next_state = 3'd0;
reg [3:0] builder_multiplexer_state = 4'd0;
reg [3:0] builder_multiplexer_next_state = 4'd0;
wire builder_roundrobin0_request;
wire builder_roundrobin0_grant;
wire builder_roundrobin0_ce;
wire builder_roundrobin1_request;
wire builder_roundrobin1_grant;
wire builder_roundrobin1_ce;
wire builder_roundrobin2_request;
wire builder_roundrobin2_grant;
wire builder_roundrobin2_ce;
wire builder_roundrobin3_request;
wire builder_roundrobin3_grant;
wire builder_roundrobin3_ce;
wire builder_roundrobin4_request;
wire builder_roundrobin4_grant;
wire builder_roundrobin4_ce;
wire builder_roundrobin5_request;
wire builder_roundrobin5_grant;
wire builder_roundrobin5_ce;
wire builder_roundrobin6_request;
wire builder_roundrobin6_grant;
wire builder_roundrobin6_ce;
wire builder_roundrobin7_request;
wire builder_roundrobin7_grant;
wire builder_roundrobin7_ce;
reg [2:0] builder_rbank = 3'd0;
reg [2:0] builder_wbank = 3'd0;
reg builder_locked0 = 1'd0;
reg builder_locked1 = 1'd0;
reg builder_locked2 = 1'd0;
reg builder_locked3 = 1'd0;
reg builder_locked4 = 1'd0;
reg builder_locked5 = 1'd0;
reg builder_locked6 = 1'd0;
reg builder_locked7 = 1'd0;
reg builder_new_master_wdata_ready0 = 1'd0;
reg builder_new_master_wdata_ready1 = 1'd0;
reg builder_new_master_wdata_ready2 = 1'd0;
reg builder_new_master_rdata_valid0 = 1'd0;
reg builder_new_master_rdata_valid1 = 1'd0;
reg builder_new_master_rdata_valid2 = 1'd0;
reg builder_new_master_rdata_valid3 = 1'd0;
reg builder_new_master_rdata_valid4 = 1'd0;
reg builder_new_master_rdata_valid5 = 1'd0;
reg builder_new_master_rdata_valid6 = 1'd0;
reg builder_new_master_rdata_valid7 = 1'd0;
reg builder_new_master_rdata_valid8 = 1'd0;
reg builder_new_master_rdata_valid9 = 1'd0;
reg [1:0] builder_fullmemorywe_state = 2'd0;
reg [1:0] builder_fullmemorywe_next_state = 2'd0;
reg [1:0] builder_litedramwishbone2native_state = 2'd0;
reg [1:0] builder_litedramwishbone2native_next_state = 2'd0;
reg main_count_next_value = 1'd0;
reg main_count_next_value_ce = 1'd0;
wire builder_wb_sdram_con_request;
wire builder_wb_sdram_con_grant;
wire [29:0] builder_basesoc_shared_adr;
wire [31:0] builder_basesoc_shared_dat_w;
reg [31:0] builder_basesoc_shared_dat_r = 32'd0;
wire [3:0] builder_basesoc_shared_sel;
wire builder_basesoc_shared_cyc;
wire builder_basesoc_shared_stb;
reg builder_basesoc_shared_ack = 1'd0;
wire builder_basesoc_shared_we;
wire [2:0] builder_basesoc_shared_cti;
wire [1:0] builder_basesoc_shared_bte;
wire builder_basesoc_shared_err;
wire builder_basesoc_request;
wire builder_basesoc_grant;
reg [2:0] builder_basesoc_slave_sel = 3'd0;
reg [2:0] builder_basesoc_slave_sel_r = 3'd0;
reg builder_basesoc_error = 1'd0;
wire builder_basesoc_wait;
wire builder_basesoc_done;
reg [19:0] builder_basesoc_count = 20'd1000000;
wire [13:0] builder_basesoc_csrbankarray_interface0_bank_bus_adr;
wire builder_basesoc_csrbankarray_interface0_bank_bus_we;
wire [7:0] builder_basesoc_csrbankarray_interface0_bank_bus_dat_w;
reg [7:0] builder_basesoc_csrbankarray_interface0_bank_bus_dat_r = 8'd0;
wire builder_basesoc_csrbankarray_csrbank0_reset0_re;
wire builder_basesoc_csrbankarray_csrbank0_reset0_r;
wire builder_basesoc_csrbankarray_csrbank0_reset0_we;
wire builder_basesoc_csrbankarray_csrbank0_reset0_w;
wire builder_basesoc_csrbankarray_csrbank0_scratch3_re;
wire [7:0] builder_basesoc_csrbankarray_csrbank0_scratch3_r;
wire builder_basesoc_csrbankarray_csrbank0_scratch3_we;
wire [7:0] builder_basesoc_csrbankarray_csrbank0_scratch3_w;
wire builder_basesoc_csrbankarray_csrbank0_scratch2_re;
wire [7:0] builder_basesoc_csrbankarray_csrbank0_scratch2_r;
wire builder_basesoc_csrbankarray_csrbank0_scratch2_we;
wire [7:0] builder_basesoc_csrbankarray_csrbank0_scratch2_w;
wire builder_basesoc_csrbankarray_csrbank0_scratch1_re;
wire [7:0] builder_basesoc_csrbankarray_csrbank0_scratch1_r;
wire builder_basesoc_csrbankarray_csrbank0_scratch1_we;
wire [7:0] builder_basesoc_csrbankarray_csrbank0_scratch1_w;
wire builder_basesoc_csrbankarray_csrbank0_scratch0_re;
wire [7:0] builder_basesoc_csrbankarray_csrbank0_scratch0_r;
wire builder_basesoc_csrbankarray_csrbank0_scratch0_we;
wire [7:0] builder_basesoc_csrbankarray_csrbank0_scratch0_w;
wire builder_basesoc_csrbankarray_csrbank0_bus_errors3_re;
wire [7:0] builder_basesoc_csrbankarray_csrbank0_bus_errors3_r;
wire builder_basesoc_csrbankarray_csrbank0_bus_errors3_we;
wire [7:0] builder_basesoc_csrbankarray_csrbank0_bus_errors3_w;
wire builder_basesoc_csrbankarray_csrbank0_bus_errors2_re;
wire [7:0] builder_basesoc_csrbankarray_csrbank0_bus_errors2_r;
wire builder_basesoc_csrbankarray_csrbank0_bus_errors2_we;
wire [7:0] builder_basesoc_csrbankarray_csrbank0_bus_errors2_w;
wire builder_basesoc_csrbankarray_csrbank0_bus_errors1_re;
wire [7:0] builder_basesoc_csrbankarray_csrbank0_bus_errors1_r;
wire builder_basesoc_csrbankarray_csrbank0_bus_errors1_we;
wire [7:0] builder_basesoc_csrbankarray_csrbank0_bus_errors1_w;
wire builder_basesoc_csrbankarray_csrbank0_bus_errors0_re;
wire [7:0] builder_basesoc_csrbankarray_csrbank0_bus_errors0_r;
wire builder_basesoc_csrbankarray_csrbank0_bus_errors0_we;
wire [7:0] builder_basesoc_csrbankarray_csrbank0_bus_errors0_w;
wire builder_basesoc_csrbankarray_csrbank0_sel;
wire [13:0] builder_basesoc_csrbankarray_interface1_bank_bus_adr;
wire builder_basesoc_csrbankarray_interface1_bank_bus_we;
wire [7:0] builder_basesoc_csrbankarray_interface1_bank_bus_dat_w;
reg [7:0] builder_basesoc_csrbankarray_interface1_bank_bus_dat_r = 8'd0;
wire builder_basesoc_csrbankarray_csrbank1_half_sys8x_taps0_re;
wire [4:0] builder_basesoc_csrbankarray_csrbank1_half_sys8x_taps0_r;
wire builder_basesoc_csrbankarray_csrbank1_half_sys8x_taps0_we;
wire [4:0] builder_basesoc_csrbankarray_csrbank1_half_sys8x_taps0_w;
wire builder_basesoc_csrbankarray_csrbank1_dly_sel0_re;
wire [1:0] builder_basesoc_csrbankarray_csrbank1_dly_sel0_r;
wire builder_basesoc_csrbankarray_csrbank1_dly_sel0_we;
wire [1:0] builder_basesoc_csrbankarray_csrbank1_dly_sel0_w;
wire builder_basesoc_csrbankarray_csrbank1_sel;
wire [13:0] builder_basesoc_csrbankarray_sram_bus_adr;
wire builder_basesoc_csrbankarray_sram_bus_we;
wire [7:0] builder_basesoc_csrbankarray_sram_bus_dat_w;
reg [7:0] builder_basesoc_csrbankarray_sram_bus_dat_r = 8'd0;
wire [6:0] builder_basesoc_csrbankarray_adr;
wire [7:0] builder_basesoc_csrbankarray_dat_r;
wire builder_basesoc_csrbankarray_sel;
reg builder_basesoc_csrbankarray_sel_r = 1'd0;
wire [13:0] builder_basesoc_csrbankarray_interface2_bank_bus_adr;
wire builder_basesoc_csrbankarray_interface2_bank_bus_we;
wire [7:0] builder_basesoc_csrbankarray_interface2_bank_bus_dat_w;
reg [7:0] builder_basesoc_csrbankarray_interface2_bank_bus_dat_r = 8'd0;
wire builder_basesoc_csrbankarray_csrbank2_dfii_control0_re;
wire [3:0] builder_basesoc_csrbankarray_csrbank2_dfii_control0_r;
wire builder_basesoc_csrbankarray_csrbank2_dfii_control0_we;
wire [3:0] builder_basesoc_csrbankarray_csrbank2_dfii_control0_w;
wire builder_basesoc_csrbankarray_csrbank2_dfii_pi0_command0_re;
wire [5:0] builder_basesoc_csrbankarray_csrbank2_dfii_pi0_command0_r;
wire builder_basesoc_csrbankarray_csrbank2_dfii_pi0_command0_we;
wire [5:0] builder_basesoc_csrbankarray_csrbank2_dfii_pi0_command0_w;
wire builder_basesoc_csrbankarray_csrbank2_dfii_pi0_address1_re;
wire [5:0] builder_basesoc_csrbankarray_csrbank2_dfii_pi0_address1_r;
wire builder_basesoc_csrbankarray_csrbank2_dfii_pi0_address1_we;
wire [5:0] builder_basesoc_csrbankarray_csrbank2_dfii_pi0_address1_w;
wire builder_basesoc_csrbankarray_csrbank2_dfii_pi0_address0_re;
wire [7:0] builder_basesoc_csrbankarray_csrbank2_dfii_pi0_address0_r;
wire builder_basesoc_csrbankarray_csrbank2_dfii_pi0_address0_we;
wire [7:0] builder_basesoc_csrbankarray_csrbank2_dfii_pi0_address0_w;
wire builder_basesoc_csrbankarray_csrbank2_dfii_pi0_baddress0_re;
wire [2:0] builder_basesoc_csrbankarray_csrbank2_dfii_pi0_baddress0_r;
wire builder_basesoc_csrbankarray_csrbank2_dfii_pi0_baddress0_we;
wire [2:0] builder_basesoc_csrbankarray_csrbank2_dfii_pi0_baddress0_w;
wire builder_basesoc_csrbankarray_csrbank2_dfii_pi0_wrdata3_re;
wire [7:0] builder_basesoc_csrbankarray_csrbank2_dfii_pi0_wrdata3_r;
wire builder_basesoc_csrbankarray_csrbank2_dfii_pi0_wrdata3_we;
wire [7:0] builder_basesoc_csrbankarray_csrbank2_dfii_pi0_wrdata3_w;
wire builder_basesoc_csrbankarray_csrbank2_dfii_pi0_wrdata2_re;
wire [7:0] builder_basesoc_csrbankarray_csrbank2_dfii_pi0_wrdata2_r;
wire builder_basesoc_csrbankarray_csrbank2_dfii_pi0_wrdata2_we;
wire [7:0] builder_basesoc_csrbankarray_csrbank2_dfii_pi0_wrdata2_w;
wire builder_basesoc_csrbankarray_csrbank2_dfii_pi0_wrdata1_re;
wire [7:0] builder_basesoc_csrbankarray_csrbank2_dfii_pi0_wrdata1_r;
wire builder_basesoc_csrbankarray_csrbank2_dfii_pi0_wrdata1_we;
wire [7:0] builder_basesoc_csrbankarray_csrbank2_dfii_pi0_wrdata1_w;
wire builder_basesoc_csrbankarray_csrbank2_dfii_pi0_wrdata0_re;
wire [7:0] builder_basesoc_csrbankarray_csrbank2_dfii_pi0_wrdata0_r;
wire builder_basesoc_csrbankarray_csrbank2_dfii_pi0_wrdata0_we;
wire [7:0] builder_basesoc_csrbankarray_csrbank2_dfii_pi0_wrdata0_w;
wire builder_basesoc_csrbankarray_csrbank2_dfii_pi0_rddata3_re;
wire [7:0] builder_basesoc_csrbankarray_csrbank2_dfii_pi0_rddata3_r;
wire builder_basesoc_csrbankarray_csrbank2_dfii_pi0_rddata3_we;
wire [7:0] builder_basesoc_csrbankarray_csrbank2_dfii_pi0_rddata3_w;
wire builder_basesoc_csrbankarray_csrbank2_dfii_pi0_rddata2_re;
wire [7:0] builder_basesoc_csrbankarray_csrbank2_dfii_pi0_rddata2_r;
wire builder_basesoc_csrbankarray_csrbank2_dfii_pi0_rddata2_we;
wire [7:0] builder_basesoc_csrbankarray_csrbank2_dfii_pi0_rddata2_w;
wire builder_basesoc_csrbankarray_csrbank2_dfii_pi0_rddata1_re;
wire [7:0] builder_basesoc_csrbankarray_csrbank2_dfii_pi0_rddata1_r;
wire builder_basesoc_csrbankarray_csrbank2_dfii_pi0_rddata1_we;
wire [7:0] builder_basesoc_csrbankarray_csrbank2_dfii_pi0_rddata1_w;
wire builder_basesoc_csrbankarray_csrbank2_dfii_pi0_rddata0_re;
wire [7:0] builder_basesoc_csrbankarray_csrbank2_dfii_pi0_rddata0_r;
wire builder_basesoc_csrbankarray_csrbank2_dfii_pi0_rddata0_we;
wire [7:0] builder_basesoc_csrbankarray_csrbank2_dfii_pi0_rddata0_w;
wire builder_basesoc_csrbankarray_csrbank2_dfii_pi1_command0_re;
wire [5:0] builder_basesoc_csrbankarray_csrbank2_dfii_pi1_command0_r;
wire builder_basesoc_csrbankarray_csrbank2_dfii_pi1_command0_we;
wire [5:0] builder_basesoc_csrbankarray_csrbank2_dfii_pi1_command0_w;
wire builder_basesoc_csrbankarray_csrbank2_dfii_pi1_address1_re;
wire [5:0] builder_basesoc_csrbankarray_csrbank2_dfii_pi1_address1_r;
wire builder_basesoc_csrbankarray_csrbank2_dfii_pi1_address1_we;
wire [5:0] builder_basesoc_csrbankarray_csrbank2_dfii_pi1_address1_w;
wire builder_basesoc_csrbankarray_csrbank2_dfii_pi1_address0_re;
wire [7:0] builder_basesoc_csrbankarray_csrbank2_dfii_pi1_address0_r;
wire builder_basesoc_csrbankarray_csrbank2_dfii_pi1_address0_we;
wire [7:0] builder_basesoc_csrbankarray_csrbank2_dfii_pi1_address0_w;
wire builder_basesoc_csrbankarray_csrbank2_dfii_pi1_baddress0_re;
wire [2:0] builder_basesoc_csrbankarray_csrbank2_dfii_pi1_baddress0_r;
wire builder_basesoc_csrbankarray_csrbank2_dfii_pi1_baddress0_we;
wire [2:0] builder_basesoc_csrbankarray_csrbank2_dfii_pi1_baddress0_w;
wire builder_basesoc_csrbankarray_csrbank2_dfii_pi1_wrdata3_re;
wire [7:0] builder_basesoc_csrbankarray_csrbank2_dfii_pi1_wrdata3_r;
wire builder_basesoc_csrbankarray_csrbank2_dfii_pi1_wrdata3_we;
wire [7:0] builder_basesoc_csrbankarray_csrbank2_dfii_pi1_wrdata3_w;
wire builder_basesoc_csrbankarray_csrbank2_dfii_pi1_wrdata2_re;
wire [7:0] builder_basesoc_csrbankarray_csrbank2_dfii_pi1_wrdata2_r;
wire builder_basesoc_csrbankarray_csrbank2_dfii_pi1_wrdata2_we;
wire [7:0] builder_basesoc_csrbankarray_csrbank2_dfii_pi1_wrdata2_w;
wire builder_basesoc_csrbankarray_csrbank2_dfii_pi1_wrdata1_re;
wire [7:0] builder_basesoc_csrbankarray_csrbank2_dfii_pi1_wrdata1_r;
wire builder_basesoc_csrbankarray_csrbank2_dfii_pi1_wrdata1_we;
wire [7:0] builder_basesoc_csrbankarray_csrbank2_dfii_pi1_wrdata1_w;
wire builder_basesoc_csrbankarray_csrbank2_dfii_pi1_wrdata0_re;
wire [7:0] builder_basesoc_csrbankarray_csrbank2_dfii_pi1_wrdata0_r;
wire builder_basesoc_csrbankarray_csrbank2_dfii_pi1_wrdata0_we;
wire [7:0] builder_basesoc_csrbankarray_csrbank2_dfii_pi1_wrdata0_w;
wire builder_basesoc_csrbankarray_csrbank2_dfii_pi1_rddata3_re;
wire [7:0] builder_basesoc_csrbankarray_csrbank2_dfii_pi1_rddata3_r;
wire builder_basesoc_csrbankarray_csrbank2_dfii_pi1_rddata3_we;
wire [7:0] builder_basesoc_csrbankarray_csrbank2_dfii_pi1_rddata3_w;
wire builder_basesoc_csrbankarray_csrbank2_dfii_pi1_rddata2_re;
wire [7:0] builder_basesoc_csrbankarray_csrbank2_dfii_pi1_rddata2_r;
wire builder_basesoc_csrbankarray_csrbank2_dfii_pi1_rddata2_we;
wire [7:0] builder_basesoc_csrbankarray_csrbank2_dfii_pi1_rddata2_w;
wire builder_basesoc_csrbankarray_csrbank2_dfii_pi1_rddata1_re;
wire [7:0] builder_basesoc_csrbankarray_csrbank2_dfii_pi1_rddata1_r;
wire builder_basesoc_csrbankarray_csrbank2_dfii_pi1_rddata1_we;
wire [7:0] builder_basesoc_csrbankarray_csrbank2_dfii_pi1_rddata1_w;
wire builder_basesoc_csrbankarray_csrbank2_dfii_pi1_rddata0_re;
wire [7:0] builder_basesoc_csrbankarray_csrbank2_dfii_pi1_rddata0_r;
wire builder_basesoc_csrbankarray_csrbank2_dfii_pi1_rddata0_we;
wire [7:0] builder_basesoc_csrbankarray_csrbank2_dfii_pi1_rddata0_w;
wire builder_basesoc_csrbankarray_csrbank2_dfii_pi2_command0_re;
wire [5:0] builder_basesoc_csrbankarray_csrbank2_dfii_pi2_command0_r;
wire builder_basesoc_csrbankarray_csrbank2_dfii_pi2_command0_we;
wire [5:0] builder_basesoc_csrbankarray_csrbank2_dfii_pi2_command0_w;
wire builder_basesoc_csrbankarray_csrbank2_dfii_pi2_address1_re;
wire [5:0] builder_basesoc_csrbankarray_csrbank2_dfii_pi2_address1_r;
wire builder_basesoc_csrbankarray_csrbank2_dfii_pi2_address1_we;
wire [5:0] builder_basesoc_csrbankarray_csrbank2_dfii_pi2_address1_w;
wire builder_basesoc_csrbankarray_csrbank2_dfii_pi2_address0_re;
wire [7:0] builder_basesoc_csrbankarray_csrbank2_dfii_pi2_address0_r;
wire builder_basesoc_csrbankarray_csrbank2_dfii_pi2_address0_we;
wire [7:0] builder_basesoc_csrbankarray_csrbank2_dfii_pi2_address0_w;
wire builder_basesoc_csrbankarray_csrbank2_dfii_pi2_baddress0_re;
wire [2:0] builder_basesoc_csrbankarray_csrbank2_dfii_pi2_baddress0_r;
wire builder_basesoc_csrbankarray_csrbank2_dfii_pi2_baddress0_we;
wire [2:0] builder_basesoc_csrbankarray_csrbank2_dfii_pi2_baddress0_w;
wire builder_basesoc_csrbankarray_csrbank2_dfii_pi2_wrdata3_re;
wire [7:0] builder_basesoc_csrbankarray_csrbank2_dfii_pi2_wrdata3_r;
wire builder_basesoc_csrbankarray_csrbank2_dfii_pi2_wrdata3_we;
wire [7:0] builder_basesoc_csrbankarray_csrbank2_dfii_pi2_wrdata3_w;
wire builder_basesoc_csrbankarray_csrbank2_dfii_pi2_wrdata2_re;
wire [7:0] builder_basesoc_csrbankarray_csrbank2_dfii_pi2_wrdata2_r;
wire builder_basesoc_csrbankarray_csrbank2_dfii_pi2_wrdata2_we;
wire [7:0] builder_basesoc_csrbankarray_csrbank2_dfii_pi2_wrdata2_w;
wire builder_basesoc_csrbankarray_csrbank2_dfii_pi2_wrdata1_re;
wire [7:0] builder_basesoc_csrbankarray_csrbank2_dfii_pi2_wrdata1_r;
wire builder_basesoc_csrbankarray_csrbank2_dfii_pi2_wrdata1_we;
wire [7:0] builder_basesoc_csrbankarray_csrbank2_dfii_pi2_wrdata1_w;
wire builder_basesoc_csrbankarray_csrbank2_dfii_pi2_wrdata0_re;
wire [7:0] builder_basesoc_csrbankarray_csrbank2_dfii_pi2_wrdata0_r;
wire builder_basesoc_csrbankarray_csrbank2_dfii_pi2_wrdata0_we;
wire [7:0] builder_basesoc_csrbankarray_csrbank2_dfii_pi2_wrdata0_w;
wire builder_basesoc_csrbankarray_csrbank2_dfii_pi2_rddata3_re;
wire [7:0] builder_basesoc_csrbankarray_csrbank2_dfii_pi2_rddata3_r;
wire builder_basesoc_csrbankarray_csrbank2_dfii_pi2_rddata3_we;
wire [7:0] builder_basesoc_csrbankarray_csrbank2_dfii_pi2_rddata3_w;
wire builder_basesoc_csrbankarray_csrbank2_dfii_pi2_rddata2_re;
wire [7:0] builder_basesoc_csrbankarray_csrbank2_dfii_pi2_rddata2_r;
wire builder_basesoc_csrbankarray_csrbank2_dfii_pi2_rddata2_we;
wire [7:0] builder_basesoc_csrbankarray_csrbank2_dfii_pi2_rddata2_w;
wire builder_basesoc_csrbankarray_csrbank2_dfii_pi2_rddata1_re;
wire [7:0] builder_basesoc_csrbankarray_csrbank2_dfii_pi2_rddata1_r;
wire builder_basesoc_csrbankarray_csrbank2_dfii_pi2_rddata1_we;
wire [7:0] builder_basesoc_csrbankarray_csrbank2_dfii_pi2_rddata1_w;
wire builder_basesoc_csrbankarray_csrbank2_dfii_pi2_rddata0_re;
wire [7:0] builder_basesoc_csrbankarray_csrbank2_dfii_pi2_rddata0_r;
wire builder_basesoc_csrbankarray_csrbank2_dfii_pi2_rddata0_we;
wire [7:0] builder_basesoc_csrbankarray_csrbank2_dfii_pi2_rddata0_w;
wire builder_basesoc_csrbankarray_csrbank2_dfii_pi3_command0_re;
wire [5:0] builder_basesoc_csrbankarray_csrbank2_dfii_pi3_command0_r;
wire builder_basesoc_csrbankarray_csrbank2_dfii_pi3_command0_we;
wire [5:0] builder_basesoc_csrbankarray_csrbank2_dfii_pi3_command0_w;
wire builder_basesoc_csrbankarray_csrbank2_dfii_pi3_address1_re;
wire [5:0] builder_basesoc_csrbankarray_csrbank2_dfii_pi3_address1_r;
wire builder_basesoc_csrbankarray_csrbank2_dfii_pi3_address1_we;
wire [5:0] builder_basesoc_csrbankarray_csrbank2_dfii_pi3_address1_w;
wire builder_basesoc_csrbankarray_csrbank2_dfii_pi3_address0_re;
wire [7:0] builder_basesoc_csrbankarray_csrbank2_dfii_pi3_address0_r;
wire builder_basesoc_csrbankarray_csrbank2_dfii_pi3_address0_we;
wire [7:0] builder_basesoc_csrbankarray_csrbank2_dfii_pi3_address0_w;
wire builder_basesoc_csrbankarray_csrbank2_dfii_pi3_baddress0_re;
wire [2:0] builder_basesoc_csrbankarray_csrbank2_dfii_pi3_baddress0_r;
wire builder_basesoc_csrbankarray_csrbank2_dfii_pi3_baddress0_we;
wire [2:0] builder_basesoc_csrbankarray_csrbank2_dfii_pi3_baddress0_w;
wire builder_basesoc_csrbankarray_csrbank2_dfii_pi3_wrdata3_re;
wire [7:0] builder_basesoc_csrbankarray_csrbank2_dfii_pi3_wrdata3_r;
wire builder_basesoc_csrbankarray_csrbank2_dfii_pi3_wrdata3_we;
wire [7:0] builder_basesoc_csrbankarray_csrbank2_dfii_pi3_wrdata3_w;
wire builder_basesoc_csrbankarray_csrbank2_dfii_pi3_wrdata2_re;
wire [7:0] builder_basesoc_csrbankarray_csrbank2_dfii_pi3_wrdata2_r;
wire builder_basesoc_csrbankarray_csrbank2_dfii_pi3_wrdata2_we;
wire [7:0] builder_basesoc_csrbankarray_csrbank2_dfii_pi3_wrdata2_w;
wire builder_basesoc_csrbankarray_csrbank2_dfii_pi3_wrdata1_re;
wire [7:0] builder_basesoc_csrbankarray_csrbank2_dfii_pi3_wrdata1_r;
wire builder_basesoc_csrbankarray_csrbank2_dfii_pi3_wrdata1_we;
wire [7:0] builder_basesoc_csrbankarray_csrbank2_dfii_pi3_wrdata1_w;
wire builder_basesoc_csrbankarray_csrbank2_dfii_pi3_wrdata0_re;
wire [7:0] builder_basesoc_csrbankarray_csrbank2_dfii_pi3_wrdata0_r;
wire builder_basesoc_csrbankarray_csrbank2_dfii_pi3_wrdata0_we;
wire [7:0] builder_basesoc_csrbankarray_csrbank2_dfii_pi3_wrdata0_w;
wire builder_basesoc_csrbankarray_csrbank2_dfii_pi3_rddata3_re;
wire [7:0] builder_basesoc_csrbankarray_csrbank2_dfii_pi3_rddata3_r;
wire builder_basesoc_csrbankarray_csrbank2_dfii_pi3_rddata3_we;
wire [7:0] builder_basesoc_csrbankarray_csrbank2_dfii_pi3_rddata3_w;
wire builder_basesoc_csrbankarray_csrbank2_dfii_pi3_rddata2_re;
wire [7:0] builder_basesoc_csrbankarray_csrbank2_dfii_pi3_rddata2_r;
wire builder_basesoc_csrbankarray_csrbank2_dfii_pi3_rddata2_we;
wire [7:0] builder_basesoc_csrbankarray_csrbank2_dfii_pi3_rddata2_w;
wire builder_basesoc_csrbankarray_csrbank2_dfii_pi3_rddata1_re;
wire [7:0] builder_basesoc_csrbankarray_csrbank2_dfii_pi3_rddata1_r;
wire builder_basesoc_csrbankarray_csrbank2_dfii_pi3_rddata1_we;
wire [7:0] builder_basesoc_csrbankarray_csrbank2_dfii_pi3_rddata1_w;
wire builder_basesoc_csrbankarray_csrbank2_dfii_pi3_rddata0_re;
wire [7:0] builder_basesoc_csrbankarray_csrbank2_dfii_pi3_rddata0_r;
wire builder_basesoc_csrbankarray_csrbank2_dfii_pi3_rddata0_we;
wire [7:0] builder_basesoc_csrbankarray_csrbank2_dfii_pi3_rddata0_w;
wire builder_basesoc_csrbankarray_csrbank2_sel;
wire [13:0] builder_basesoc_csrbankarray_interface3_bank_bus_adr;
wire builder_basesoc_csrbankarray_interface3_bank_bus_we;
wire [7:0] builder_basesoc_csrbankarray_interface3_bank_bus_dat_w;
reg [7:0] builder_basesoc_csrbankarray_interface3_bank_bus_dat_r = 8'd0;
wire builder_basesoc_csrbankarray_csrbank3_load3_re;
wire [7:0] builder_basesoc_csrbankarray_csrbank3_load3_r;
wire builder_basesoc_csrbankarray_csrbank3_load3_we;
wire [7:0] builder_basesoc_csrbankarray_csrbank3_load3_w;
wire builder_basesoc_csrbankarray_csrbank3_load2_re;
wire [7:0] builder_basesoc_csrbankarray_csrbank3_load2_r;
wire builder_basesoc_csrbankarray_csrbank3_load2_we;
wire [7:0] builder_basesoc_csrbankarray_csrbank3_load2_w;
wire builder_basesoc_csrbankarray_csrbank3_load1_re;
wire [7:0] builder_basesoc_csrbankarray_csrbank3_load1_r;
wire builder_basesoc_csrbankarray_csrbank3_load1_we;
wire [7:0] builder_basesoc_csrbankarray_csrbank3_load1_w;
wire builder_basesoc_csrbankarray_csrbank3_load0_re;
wire [7:0] builder_basesoc_csrbankarray_csrbank3_load0_r;
wire builder_basesoc_csrbankarray_csrbank3_load0_we;
wire [7:0] builder_basesoc_csrbankarray_csrbank3_load0_w;
wire builder_basesoc_csrbankarray_csrbank3_reload3_re;
wire [7:0] builder_basesoc_csrbankarray_csrbank3_reload3_r;
wire builder_basesoc_csrbankarray_csrbank3_reload3_we;
wire [7:0] builder_basesoc_csrbankarray_csrbank3_reload3_w;
wire builder_basesoc_csrbankarray_csrbank3_reload2_re;
wire [7:0] builder_basesoc_csrbankarray_csrbank3_reload2_r;
wire builder_basesoc_csrbankarray_csrbank3_reload2_we;
wire [7:0] builder_basesoc_csrbankarray_csrbank3_reload2_w;
wire builder_basesoc_csrbankarray_csrbank3_reload1_re;
wire [7:0] builder_basesoc_csrbankarray_csrbank3_reload1_r;
wire builder_basesoc_csrbankarray_csrbank3_reload1_we;
wire [7:0] builder_basesoc_csrbankarray_csrbank3_reload1_w;
wire builder_basesoc_csrbankarray_csrbank3_reload0_re;
wire [7:0] builder_basesoc_csrbankarray_csrbank3_reload0_r;
wire builder_basesoc_csrbankarray_csrbank3_reload0_we;
wire [7:0] builder_basesoc_csrbankarray_csrbank3_reload0_w;
wire builder_basesoc_csrbankarray_csrbank3_en0_re;
wire builder_basesoc_csrbankarray_csrbank3_en0_r;
wire builder_basesoc_csrbankarray_csrbank3_en0_we;
wire builder_basesoc_csrbankarray_csrbank3_en0_w;
wire builder_basesoc_csrbankarray_csrbank3_update_value0_re;
wire builder_basesoc_csrbankarray_csrbank3_update_value0_r;
wire builder_basesoc_csrbankarray_csrbank3_update_value0_we;
wire builder_basesoc_csrbankarray_csrbank3_update_value0_w;
wire builder_basesoc_csrbankarray_csrbank3_value3_re;
wire [7:0] builder_basesoc_csrbankarray_csrbank3_value3_r;
wire builder_basesoc_csrbankarray_csrbank3_value3_we;
wire [7:0] builder_basesoc_csrbankarray_csrbank3_value3_w;
wire builder_basesoc_csrbankarray_csrbank3_value2_re;
wire [7:0] builder_basesoc_csrbankarray_csrbank3_value2_r;
wire builder_basesoc_csrbankarray_csrbank3_value2_we;
wire [7:0] builder_basesoc_csrbankarray_csrbank3_value2_w;
wire builder_basesoc_csrbankarray_csrbank3_value1_re;
wire [7:0] builder_basesoc_csrbankarray_csrbank3_value1_r;
wire builder_basesoc_csrbankarray_csrbank3_value1_we;
wire [7:0] builder_basesoc_csrbankarray_csrbank3_value1_w;
wire builder_basesoc_csrbankarray_csrbank3_value0_re;
wire [7:0] builder_basesoc_csrbankarray_csrbank3_value0_r;
wire builder_basesoc_csrbankarray_csrbank3_value0_we;
wire [7:0] builder_basesoc_csrbankarray_csrbank3_value0_w;
wire builder_basesoc_csrbankarray_csrbank3_ev_enable0_re;
wire builder_basesoc_csrbankarray_csrbank3_ev_enable0_r;
wire builder_basesoc_csrbankarray_csrbank3_ev_enable0_we;
wire builder_basesoc_csrbankarray_csrbank3_ev_enable0_w;
wire builder_basesoc_csrbankarray_csrbank3_sel;
wire [13:0] builder_basesoc_csrcon_adr;
wire builder_basesoc_csrcon_we;
wire [7:0] builder_basesoc_csrcon_dat_w;
wire [7:0] builder_basesoc_csrcon_dat_r;
reg builder_rhs_array_muxed0 = 1'd0;
reg [13:0] builder_rhs_array_muxed1 = 14'd0;
reg [2:0] builder_rhs_array_muxed2 = 3'd0;
reg builder_rhs_array_muxed3 = 1'd0;
reg builder_rhs_array_muxed4 = 1'd0;
reg builder_rhs_array_muxed5 = 1'd0;
reg builder_t_array_muxed0 = 1'd0;
reg builder_t_array_muxed1 = 1'd0;
reg builder_t_array_muxed2 = 1'd0;
reg builder_rhs_array_muxed6 = 1'd0;
reg [13:0] builder_rhs_array_muxed7 = 14'd0;
reg [2:0] builder_rhs_array_muxed8 = 3'd0;
reg builder_rhs_array_muxed9 = 1'd0;
reg builder_rhs_array_muxed10 = 1'd0;
reg builder_rhs_array_muxed11 = 1'd0;
reg builder_t_array_muxed3 = 1'd0;
reg builder_t_array_muxed4 = 1'd0;
reg builder_t_array_muxed5 = 1'd0;
reg [20:0] builder_rhs_array_muxed12 = 21'd0;
reg builder_rhs_array_muxed13 = 1'd0;
reg builder_rhs_array_muxed14 = 1'd0;
reg [20:0] builder_rhs_array_muxed15 = 21'd0;
reg builder_rhs_array_muxed16 = 1'd0;
reg builder_rhs_array_muxed17 = 1'd0;
reg [20:0] builder_rhs_array_muxed18 = 21'd0;
reg builder_rhs_array_muxed19 = 1'd0;
reg builder_rhs_array_muxed20 = 1'd0;
reg [20:0] builder_rhs_array_muxed21 = 21'd0;
reg builder_rhs_array_muxed22 = 1'd0;
reg builder_rhs_array_muxed23 = 1'd0;
reg [20:0] builder_rhs_array_muxed24 = 21'd0;
reg builder_rhs_array_muxed25 = 1'd0;
reg builder_rhs_array_muxed26 = 1'd0;
reg [20:0] builder_rhs_array_muxed27 = 21'd0;
reg builder_rhs_array_muxed28 = 1'd0;
reg builder_rhs_array_muxed29 = 1'd0;
reg [20:0] builder_rhs_array_muxed30 = 21'd0;
reg builder_rhs_array_muxed31 = 1'd0;
reg builder_rhs_array_muxed32 = 1'd0;
reg [20:0] builder_rhs_array_muxed33 = 21'd0;
reg builder_rhs_array_muxed34 = 1'd0;
reg builder_rhs_array_muxed35 = 1'd0;
reg [29:0] builder_rhs_array_muxed36 = 30'd0;
reg [31:0] builder_rhs_array_muxed37 = 32'd0;
reg [3:0] builder_rhs_array_muxed38 = 4'd0;
reg builder_rhs_array_muxed39 = 1'd0;
reg builder_rhs_array_muxed40 = 1'd0;
reg builder_rhs_array_muxed41 = 1'd0;
reg [2:0] builder_rhs_array_muxed42 = 3'd0;
reg [1:0] builder_rhs_array_muxed43 = 2'd0;
reg [29:0] builder_rhs_array_muxed44 = 30'd0;
reg [31:0] builder_rhs_array_muxed45 = 32'd0;
reg [3:0] builder_rhs_array_muxed46 = 4'd0;
reg builder_rhs_array_muxed47 = 1'd0;
reg builder_rhs_array_muxed48 = 1'd0;
reg builder_rhs_array_muxed49 = 1'd0;
reg [2:0] builder_rhs_array_muxed50 = 3'd0;
reg [1:0] builder_rhs_array_muxed51 = 2'd0;
reg [2:0] builder_array_muxed0 = 3'd0;
reg [13:0] builder_array_muxed1 = 14'd0;
reg builder_array_muxed2 = 1'd0;
reg builder_array_muxed3 = 1'd0;
reg builder_array_muxed4 = 1'd0;
reg builder_array_muxed5 = 1'd0;
reg builder_array_muxed6 = 1'd0;
reg [2:0] builder_array_muxed7 = 3'd0;
reg [13:0] builder_array_muxed8 = 14'd0;
reg builder_array_muxed9 = 1'd0;
reg builder_array_muxed10 = 1'd0;
reg builder_array_muxed11 = 1'd0;
reg builder_array_muxed12 = 1'd0;
reg builder_array_muxed13 = 1'd0;
reg [2:0] builder_array_muxed14 = 3'd0;
reg [13:0] builder_array_muxed15 = 14'd0;
reg builder_array_muxed16 = 1'd0;
reg builder_array_muxed17 = 1'd0;
reg builder_array_muxed18 = 1'd0;
reg builder_array_muxed19 = 1'd0;
reg builder_array_muxed20 = 1'd0;
reg [2:0] builder_array_muxed21 = 3'd0;
reg [13:0] builder_array_muxed22 = 14'd0;
reg builder_array_muxed23 = 1'd0;
reg builder_array_muxed24 = 1'd0;
reg builder_array_muxed25 = 1'd0;
reg builder_array_muxed26 = 1'd0;
reg builder_array_muxed27 = 1'd0;
(* async_reg = "true", mr_ff = "true", dont_touch = "true" *) reg builder_regs0 = 1'd0;
(* async_reg = "true", dont_touch = "true" *) reg builder_regs1 = 1'd0;
wire builder_xilinxasyncresetsynchronizerimpl0;
wire builder_xilinxasyncresetsynchronizerimpl0_rst_meta;
wire builder_xilinxasyncresetsynchronizerimpl1;
wire builder_xilinxasyncresetsynchronizerimpl1_rst_meta;
wire builder_xilinxasyncresetsynchronizerimpl1_expr;
wire builder_xilinxasyncresetsynchronizerimpl2;
wire builder_xilinxasyncresetsynchronizerimpl2_rst_meta;
wire builder_xilinxasyncresetsynchronizerimpl2_expr;
wire builder_xilinxasyncresetsynchronizerimpl3;
wire builder_xilinxasyncresetsynchronizerimpl3_rst_meta;
assign main_ctrl_bus_error = builder_basesoc_error;
assign main_ctrl_reset = main_ctrl_reset_re;
assign main_ctrl_bus_errors_status = main_ctrl_bus_errors;
always @(*) begin
main_sram_we <= 4'd0;
main_sram_we[0] <= (((main_sram_bus_cyc & main_sram_bus_stb) & main_sram_bus_we) & main_sram_bus_sel[0]);
main_sram_we[1] <= (((main_sram_bus_cyc & main_sram_bus_stb) & main_sram_bus_we) & main_sram_bus_sel[1]);
main_sram_we[2] <= (((main_sram_bus_cyc & main_sram_bus_stb) & main_sram_bus_we) & main_sram_bus_sel[2]);
main_sram_we[3] <= (((main_sram_bus_cyc & main_sram_bus_stb) & main_sram_bus_we) & main_sram_bus_sel[3]);
end
assign main_sram_adr = main_sram_bus_adr[9:0];
assign main_sram_bus_dat_r = main_sram_dat_r;
assign main_sram_dat_w = main_sram_bus_dat_w;
assign main_uart_reset = main_uart_done;
assign main_uart_source_ready = 1'd1;
assign main_uart_wishbone_adr = (main_uart_address + main_uart_word_counter);
assign main_uart_wishbone_dat_w = main_uart_data;
assign main_uart_wishbone_sel = 4'd15;
always @(*) begin
main_uart_sink_payload_data <= 8'd0;
case (main_uart_byte_counter)
1'd0: begin
main_uart_sink_payload_data <= main_uart_data[31:24];
end
1'd1: begin
main_uart_sink_payload_data <= main_uart_data[23:16];
end
2'd2: begin
main_uart_sink_payload_data <= main_uart_data[15:8];
end
default: begin
main_uart_sink_payload_data <= main_uart_data[7:0];
end
endcase
end
assign main_uart_wait = (~main_uart_is_ongoing);
assign main_uart_sink_last = ((main_uart_byte_counter == 2'd3) & (main_uart_word_counter == (main_uart_length - 1'd1)));
always @(*) begin
main_uart_word_counter_ce <= 1'd0;
builder_uartwishbonebridge_next_state <= 3'd0;
main_uart_wishbone_cyc <= 1'd0;
main_uart_cmd_ce <= 1'd0;
main_uart_wishbone_stb <= 1'd0;
main_uart_length_ce <= 1'd0;
main_uart_wishbone_we <= 1'd0;
main_uart_address_ce <= 1'd0;
main_uart_rx_data_ce <= 1'd0;
main_uart_byte_counter_reset <= 1'd0;
main_uart_tx_data_ce <= 1'd0;
main_uart_byte_counter_ce <= 1'd0;
main_uart_word_counter_reset <= 1'd0;
main_uart_is_ongoing <= 1'd0;
main_uart_sink_valid <= 1'd0;
builder_uartwishbonebridge_next_state <= builder_uartwishbonebridge_state;
case (builder_uartwishbonebridge_state)
1'd1: begin
if (main_uart_source_valid) begin
main_uart_length_ce <= 1'd1;
builder_uartwishbonebridge_next_state <= 2'd2;
end
end
2'd2: begin
if (main_uart_source_valid) begin
main_uart_address_ce <= 1'd1;
main_uart_byte_counter_ce <= 1'd1;
if ((main_uart_byte_counter == 2'd3)) begin
if ((main_uart_cmd == 1'd1)) begin
builder_uartwishbonebridge_next_state <= 2'd3;
end else begin
if ((main_uart_cmd == 2'd2)) begin
builder_uartwishbonebridge_next_state <= 3'd5;
end
end
main_uart_byte_counter_reset <= 1'd1;
end
end
end
2'd3: begin
if (main_uart_source_valid) begin
main_uart_rx_data_ce <= 1'd1;
main_uart_byte_counter_ce <= 1'd1;
if ((main_uart_byte_counter == 2'd3)) begin
builder_uartwishbonebridge_next_state <= 3'd4;
main_uart_byte_counter_reset <= 1'd1;
end
end
end
3'd4: begin
main_uart_wishbone_stb <= 1'd1;
main_uart_wishbone_we <= 1'd1;
main_uart_wishbone_cyc <= 1'd1;
if (main_uart_wishbone_ack) begin
main_uart_word_counter_ce <= 1'd1;
if ((main_uart_word_counter == (main_uart_length - 1'd1))) begin
builder_uartwishbonebridge_next_state <= 1'd0;
end else begin
builder_uartwishbonebridge_next_state <= 2'd3;
end
end
end
3'd5: begin
main_uart_wishbone_stb <= 1'd1;
main_uart_wishbone_we <= 1'd0;
main_uart_wishbone_cyc <= 1'd1;
if (main_uart_wishbone_ack) begin
main_uart_tx_data_ce <= 1'd1;
builder_uartwishbonebridge_next_state <= 3'd6;
end
end
3'd6: begin
main_uart_sink_valid <= 1'd1;
if (main_uart_sink_ready) begin
main_uart_byte_counter_ce <= 1'd1;
if ((main_uart_byte_counter == 2'd3)) begin
main_uart_word_counter_ce <= 1'd1;
if ((main_uart_word_counter == (main_uart_length - 1'd1))) begin
builder_uartwishbonebridge_next_state <= 1'd0;
end else begin
builder_uartwishbonebridge_next_state <= 3'd5;
main_uart_byte_counter_reset <= 1'd1;
end
end
end
end
default: begin
if (main_uart_source_valid) begin
main_uart_cmd_ce <= 1'd1;
if (((main_uart_source_payload_data == 1'd1) | (main_uart_source_payload_data == 2'd2))) begin
builder_uartwishbonebridge_next_state <= 1'd1;
end
main_uart_byte_counter_reset <= 1'd1;
main_uart_word_counter_reset <= 1'd1;
end
main_uart_is_ongoing <= 1'd1;
end
endcase
end
assign main_uart_done = (main_uart_count == 1'd0);
assign main_zero_trigger = (main_value != 1'd0);
assign main_eventmanager_status_w = main_zero_status;
always @(*) begin
main_zero_clear <= 1'd0;
if ((main_eventmanager_pending_re & main_eventmanager_pending_r)) begin
main_zero_clear <= 1'd1;
end
end
assign main_eventmanager_pending_w = main_zero_pending;
assign main_irq = (main_eventmanager_pending_w & main_eventmanager_storage);
assign main_zero_status = main_zero_trigger;
assign main_interface_dat_w = main_bus_wishbone_dat_w;
assign main_bus_wishbone_dat_r = main_interface_dat_r;
always @(*) begin
builder_wb2csr_next_state <= 1'd0;
main_interface_adr <= 14'd0;
main_interface_we <= 1'd0;
main_bus_wishbone_ack <= 1'd0;
builder_wb2csr_next_state <= builder_wb2csr_state;
case (builder_wb2csr_state)
1'd1: begin
main_bus_wishbone_ack <= 1'd1;
builder_wb2csr_next_state <= 1'd0;
end
default: begin
if ((main_bus_wishbone_cyc & main_bus_wishbone_stb)) begin
main_interface_adr <= main_bus_wishbone_adr;
main_interface_we <= main_bus_wishbone_we;
builder_wb2csr_next_state <= 1'd1;
end
end
endcase
end
assign main_reset = (~cpu_reset);
assign sys_clk = main_clkout_buf0;
assign sys4x_clk = main_clkout_buf1;
assign sys4x_clkb = main_clkout_buf4;
assign sys4x_dqs_clk = main_clkout_buf2;
assign clk200_clk = main_clkout_buf3;
always @(*) begin
main_a7ddrphy_dqs_serdes_pattern <= 8'd85;
main_a7ddrphy_dqs_serdes_pattern <= 7'd85;
if ((main_a7ddrphy_dqs_preamble | main_a7ddrphy_dqs_postamble)) begin
main_a7ddrphy_dqs_serdes_pattern <= 1'd0;
end
end
assign main_a7ddrphy_bitslip0_i = main_a7ddrphy_dq_i_data0;
assign main_a7ddrphy_bitslip1_i = main_a7ddrphy_dq_i_data1;
assign main_a7ddrphy_bitslip2_i = main_a7ddrphy_dq_i_data2;
assign main_a7ddrphy_bitslip3_i = main_a7ddrphy_dq_i_data3;
assign main_a7ddrphy_bitslip4_i = main_a7ddrphy_dq_i_data4;
assign main_a7ddrphy_bitslip5_i = main_a7ddrphy_dq_i_data5;
assign main_a7ddrphy_bitslip6_i = main_a7ddrphy_dq_i_data6;
assign main_a7ddrphy_bitslip7_i = main_a7ddrphy_dq_i_data7;
assign main_a7ddrphy_bitslip8_i = main_a7ddrphy_dq_i_data8;
assign main_a7ddrphy_bitslip9_i = main_a7ddrphy_dq_i_data9;
assign main_a7ddrphy_bitslip10_i = main_a7ddrphy_dq_i_data10;
assign main_a7ddrphy_bitslip11_i = main_a7ddrphy_dq_i_data11;
assign main_a7ddrphy_bitslip12_i = main_a7ddrphy_dq_i_data12;
assign main_a7ddrphy_bitslip13_i = main_a7ddrphy_dq_i_data13;
assign main_a7ddrphy_bitslip14_i = main_a7ddrphy_dq_i_data14;
assign main_a7ddrphy_bitslip15_i = main_a7ddrphy_dq_i_data15;
always @(*) begin
main_a7ddrphy_dfi_p0_rddata <= 32'd0;
main_a7ddrphy_dfi_p0_rddata[0] <= main_a7ddrphy_bitslip0_o[0];
main_a7ddrphy_dfi_p0_rddata[16] <= main_a7ddrphy_bitslip0_o[1];
main_a7ddrphy_dfi_p0_rddata[1] <= main_a7ddrphy_bitslip1_o[0];
main_a7ddrphy_dfi_p0_rddata[17] <= main_a7ddrphy_bitslip1_o[1];
main_a7ddrphy_dfi_p0_rddata[2] <= main_a7ddrphy_bitslip2_o[0];
main_a7ddrphy_dfi_p0_rddata[18] <= main_a7ddrphy_bitslip2_o[1];
main_a7ddrphy_dfi_p0_rddata[3] <= main_a7ddrphy_bitslip3_o[0];
main_a7ddrphy_dfi_p0_rddata[19] <= main_a7ddrphy_bitslip3_o[1];
main_a7ddrphy_dfi_p0_rddata[4] <= main_a7ddrphy_bitslip4_o[0];
main_a7ddrphy_dfi_p0_rddata[20] <= main_a7ddrphy_bitslip4_o[1];
main_a7ddrphy_dfi_p0_rddata[5] <= main_a7ddrphy_bitslip5_o[0];
main_a7ddrphy_dfi_p0_rddata[21] <= main_a7ddrphy_bitslip5_o[1];
main_a7ddrphy_dfi_p0_rddata[6] <= main_a7ddrphy_bitslip6_o[0];
main_a7ddrphy_dfi_p0_rddata[22] <= main_a7ddrphy_bitslip6_o[1];
main_a7ddrphy_dfi_p0_rddata[7] <= main_a7ddrphy_bitslip7_o[0];
main_a7ddrphy_dfi_p0_rddata[23] <= main_a7ddrphy_bitslip7_o[1];
main_a7ddrphy_dfi_p0_rddata[8] <= main_a7ddrphy_bitslip8_o[0];
main_a7ddrphy_dfi_p0_rddata[24] <= main_a7ddrphy_bitslip8_o[1];
main_a7ddrphy_dfi_p0_rddata[9] <= main_a7ddrphy_bitslip9_o[0];
main_a7ddrphy_dfi_p0_rddata[25] <= main_a7ddrphy_bitslip9_o[1];
main_a7ddrphy_dfi_p0_rddata[10] <= main_a7ddrphy_bitslip10_o[0];
main_a7ddrphy_dfi_p0_rddata[26] <= main_a7ddrphy_bitslip10_o[1];
main_a7ddrphy_dfi_p0_rddata[11] <= main_a7ddrphy_bitslip11_o[0];
main_a7ddrphy_dfi_p0_rddata[27] <= main_a7ddrphy_bitslip11_o[1];
main_a7ddrphy_dfi_p0_rddata[12] <= main_a7ddrphy_bitslip12_o[0];
main_a7ddrphy_dfi_p0_rddata[28] <= main_a7ddrphy_bitslip12_o[1];
main_a7ddrphy_dfi_p0_rddata[13] <= main_a7ddrphy_bitslip13_o[0];
main_a7ddrphy_dfi_p0_rddata[29] <= main_a7ddrphy_bitslip13_o[1];
main_a7ddrphy_dfi_p0_rddata[14] <= main_a7ddrphy_bitslip14_o[0];
main_a7ddrphy_dfi_p0_rddata[30] <= main_a7ddrphy_bitslip14_o[1];
main_a7ddrphy_dfi_p0_rddata[15] <= main_a7ddrphy_bitslip15_o[0];
main_a7ddrphy_dfi_p0_rddata[31] <= main_a7ddrphy_bitslip15_o[1];
end
always @(*) begin
main_a7ddrphy_dfi_p1_rddata <= 32'd0;
main_a7ddrphy_dfi_p1_rddata[0] <= main_a7ddrphy_bitslip0_o[2];
main_a7ddrphy_dfi_p1_rddata[16] <= main_a7ddrphy_bitslip0_o[3];
main_a7ddrphy_dfi_p1_rddata[1] <= main_a7ddrphy_bitslip1_o[2];
main_a7ddrphy_dfi_p1_rddata[17] <= main_a7ddrphy_bitslip1_o[3];
main_a7ddrphy_dfi_p1_rddata[2] <= main_a7ddrphy_bitslip2_o[2];
main_a7ddrphy_dfi_p1_rddata[18] <= main_a7ddrphy_bitslip2_o[3];
main_a7ddrphy_dfi_p1_rddata[3] <= main_a7ddrphy_bitslip3_o[2];
main_a7ddrphy_dfi_p1_rddata[19] <= main_a7ddrphy_bitslip3_o[3];
main_a7ddrphy_dfi_p1_rddata[4] <= main_a7ddrphy_bitslip4_o[2];
main_a7ddrphy_dfi_p1_rddata[20] <= main_a7ddrphy_bitslip4_o[3];
main_a7ddrphy_dfi_p1_rddata[5] <= main_a7ddrphy_bitslip5_o[2];
main_a7ddrphy_dfi_p1_rddata[21] <= main_a7ddrphy_bitslip5_o[3];
main_a7ddrphy_dfi_p1_rddata[6] <= main_a7ddrphy_bitslip6_o[2];
main_a7ddrphy_dfi_p1_rddata[22] <= main_a7ddrphy_bitslip6_o[3];
main_a7ddrphy_dfi_p1_rddata[7] <= main_a7ddrphy_bitslip7_o[2];
main_a7ddrphy_dfi_p1_rddata[23] <= main_a7ddrphy_bitslip7_o[3];
main_a7ddrphy_dfi_p1_rddata[8] <= main_a7ddrphy_bitslip8_o[2];
main_a7ddrphy_dfi_p1_rddata[24] <= main_a7ddrphy_bitslip8_o[3];
main_a7ddrphy_dfi_p1_rddata[9] <= main_a7ddrphy_bitslip9_o[2];
main_a7ddrphy_dfi_p1_rddata[25] <= main_a7ddrphy_bitslip9_o[3];
main_a7ddrphy_dfi_p1_rddata[10] <= main_a7ddrphy_bitslip10_o[2];
main_a7ddrphy_dfi_p1_rddata[26] <= main_a7ddrphy_bitslip10_o[3];
main_a7ddrphy_dfi_p1_rddata[11] <= main_a7ddrphy_bitslip11_o[2];
main_a7ddrphy_dfi_p1_rddata[27] <= main_a7ddrphy_bitslip11_o[3];
main_a7ddrphy_dfi_p1_rddata[12] <= main_a7ddrphy_bitslip12_o[2];
main_a7ddrphy_dfi_p1_rddata[28] <= main_a7ddrphy_bitslip12_o[3];
main_a7ddrphy_dfi_p1_rddata[13] <= main_a7ddrphy_bitslip13_o[2];
main_a7ddrphy_dfi_p1_rddata[29] <= main_a7ddrphy_bitslip13_o[3];
main_a7ddrphy_dfi_p1_rddata[14] <= main_a7ddrphy_bitslip14_o[2];
main_a7ddrphy_dfi_p1_rddata[30] <= main_a7ddrphy_bitslip14_o[3];
main_a7ddrphy_dfi_p1_rddata[15] <= main_a7ddrphy_bitslip15_o[2];
main_a7ddrphy_dfi_p1_rddata[31] <= main_a7ddrphy_bitslip15_o[3];
end
always @(*) begin
main_a7ddrphy_dfi_p2_rddata <= 32'd0;
main_a7ddrphy_dfi_p2_rddata[0] <= main_a7ddrphy_bitslip0_o[4];
main_a7ddrphy_dfi_p2_rddata[16] <= main_a7ddrphy_bitslip0_o[5];
main_a7ddrphy_dfi_p2_rddata[1] <= main_a7ddrphy_bitslip1_o[4];
main_a7ddrphy_dfi_p2_rddata[17] <= main_a7ddrphy_bitslip1_o[5];
main_a7ddrphy_dfi_p2_rddata[2] <= main_a7ddrphy_bitslip2_o[4];
main_a7ddrphy_dfi_p2_rddata[18] <= main_a7ddrphy_bitslip2_o[5];
main_a7ddrphy_dfi_p2_rddata[3] <= main_a7ddrphy_bitslip3_o[4];
main_a7ddrphy_dfi_p2_rddata[19] <= main_a7ddrphy_bitslip3_o[5];
main_a7ddrphy_dfi_p2_rddata[4] <= main_a7ddrphy_bitslip4_o[4];
main_a7ddrphy_dfi_p2_rddata[20] <= main_a7ddrphy_bitslip4_o[5];
main_a7ddrphy_dfi_p2_rddata[5] <= main_a7ddrphy_bitslip5_o[4];
main_a7ddrphy_dfi_p2_rddata[21] <= main_a7ddrphy_bitslip5_o[5];
main_a7ddrphy_dfi_p2_rddata[6] <= main_a7ddrphy_bitslip6_o[4];
main_a7ddrphy_dfi_p2_rddata[22] <= main_a7ddrphy_bitslip6_o[5];
main_a7ddrphy_dfi_p2_rddata[7] <= main_a7ddrphy_bitslip7_o[4];
main_a7ddrphy_dfi_p2_rddata[23] <= main_a7ddrphy_bitslip7_o[5];
main_a7ddrphy_dfi_p2_rddata[8] <= main_a7ddrphy_bitslip8_o[4];
main_a7ddrphy_dfi_p2_rddata[24] <= main_a7ddrphy_bitslip8_o[5];
main_a7ddrphy_dfi_p2_rddata[9] <= main_a7ddrphy_bitslip9_o[4];
main_a7ddrphy_dfi_p2_rddata[25] <= main_a7ddrphy_bitslip9_o[5];
main_a7ddrphy_dfi_p2_rddata[10] <= main_a7ddrphy_bitslip10_o[4];
main_a7ddrphy_dfi_p2_rddata[26] <= main_a7ddrphy_bitslip10_o[5];
main_a7ddrphy_dfi_p2_rddata[11] <= main_a7ddrphy_bitslip11_o[4];
main_a7ddrphy_dfi_p2_rddata[27] <= main_a7ddrphy_bitslip11_o[5];
main_a7ddrphy_dfi_p2_rddata[12] <= main_a7ddrphy_bitslip12_o[4];
main_a7ddrphy_dfi_p2_rddata[28] <= main_a7ddrphy_bitslip12_o[5];
main_a7ddrphy_dfi_p2_rddata[13] <= main_a7ddrphy_bitslip13_o[4];
main_a7ddrphy_dfi_p2_rddata[29] <= main_a7ddrphy_bitslip13_o[5];
main_a7ddrphy_dfi_p2_rddata[14] <= main_a7ddrphy_bitslip14_o[4];
main_a7ddrphy_dfi_p2_rddata[30] <= main_a7ddrphy_bitslip14_o[5];
main_a7ddrphy_dfi_p2_rddata[15] <= main_a7ddrphy_bitslip15_o[4];
main_a7ddrphy_dfi_p2_rddata[31] <= main_a7ddrphy_bitslip15_o[5];
end
always @(*) begin
main_a7ddrphy_dfi_p3_rddata <= 32'd0;
main_a7ddrphy_dfi_p3_rddata[0] <= main_a7ddrphy_bitslip0_o[6];
main_a7ddrphy_dfi_p3_rddata[16] <= main_a7ddrphy_bitslip0_o[7];
main_a7ddrphy_dfi_p3_rddata[1] <= main_a7ddrphy_bitslip1_o[6];
main_a7ddrphy_dfi_p3_rddata[17] <= main_a7ddrphy_bitslip1_o[7];
main_a7ddrphy_dfi_p3_rddata[2] <= main_a7ddrphy_bitslip2_o[6];
main_a7ddrphy_dfi_p3_rddata[18] <= main_a7ddrphy_bitslip2_o[7];
main_a7ddrphy_dfi_p3_rddata[3] <= main_a7ddrphy_bitslip3_o[6];
main_a7ddrphy_dfi_p3_rddata[19] <= main_a7ddrphy_bitslip3_o[7];
main_a7ddrphy_dfi_p3_rddata[4] <= main_a7ddrphy_bitslip4_o[6];
main_a7ddrphy_dfi_p3_rddata[20] <= main_a7ddrphy_bitslip4_o[7];
main_a7ddrphy_dfi_p3_rddata[5] <= main_a7ddrphy_bitslip5_o[6];
main_a7ddrphy_dfi_p3_rddata[21] <= main_a7ddrphy_bitslip5_o[7];
main_a7ddrphy_dfi_p3_rddata[6] <= main_a7ddrphy_bitslip6_o[6];
main_a7ddrphy_dfi_p3_rddata[22] <= main_a7ddrphy_bitslip6_o[7];
main_a7ddrphy_dfi_p3_rddata[7] <= main_a7ddrphy_bitslip7_o[6];
main_a7ddrphy_dfi_p3_rddata[23] <= main_a7ddrphy_bitslip7_o[7];
main_a7ddrphy_dfi_p3_rddata[8] <= main_a7ddrphy_bitslip8_o[6];
main_a7ddrphy_dfi_p3_rddata[24] <= main_a7ddrphy_bitslip8_o[7];
main_a7ddrphy_dfi_p3_rddata[9] <= main_a7ddrphy_bitslip9_o[6];
main_a7ddrphy_dfi_p3_rddata[25] <= main_a7ddrphy_bitslip9_o[7];
main_a7ddrphy_dfi_p3_rddata[10] <= main_a7ddrphy_bitslip10_o[6];
main_a7ddrphy_dfi_p3_rddata[26] <= main_a7ddrphy_bitslip10_o[7];
main_a7ddrphy_dfi_p3_rddata[11] <= main_a7ddrphy_bitslip11_o[6];
main_a7ddrphy_dfi_p3_rddata[27] <= main_a7ddrphy_bitslip11_o[7];
main_a7ddrphy_dfi_p3_rddata[12] <= main_a7ddrphy_bitslip12_o[6];
main_a7ddrphy_dfi_p3_rddata[28] <= main_a7ddrphy_bitslip12_o[7];
main_a7ddrphy_dfi_p3_rddata[13] <= main_a7ddrphy_bitslip13_o[6];
main_a7ddrphy_dfi_p3_rddata[29] <= main_a7ddrphy_bitslip13_o[7];
main_a7ddrphy_dfi_p3_rddata[14] <= main_a7ddrphy_bitslip14_o[6];
main_a7ddrphy_dfi_p3_rddata[30] <= main_a7ddrphy_bitslip14_o[7];
main_a7ddrphy_dfi_p3_rddata[15] <= main_a7ddrphy_bitslip15_o[6];
main_a7ddrphy_dfi_p3_rddata[31] <= main_a7ddrphy_bitslip15_o[7];
end
assign main_a7ddrphy_oe = ((main_a7ddrphy_last_wrdata_en[1] | main_a7ddrphy_last_wrdata_en[2]) | main_a7ddrphy_last_wrdata_en[3]);
assign main_a7ddrphy_dqs_preamble = (main_a7ddrphy_last_wrdata_en[1] & (~main_a7ddrphy_last_wrdata_en[2]));
assign main_a7ddrphy_dqs_postamble = (main_a7ddrphy_last_wrdata_en[3] & (~main_a7ddrphy_last_wrdata_en[2]));
assign main_a7ddrphy_dfi_p0_address = main_sdram_master_p0_address;
assign main_a7ddrphy_dfi_p0_bank = main_sdram_master_p0_bank;
assign main_a7ddrphy_dfi_p0_cas_n = main_sdram_master_p0_cas_n;
assign main_a7ddrphy_dfi_p0_cs_n = main_sdram_master_p0_cs_n;
assign main_a7ddrphy_dfi_p0_ras_n = main_sdram_master_p0_ras_n;
assign main_a7ddrphy_dfi_p0_we_n = main_sdram_master_p0_we_n;
assign main_a7ddrphy_dfi_p0_cke = main_sdram_master_p0_cke;
assign main_a7ddrphy_dfi_p0_odt = main_sdram_master_p0_odt;
assign main_a7ddrphy_dfi_p0_reset_n = main_sdram_master_p0_reset_n;
assign main_a7ddrphy_dfi_p0_act_n = main_sdram_master_p0_act_n;
assign main_a7ddrphy_dfi_p0_wrdata = main_sdram_master_p0_wrdata;
assign main_a7ddrphy_dfi_p0_wrdata_en = main_sdram_master_p0_wrdata_en;
assign main_a7ddrphy_dfi_p0_wrdata_mask = main_sdram_master_p0_wrdata_mask;
assign main_a7ddrphy_dfi_p0_rddata_en = main_sdram_master_p0_rddata_en;
assign main_sdram_master_p0_rddata = main_a7ddrphy_dfi_p0_rddata;
assign main_sdram_master_p0_rddata_valid = main_a7ddrphy_dfi_p0_rddata_valid;
assign main_a7ddrphy_dfi_p1_address = main_sdram_master_p1_address;
assign main_a7ddrphy_dfi_p1_bank = main_sdram_master_p1_bank;
assign main_a7ddrphy_dfi_p1_cas_n = main_sdram_master_p1_cas_n;
assign main_a7ddrphy_dfi_p1_cs_n = main_sdram_master_p1_cs_n;
assign main_a7ddrphy_dfi_p1_ras_n = main_sdram_master_p1_ras_n;
assign main_a7ddrphy_dfi_p1_we_n = main_sdram_master_p1_we_n;
assign main_a7ddrphy_dfi_p1_cke = main_sdram_master_p1_cke;
assign main_a7ddrphy_dfi_p1_odt = main_sdram_master_p1_odt;
assign main_a7ddrphy_dfi_p1_reset_n = main_sdram_master_p1_reset_n;
assign main_a7ddrphy_dfi_p1_act_n = main_sdram_master_p1_act_n;
assign main_a7ddrphy_dfi_p1_wrdata = main_sdram_master_p1_wrdata;
assign main_a7ddrphy_dfi_p1_wrdata_en = main_sdram_master_p1_wrdata_en;
assign main_a7ddrphy_dfi_p1_wrdata_mask = main_sdram_master_p1_wrdata_mask;
assign main_a7ddrphy_dfi_p1_rddata_en = main_sdram_master_p1_rddata_en;
assign main_sdram_master_p1_rddata = main_a7ddrphy_dfi_p1_rddata;
assign main_sdram_master_p1_rddata_valid = main_a7ddrphy_dfi_p1_rddata_valid;
assign main_a7ddrphy_dfi_p2_address = main_sdram_master_p2_address;
assign main_a7ddrphy_dfi_p2_bank = main_sdram_master_p2_bank;
assign main_a7ddrphy_dfi_p2_cas_n = main_sdram_master_p2_cas_n;
assign main_a7ddrphy_dfi_p2_cs_n = main_sdram_master_p2_cs_n;
assign main_a7ddrphy_dfi_p2_ras_n = main_sdram_master_p2_ras_n;
assign main_a7ddrphy_dfi_p2_we_n = main_sdram_master_p2_we_n;
assign main_a7ddrphy_dfi_p2_cke = main_sdram_master_p2_cke;
assign main_a7ddrphy_dfi_p2_odt = main_sdram_master_p2_odt;
assign main_a7ddrphy_dfi_p2_reset_n = main_sdram_master_p2_reset_n;
assign main_a7ddrphy_dfi_p2_act_n = main_sdram_master_p2_act_n;
assign main_a7ddrphy_dfi_p2_wrdata = main_sdram_master_p2_wrdata;
assign main_a7ddrphy_dfi_p2_wrdata_en = main_sdram_master_p2_wrdata_en;
assign main_a7ddrphy_dfi_p2_wrdata_mask = main_sdram_master_p2_wrdata_mask;
assign main_a7ddrphy_dfi_p2_rddata_en = main_sdram_master_p2_rddata_en;
assign main_sdram_master_p2_rddata = main_a7ddrphy_dfi_p2_rddata;
assign main_sdram_master_p2_rddata_valid = main_a7ddrphy_dfi_p2_rddata_valid;
assign main_a7ddrphy_dfi_p3_address = main_sdram_master_p3_address;
assign main_a7ddrphy_dfi_p3_bank = main_sdram_master_p3_bank;
assign main_a7ddrphy_dfi_p3_cas_n = main_sdram_master_p3_cas_n;
assign main_a7ddrphy_dfi_p3_cs_n = main_sdram_master_p3_cs_n;
assign main_a7ddrphy_dfi_p3_ras_n = main_sdram_master_p3_ras_n;
assign main_a7ddrphy_dfi_p3_we_n = main_sdram_master_p3_we_n;
assign main_a7ddrphy_dfi_p3_cke = main_sdram_master_p3_cke;
assign main_a7ddrphy_dfi_p3_odt = main_sdram_master_p3_odt;
assign main_a7ddrphy_dfi_p3_reset_n = main_sdram_master_p3_reset_n;
assign main_a7ddrphy_dfi_p3_act_n = main_sdram_master_p3_act_n;
assign main_a7ddrphy_dfi_p3_wrdata = main_sdram_master_p3_wrdata;
assign main_a7ddrphy_dfi_p3_wrdata_en = main_sdram_master_p3_wrdata_en;
assign main_a7ddrphy_dfi_p3_wrdata_mask = main_sdram_master_p3_wrdata_mask;
assign main_a7ddrphy_dfi_p3_rddata_en = main_sdram_master_p3_rddata_en;
assign main_sdram_master_p3_rddata = main_a7ddrphy_dfi_p3_rddata;
assign main_sdram_master_p3_rddata_valid = main_a7ddrphy_dfi_p3_rddata_valid;
assign main_sdram_slave_p0_address = main_sdram_dfi_p0_address;
assign main_sdram_slave_p0_bank = main_sdram_dfi_p0_bank;
assign main_sdram_slave_p0_cas_n = main_sdram_dfi_p0_cas_n;
assign main_sdram_slave_p0_cs_n = main_sdram_dfi_p0_cs_n;
assign main_sdram_slave_p0_ras_n = main_sdram_dfi_p0_ras_n;
assign main_sdram_slave_p0_we_n = main_sdram_dfi_p0_we_n;
assign main_sdram_slave_p0_cke = main_sdram_dfi_p0_cke;
assign main_sdram_slave_p0_odt = main_sdram_dfi_p0_odt;
assign main_sdram_slave_p0_reset_n = main_sdram_dfi_p0_reset_n;
assign main_sdram_slave_p0_act_n = main_sdram_dfi_p0_act_n;
assign main_sdram_slave_p0_wrdata = main_sdram_dfi_p0_wrdata;
assign main_sdram_slave_p0_wrdata_en = main_sdram_dfi_p0_wrdata_en;
assign main_sdram_slave_p0_wrdata_mask = main_sdram_dfi_p0_wrdata_mask;
assign main_sdram_slave_p0_rddata_en = main_sdram_dfi_p0_rddata_en;
assign main_sdram_dfi_p0_rddata = main_sdram_slave_p0_rddata;
assign main_sdram_dfi_p0_rddata_valid = main_sdram_slave_p0_rddata_valid;
assign main_sdram_slave_p1_address = main_sdram_dfi_p1_address;
assign main_sdram_slave_p1_bank = main_sdram_dfi_p1_bank;
assign main_sdram_slave_p1_cas_n = main_sdram_dfi_p1_cas_n;
assign main_sdram_slave_p1_cs_n = main_sdram_dfi_p1_cs_n;
assign main_sdram_slave_p1_ras_n = main_sdram_dfi_p1_ras_n;
assign main_sdram_slave_p1_we_n = main_sdram_dfi_p1_we_n;
assign main_sdram_slave_p1_cke = main_sdram_dfi_p1_cke;
assign main_sdram_slave_p1_odt = main_sdram_dfi_p1_odt;
assign main_sdram_slave_p1_reset_n = main_sdram_dfi_p1_reset_n;
assign main_sdram_slave_p1_act_n = main_sdram_dfi_p1_act_n;
assign main_sdram_slave_p1_wrdata = main_sdram_dfi_p1_wrdata;
assign main_sdram_slave_p1_wrdata_en = main_sdram_dfi_p1_wrdata_en;
assign main_sdram_slave_p1_wrdata_mask = main_sdram_dfi_p1_wrdata_mask;
assign main_sdram_slave_p1_rddata_en = main_sdram_dfi_p1_rddata_en;
assign main_sdram_dfi_p1_rddata = main_sdram_slave_p1_rddata;
assign main_sdram_dfi_p1_rddata_valid = main_sdram_slave_p1_rddata_valid;
assign main_sdram_slave_p2_address = main_sdram_dfi_p2_address;
assign main_sdram_slave_p2_bank = main_sdram_dfi_p2_bank;
assign main_sdram_slave_p2_cas_n = main_sdram_dfi_p2_cas_n;
assign main_sdram_slave_p2_cs_n = main_sdram_dfi_p2_cs_n;
assign main_sdram_slave_p2_ras_n = main_sdram_dfi_p2_ras_n;
assign main_sdram_slave_p2_we_n = main_sdram_dfi_p2_we_n;
assign main_sdram_slave_p2_cke = main_sdram_dfi_p2_cke;
assign main_sdram_slave_p2_odt = main_sdram_dfi_p2_odt;
assign main_sdram_slave_p2_reset_n = main_sdram_dfi_p2_reset_n;
assign main_sdram_slave_p2_act_n = main_sdram_dfi_p2_act_n;
assign main_sdram_slave_p2_wrdata = main_sdram_dfi_p2_wrdata;
assign main_sdram_slave_p2_wrdata_en = main_sdram_dfi_p2_wrdata_en;
assign main_sdram_slave_p2_wrdata_mask = main_sdram_dfi_p2_wrdata_mask;
assign main_sdram_slave_p2_rddata_en = main_sdram_dfi_p2_rddata_en;
assign main_sdram_dfi_p2_rddata = main_sdram_slave_p2_rddata;
assign main_sdram_dfi_p2_rddata_valid = main_sdram_slave_p2_rddata_valid;
assign main_sdram_slave_p3_address = main_sdram_dfi_p3_address;
assign main_sdram_slave_p3_bank = main_sdram_dfi_p3_bank;
assign main_sdram_slave_p3_cas_n = main_sdram_dfi_p3_cas_n;
assign main_sdram_slave_p3_cs_n = main_sdram_dfi_p3_cs_n;
assign main_sdram_slave_p3_ras_n = main_sdram_dfi_p3_ras_n;
assign main_sdram_slave_p3_we_n = main_sdram_dfi_p3_we_n;
assign main_sdram_slave_p3_cke = main_sdram_dfi_p3_cke;
assign main_sdram_slave_p3_odt = main_sdram_dfi_p3_odt;
assign main_sdram_slave_p3_reset_n = main_sdram_dfi_p3_reset_n;
assign main_sdram_slave_p3_act_n = main_sdram_dfi_p3_act_n;
assign main_sdram_slave_p3_wrdata = main_sdram_dfi_p3_wrdata;
assign main_sdram_slave_p3_wrdata_en = main_sdram_dfi_p3_wrdata_en;
assign main_sdram_slave_p3_wrdata_mask = main_sdram_dfi_p3_wrdata_mask;
assign main_sdram_slave_p3_rddata_en = main_sdram_dfi_p3_rddata_en;
assign main_sdram_dfi_p3_rddata = main_sdram_slave_p3_rddata;
assign main_sdram_dfi_p3_rddata_valid = main_sdram_slave_p3_rddata_valid;
always @(*) begin
main_sdram_master_p0_address <= 14'd0;
main_sdram_master_p0_bank <= 3'd0;
main_sdram_master_p0_cas_n <= 1'd1;
main_sdram_master_p0_cs_n <= 1'd1;
main_sdram_master_p0_ras_n <= 1'd1;
main_sdram_master_p0_we_n <= 1'd1;
main_sdram_master_p0_cke <= 1'd0;
main_sdram_master_p0_odt <= 1'd0;
main_sdram_master_p0_reset_n <= 1'd0;
main_sdram_master_p0_act_n <= 1'd1;
main_sdram_master_p0_wrdata <= 32'd0;
main_sdram_inti_p1_rddata <= 32'd0;
main_sdram_master_p0_wrdata_en <= 1'd0;
main_sdram_inti_p1_rddata_valid <= 1'd0;
main_sdram_master_p0_wrdata_mask <= 4'd0;
main_sdram_master_p0_rddata_en <= 1'd0;
main_sdram_master_p1_address <= 14'd0;
main_sdram_master_p1_bank <= 3'd0;
main_sdram_master_p1_cas_n <= 1'd1;
main_sdram_master_p1_cs_n <= 1'd1;
main_sdram_master_p1_ras_n <= 1'd1;
main_sdram_master_p1_we_n <= 1'd1;
main_sdram_master_p1_cke <= 1'd0;
main_sdram_master_p1_odt <= 1'd0;
main_sdram_master_p1_reset_n <= 1'd0;
main_sdram_master_p1_act_n <= 1'd1;
main_sdram_master_p1_wrdata <= 32'd0;
main_sdram_inti_p2_rddata <= 32'd0;
main_sdram_master_p1_wrdata_en <= 1'd0;
main_sdram_inti_p2_rddata_valid <= 1'd0;
main_sdram_master_p1_wrdata_mask <= 4'd0;
main_sdram_master_p1_rddata_en <= 1'd0;
main_sdram_master_p2_address <= 14'd0;
main_sdram_master_p2_bank <= 3'd0;
main_sdram_master_p2_cas_n <= 1'd1;
main_sdram_master_p2_cs_n <= 1'd1;
main_sdram_master_p2_ras_n <= 1'd1;
main_sdram_master_p2_we_n <= 1'd1;
main_sdram_master_p2_cke <= 1'd0;
main_sdram_master_p2_odt <= 1'd0;
main_sdram_master_p2_reset_n <= 1'd0;
main_sdram_master_p2_act_n <= 1'd1;
main_sdram_master_p2_wrdata <= 32'd0;
main_sdram_inti_p3_rddata <= 32'd0;
main_sdram_master_p2_wrdata_en <= 1'd0;
main_sdram_inti_p3_rddata_valid <= 1'd0;
main_sdram_master_p2_wrdata_mask <= 4'd0;
main_sdram_master_p2_rddata_en <= 1'd0;
main_sdram_master_p3_address <= 14'd0;
main_sdram_master_p3_bank <= 3'd0;
main_sdram_master_p3_cas_n <= 1'd1;
main_sdram_master_p3_cs_n <= 1'd1;
main_sdram_master_p3_ras_n <= 1'd1;
main_sdram_master_p3_we_n <= 1'd1;
main_sdram_master_p3_cke <= 1'd0;
main_sdram_master_p3_odt <= 1'd0;
main_sdram_master_p3_reset_n <= 1'd0;
main_sdram_master_p3_act_n <= 1'd1;
main_sdram_master_p3_wrdata <= 32'd0;
main_sdram_master_p3_wrdata_en <= 1'd0;
main_sdram_master_p3_wrdata_mask <= 4'd0;
main_sdram_master_p3_rddata_en <= 1'd0;
main_sdram_slave_p0_rddata <= 32'd0;
main_sdram_slave_p0_rddata_valid <= 1'd0;
main_sdram_slave_p1_rddata <= 32'd0;
main_sdram_slave_p1_rddata_valid <= 1'd0;
main_sdram_slave_p2_rddata <= 32'd0;
main_sdram_slave_p2_rddata_valid <= 1'd0;
main_sdram_slave_p3_rddata <= 32'd0;
main_sdram_slave_p3_rddata_valid <= 1'd0;
main_sdram_inti_p0_rddata <= 32'd0;
main_sdram_inti_p0_rddata_valid <= 1'd0;
if (main_sdram_storage[0]) begin
main_sdram_master_p0_address <= main_sdram_slave_p0_address;
main_sdram_master_p0_bank <= main_sdram_slave_p0_bank;
main_sdram_master_p0_cas_n <= main_sdram_slave_p0_cas_n;
main_sdram_master_p0_cs_n <= main_sdram_slave_p0_cs_n;
main_sdram_master_p0_ras_n <= main_sdram_slave_p0_ras_n;
main_sdram_master_p0_we_n <= main_sdram_slave_p0_we_n;
main_sdram_master_p0_cke <= main_sdram_slave_p0_cke;
main_sdram_master_p0_odt <= main_sdram_slave_p0_odt;
main_sdram_master_p0_reset_n <= main_sdram_slave_p0_reset_n;
main_sdram_master_p0_act_n <= main_sdram_slave_p0_act_n;
main_sdram_master_p0_wrdata <= main_sdram_slave_p0_wrdata;
main_sdram_master_p0_wrdata_en <= main_sdram_slave_p0_wrdata_en;
main_sdram_master_p0_wrdata_mask <= main_sdram_slave_p0_wrdata_mask;
main_sdram_master_p0_rddata_en <= main_sdram_slave_p0_rddata_en;
main_sdram_slave_p0_rddata <= main_sdram_master_p0_rddata;
main_sdram_slave_p0_rddata_valid <= main_sdram_master_p0_rddata_valid;
main_sdram_master_p1_address <= main_sdram_slave_p1_address;
main_sdram_master_p1_bank <= main_sdram_slave_p1_bank;
main_sdram_master_p1_cas_n <= main_sdram_slave_p1_cas_n;
main_sdram_master_p1_cs_n <= main_sdram_slave_p1_cs_n;
main_sdram_master_p1_ras_n <= main_sdram_slave_p1_ras_n;
main_sdram_master_p1_we_n <= main_sdram_slave_p1_we_n;
main_sdram_master_p1_cke <= main_sdram_slave_p1_cke;
main_sdram_master_p1_odt <= main_sdram_slave_p1_odt;
main_sdram_master_p1_reset_n <= main_sdram_slave_p1_reset_n;
main_sdram_master_p1_act_n <= main_sdram_slave_p1_act_n;
main_sdram_master_p1_wrdata <= main_sdram_slave_p1_wrdata;
main_sdram_master_p1_wrdata_en <= main_sdram_slave_p1_wrdata_en;
main_sdram_master_p1_wrdata_mask <= main_sdram_slave_p1_wrdata_mask;
main_sdram_master_p1_rddata_en <= main_sdram_slave_p1_rddata_en;
main_sdram_slave_p1_rddata <= main_sdram_master_p1_rddata;
main_sdram_slave_p1_rddata_valid <= main_sdram_master_p1_rddata_valid;
main_sdram_master_p2_address <= main_sdram_slave_p2_address;
main_sdram_master_p2_bank <= main_sdram_slave_p2_bank;
main_sdram_master_p2_cas_n <= main_sdram_slave_p2_cas_n;
main_sdram_master_p2_cs_n <= main_sdram_slave_p2_cs_n;
main_sdram_master_p2_ras_n <= main_sdram_slave_p2_ras_n;
main_sdram_master_p2_we_n <= main_sdram_slave_p2_we_n;
main_sdram_master_p2_cke <= main_sdram_slave_p2_cke;
main_sdram_master_p2_odt <= main_sdram_slave_p2_odt;
main_sdram_master_p2_reset_n <= main_sdram_slave_p2_reset_n;
main_sdram_master_p2_act_n <= main_sdram_slave_p2_act_n;
main_sdram_master_p2_wrdata <= main_sdram_slave_p2_wrdata;
main_sdram_master_p2_wrdata_en <= main_sdram_slave_p2_wrdata_en;
main_sdram_master_p2_wrdata_mask <= main_sdram_slave_p2_wrdata_mask;
main_sdram_master_p2_rddata_en <= main_sdram_slave_p2_rddata_en;
main_sdram_slave_p2_rddata <= main_sdram_master_p2_rddata;
main_sdram_slave_p2_rddata_valid <= main_sdram_master_p2_rddata_valid;
main_sdram_master_p3_address <= main_sdram_slave_p3_address;
main_sdram_master_p3_bank <= main_sdram_slave_p3_bank;
main_sdram_master_p3_cas_n <= main_sdram_slave_p3_cas_n;
main_sdram_master_p3_cs_n <= main_sdram_slave_p3_cs_n;
main_sdram_master_p3_ras_n <= main_sdram_slave_p3_ras_n;
main_sdram_master_p3_we_n <= main_sdram_slave_p3_we_n;
main_sdram_master_p3_cke <= main_sdram_slave_p3_cke;
main_sdram_master_p3_odt <= main_sdram_slave_p3_odt;
main_sdram_master_p3_reset_n <= main_sdram_slave_p3_reset_n;
main_sdram_master_p3_act_n <= main_sdram_slave_p3_act_n;
main_sdram_master_p3_wrdata <= main_sdram_slave_p3_wrdata;
main_sdram_master_p3_wrdata_en <= main_sdram_slave_p3_wrdata_en;
main_sdram_master_p3_wrdata_mask <= main_sdram_slave_p3_wrdata_mask;
main_sdram_master_p3_rddata_en <= main_sdram_slave_p3_rddata_en;
main_sdram_slave_p3_rddata <= main_sdram_master_p3_rddata;
main_sdram_slave_p3_rddata_valid <= main_sdram_master_p3_rddata_valid;
end else begin
main_sdram_master_p0_address <= main_sdram_inti_p0_address;
main_sdram_master_p0_bank <= main_sdram_inti_p0_bank;
main_sdram_master_p0_cas_n <= main_sdram_inti_p0_cas_n;
main_sdram_master_p0_cs_n <= main_sdram_inti_p0_cs_n;
main_sdram_master_p0_ras_n <= main_sdram_inti_p0_ras_n;
main_sdram_master_p0_we_n <= main_sdram_inti_p0_we_n;
main_sdram_master_p0_cke <= main_sdram_inti_p0_cke;
main_sdram_master_p0_odt <= main_sdram_inti_p0_odt;
main_sdram_master_p0_reset_n <= main_sdram_inti_p0_reset_n;
main_sdram_master_p0_act_n <= main_sdram_inti_p0_act_n;
main_sdram_master_p0_wrdata <= main_sdram_inti_p0_wrdata;
main_sdram_master_p0_wrdata_en <= main_sdram_inti_p0_wrdata_en;
main_sdram_master_p0_wrdata_mask <= main_sdram_inti_p0_wrdata_mask;
main_sdram_master_p0_rddata_en <= main_sdram_inti_p0_rddata_en;
main_sdram_inti_p0_rddata <= main_sdram_master_p0_rddata;
main_sdram_inti_p0_rddata_valid <= main_sdram_master_p0_rddata_valid;
main_sdram_master_p1_address <= main_sdram_inti_p1_address;
main_sdram_master_p1_bank <= main_sdram_inti_p1_bank;
main_sdram_master_p1_cas_n <= main_sdram_inti_p1_cas_n;
main_sdram_master_p1_cs_n <= main_sdram_inti_p1_cs_n;
main_sdram_master_p1_ras_n <= main_sdram_inti_p1_ras_n;
main_sdram_master_p1_we_n <= main_sdram_inti_p1_we_n;
main_sdram_master_p1_cke <= main_sdram_inti_p1_cke;
main_sdram_master_p1_odt <= main_sdram_inti_p1_odt;
main_sdram_master_p1_reset_n <= main_sdram_inti_p1_reset_n;
main_sdram_master_p1_act_n <= main_sdram_inti_p1_act_n;
main_sdram_master_p1_wrdata <= main_sdram_inti_p1_wrdata;
main_sdram_master_p1_wrdata_en <= main_sdram_inti_p1_wrdata_en;
main_sdram_master_p1_wrdata_mask <= main_sdram_inti_p1_wrdata_mask;
main_sdram_master_p1_rddata_en <= main_sdram_inti_p1_rddata_en;
main_sdram_inti_p1_rddata <= main_sdram_master_p1_rddata;
main_sdram_inti_p1_rddata_valid <= main_sdram_master_p1_rddata_valid;
main_sdram_master_p2_address <= main_sdram_inti_p2_address;
main_sdram_master_p2_bank <= main_sdram_inti_p2_bank;
main_sdram_master_p2_cas_n <= main_sdram_inti_p2_cas_n;
main_sdram_master_p2_cs_n <= main_sdram_inti_p2_cs_n;
main_sdram_master_p2_ras_n <= main_sdram_inti_p2_ras_n;
main_sdram_master_p2_we_n <= main_sdram_inti_p2_we_n;
main_sdram_master_p2_cke <= main_sdram_inti_p2_cke;
main_sdram_master_p2_odt <= main_sdram_inti_p2_odt;
main_sdram_master_p2_reset_n <= main_sdram_inti_p2_reset_n;
main_sdram_master_p2_act_n <= main_sdram_inti_p2_act_n;
main_sdram_master_p2_wrdata <= main_sdram_inti_p2_wrdata;
main_sdram_master_p2_wrdata_en <= main_sdram_inti_p2_wrdata_en;
main_sdram_master_p2_wrdata_mask <= main_sdram_inti_p2_wrdata_mask;
main_sdram_master_p2_rddata_en <= main_sdram_inti_p2_rddata_en;
main_sdram_inti_p2_rddata <= main_sdram_master_p2_rddata;
main_sdram_inti_p2_rddata_valid <= main_sdram_master_p2_rddata_valid;
main_sdram_master_p3_address <= main_sdram_inti_p3_address;
main_sdram_master_p3_bank <= main_sdram_inti_p3_bank;
main_sdram_master_p3_cas_n <= main_sdram_inti_p3_cas_n;
main_sdram_master_p3_cs_n <= main_sdram_inti_p3_cs_n;
main_sdram_master_p3_ras_n <= main_sdram_inti_p3_ras_n;
main_sdram_master_p3_we_n <= main_sdram_inti_p3_we_n;
main_sdram_master_p3_cke <= main_sdram_inti_p3_cke;
main_sdram_master_p3_odt <= main_sdram_inti_p3_odt;
main_sdram_master_p3_reset_n <= main_sdram_inti_p3_reset_n;
main_sdram_master_p3_act_n <= main_sdram_inti_p3_act_n;
main_sdram_master_p3_wrdata <= main_sdram_inti_p3_wrdata;
main_sdram_master_p3_wrdata_en <= main_sdram_inti_p3_wrdata_en;
main_sdram_master_p3_wrdata_mask <= main_sdram_inti_p3_wrdata_mask;
main_sdram_master_p3_rddata_en <= main_sdram_inti_p3_rddata_en;
main_sdram_inti_p3_rddata <= main_sdram_master_p3_rddata;
main_sdram_inti_p3_rddata_valid <= main_sdram_master_p3_rddata_valid;
end
end
assign main_sdram_inti_p0_cke = main_sdram_storage[1];
assign main_sdram_inti_p1_cke = main_sdram_storage[1];
assign main_sdram_inti_p2_cke = main_sdram_storage[1];
assign main_sdram_inti_p3_cke = main_sdram_storage[1];
assign main_sdram_inti_p0_odt = main_sdram_storage[2];
assign main_sdram_inti_p1_odt = main_sdram_storage[2];
assign main_sdram_inti_p2_odt = main_sdram_storage[2];
assign main_sdram_inti_p3_odt = main_sdram_storage[2];
assign main_sdram_inti_p0_reset_n = main_sdram_storage[3];
assign main_sdram_inti_p1_reset_n = main_sdram_storage[3];
assign main_sdram_inti_p2_reset_n = main_sdram_storage[3];
assign main_sdram_inti_p3_reset_n = main_sdram_storage[3];
always @(*) begin
main_sdram_inti_p0_cs_n <= 1'd1;
main_sdram_inti_p0_ras_n <= 1'd1;
main_sdram_inti_p0_we_n <= 1'd1;
main_sdram_inti_p0_cas_n <= 1'd1;
if (main_sdram_phaseinjector0_command_issue_re) begin
main_sdram_inti_p0_cs_n <= {1{(~main_sdram_phaseinjector0_command_storage[0])}};
main_sdram_inti_p0_we_n <= (~main_sdram_phaseinjector0_command_storage[1]);
main_sdram_inti_p0_cas_n <= (~main_sdram_phaseinjector0_command_storage[2]);
main_sdram_inti_p0_ras_n <= (~main_sdram_phaseinjector0_command_storage[3]);
end else begin
main_sdram_inti_p0_cs_n <= {1{1'd1}};
main_sdram_inti_p0_we_n <= 1'd1;
main_sdram_inti_p0_cas_n <= 1'd1;
main_sdram_inti_p0_ras_n <= 1'd1;
end
end
assign main_sdram_inti_p0_address = main_sdram_phaseinjector0_address_storage;
assign main_sdram_inti_p0_bank = main_sdram_phaseinjector0_baddress_storage;
assign main_sdram_inti_p0_wrdata_en = (main_sdram_phaseinjector0_command_issue_re & main_sdram_phaseinjector0_command_storage[4]);
assign main_sdram_inti_p0_rddata_en = (main_sdram_phaseinjector0_command_issue_re & main_sdram_phaseinjector0_command_storage[5]);
assign main_sdram_inti_p0_wrdata = main_sdram_phaseinjector0_wrdata_storage;
assign main_sdram_inti_p0_wrdata_mask = 1'd0;
always @(*) begin
main_sdram_inti_p1_cs_n <= 1'd1;
main_sdram_inti_p1_ras_n <= 1'd1;
main_sdram_inti_p1_we_n <= 1'd1;
main_sdram_inti_p1_cas_n <= 1'd1;
if (main_sdram_phaseinjector1_command_issue_re) begin
main_sdram_inti_p1_cs_n <= {1{(~main_sdram_phaseinjector1_command_storage[0])}};
main_sdram_inti_p1_we_n <= (~main_sdram_phaseinjector1_command_storage[1]);
main_sdram_inti_p1_cas_n <= (~main_sdram_phaseinjector1_command_storage[2]);
main_sdram_inti_p1_ras_n <= (~main_sdram_phaseinjector1_command_storage[3]);
end else begin
main_sdram_inti_p1_cs_n <= {1{1'd1}};
main_sdram_inti_p1_we_n <= 1'd1;
main_sdram_inti_p1_cas_n <= 1'd1;
main_sdram_inti_p1_ras_n <= 1'd1;
end
end
assign main_sdram_inti_p1_address = main_sdram_phaseinjector1_address_storage;
assign main_sdram_inti_p1_bank = main_sdram_phaseinjector1_baddress_storage;
assign main_sdram_inti_p1_wrdata_en = (main_sdram_phaseinjector1_command_issue_re & main_sdram_phaseinjector1_command_storage[4]);
assign main_sdram_inti_p1_rddata_en = (main_sdram_phaseinjector1_command_issue_re & main_sdram_phaseinjector1_command_storage[5]);
assign main_sdram_inti_p1_wrdata = main_sdram_phaseinjector1_wrdata_storage;
assign main_sdram_inti_p1_wrdata_mask = 1'd0;
always @(*) begin
main_sdram_inti_p2_cs_n <= 1'd1;
main_sdram_inti_p2_ras_n <= 1'd1;
main_sdram_inti_p2_we_n <= 1'd1;
main_sdram_inti_p2_cas_n <= 1'd1;
if (main_sdram_phaseinjector2_command_issue_re) begin
main_sdram_inti_p2_cs_n <= {1{(~main_sdram_phaseinjector2_command_storage[0])}};
main_sdram_inti_p2_we_n <= (~main_sdram_phaseinjector2_command_storage[1]);
main_sdram_inti_p2_cas_n <= (~main_sdram_phaseinjector2_command_storage[2]);
main_sdram_inti_p2_ras_n <= (~main_sdram_phaseinjector2_command_storage[3]);
end else begin
main_sdram_inti_p2_cs_n <= {1{1'd1}};
main_sdram_inti_p2_we_n <= 1'd1;
main_sdram_inti_p2_cas_n <= 1'd1;
main_sdram_inti_p2_ras_n <= 1'd1;
end
end
assign main_sdram_inti_p2_address = main_sdram_phaseinjector2_address_storage;
assign main_sdram_inti_p2_bank = main_sdram_phaseinjector2_baddress_storage;
assign main_sdram_inti_p2_wrdata_en = (main_sdram_phaseinjector2_command_issue_re & main_sdram_phaseinjector2_command_storage[4]);
assign main_sdram_inti_p2_rddata_en = (main_sdram_phaseinjector2_command_issue_re & main_sdram_phaseinjector2_command_storage[5]);
assign main_sdram_inti_p2_wrdata = main_sdram_phaseinjector2_wrdata_storage;
assign main_sdram_inti_p2_wrdata_mask = 1'd0;
always @(*) begin
main_sdram_inti_p3_cs_n <= 1'd1;
main_sdram_inti_p3_ras_n <= 1'd1;
main_sdram_inti_p3_we_n <= 1'd1;
main_sdram_inti_p3_cas_n <= 1'd1;
if (main_sdram_phaseinjector3_command_issue_re) begin
main_sdram_inti_p3_cs_n <= {1{(~main_sdram_phaseinjector3_command_storage[0])}};
main_sdram_inti_p3_we_n <= (~main_sdram_phaseinjector3_command_storage[1]);
main_sdram_inti_p3_cas_n <= (~main_sdram_phaseinjector3_command_storage[2]);
main_sdram_inti_p3_ras_n <= (~main_sdram_phaseinjector3_command_storage[3]);
end else begin
main_sdram_inti_p3_cs_n <= {1{1'd1}};
main_sdram_inti_p3_we_n <= 1'd1;
main_sdram_inti_p3_cas_n <= 1'd1;
main_sdram_inti_p3_ras_n <= 1'd1;
end
end
assign main_sdram_inti_p3_address = main_sdram_phaseinjector3_address_storage;
assign main_sdram_inti_p3_bank = main_sdram_phaseinjector3_baddress_storage;
assign main_sdram_inti_p3_wrdata_en = (main_sdram_phaseinjector3_command_issue_re & main_sdram_phaseinjector3_command_storage[4]);
assign main_sdram_inti_p3_rddata_en = (main_sdram_phaseinjector3_command_issue_re & main_sdram_phaseinjector3_command_storage[5]);
assign main_sdram_inti_p3_wrdata = main_sdram_phaseinjector3_wrdata_storage;
assign main_sdram_inti_p3_wrdata_mask = 1'd0;
assign main_sdram_bankmachine0_req_valid = main_sdram_interface_bank0_valid;
assign main_sdram_interface_bank0_ready = main_sdram_bankmachine0_req_ready;
assign main_sdram_bankmachine0_req_we = main_sdram_interface_bank0_we;
assign main_sdram_bankmachine0_req_addr = main_sdram_interface_bank0_addr;
assign main_sdram_interface_bank0_lock = main_sdram_bankmachine0_req_lock;
assign main_sdram_interface_bank0_wdata_ready = main_sdram_bankmachine0_req_wdata_ready;
assign main_sdram_interface_bank0_rdata_valid = main_sdram_bankmachine0_req_rdata_valid;
assign main_sdram_bankmachine1_req_valid = main_sdram_interface_bank1_valid;
assign main_sdram_interface_bank1_ready = main_sdram_bankmachine1_req_ready;
assign main_sdram_bankmachine1_req_we = main_sdram_interface_bank1_we;
assign main_sdram_bankmachine1_req_addr = main_sdram_interface_bank1_addr;
assign main_sdram_interface_bank1_lock = main_sdram_bankmachine1_req_lock;
assign main_sdram_interface_bank1_wdata_ready = main_sdram_bankmachine1_req_wdata_ready;
assign main_sdram_interface_bank1_rdata_valid = main_sdram_bankmachine1_req_rdata_valid;
assign main_sdram_bankmachine2_req_valid = main_sdram_interface_bank2_valid;
assign main_sdram_interface_bank2_ready = main_sdram_bankmachine2_req_ready;
assign main_sdram_bankmachine2_req_we = main_sdram_interface_bank2_we;
assign main_sdram_bankmachine2_req_addr = main_sdram_interface_bank2_addr;
assign main_sdram_interface_bank2_lock = main_sdram_bankmachine2_req_lock;
assign main_sdram_interface_bank2_wdata_ready = main_sdram_bankmachine2_req_wdata_ready;
assign main_sdram_interface_bank2_rdata_valid = main_sdram_bankmachine2_req_rdata_valid;
assign main_sdram_bankmachine3_req_valid = main_sdram_interface_bank3_valid;
assign main_sdram_interface_bank3_ready = main_sdram_bankmachine3_req_ready;
assign main_sdram_bankmachine3_req_we = main_sdram_interface_bank3_we;
assign main_sdram_bankmachine3_req_addr = main_sdram_interface_bank3_addr;
assign main_sdram_interface_bank3_lock = main_sdram_bankmachine3_req_lock;
assign main_sdram_interface_bank3_wdata_ready = main_sdram_bankmachine3_req_wdata_ready;
assign main_sdram_interface_bank3_rdata_valid = main_sdram_bankmachine3_req_rdata_valid;
assign main_sdram_bankmachine4_req_valid = main_sdram_interface_bank4_valid;
assign main_sdram_interface_bank4_ready = main_sdram_bankmachine4_req_ready;
assign main_sdram_bankmachine4_req_we = main_sdram_interface_bank4_we;
assign main_sdram_bankmachine4_req_addr = main_sdram_interface_bank4_addr;
assign main_sdram_interface_bank4_lock = main_sdram_bankmachine4_req_lock;
assign main_sdram_interface_bank4_wdata_ready = main_sdram_bankmachine4_req_wdata_ready;
assign main_sdram_interface_bank4_rdata_valid = main_sdram_bankmachine4_req_rdata_valid;
assign main_sdram_bankmachine5_req_valid = main_sdram_interface_bank5_valid;
assign main_sdram_interface_bank5_ready = main_sdram_bankmachine5_req_ready;
assign main_sdram_bankmachine5_req_we = main_sdram_interface_bank5_we;
assign main_sdram_bankmachine5_req_addr = main_sdram_interface_bank5_addr;
assign main_sdram_interface_bank5_lock = main_sdram_bankmachine5_req_lock;
assign main_sdram_interface_bank5_wdata_ready = main_sdram_bankmachine5_req_wdata_ready;
assign main_sdram_interface_bank5_rdata_valid = main_sdram_bankmachine5_req_rdata_valid;
assign main_sdram_bankmachine6_req_valid = main_sdram_interface_bank6_valid;
assign main_sdram_interface_bank6_ready = main_sdram_bankmachine6_req_ready;
assign main_sdram_bankmachine6_req_we = main_sdram_interface_bank6_we;
assign main_sdram_bankmachine6_req_addr = main_sdram_interface_bank6_addr;
assign main_sdram_interface_bank6_lock = main_sdram_bankmachine6_req_lock;
assign main_sdram_interface_bank6_wdata_ready = main_sdram_bankmachine6_req_wdata_ready;
assign main_sdram_interface_bank6_rdata_valid = main_sdram_bankmachine6_req_rdata_valid;
assign main_sdram_bankmachine7_req_valid = main_sdram_interface_bank7_valid;
assign main_sdram_interface_bank7_ready = main_sdram_bankmachine7_req_ready;
assign main_sdram_bankmachine7_req_we = main_sdram_interface_bank7_we;
assign main_sdram_bankmachine7_req_addr = main_sdram_interface_bank7_addr;
assign main_sdram_interface_bank7_lock = main_sdram_bankmachine7_req_lock;
assign main_sdram_interface_bank7_wdata_ready = main_sdram_bankmachine7_req_wdata_ready;
assign main_sdram_interface_bank7_rdata_valid = main_sdram_bankmachine7_req_rdata_valid;
assign main_sdram_timer_wait = (~main_sdram_timer_done0);
assign main_sdram_postponer_req_i = main_sdram_timer_done0;
assign main_sdram_wants_refresh = main_sdram_postponer_req_o;
assign main_sdram_wants_zqcs = main_sdram_zqcs_timer_done0;
assign main_sdram_zqcs_timer_wait = (~main_sdram_zqcs_executer_done);
assign main_sdram_timer_done1 = (main_sdram_timer_count1 == 1'd0);
assign main_sdram_timer_done0 = main_sdram_timer_done1;
assign main_sdram_timer_count0 = main_sdram_timer_count1;
assign main_sdram_sequencer_start1 = (main_sdram_sequencer_start0 | (main_sdram_sequencer_count != 1'd0));
assign main_sdram_sequencer_done0 = (main_sdram_sequencer_done1 & (main_sdram_sequencer_count == 1'd0));
assign main_sdram_zqcs_timer_done1 = (main_sdram_zqcs_timer_count1 == 1'd0);
assign main_sdram_zqcs_timer_done0 = main_sdram_zqcs_timer_done1;
assign main_sdram_zqcs_timer_count0 = main_sdram_zqcs_timer_count1;
always @(*) begin
main_sdram_zqcs_executer_start <= 1'd0;
main_sdram_cmd_last <= 1'd0;
main_sdram_sequencer_start0 <= 1'd0;
main_sdram_cmd_valid <= 1'd0;
builder_refresher_next_state <= 2'd0;
builder_refresher_next_state <= builder_refresher_state;
case (builder_refresher_state)
1'd1: begin
main_sdram_cmd_valid <= 1'd1;
if (main_sdram_cmd_ready) begin
main_sdram_sequencer_start0 <= 1'd1;
builder_refresher_next_state <= 2'd2;
end
end
2'd2: begin
main_sdram_cmd_valid <= 1'd1;
if (main_sdram_sequencer_done0) begin
if (main_sdram_wants_zqcs) begin
main_sdram_zqcs_executer_start <= 1'd1;
builder_refresher_next_state <= 2'd3;
end else begin
main_sdram_cmd_valid <= 1'd0;
main_sdram_cmd_last <= 1'd1;
builder_refresher_next_state <= 1'd0;
end
end
end
2'd3: begin
main_sdram_cmd_valid <= 1'd1;
if (main_sdram_zqcs_executer_done) begin
main_sdram_cmd_valid <= 1'd0;
main_sdram_cmd_last <= 1'd1;
builder_refresher_next_state <= 1'd0;
end
end
default: begin
if (1'd1) begin
if (main_sdram_wants_refresh) begin
builder_refresher_next_state <= 1'd1;
end
end
end
endcase
end
assign main_sdram_bankmachine0_cmd_buffer_lookahead_sink_valid = main_sdram_bankmachine0_req_valid;
assign main_sdram_bankmachine0_req_ready = main_sdram_bankmachine0_cmd_buffer_lookahead_sink_ready;
assign main_sdram_bankmachine0_cmd_buffer_lookahead_sink_payload_we = main_sdram_bankmachine0_req_we;
assign main_sdram_bankmachine0_cmd_buffer_lookahead_sink_payload_addr = main_sdram_bankmachine0_req_addr;
assign main_sdram_bankmachine0_cmd_buffer_sink_valid = main_sdram_bankmachine0_cmd_buffer_lookahead_source_valid;
assign main_sdram_bankmachine0_cmd_buffer_lookahead_source_ready = main_sdram_bankmachine0_cmd_buffer_sink_ready;
assign main_sdram_bankmachine0_cmd_buffer_sink_first = main_sdram_bankmachine0_cmd_buffer_lookahead_source_first;
assign main_sdram_bankmachine0_cmd_buffer_sink_last = main_sdram_bankmachine0_cmd_buffer_lookahead_source_last;
assign main_sdram_bankmachine0_cmd_buffer_sink_payload_we = main_sdram_bankmachine0_cmd_buffer_lookahead_source_payload_we;
assign main_sdram_bankmachine0_cmd_buffer_sink_payload_addr = main_sdram_bankmachine0_cmd_buffer_lookahead_source_payload_addr;
assign main_sdram_bankmachine0_cmd_buffer_source_ready = (main_sdram_bankmachine0_req_wdata_ready | main_sdram_bankmachine0_req_rdata_valid);
assign main_sdram_bankmachine0_req_lock = (main_sdram_bankmachine0_cmd_buffer_lookahead_source_valid | main_sdram_bankmachine0_cmd_buffer_source_valid);
assign main_sdram_bankmachine0_row_hit = (main_sdram_bankmachine0_row == main_sdram_bankmachine0_cmd_buffer_source_payload_addr[20:7]);
assign main_sdram_bankmachine0_cmd_payload_ba = 1'd0;
always @(*) begin
main_sdram_bankmachine0_cmd_payload_a <= 14'd0;
if (main_sdram_bankmachine0_row_col_n_addr_sel) begin
main_sdram_bankmachine0_cmd_payload_a <= main_sdram_bankmachine0_cmd_buffer_source_payload_addr[20:7];
end else begin
main_sdram_bankmachine0_cmd_payload_a <= ((main_sdram_bankmachine0_auto_precharge <<< 4'd10) | {main_sdram_bankmachine0_cmd_buffer_source_payload_addr[6:0], {3{1'd0}}});
end
end
assign main_sdram_bankmachine0_twtpcon_valid = ((main_sdram_bankmachine0_cmd_valid & main_sdram_bankmachine0_cmd_ready) & main_sdram_bankmachine0_cmd_payload_is_write);
assign main_sdram_bankmachine0_trccon_valid = ((main_sdram_bankmachine0_cmd_valid & main_sdram_bankmachine0_cmd_ready) & main_sdram_bankmachine0_row_open);
assign main_sdram_bankmachine0_trascon_valid = ((main_sdram_bankmachine0_cmd_valid & main_sdram_bankmachine0_cmd_ready) & main_sdram_bankmachine0_row_open);
always @(*) begin
main_sdram_bankmachine0_auto_precharge <= 1'd0;
if ((main_sdram_bankmachine0_cmd_buffer_lookahead_source_valid & main_sdram_bankmachine0_cmd_buffer_source_valid)) begin
if ((main_sdram_bankmachine0_cmd_buffer_lookahead_source_payload_addr[20:7] != main_sdram_bankmachine0_cmd_buffer_source_payload_addr[20:7])) begin
main_sdram_bankmachine0_auto_precharge <= (main_sdram_bankmachine0_row_close == 1'd0);
end
end
end
assign main_sdram_bankmachine0_cmd_buffer_lookahead_syncfifo0_din = {main_sdram_bankmachine0_cmd_buffer_lookahead_fifo_in_last, main_sdram_bankmachine0_cmd_buffer_lookahead_fifo_in_first, main_sdram_bankmachine0_cmd_buffer_lookahead_fifo_in_payload_addr, main_sdram_bankmachine0_cmd_buffer_lookahead_fifo_in_payload_we};
assign {main_sdram_bankmachine0_cmd_buffer_lookahead_fifo_out_last, main_sdram_bankmachine0_cmd_buffer_lookahead_fifo_out_first, main_sdram_bankmachine0_cmd_buffer_lookahead_fifo_out_payload_addr, main_sdram_bankmachine0_cmd_buffer_lookahead_fifo_out_payload_we} = main_sdram_bankmachine0_cmd_buffer_lookahead_syncfifo0_dout;
assign main_sdram_bankmachine0_cmd_buffer_lookahead_sink_ready = main_sdram_bankmachine0_cmd_buffer_lookahead_syncfifo0_writable;
assign main_sdram_bankmachine0_cmd_buffer_lookahead_syncfifo0_we = main_sdram_bankmachine0_cmd_buffer_lookahead_sink_valid;
assign main_sdram_bankmachine0_cmd_buffer_lookahead_fifo_in_first = main_sdram_bankmachine0_cmd_buffer_lookahead_sink_first;
assign main_sdram_bankmachine0_cmd_buffer_lookahead_fifo_in_last = main_sdram_bankmachine0_cmd_buffer_lookahead_sink_last;
assign main_sdram_bankmachine0_cmd_buffer_lookahead_fifo_in_payload_we = main_sdram_bankmachine0_cmd_buffer_lookahead_sink_payload_we;
assign main_sdram_bankmachine0_cmd_buffer_lookahead_fifo_in_payload_addr = main_sdram_bankmachine0_cmd_buffer_lookahead_sink_payload_addr;
assign main_sdram_bankmachine0_cmd_buffer_lookahead_source_valid = main_sdram_bankmachine0_cmd_buffer_lookahead_syncfifo0_readable;
assign main_sdram_bankmachine0_cmd_buffer_lookahead_source_first = main_sdram_bankmachine0_cmd_buffer_lookahead_fifo_out_first;
assign main_sdram_bankmachine0_cmd_buffer_lookahead_source_last = main_sdram_bankmachine0_cmd_buffer_lookahead_fifo_out_last;
assign main_sdram_bankmachine0_cmd_buffer_lookahead_source_payload_we = main_sdram_bankmachine0_cmd_buffer_lookahead_fifo_out_payload_we;
assign main_sdram_bankmachine0_cmd_buffer_lookahead_source_payload_addr = main_sdram_bankmachine0_cmd_buffer_lookahead_fifo_out_payload_addr;
assign main_sdram_bankmachine0_cmd_buffer_lookahead_syncfifo0_re = main_sdram_bankmachine0_cmd_buffer_lookahead_source_ready;
always @(*) begin
main_sdram_bankmachine0_cmd_buffer_lookahead_wrport_adr <= 3'd0;
if (main_sdram_bankmachine0_cmd_buffer_lookahead_replace) begin
main_sdram_bankmachine0_cmd_buffer_lookahead_wrport_adr <= (main_sdram_bankmachine0_cmd_buffer_lookahead_produce - 1'd1);
end else begin
main_sdram_bankmachine0_cmd_buffer_lookahead_wrport_adr <= main_sdram_bankmachine0_cmd_buffer_lookahead_produce;
end
end
assign main_sdram_bankmachine0_cmd_buffer_lookahead_wrport_dat_w = main_sdram_bankmachine0_cmd_buffer_lookahead_syncfifo0_din;
assign main_sdram_bankmachine0_cmd_buffer_lookahead_wrport_we = (main_sdram_bankmachine0_cmd_buffer_lookahead_syncfifo0_we & (main_sdram_bankmachine0_cmd_buffer_lookahead_syncfifo0_writable | main_sdram_bankmachine0_cmd_buffer_lookahead_replace));
assign main_sdram_bankmachine0_cmd_buffer_lookahead_do_read = (main_sdram_bankmachine0_cmd_buffer_lookahead_syncfifo0_readable & main_sdram_bankmachine0_cmd_buffer_lookahead_syncfifo0_re);
assign main_sdram_bankmachine0_cmd_buffer_lookahead_rdport_adr = main_sdram_bankmachine0_cmd_buffer_lookahead_consume;
assign main_sdram_bankmachine0_cmd_buffer_lookahead_syncfifo0_dout = main_sdram_bankmachine0_cmd_buffer_lookahead_rdport_dat_r;
assign main_sdram_bankmachine0_cmd_buffer_lookahead_syncfifo0_writable = (main_sdram_bankmachine0_cmd_buffer_lookahead_level != 4'd8);
assign main_sdram_bankmachine0_cmd_buffer_lookahead_syncfifo0_readable = (main_sdram_bankmachine0_cmd_buffer_lookahead_level != 1'd0);
assign main_sdram_bankmachine0_cmd_buffer_sink_ready = ((~main_sdram_bankmachine0_cmd_buffer_source_valid) | main_sdram_bankmachine0_cmd_buffer_source_ready);
always @(*) begin
main_sdram_bankmachine0_cmd_payload_cas <= 1'd0;
main_sdram_bankmachine0_cmd_payload_ras <= 1'd0;
main_sdram_bankmachine0_cmd_payload_we <= 1'd0;
main_sdram_bankmachine0_row_col_n_addr_sel <= 1'd0;
main_sdram_bankmachine0_cmd_payload_is_cmd <= 1'd0;
main_sdram_bankmachine0_cmd_payload_is_read <= 1'd0;
main_sdram_bankmachine0_cmd_payload_is_write <= 1'd0;
main_sdram_bankmachine0_req_wdata_ready <= 1'd0;
builder_bankmachine0_next_state <= 3'd0;
main_sdram_bankmachine0_req_rdata_valid <= 1'd0;
main_sdram_bankmachine0_refresh_gnt <= 1'd0;
main_sdram_bankmachine0_cmd_valid <= 1'd0;
main_sdram_bankmachine0_row_open <= 1'd0;
main_sdram_bankmachine0_row_close <= 1'd0;
builder_bankmachine0_next_state <= builder_bankmachine0_state;
case (builder_bankmachine0_state)
1'd1: begin
if ((main_sdram_bankmachine0_twtpcon_ready & main_sdram_bankmachine0_trascon_ready)) begin
main_sdram_bankmachine0_cmd_valid <= 1'd1;
if (main_sdram_bankmachine0_cmd_ready) begin
builder_bankmachine0_next_state <= 3'd5;
end
main_sdram_bankmachine0_cmd_payload_ras <= 1'd1;
main_sdram_bankmachine0_cmd_payload_we <= 1'd1;
main_sdram_bankmachine0_cmd_payload_is_cmd <= 1'd1;
end
main_sdram_bankmachine0_row_close <= 1'd1;
end
2'd2: begin
if ((main_sdram_bankmachine0_twtpcon_ready & main_sdram_bankmachine0_trascon_ready)) begin
builder_bankmachine0_next_state <= 3'd5;
end
main_sdram_bankmachine0_row_close <= 1'd1;
end
2'd3: begin
if (main_sdram_bankmachine0_trccon_ready) begin
main_sdram_bankmachine0_row_col_n_addr_sel <= 1'd1;
main_sdram_bankmachine0_row_open <= 1'd1;
main_sdram_bankmachine0_cmd_valid <= 1'd1;
main_sdram_bankmachine0_cmd_payload_is_cmd <= 1'd1;
if (main_sdram_bankmachine0_cmd_ready) begin
builder_bankmachine0_next_state <= 3'd6;
end
main_sdram_bankmachine0_cmd_payload_ras <= 1'd1;
end
end
3'd4: begin
if (main_sdram_bankmachine0_twtpcon_ready) begin
main_sdram_bankmachine0_refresh_gnt <= 1'd1;
end
main_sdram_bankmachine0_row_close <= 1'd1;
main_sdram_bankmachine0_cmd_payload_is_cmd <= 1'd1;
if ((~main_sdram_bankmachine0_refresh_req)) begin
builder_bankmachine0_next_state <= 1'd0;
end
end
3'd5: begin
builder_bankmachine0_next_state <= 2'd3;
end
3'd6: begin
builder_bankmachine0_next_state <= 1'd0;
end
default: begin
if (main_sdram_bankmachine0_refresh_req) begin
builder_bankmachine0_next_state <= 3'd4;
end else begin
if (main_sdram_bankmachine0_cmd_buffer_source_valid) begin
if (main_sdram_bankmachine0_row_opened) begin
if (main_sdram_bankmachine0_row_hit) begin
main_sdram_bankmachine0_cmd_valid <= 1'd1;
if (main_sdram_bankmachine0_cmd_buffer_source_payload_we) begin
main_sdram_bankmachine0_req_wdata_ready <= main_sdram_bankmachine0_cmd_ready;
main_sdram_bankmachine0_cmd_payload_is_write <= 1'd1;
main_sdram_bankmachine0_cmd_payload_we <= 1'd1;
end else begin
main_sdram_bankmachine0_req_rdata_valid <= main_sdram_bankmachine0_cmd_ready;
main_sdram_bankmachine0_cmd_payload_is_read <= 1'd1;
end
main_sdram_bankmachine0_cmd_payload_cas <= 1'd1;
if ((main_sdram_bankmachine0_cmd_ready & main_sdram_bankmachine0_auto_precharge)) begin
builder_bankmachine0_next_state <= 2'd2;
end
end else begin
builder_bankmachine0_next_state <= 1'd1;
end
end else begin
builder_bankmachine0_next_state <= 2'd3;
end
end
end
end
endcase
end
assign main_sdram_bankmachine1_cmd_buffer_lookahead_sink_valid = main_sdram_bankmachine1_req_valid;
assign main_sdram_bankmachine1_req_ready = main_sdram_bankmachine1_cmd_buffer_lookahead_sink_ready;
assign main_sdram_bankmachine1_cmd_buffer_lookahead_sink_payload_we = main_sdram_bankmachine1_req_we;
assign main_sdram_bankmachine1_cmd_buffer_lookahead_sink_payload_addr = main_sdram_bankmachine1_req_addr;
assign main_sdram_bankmachine1_cmd_buffer_sink_valid = main_sdram_bankmachine1_cmd_buffer_lookahead_source_valid;
assign main_sdram_bankmachine1_cmd_buffer_lookahead_source_ready = main_sdram_bankmachine1_cmd_buffer_sink_ready;
assign main_sdram_bankmachine1_cmd_buffer_sink_first = main_sdram_bankmachine1_cmd_buffer_lookahead_source_first;
assign main_sdram_bankmachine1_cmd_buffer_sink_last = main_sdram_bankmachine1_cmd_buffer_lookahead_source_last;
assign main_sdram_bankmachine1_cmd_buffer_sink_payload_we = main_sdram_bankmachine1_cmd_buffer_lookahead_source_payload_we;
assign main_sdram_bankmachine1_cmd_buffer_sink_payload_addr = main_sdram_bankmachine1_cmd_buffer_lookahead_source_payload_addr;
assign main_sdram_bankmachine1_cmd_buffer_source_ready = (main_sdram_bankmachine1_req_wdata_ready | main_sdram_bankmachine1_req_rdata_valid);
assign main_sdram_bankmachine1_req_lock = (main_sdram_bankmachine1_cmd_buffer_lookahead_source_valid | main_sdram_bankmachine1_cmd_buffer_source_valid);
assign main_sdram_bankmachine1_row_hit = (main_sdram_bankmachine1_row == main_sdram_bankmachine1_cmd_buffer_source_payload_addr[20:7]);
assign main_sdram_bankmachine1_cmd_payload_ba = 1'd1;
always @(*) begin
main_sdram_bankmachine1_cmd_payload_a <= 14'd0;
if (main_sdram_bankmachine1_row_col_n_addr_sel) begin
main_sdram_bankmachine1_cmd_payload_a <= main_sdram_bankmachine1_cmd_buffer_source_payload_addr[20:7];
end else begin
main_sdram_bankmachine1_cmd_payload_a <= ((main_sdram_bankmachine1_auto_precharge <<< 4'd10) | {main_sdram_bankmachine1_cmd_buffer_source_payload_addr[6:0], {3{1'd0}}});
end
end
assign main_sdram_bankmachine1_twtpcon_valid = ((main_sdram_bankmachine1_cmd_valid & main_sdram_bankmachine1_cmd_ready) & main_sdram_bankmachine1_cmd_payload_is_write);
assign main_sdram_bankmachine1_trccon_valid = ((main_sdram_bankmachine1_cmd_valid & main_sdram_bankmachine1_cmd_ready) & main_sdram_bankmachine1_row_open);
assign main_sdram_bankmachine1_trascon_valid = ((main_sdram_bankmachine1_cmd_valid & main_sdram_bankmachine1_cmd_ready) & main_sdram_bankmachine1_row_open);
always @(*) begin
main_sdram_bankmachine1_auto_precharge <= 1'd0;
if ((main_sdram_bankmachine1_cmd_buffer_lookahead_source_valid & main_sdram_bankmachine1_cmd_buffer_source_valid)) begin
if ((main_sdram_bankmachine1_cmd_buffer_lookahead_source_payload_addr[20:7] != main_sdram_bankmachine1_cmd_buffer_source_payload_addr[20:7])) begin
main_sdram_bankmachine1_auto_precharge <= (main_sdram_bankmachine1_row_close == 1'd0);
end
end
end
assign main_sdram_bankmachine1_cmd_buffer_lookahead_syncfifo1_din = {main_sdram_bankmachine1_cmd_buffer_lookahead_fifo_in_last, main_sdram_bankmachine1_cmd_buffer_lookahead_fifo_in_first, main_sdram_bankmachine1_cmd_buffer_lookahead_fifo_in_payload_addr, main_sdram_bankmachine1_cmd_buffer_lookahead_fifo_in_payload_we};
assign {main_sdram_bankmachine1_cmd_buffer_lookahead_fifo_out_last, main_sdram_bankmachine1_cmd_buffer_lookahead_fifo_out_first, main_sdram_bankmachine1_cmd_buffer_lookahead_fifo_out_payload_addr, main_sdram_bankmachine1_cmd_buffer_lookahead_fifo_out_payload_we} = main_sdram_bankmachine1_cmd_buffer_lookahead_syncfifo1_dout;
assign main_sdram_bankmachine1_cmd_buffer_lookahead_sink_ready = main_sdram_bankmachine1_cmd_buffer_lookahead_syncfifo1_writable;
assign main_sdram_bankmachine1_cmd_buffer_lookahead_syncfifo1_we = main_sdram_bankmachine1_cmd_buffer_lookahead_sink_valid;
assign main_sdram_bankmachine1_cmd_buffer_lookahead_fifo_in_first = main_sdram_bankmachine1_cmd_buffer_lookahead_sink_first;
assign main_sdram_bankmachine1_cmd_buffer_lookahead_fifo_in_last = main_sdram_bankmachine1_cmd_buffer_lookahead_sink_last;
assign main_sdram_bankmachine1_cmd_buffer_lookahead_fifo_in_payload_we = main_sdram_bankmachine1_cmd_buffer_lookahead_sink_payload_we;
assign main_sdram_bankmachine1_cmd_buffer_lookahead_fifo_in_payload_addr = main_sdram_bankmachine1_cmd_buffer_lookahead_sink_payload_addr;
assign main_sdram_bankmachine1_cmd_buffer_lookahead_source_valid = main_sdram_bankmachine1_cmd_buffer_lookahead_syncfifo1_readable;
assign main_sdram_bankmachine1_cmd_buffer_lookahead_source_first = main_sdram_bankmachine1_cmd_buffer_lookahead_fifo_out_first;
assign main_sdram_bankmachine1_cmd_buffer_lookahead_source_last = main_sdram_bankmachine1_cmd_buffer_lookahead_fifo_out_last;
assign main_sdram_bankmachine1_cmd_buffer_lookahead_source_payload_we = main_sdram_bankmachine1_cmd_buffer_lookahead_fifo_out_payload_we;
assign main_sdram_bankmachine1_cmd_buffer_lookahead_source_payload_addr = main_sdram_bankmachine1_cmd_buffer_lookahead_fifo_out_payload_addr;
assign main_sdram_bankmachine1_cmd_buffer_lookahead_syncfifo1_re = main_sdram_bankmachine1_cmd_buffer_lookahead_source_ready;
always @(*) begin
main_sdram_bankmachine1_cmd_buffer_lookahead_wrport_adr <= 3'd0;
if (main_sdram_bankmachine1_cmd_buffer_lookahead_replace) begin
main_sdram_bankmachine1_cmd_buffer_lookahead_wrport_adr <= (main_sdram_bankmachine1_cmd_buffer_lookahead_produce - 1'd1);
end else begin
main_sdram_bankmachine1_cmd_buffer_lookahead_wrport_adr <= main_sdram_bankmachine1_cmd_buffer_lookahead_produce;
end
end
assign main_sdram_bankmachine1_cmd_buffer_lookahead_wrport_dat_w = main_sdram_bankmachine1_cmd_buffer_lookahead_syncfifo1_din;
assign main_sdram_bankmachine1_cmd_buffer_lookahead_wrport_we = (main_sdram_bankmachine1_cmd_buffer_lookahead_syncfifo1_we & (main_sdram_bankmachine1_cmd_buffer_lookahead_syncfifo1_writable | main_sdram_bankmachine1_cmd_buffer_lookahead_replace));
assign main_sdram_bankmachine1_cmd_buffer_lookahead_do_read = (main_sdram_bankmachine1_cmd_buffer_lookahead_syncfifo1_readable & main_sdram_bankmachine1_cmd_buffer_lookahead_syncfifo1_re);
assign main_sdram_bankmachine1_cmd_buffer_lookahead_rdport_adr = main_sdram_bankmachine1_cmd_buffer_lookahead_consume;
assign main_sdram_bankmachine1_cmd_buffer_lookahead_syncfifo1_dout = main_sdram_bankmachine1_cmd_buffer_lookahead_rdport_dat_r;
assign main_sdram_bankmachine1_cmd_buffer_lookahead_syncfifo1_writable = (main_sdram_bankmachine1_cmd_buffer_lookahead_level != 4'd8);
assign main_sdram_bankmachine1_cmd_buffer_lookahead_syncfifo1_readable = (main_sdram_bankmachine1_cmd_buffer_lookahead_level != 1'd0);
assign main_sdram_bankmachine1_cmd_buffer_sink_ready = ((~main_sdram_bankmachine1_cmd_buffer_source_valid) | main_sdram_bankmachine1_cmd_buffer_source_ready);
always @(*) begin
main_sdram_bankmachine1_cmd_payload_cas <= 1'd0;
main_sdram_bankmachine1_cmd_payload_ras <= 1'd0;
main_sdram_bankmachine1_cmd_payload_we <= 1'd0;
main_sdram_bankmachine1_row_col_n_addr_sel <= 1'd0;
main_sdram_bankmachine1_cmd_payload_is_cmd <= 1'd0;
main_sdram_bankmachine1_cmd_payload_is_read <= 1'd0;
builder_bankmachine1_next_state <= 3'd0;
main_sdram_bankmachine1_cmd_payload_is_write <= 1'd0;
main_sdram_bankmachine1_req_wdata_ready <= 1'd0;
main_sdram_bankmachine1_req_rdata_valid <= 1'd0;
main_sdram_bankmachine1_refresh_gnt <= 1'd0;
main_sdram_bankmachine1_cmd_valid <= 1'd0;
main_sdram_bankmachine1_row_open <= 1'd0;
main_sdram_bankmachine1_row_close <= 1'd0;
builder_bankmachine1_next_state <= builder_bankmachine1_state;
case (builder_bankmachine1_state)
1'd1: begin
if ((main_sdram_bankmachine1_twtpcon_ready & main_sdram_bankmachine1_trascon_ready)) begin
main_sdram_bankmachine1_cmd_valid <= 1'd1;
if (main_sdram_bankmachine1_cmd_ready) begin
builder_bankmachine1_next_state <= 3'd5;
end
main_sdram_bankmachine1_cmd_payload_ras <= 1'd1;
main_sdram_bankmachine1_cmd_payload_we <= 1'd1;
main_sdram_bankmachine1_cmd_payload_is_cmd <= 1'd1;
end
main_sdram_bankmachine1_row_close <= 1'd1;
end
2'd2: begin
if ((main_sdram_bankmachine1_twtpcon_ready & main_sdram_bankmachine1_trascon_ready)) begin
builder_bankmachine1_next_state <= 3'd5;
end
main_sdram_bankmachine1_row_close <= 1'd1;
end
2'd3: begin
if (main_sdram_bankmachine1_trccon_ready) begin
main_sdram_bankmachine1_row_col_n_addr_sel <= 1'd1;
main_sdram_bankmachine1_row_open <= 1'd1;
main_sdram_bankmachine1_cmd_valid <= 1'd1;
main_sdram_bankmachine1_cmd_payload_is_cmd <= 1'd1;
if (main_sdram_bankmachine1_cmd_ready) begin
builder_bankmachine1_next_state <= 3'd6;
end
main_sdram_bankmachine1_cmd_payload_ras <= 1'd1;
end
end
3'd4: begin
if (main_sdram_bankmachine1_twtpcon_ready) begin
main_sdram_bankmachine1_refresh_gnt <= 1'd1;
end
main_sdram_bankmachine1_row_close <= 1'd1;
main_sdram_bankmachine1_cmd_payload_is_cmd <= 1'd1;
if ((~main_sdram_bankmachine1_refresh_req)) begin
builder_bankmachine1_next_state <= 1'd0;
end
end
3'd5: begin
builder_bankmachine1_next_state <= 2'd3;
end
3'd6: begin
builder_bankmachine1_next_state <= 1'd0;
end
default: begin
if (main_sdram_bankmachine1_refresh_req) begin
builder_bankmachine1_next_state <= 3'd4;
end else begin
if (main_sdram_bankmachine1_cmd_buffer_source_valid) begin
if (main_sdram_bankmachine1_row_opened) begin
if (main_sdram_bankmachine1_row_hit) begin
main_sdram_bankmachine1_cmd_valid <= 1'd1;
if (main_sdram_bankmachine1_cmd_buffer_source_payload_we) begin
main_sdram_bankmachine1_req_wdata_ready <= main_sdram_bankmachine1_cmd_ready;
main_sdram_bankmachine1_cmd_payload_is_write <= 1'd1;
main_sdram_bankmachine1_cmd_payload_we <= 1'd1;
end else begin
main_sdram_bankmachine1_req_rdata_valid <= main_sdram_bankmachine1_cmd_ready;
main_sdram_bankmachine1_cmd_payload_is_read <= 1'd1;
end
main_sdram_bankmachine1_cmd_payload_cas <= 1'd1;
if ((main_sdram_bankmachine1_cmd_ready & main_sdram_bankmachine1_auto_precharge)) begin
builder_bankmachine1_next_state <= 2'd2;
end
end else begin
builder_bankmachine1_next_state <= 1'd1;
end
end else begin
builder_bankmachine1_next_state <= 2'd3;
end
end
end
end
endcase
end
assign main_sdram_bankmachine2_cmd_buffer_lookahead_sink_valid = main_sdram_bankmachine2_req_valid;
assign main_sdram_bankmachine2_req_ready = main_sdram_bankmachine2_cmd_buffer_lookahead_sink_ready;
assign main_sdram_bankmachine2_cmd_buffer_lookahead_sink_payload_we = main_sdram_bankmachine2_req_we;
assign main_sdram_bankmachine2_cmd_buffer_lookahead_sink_payload_addr = main_sdram_bankmachine2_req_addr;
assign main_sdram_bankmachine2_cmd_buffer_sink_valid = main_sdram_bankmachine2_cmd_buffer_lookahead_source_valid;
assign main_sdram_bankmachine2_cmd_buffer_lookahead_source_ready = main_sdram_bankmachine2_cmd_buffer_sink_ready;
assign main_sdram_bankmachine2_cmd_buffer_sink_first = main_sdram_bankmachine2_cmd_buffer_lookahead_source_first;
assign main_sdram_bankmachine2_cmd_buffer_sink_last = main_sdram_bankmachine2_cmd_buffer_lookahead_source_last;
assign main_sdram_bankmachine2_cmd_buffer_sink_payload_we = main_sdram_bankmachine2_cmd_buffer_lookahead_source_payload_we;
assign main_sdram_bankmachine2_cmd_buffer_sink_payload_addr = main_sdram_bankmachine2_cmd_buffer_lookahead_source_payload_addr;
assign main_sdram_bankmachine2_cmd_buffer_source_ready = (main_sdram_bankmachine2_req_wdata_ready | main_sdram_bankmachine2_req_rdata_valid);
assign main_sdram_bankmachine2_req_lock = (main_sdram_bankmachine2_cmd_buffer_lookahead_source_valid | main_sdram_bankmachine2_cmd_buffer_source_valid);
assign main_sdram_bankmachine2_row_hit = (main_sdram_bankmachine2_row == main_sdram_bankmachine2_cmd_buffer_source_payload_addr[20:7]);
assign main_sdram_bankmachine2_cmd_payload_ba = 2'd2;
always @(*) begin
main_sdram_bankmachine2_cmd_payload_a <= 14'd0;
if (main_sdram_bankmachine2_row_col_n_addr_sel) begin
main_sdram_bankmachine2_cmd_payload_a <= main_sdram_bankmachine2_cmd_buffer_source_payload_addr[20:7];
end else begin
main_sdram_bankmachine2_cmd_payload_a <= ((main_sdram_bankmachine2_auto_precharge <<< 4'd10) | {main_sdram_bankmachine2_cmd_buffer_source_payload_addr[6:0], {3{1'd0}}});
end
end
assign main_sdram_bankmachine2_twtpcon_valid = ((main_sdram_bankmachine2_cmd_valid & main_sdram_bankmachine2_cmd_ready) & main_sdram_bankmachine2_cmd_payload_is_write);
assign main_sdram_bankmachine2_trccon_valid = ((main_sdram_bankmachine2_cmd_valid & main_sdram_bankmachine2_cmd_ready) & main_sdram_bankmachine2_row_open);
assign main_sdram_bankmachine2_trascon_valid = ((main_sdram_bankmachine2_cmd_valid & main_sdram_bankmachine2_cmd_ready) & main_sdram_bankmachine2_row_open);
always @(*) begin
main_sdram_bankmachine2_auto_precharge <= 1'd0;
if ((main_sdram_bankmachine2_cmd_buffer_lookahead_source_valid & main_sdram_bankmachine2_cmd_buffer_source_valid)) begin
if ((main_sdram_bankmachine2_cmd_buffer_lookahead_source_payload_addr[20:7] != main_sdram_bankmachine2_cmd_buffer_source_payload_addr[20:7])) begin
main_sdram_bankmachine2_auto_precharge <= (main_sdram_bankmachine2_row_close == 1'd0);
end
end
end
assign main_sdram_bankmachine2_cmd_buffer_lookahead_syncfifo2_din = {main_sdram_bankmachine2_cmd_buffer_lookahead_fifo_in_last, main_sdram_bankmachine2_cmd_buffer_lookahead_fifo_in_first, main_sdram_bankmachine2_cmd_buffer_lookahead_fifo_in_payload_addr, main_sdram_bankmachine2_cmd_buffer_lookahead_fifo_in_payload_we};
assign {main_sdram_bankmachine2_cmd_buffer_lookahead_fifo_out_last, main_sdram_bankmachine2_cmd_buffer_lookahead_fifo_out_first, main_sdram_bankmachine2_cmd_buffer_lookahead_fifo_out_payload_addr, main_sdram_bankmachine2_cmd_buffer_lookahead_fifo_out_payload_we} = main_sdram_bankmachine2_cmd_buffer_lookahead_syncfifo2_dout;
assign main_sdram_bankmachine2_cmd_buffer_lookahead_sink_ready = main_sdram_bankmachine2_cmd_buffer_lookahead_syncfifo2_writable;
assign main_sdram_bankmachine2_cmd_buffer_lookahead_syncfifo2_we = main_sdram_bankmachine2_cmd_buffer_lookahead_sink_valid;
assign main_sdram_bankmachine2_cmd_buffer_lookahead_fifo_in_first = main_sdram_bankmachine2_cmd_buffer_lookahead_sink_first;
assign main_sdram_bankmachine2_cmd_buffer_lookahead_fifo_in_last = main_sdram_bankmachine2_cmd_buffer_lookahead_sink_last;
assign main_sdram_bankmachine2_cmd_buffer_lookahead_fifo_in_payload_we = main_sdram_bankmachine2_cmd_buffer_lookahead_sink_payload_we;
assign main_sdram_bankmachine2_cmd_buffer_lookahead_fifo_in_payload_addr = main_sdram_bankmachine2_cmd_buffer_lookahead_sink_payload_addr;
assign main_sdram_bankmachine2_cmd_buffer_lookahead_source_valid = main_sdram_bankmachine2_cmd_buffer_lookahead_syncfifo2_readable;
assign main_sdram_bankmachine2_cmd_buffer_lookahead_source_first = main_sdram_bankmachine2_cmd_buffer_lookahead_fifo_out_first;
assign main_sdram_bankmachine2_cmd_buffer_lookahead_source_last = main_sdram_bankmachine2_cmd_buffer_lookahead_fifo_out_last;
assign main_sdram_bankmachine2_cmd_buffer_lookahead_source_payload_we = main_sdram_bankmachine2_cmd_buffer_lookahead_fifo_out_payload_we;
assign main_sdram_bankmachine2_cmd_buffer_lookahead_source_payload_addr = main_sdram_bankmachine2_cmd_buffer_lookahead_fifo_out_payload_addr;
assign main_sdram_bankmachine2_cmd_buffer_lookahead_syncfifo2_re = main_sdram_bankmachine2_cmd_buffer_lookahead_source_ready;
always @(*) begin
main_sdram_bankmachine2_cmd_buffer_lookahead_wrport_adr <= 3'd0;
if (main_sdram_bankmachine2_cmd_buffer_lookahead_replace) begin
main_sdram_bankmachine2_cmd_buffer_lookahead_wrport_adr <= (main_sdram_bankmachine2_cmd_buffer_lookahead_produce - 1'd1);
end else begin
main_sdram_bankmachine2_cmd_buffer_lookahead_wrport_adr <= main_sdram_bankmachine2_cmd_buffer_lookahead_produce;
end
end
assign main_sdram_bankmachine2_cmd_buffer_lookahead_wrport_dat_w = main_sdram_bankmachine2_cmd_buffer_lookahead_syncfifo2_din;
assign main_sdram_bankmachine2_cmd_buffer_lookahead_wrport_we = (main_sdram_bankmachine2_cmd_buffer_lookahead_syncfifo2_we & (main_sdram_bankmachine2_cmd_buffer_lookahead_syncfifo2_writable | main_sdram_bankmachine2_cmd_buffer_lookahead_replace));
assign main_sdram_bankmachine2_cmd_buffer_lookahead_do_read = (main_sdram_bankmachine2_cmd_buffer_lookahead_syncfifo2_readable & main_sdram_bankmachine2_cmd_buffer_lookahead_syncfifo2_re);
assign main_sdram_bankmachine2_cmd_buffer_lookahead_rdport_adr = main_sdram_bankmachine2_cmd_buffer_lookahead_consume;
assign main_sdram_bankmachine2_cmd_buffer_lookahead_syncfifo2_dout = main_sdram_bankmachine2_cmd_buffer_lookahead_rdport_dat_r;
assign main_sdram_bankmachine2_cmd_buffer_lookahead_syncfifo2_writable = (main_sdram_bankmachine2_cmd_buffer_lookahead_level != 4'd8);
assign main_sdram_bankmachine2_cmd_buffer_lookahead_syncfifo2_readable = (main_sdram_bankmachine2_cmd_buffer_lookahead_level != 1'd0);
assign main_sdram_bankmachine2_cmd_buffer_sink_ready = ((~main_sdram_bankmachine2_cmd_buffer_source_valid) | main_sdram_bankmachine2_cmd_buffer_source_ready);
always @(*) begin
main_sdram_bankmachine2_cmd_payload_cas <= 1'd0;
main_sdram_bankmachine2_cmd_payload_ras <= 1'd0;
main_sdram_bankmachine2_cmd_payload_we <= 1'd0;
builder_bankmachine2_next_state <= 3'd0;
main_sdram_bankmachine2_cmd_payload_is_cmd <= 1'd0;
main_sdram_bankmachine2_row_col_n_addr_sel <= 1'd0;
main_sdram_bankmachine2_cmd_payload_is_read <= 1'd0;
main_sdram_bankmachine2_cmd_payload_is_write <= 1'd0;
main_sdram_bankmachine2_req_wdata_ready <= 1'd0;
main_sdram_bankmachine2_req_rdata_valid <= 1'd0;
main_sdram_bankmachine2_refresh_gnt <= 1'd0;
main_sdram_bankmachine2_cmd_valid <= 1'd0;
main_sdram_bankmachine2_row_open <= 1'd0;
main_sdram_bankmachine2_row_close <= 1'd0;
builder_bankmachine2_next_state <= builder_bankmachine2_state;
case (builder_bankmachine2_state)
1'd1: begin
if ((main_sdram_bankmachine2_twtpcon_ready & main_sdram_bankmachine2_trascon_ready)) begin
main_sdram_bankmachine2_cmd_valid <= 1'd1;
if (main_sdram_bankmachine2_cmd_ready) begin
builder_bankmachine2_next_state <= 3'd5;
end
main_sdram_bankmachine2_cmd_payload_ras <= 1'd1;
main_sdram_bankmachine2_cmd_payload_we <= 1'd1;
main_sdram_bankmachine2_cmd_payload_is_cmd <= 1'd1;
end
main_sdram_bankmachine2_row_close <= 1'd1;
end
2'd2: begin
if ((main_sdram_bankmachine2_twtpcon_ready & main_sdram_bankmachine2_trascon_ready)) begin
builder_bankmachine2_next_state <= 3'd5;
end
main_sdram_bankmachine2_row_close <= 1'd1;
end
2'd3: begin
if (main_sdram_bankmachine2_trccon_ready) begin
main_sdram_bankmachine2_row_col_n_addr_sel <= 1'd1;
main_sdram_bankmachine2_row_open <= 1'd1;
main_sdram_bankmachine2_cmd_valid <= 1'd1;
main_sdram_bankmachine2_cmd_payload_is_cmd <= 1'd1;
if (main_sdram_bankmachine2_cmd_ready) begin
builder_bankmachine2_next_state <= 3'd6;
end
main_sdram_bankmachine2_cmd_payload_ras <= 1'd1;
end
end
3'd4: begin
if (main_sdram_bankmachine2_twtpcon_ready) begin
main_sdram_bankmachine2_refresh_gnt <= 1'd1;
end
main_sdram_bankmachine2_row_close <= 1'd1;
main_sdram_bankmachine2_cmd_payload_is_cmd <= 1'd1;
if ((~main_sdram_bankmachine2_refresh_req)) begin
builder_bankmachine2_next_state <= 1'd0;
end
end
3'd5: begin
builder_bankmachine2_next_state <= 2'd3;
end
3'd6: begin
builder_bankmachine2_next_state <= 1'd0;
end
default: begin
if (main_sdram_bankmachine2_refresh_req) begin
builder_bankmachine2_next_state <= 3'd4;
end else begin
if (main_sdram_bankmachine2_cmd_buffer_source_valid) begin
if (main_sdram_bankmachine2_row_opened) begin
if (main_sdram_bankmachine2_row_hit) begin
main_sdram_bankmachine2_cmd_valid <= 1'd1;
if (main_sdram_bankmachine2_cmd_buffer_source_payload_we) begin
main_sdram_bankmachine2_req_wdata_ready <= main_sdram_bankmachine2_cmd_ready;
main_sdram_bankmachine2_cmd_payload_is_write <= 1'd1;
main_sdram_bankmachine2_cmd_payload_we <= 1'd1;
end else begin
main_sdram_bankmachine2_req_rdata_valid <= main_sdram_bankmachine2_cmd_ready;
main_sdram_bankmachine2_cmd_payload_is_read <= 1'd1;
end
main_sdram_bankmachine2_cmd_payload_cas <= 1'd1;
if ((main_sdram_bankmachine2_cmd_ready & main_sdram_bankmachine2_auto_precharge)) begin
builder_bankmachine2_next_state <= 2'd2;
end
end else begin
builder_bankmachine2_next_state <= 1'd1;
end
end else begin
builder_bankmachine2_next_state <= 2'd3;
end
end
end
end
endcase
end
assign main_sdram_bankmachine3_cmd_buffer_lookahead_sink_valid = main_sdram_bankmachine3_req_valid;
assign main_sdram_bankmachine3_req_ready = main_sdram_bankmachine3_cmd_buffer_lookahead_sink_ready;
assign main_sdram_bankmachine3_cmd_buffer_lookahead_sink_payload_we = main_sdram_bankmachine3_req_we;
assign main_sdram_bankmachine3_cmd_buffer_lookahead_sink_payload_addr = main_sdram_bankmachine3_req_addr;
assign main_sdram_bankmachine3_cmd_buffer_sink_valid = main_sdram_bankmachine3_cmd_buffer_lookahead_source_valid;
assign main_sdram_bankmachine3_cmd_buffer_lookahead_source_ready = main_sdram_bankmachine3_cmd_buffer_sink_ready;
assign main_sdram_bankmachine3_cmd_buffer_sink_first = main_sdram_bankmachine3_cmd_buffer_lookahead_source_first;
assign main_sdram_bankmachine3_cmd_buffer_sink_last = main_sdram_bankmachine3_cmd_buffer_lookahead_source_last;
assign main_sdram_bankmachine3_cmd_buffer_sink_payload_we = main_sdram_bankmachine3_cmd_buffer_lookahead_source_payload_we;
assign main_sdram_bankmachine3_cmd_buffer_sink_payload_addr = main_sdram_bankmachine3_cmd_buffer_lookahead_source_payload_addr;
assign main_sdram_bankmachine3_cmd_buffer_source_ready = (main_sdram_bankmachine3_req_wdata_ready | main_sdram_bankmachine3_req_rdata_valid);
assign main_sdram_bankmachine3_req_lock = (main_sdram_bankmachine3_cmd_buffer_lookahead_source_valid | main_sdram_bankmachine3_cmd_buffer_source_valid);
assign main_sdram_bankmachine3_row_hit = (main_sdram_bankmachine3_row == main_sdram_bankmachine3_cmd_buffer_source_payload_addr[20:7]);
assign main_sdram_bankmachine3_cmd_payload_ba = 2'd3;
always @(*) begin
main_sdram_bankmachine3_cmd_payload_a <= 14'd0;
if (main_sdram_bankmachine3_row_col_n_addr_sel) begin
main_sdram_bankmachine3_cmd_payload_a <= main_sdram_bankmachine3_cmd_buffer_source_payload_addr[20:7];
end else begin
main_sdram_bankmachine3_cmd_payload_a <= ((main_sdram_bankmachine3_auto_precharge <<< 4'd10) | {main_sdram_bankmachine3_cmd_buffer_source_payload_addr[6:0], {3{1'd0}}});
end
end
assign main_sdram_bankmachine3_twtpcon_valid = ((main_sdram_bankmachine3_cmd_valid & main_sdram_bankmachine3_cmd_ready) & main_sdram_bankmachine3_cmd_payload_is_write);
assign main_sdram_bankmachine3_trccon_valid = ((main_sdram_bankmachine3_cmd_valid & main_sdram_bankmachine3_cmd_ready) & main_sdram_bankmachine3_row_open);
assign main_sdram_bankmachine3_trascon_valid = ((main_sdram_bankmachine3_cmd_valid & main_sdram_bankmachine3_cmd_ready) & main_sdram_bankmachine3_row_open);
always @(*) begin
main_sdram_bankmachine3_auto_precharge <= 1'd0;
if ((main_sdram_bankmachine3_cmd_buffer_lookahead_source_valid & main_sdram_bankmachine3_cmd_buffer_source_valid)) begin
if ((main_sdram_bankmachine3_cmd_buffer_lookahead_source_payload_addr[20:7] != main_sdram_bankmachine3_cmd_buffer_source_payload_addr[20:7])) begin
main_sdram_bankmachine3_auto_precharge <= (main_sdram_bankmachine3_row_close == 1'd0);
end
end
end
assign main_sdram_bankmachine3_cmd_buffer_lookahead_syncfifo3_din = {main_sdram_bankmachine3_cmd_buffer_lookahead_fifo_in_last, main_sdram_bankmachine3_cmd_buffer_lookahead_fifo_in_first, main_sdram_bankmachine3_cmd_buffer_lookahead_fifo_in_payload_addr, main_sdram_bankmachine3_cmd_buffer_lookahead_fifo_in_payload_we};
assign {main_sdram_bankmachine3_cmd_buffer_lookahead_fifo_out_last, main_sdram_bankmachine3_cmd_buffer_lookahead_fifo_out_first, main_sdram_bankmachine3_cmd_buffer_lookahead_fifo_out_payload_addr, main_sdram_bankmachine3_cmd_buffer_lookahead_fifo_out_payload_we} = main_sdram_bankmachine3_cmd_buffer_lookahead_syncfifo3_dout;
assign main_sdram_bankmachine3_cmd_buffer_lookahead_sink_ready = main_sdram_bankmachine3_cmd_buffer_lookahead_syncfifo3_writable;
assign main_sdram_bankmachine3_cmd_buffer_lookahead_syncfifo3_we = main_sdram_bankmachine3_cmd_buffer_lookahead_sink_valid;
assign main_sdram_bankmachine3_cmd_buffer_lookahead_fifo_in_first = main_sdram_bankmachine3_cmd_buffer_lookahead_sink_first;
assign main_sdram_bankmachine3_cmd_buffer_lookahead_fifo_in_last = main_sdram_bankmachine3_cmd_buffer_lookahead_sink_last;
assign main_sdram_bankmachine3_cmd_buffer_lookahead_fifo_in_payload_we = main_sdram_bankmachine3_cmd_buffer_lookahead_sink_payload_we;
assign main_sdram_bankmachine3_cmd_buffer_lookahead_fifo_in_payload_addr = main_sdram_bankmachine3_cmd_buffer_lookahead_sink_payload_addr;
assign main_sdram_bankmachine3_cmd_buffer_lookahead_source_valid = main_sdram_bankmachine3_cmd_buffer_lookahead_syncfifo3_readable;
assign main_sdram_bankmachine3_cmd_buffer_lookahead_source_first = main_sdram_bankmachine3_cmd_buffer_lookahead_fifo_out_first;
assign main_sdram_bankmachine3_cmd_buffer_lookahead_source_last = main_sdram_bankmachine3_cmd_buffer_lookahead_fifo_out_last;
assign main_sdram_bankmachine3_cmd_buffer_lookahead_source_payload_we = main_sdram_bankmachine3_cmd_buffer_lookahead_fifo_out_payload_we;
assign main_sdram_bankmachine3_cmd_buffer_lookahead_source_payload_addr = main_sdram_bankmachine3_cmd_buffer_lookahead_fifo_out_payload_addr;
assign main_sdram_bankmachine3_cmd_buffer_lookahead_syncfifo3_re = main_sdram_bankmachine3_cmd_buffer_lookahead_source_ready;
always @(*) begin
main_sdram_bankmachine3_cmd_buffer_lookahead_wrport_adr <= 3'd0;
if (main_sdram_bankmachine3_cmd_buffer_lookahead_replace) begin
main_sdram_bankmachine3_cmd_buffer_lookahead_wrport_adr <= (main_sdram_bankmachine3_cmd_buffer_lookahead_produce - 1'd1);
end else begin
main_sdram_bankmachine3_cmd_buffer_lookahead_wrport_adr <= main_sdram_bankmachine3_cmd_buffer_lookahead_produce;
end
end
assign main_sdram_bankmachine3_cmd_buffer_lookahead_wrport_dat_w = main_sdram_bankmachine3_cmd_buffer_lookahead_syncfifo3_din;
assign main_sdram_bankmachine3_cmd_buffer_lookahead_wrport_we = (main_sdram_bankmachine3_cmd_buffer_lookahead_syncfifo3_we & (main_sdram_bankmachine3_cmd_buffer_lookahead_syncfifo3_writable | main_sdram_bankmachine3_cmd_buffer_lookahead_replace));
assign main_sdram_bankmachine3_cmd_buffer_lookahead_do_read = (main_sdram_bankmachine3_cmd_buffer_lookahead_syncfifo3_readable & main_sdram_bankmachine3_cmd_buffer_lookahead_syncfifo3_re);
assign main_sdram_bankmachine3_cmd_buffer_lookahead_rdport_adr = main_sdram_bankmachine3_cmd_buffer_lookahead_consume;
assign main_sdram_bankmachine3_cmd_buffer_lookahead_syncfifo3_dout = main_sdram_bankmachine3_cmd_buffer_lookahead_rdport_dat_r;
assign main_sdram_bankmachine3_cmd_buffer_lookahead_syncfifo3_writable = (main_sdram_bankmachine3_cmd_buffer_lookahead_level != 4'd8);
assign main_sdram_bankmachine3_cmd_buffer_lookahead_syncfifo3_readable = (main_sdram_bankmachine3_cmd_buffer_lookahead_level != 1'd0);
assign main_sdram_bankmachine3_cmd_buffer_sink_ready = ((~main_sdram_bankmachine3_cmd_buffer_source_valid) | main_sdram_bankmachine3_cmd_buffer_source_ready);
always @(*) begin
main_sdram_bankmachine3_cmd_payload_cas <= 1'd0;
builder_bankmachine3_next_state <= 3'd0;
main_sdram_bankmachine3_cmd_payload_ras <= 1'd0;
main_sdram_bankmachine3_cmd_payload_we <= 1'd0;
main_sdram_bankmachine3_row_col_n_addr_sel <= 1'd0;
main_sdram_bankmachine3_cmd_payload_is_cmd <= 1'd0;
main_sdram_bankmachine3_cmd_payload_is_read <= 1'd0;
main_sdram_bankmachine3_cmd_payload_is_write <= 1'd0;
main_sdram_bankmachine3_req_wdata_ready <= 1'd0;
main_sdram_bankmachine3_req_rdata_valid <= 1'd0;
main_sdram_bankmachine3_refresh_gnt <= 1'd0;
main_sdram_bankmachine3_cmd_valid <= 1'd0;
main_sdram_bankmachine3_row_open <= 1'd0;
main_sdram_bankmachine3_row_close <= 1'd0;
builder_bankmachine3_next_state <= builder_bankmachine3_state;
case (builder_bankmachine3_state)
1'd1: begin
if ((main_sdram_bankmachine3_twtpcon_ready & main_sdram_bankmachine3_trascon_ready)) begin
main_sdram_bankmachine3_cmd_valid <= 1'd1;
if (main_sdram_bankmachine3_cmd_ready) begin
builder_bankmachine3_next_state <= 3'd5;
end
main_sdram_bankmachine3_cmd_payload_ras <= 1'd1;
main_sdram_bankmachine3_cmd_payload_we <= 1'd1;
main_sdram_bankmachine3_cmd_payload_is_cmd <= 1'd1;
end
main_sdram_bankmachine3_row_close <= 1'd1;
end
2'd2: begin
if ((main_sdram_bankmachine3_twtpcon_ready & main_sdram_bankmachine3_trascon_ready)) begin
builder_bankmachine3_next_state <= 3'd5;
end
main_sdram_bankmachine3_row_close <= 1'd1;
end
2'd3: begin
if (main_sdram_bankmachine3_trccon_ready) begin
main_sdram_bankmachine3_row_col_n_addr_sel <= 1'd1;
main_sdram_bankmachine3_row_open <= 1'd1;
main_sdram_bankmachine3_cmd_valid <= 1'd1;
main_sdram_bankmachine3_cmd_payload_is_cmd <= 1'd1;
if (main_sdram_bankmachine3_cmd_ready) begin
builder_bankmachine3_next_state <= 3'd6;
end
main_sdram_bankmachine3_cmd_payload_ras <= 1'd1;
end
end
3'd4: begin
if (main_sdram_bankmachine3_twtpcon_ready) begin
main_sdram_bankmachine3_refresh_gnt <= 1'd1;
end
main_sdram_bankmachine3_row_close <= 1'd1;
main_sdram_bankmachine3_cmd_payload_is_cmd <= 1'd1;
if ((~main_sdram_bankmachine3_refresh_req)) begin
builder_bankmachine3_next_state <= 1'd0;
end
end
3'd5: begin
builder_bankmachine3_next_state <= 2'd3;
end
3'd6: begin
builder_bankmachine3_next_state <= 1'd0;
end
default: begin
if (main_sdram_bankmachine3_refresh_req) begin
builder_bankmachine3_next_state <= 3'd4;
end else begin
if (main_sdram_bankmachine3_cmd_buffer_source_valid) begin
if (main_sdram_bankmachine3_row_opened) begin
if (main_sdram_bankmachine3_row_hit) begin
main_sdram_bankmachine3_cmd_valid <= 1'd1;
if (main_sdram_bankmachine3_cmd_buffer_source_payload_we) begin
main_sdram_bankmachine3_req_wdata_ready <= main_sdram_bankmachine3_cmd_ready;
main_sdram_bankmachine3_cmd_payload_is_write <= 1'd1;
main_sdram_bankmachine3_cmd_payload_we <= 1'd1;
end else begin
main_sdram_bankmachine3_req_rdata_valid <= main_sdram_bankmachine3_cmd_ready;
main_sdram_bankmachine3_cmd_payload_is_read <= 1'd1;
end
main_sdram_bankmachine3_cmd_payload_cas <= 1'd1;
if ((main_sdram_bankmachine3_cmd_ready & main_sdram_bankmachine3_auto_precharge)) begin
builder_bankmachine3_next_state <= 2'd2;
end
end else begin
builder_bankmachine3_next_state <= 1'd1;
end
end else begin
builder_bankmachine3_next_state <= 2'd3;
end
end
end
end
endcase
end
assign main_sdram_bankmachine4_cmd_buffer_lookahead_sink_valid = main_sdram_bankmachine4_req_valid;
assign main_sdram_bankmachine4_req_ready = main_sdram_bankmachine4_cmd_buffer_lookahead_sink_ready;
assign main_sdram_bankmachine4_cmd_buffer_lookahead_sink_payload_we = main_sdram_bankmachine4_req_we;
assign main_sdram_bankmachine4_cmd_buffer_lookahead_sink_payload_addr = main_sdram_bankmachine4_req_addr;
assign main_sdram_bankmachine4_cmd_buffer_sink_valid = main_sdram_bankmachine4_cmd_buffer_lookahead_source_valid;
assign main_sdram_bankmachine4_cmd_buffer_lookahead_source_ready = main_sdram_bankmachine4_cmd_buffer_sink_ready;
assign main_sdram_bankmachine4_cmd_buffer_sink_first = main_sdram_bankmachine4_cmd_buffer_lookahead_source_first;
assign main_sdram_bankmachine4_cmd_buffer_sink_last = main_sdram_bankmachine4_cmd_buffer_lookahead_source_last;
assign main_sdram_bankmachine4_cmd_buffer_sink_payload_we = main_sdram_bankmachine4_cmd_buffer_lookahead_source_payload_we;
assign main_sdram_bankmachine4_cmd_buffer_sink_payload_addr = main_sdram_bankmachine4_cmd_buffer_lookahead_source_payload_addr;
assign main_sdram_bankmachine4_cmd_buffer_source_ready = (main_sdram_bankmachine4_req_wdata_ready | main_sdram_bankmachine4_req_rdata_valid);
assign main_sdram_bankmachine4_req_lock = (main_sdram_bankmachine4_cmd_buffer_lookahead_source_valid | main_sdram_bankmachine4_cmd_buffer_source_valid);
assign main_sdram_bankmachine4_row_hit = (main_sdram_bankmachine4_row == main_sdram_bankmachine4_cmd_buffer_source_payload_addr[20:7]);
assign main_sdram_bankmachine4_cmd_payload_ba = 3'd4;
always @(*) begin
main_sdram_bankmachine4_cmd_payload_a <= 14'd0;
if (main_sdram_bankmachine4_row_col_n_addr_sel) begin
main_sdram_bankmachine4_cmd_payload_a <= main_sdram_bankmachine4_cmd_buffer_source_payload_addr[20:7];
end else begin
main_sdram_bankmachine4_cmd_payload_a <= ((main_sdram_bankmachine4_auto_precharge <<< 4'd10) | {main_sdram_bankmachine4_cmd_buffer_source_payload_addr[6:0], {3{1'd0}}});
end
end
assign main_sdram_bankmachine4_twtpcon_valid = ((main_sdram_bankmachine4_cmd_valid & main_sdram_bankmachine4_cmd_ready) & main_sdram_bankmachine4_cmd_payload_is_write);
assign main_sdram_bankmachine4_trccon_valid = ((main_sdram_bankmachine4_cmd_valid & main_sdram_bankmachine4_cmd_ready) & main_sdram_bankmachine4_row_open);
assign main_sdram_bankmachine4_trascon_valid = ((main_sdram_bankmachine4_cmd_valid & main_sdram_bankmachine4_cmd_ready) & main_sdram_bankmachine4_row_open);
always @(*) begin
main_sdram_bankmachine4_auto_precharge <= 1'd0;
if ((main_sdram_bankmachine4_cmd_buffer_lookahead_source_valid & main_sdram_bankmachine4_cmd_buffer_source_valid)) begin
if ((main_sdram_bankmachine4_cmd_buffer_lookahead_source_payload_addr[20:7] != main_sdram_bankmachine4_cmd_buffer_source_payload_addr[20:7])) begin
main_sdram_bankmachine4_auto_precharge <= (main_sdram_bankmachine4_row_close == 1'd0);
end
end
end
assign main_sdram_bankmachine4_cmd_buffer_lookahead_syncfifo4_din = {main_sdram_bankmachine4_cmd_buffer_lookahead_fifo_in_last, main_sdram_bankmachine4_cmd_buffer_lookahead_fifo_in_first, main_sdram_bankmachine4_cmd_buffer_lookahead_fifo_in_payload_addr, main_sdram_bankmachine4_cmd_buffer_lookahead_fifo_in_payload_we};
assign {main_sdram_bankmachine4_cmd_buffer_lookahead_fifo_out_last, main_sdram_bankmachine4_cmd_buffer_lookahead_fifo_out_first, main_sdram_bankmachine4_cmd_buffer_lookahead_fifo_out_payload_addr, main_sdram_bankmachine4_cmd_buffer_lookahead_fifo_out_payload_we} = main_sdram_bankmachine4_cmd_buffer_lookahead_syncfifo4_dout;
assign main_sdram_bankmachine4_cmd_buffer_lookahead_sink_ready = main_sdram_bankmachine4_cmd_buffer_lookahead_syncfifo4_writable;
assign main_sdram_bankmachine4_cmd_buffer_lookahead_syncfifo4_we = main_sdram_bankmachine4_cmd_buffer_lookahead_sink_valid;
assign main_sdram_bankmachine4_cmd_buffer_lookahead_fifo_in_first = main_sdram_bankmachine4_cmd_buffer_lookahead_sink_first;
assign main_sdram_bankmachine4_cmd_buffer_lookahead_fifo_in_last = main_sdram_bankmachine4_cmd_buffer_lookahead_sink_last;
assign main_sdram_bankmachine4_cmd_buffer_lookahead_fifo_in_payload_we = main_sdram_bankmachine4_cmd_buffer_lookahead_sink_payload_we;
assign main_sdram_bankmachine4_cmd_buffer_lookahead_fifo_in_payload_addr = main_sdram_bankmachine4_cmd_buffer_lookahead_sink_payload_addr;
assign main_sdram_bankmachine4_cmd_buffer_lookahead_source_valid = main_sdram_bankmachine4_cmd_buffer_lookahead_syncfifo4_readable;
assign main_sdram_bankmachine4_cmd_buffer_lookahead_source_first = main_sdram_bankmachine4_cmd_buffer_lookahead_fifo_out_first;
assign main_sdram_bankmachine4_cmd_buffer_lookahead_source_last = main_sdram_bankmachine4_cmd_buffer_lookahead_fifo_out_last;
assign main_sdram_bankmachine4_cmd_buffer_lookahead_source_payload_we = main_sdram_bankmachine4_cmd_buffer_lookahead_fifo_out_payload_we;
assign main_sdram_bankmachine4_cmd_buffer_lookahead_source_payload_addr = main_sdram_bankmachine4_cmd_buffer_lookahead_fifo_out_payload_addr;
assign main_sdram_bankmachine4_cmd_buffer_lookahead_syncfifo4_re = main_sdram_bankmachine4_cmd_buffer_lookahead_source_ready;
always @(*) begin
main_sdram_bankmachine4_cmd_buffer_lookahead_wrport_adr <= 3'd0;
if (main_sdram_bankmachine4_cmd_buffer_lookahead_replace) begin
main_sdram_bankmachine4_cmd_buffer_lookahead_wrport_adr <= (main_sdram_bankmachine4_cmd_buffer_lookahead_produce - 1'd1);
end else begin
main_sdram_bankmachine4_cmd_buffer_lookahead_wrport_adr <= main_sdram_bankmachine4_cmd_buffer_lookahead_produce;
end
end
assign main_sdram_bankmachine4_cmd_buffer_lookahead_wrport_dat_w = main_sdram_bankmachine4_cmd_buffer_lookahead_syncfifo4_din;
assign main_sdram_bankmachine4_cmd_buffer_lookahead_wrport_we = (main_sdram_bankmachine4_cmd_buffer_lookahead_syncfifo4_we & (main_sdram_bankmachine4_cmd_buffer_lookahead_syncfifo4_writable | main_sdram_bankmachine4_cmd_buffer_lookahead_replace));
assign main_sdram_bankmachine4_cmd_buffer_lookahead_do_read = (main_sdram_bankmachine4_cmd_buffer_lookahead_syncfifo4_readable & main_sdram_bankmachine4_cmd_buffer_lookahead_syncfifo4_re);
assign main_sdram_bankmachine4_cmd_buffer_lookahead_rdport_adr = main_sdram_bankmachine4_cmd_buffer_lookahead_consume;
assign main_sdram_bankmachine4_cmd_buffer_lookahead_syncfifo4_dout = main_sdram_bankmachine4_cmd_buffer_lookahead_rdport_dat_r;
assign main_sdram_bankmachine4_cmd_buffer_lookahead_syncfifo4_writable = (main_sdram_bankmachine4_cmd_buffer_lookahead_level != 4'd8);
assign main_sdram_bankmachine4_cmd_buffer_lookahead_syncfifo4_readable = (main_sdram_bankmachine4_cmd_buffer_lookahead_level != 1'd0);
assign main_sdram_bankmachine4_cmd_buffer_sink_ready = ((~main_sdram_bankmachine4_cmd_buffer_source_valid) | main_sdram_bankmachine4_cmd_buffer_source_ready);
always @(*) begin
main_sdram_bankmachine4_cmd_payload_cas <= 1'd0;
main_sdram_bankmachine4_row_close <= 1'd0;
main_sdram_bankmachine4_cmd_payload_ras <= 1'd0;
main_sdram_bankmachine4_cmd_payload_we <= 1'd0;
main_sdram_bankmachine4_row_col_n_addr_sel <= 1'd0;
main_sdram_bankmachine4_cmd_payload_is_cmd <= 1'd0;
main_sdram_bankmachine4_cmd_payload_is_read <= 1'd0;
main_sdram_bankmachine4_cmd_payload_is_write <= 1'd0;
main_sdram_bankmachine4_req_wdata_ready <= 1'd0;
main_sdram_bankmachine4_req_rdata_valid <= 1'd0;
main_sdram_bankmachine4_refresh_gnt <= 1'd0;
main_sdram_bankmachine4_cmd_valid <= 1'd0;
main_sdram_bankmachine4_row_open <= 1'd0;
builder_bankmachine4_next_state <= 3'd0;
builder_bankmachine4_next_state <= builder_bankmachine4_state;
case (builder_bankmachine4_state)
1'd1: begin
if ((main_sdram_bankmachine4_twtpcon_ready & main_sdram_bankmachine4_trascon_ready)) begin
main_sdram_bankmachine4_cmd_valid <= 1'd1;
if (main_sdram_bankmachine4_cmd_ready) begin
builder_bankmachine4_next_state <= 3'd5;
end
main_sdram_bankmachine4_cmd_payload_ras <= 1'd1;
main_sdram_bankmachine4_cmd_payload_we <= 1'd1;
main_sdram_bankmachine4_cmd_payload_is_cmd <= 1'd1;
end
main_sdram_bankmachine4_row_close <= 1'd1;
end
2'd2: begin
if ((main_sdram_bankmachine4_twtpcon_ready & main_sdram_bankmachine4_trascon_ready)) begin
builder_bankmachine4_next_state <= 3'd5;
end
main_sdram_bankmachine4_row_close <= 1'd1;
end
2'd3: begin
if (main_sdram_bankmachine4_trccon_ready) begin
main_sdram_bankmachine4_row_col_n_addr_sel <= 1'd1;
main_sdram_bankmachine4_row_open <= 1'd1;
main_sdram_bankmachine4_cmd_valid <= 1'd1;
main_sdram_bankmachine4_cmd_payload_is_cmd <= 1'd1;
if (main_sdram_bankmachine4_cmd_ready) begin
builder_bankmachine4_next_state <= 3'd6;
end
main_sdram_bankmachine4_cmd_payload_ras <= 1'd1;
end
end
3'd4: begin
if (main_sdram_bankmachine4_twtpcon_ready) begin
main_sdram_bankmachine4_refresh_gnt <= 1'd1;
end
main_sdram_bankmachine4_row_close <= 1'd1;
main_sdram_bankmachine4_cmd_payload_is_cmd <= 1'd1;
if ((~main_sdram_bankmachine4_refresh_req)) begin
builder_bankmachine4_next_state <= 1'd0;
end
end
3'd5: begin
builder_bankmachine4_next_state <= 2'd3;
end
3'd6: begin
builder_bankmachine4_next_state <= 1'd0;
end
default: begin
if (main_sdram_bankmachine4_refresh_req) begin
builder_bankmachine4_next_state <= 3'd4;
end else begin
if (main_sdram_bankmachine4_cmd_buffer_source_valid) begin
if (main_sdram_bankmachine4_row_opened) begin
if (main_sdram_bankmachine4_row_hit) begin
main_sdram_bankmachine4_cmd_valid <= 1'd1;
if (main_sdram_bankmachine4_cmd_buffer_source_payload_we) begin
main_sdram_bankmachine4_req_wdata_ready <= main_sdram_bankmachine4_cmd_ready;
main_sdram_bankmachine4_cmd_payload_is_write <= 1'd1;
main_sdram_bankmachine4_cmd_payload_we <= 1'd1;
end else begin
main_sdram_bankmachine4_req_rdata_valid <= main_sdram_bankmachine4_cmd_ready;
main_sdram_bankmachine4_cmd_payload_is_read <= 1'd1;
end
main_sdram_bankmachine4_cmd_payload_cas <= 1'd1;
if ((main_sdram_bankmachine4_cmd_ready & main_sdram_bankmachine4_auto_precharge)) begin
builder_bankmachine4_next_state <= 2'd2;
end
end else begin
builder_bankmachine4_next_state <= 1'd1;
end
end else begin
builder_bankmachine4_next_state <= 2'd3;
end
end
end
end
endcase
end
assign main_sdram_bankmachine5_cmd_buffer_lookahead_sink_valid = main_sdram_bankmachine5_req_valid;
assign main_sdram_bankmachine5_req_ready = main_sdram_bankmachine5_cmd_buffer_lookahead_sink_ready;
assign main_sdram_bankmachine5_cmd_buffer_lookahead_sink_payload_we = main_sdram_bankmachine5_req_we;
assign main_sdram_bankmachine5_cmd_buffer_lookahead_sink_payload_addr = main_sdram_bankmachine5_req_addr;
assign main_sdram_bankmachine5_cmd_buffer_sink_valid = main_sdram_bankmachine5_cmd_buffer_lookahead_source_valid;
assign main_sdram_bankmachine5_cmd_buffer_lookahead_source_ready = main_sdram_bankmachine5_cmd_buffer_sink_ready;
assign main_sdram_bankmachine5_cmd_buffer_sink_first = main_sdram_bankmachine5_cmd_buffer_lookahead_source_first;
assign main_sdram_bankmachine5_cmd_buffer_sink_last = main_sdram_bankmachine5_cmd_buffer_lookahead_source_last;
assign main_sdram_bankmachine5_cmd_buffer_sink_payload_we = main_sdram_bankmachine5_cmd_buffer_lookahead_source_payload_we;
assign main_sdram_bankmachine5_cmd_buffer_sink_payload_addr = main_sdram_bankmachine5_cmd_buffer_lookahead_source_payload_addr;
assign main_sdram_bankmachine5_cmd_buffer_source_ready = (main_sdram_bankmachine5_req_wdata_ready | main_sdram_bankmachine5_req_rdata_valid);
assign main_sdram_bankmachine5_req_lock = (main_sdram_bankmachine5_cmd_buffer_lookahead_source_valid | main_sdram_bankmachine5_cmd_buffer_source_valid);
assign main_sdram_bankmachine5_row_hit = (main_sdram_bankmachine5_row == main_sdram_bankmachine5_cmd_buffer_source_payload_addr[20:7]);
assign main_sdram_bankmachine5_cmd_payload_ba = 3'd5;
always @(*) begin
main_sdram_bankmachine5_cmd_payload_a <= 14'd0;
if (main_sdram_bankmachine5_row_col_n_addr_sel) begin
main_sdram_bankmachine5_cmd_payload_a <= main_sdram_bankmachine5_cmd_buffer_source_payload_addr[20:7];
end else begin
main_sdram_bankmachine5_cmd_payload_a <= ((main_sdram_bankmachine5_auto_precharge <<< 4'd10) | {main_sdram_bankmachine5_cmd_buffer_source_payload_addr[6:0], {3{1'd0}}});
end
end
assign main_sdram_bankmachine5_twtpcon_valid = ((main_sdram_bankmachine5_cmd_valid & main_sdram_bankmachine5_cmd_ready) & main_sdram_bankmachine5_cmd_payload_is_write);
assign main_sdram_bankmachine5_trccon_valid = ((main_sdram_bankmachine5_cmd_valid & main_sdram_bankmachine5_cmd_ready) & main_sdram_bankmachine5_row_open);
assign main_sdram_bankmachine5_trascon_valid = ((main_sdram_bankmachine5_cmd_valid & main_sdram_bankmachine5_cmd_ready) & main_sdram_bankmachine5_row_open);
always @(*) begin
main_sdram_bankmachine5_auto_precharge <= 1'd0;
if ((main_sdram_bankmachine5_cmd_buffer_lookahead_source_valid & main_sdram_bankmachine5_cmd_buffer_source_valid)) begin
if ((main_sdram_bankmachine5_cmd_buffer_lookahead_source_payload_addr[20:7] != main_sdram_bankmachine5_cmd_buffer_source_payload_addr[20:7])) begin
main_sdram_bankmachine5_auto_precharge <= (main_sdram_bankmachine5_row_close == 1'd0);
end
end
end
assign main_sdram_bankmachine5_cmd_buffer_lookahead_syncfifo5_din = {main_sdram_bankmachine5_cmd_buffer_lookahead_fifo_in_last, main_sdram_bankmachine5_cmd_buffer_lookahead_fifo_in_first, main_sdram_bankmachine5_cmd_buffer_lookahead_fifo_in_payload_addr, main_sdram_bankmachine5_cmd_buffer_lookahead_fifo_in_payload_we};
assign {main_sdram_bankmachine5_cmd_buffer_lookahead_fifo_out_last, main_sdram_bankmachine5_cmd_buffer_lookahead_fifo_out_first, main_sdram_bankmachine5_cmd_buffer_lookahead_fifo_out_payload_addr, main_sdram_bankmachine5_cmd_buffer_lookahead_fifo_out_payload_we} = main_sdram_bankmachine5_cmd_buffer_lookahead_syncfifo5_dout;
assign main_sdram_bankmachine5_cmd_buffer_lookahead_sink_ready = main_sdram_bankmachine5_cmd_buffer_lookahead_syncfifo5_writable;
assign main_sdram_bankmachine5_cmd_buffer_lookahead_syncfifo5_we = main_sdram_bankmachine5_cmd_buffer_lookahead_sink_valid;
assign main_sdram_bankmachine5_cmd_buffer_lookahead_fifo_in_first = main_sdram_bankmachine5_cmd_buffer_lookahead_sink_first;
assign main_sdram_bankmachine5_cmd_buffer_lookahead_fifo_in_last = main_sdram_bankmachine5_cmd_buffer_lookahead_sink_last;
assign main_sdram_bankmachine5_cmd_buffer_lookahead_fifo_in_payload_we = main_sdram_bankmachine5_cmd_buffer_lookahead_sink_payload_we;
assign main_sdram_bankmachine5_cmd_buffer_lookahead_fifo_in_payload_addr = main_sdram_bankmachine5_cmd_buffer_lookahead_sink_payload_addr;
assign main_sdram_bankmachine5_cmd_buffer_lookahead_source_valid = main_sdram_bankmachine5_cmd_buffer_lookahead_syncfifo5_readable;
assign main_sdram_bankmachine5_cmd_buffer_lookahead_source_first = main_sdram_bankmachine5_cmd_buffer_lookahead_fifo_out_first;
assign main_sdram_bankmachine5_cmd_buffer_lookahead_source_last = main_sdram_bankmachine5_cmd_buffer_lookahead_fifo_out_last;
assign main_sdram_bankmachine5_cmd_buffer_lookahead_source_payload_we = main_sdram_bankmachine5_cmd_buffer_lookahead_fifo_out_payload_we;
assign main_sdram_bankmachine5_cmd_buffer_lookahead_source_payload_addr = main_sdram_bankmachine5_cmd_buffer_lookahead_fifo_out_payload_addr;
assign main_sdram_bankmachine5_cmd_buffer_lookahead_syncfifo5_re = main_sdram_bankmachine5_cmd_buffer_lookahead_source_ready;
always @(*) begin
main_sdram_bankmachine5_cmd_buffer_lookahead_wrport_adr <= 3'd0;
if (main_sdram_bankmachine5_cmd_buffer_lookahead_replace) begin
main_sdram_bankmachine5_cmd_buffer_lookahead_wrport_adr <= (main_sdram_bankmachine5_cmd_buffer_lookahead_produce - 1'd1);
end else begin
main_sdram_bankmachine5_cmd_buffer_lookahead_wrport_adr <= main_sdram_bankmachine5_cmd_buffer_lookahead_produce;
end
end
assign main_sdram_bankmachine5_cmd_buffer_lookahead_wrport_dat_w = main_sdram_bankmachine5_cmd_buffer_lookahead_syncfifo5_din;
assign main_sdram_bankmachine5_cmd_buffer_lookahead_wrport_we = (main_sdram_bankmachine5_cmd_buffer_lookahead_syncfifo5_we & (main_sdram_bankmachine5_cmd_buffer_lookahead_syncfifo5_writable | main_sdram_bankmachine5_cmd_buffer_lookahead_replace));
assign main_sdram_bankmachine5_cmd_buffer_lookahead_do_read = (main_sdram_bankmachine5_cmd_buffer_lookahead_syncfifo5_readable & main_sdram_bankmachine5_cmd_buffer_lookahead_syncfifo5_re);
assign main_sdram_bankmachine5_cmd_buffer_lookahead_rdport_adr = main_sdram_bankmachine5_cmd_buffer_lookahead_consume;
assign main_sdram_bankmachine5_cmd_buffer_lookahead_syncfifo5_dout = main_sdram_bankmachine5_cmd_buffer_lookahead_rdport_dat_r;
assign main_sdram_bankmachine5_cmd_buffer_lookahead_syncfifo5_writable = (main_sdram_bankmachine5_cmd_buffer_lookahead_level != 4'd8);
assign main_sdram_bankmachine5_cmd_buffer_lookahead_syncfifo5_readable = (main_sdram_bankmachine5_cmd_buffer_lookahead_level != 1'd0);
assign main_sdram_bankmachine5_cmd_buffer_sink_ready = ((~main_sdram_bankmachine5_cmd_buffer_source_valid) | main_sdram_bankmachine5_cmd_buffer_source_ready);
always @(*) begin
main_sdram_bankmachine5_cmd_payload_cas <= 1'd0;
main_sdram_bankmachine5_cmd_payload_ras <= 1'd0;
main_sdram_bankmachine5_cmd_payload_we <= 1'd0;
main_sdram_bankmachine5_row_col_n_addr_sel <= 1'd0;
main_sdram_bankmachine5_cmd_payload_is_cmd <= 1'd0;
main_sdram_bankmachine5_cmd_payload_is_read <= 1'd0;
main_sdram_bankmachine5_cmd_payload_is_write <= 1'd0;
main_sdram_bankmachine5_req_wdata_ready <= 1'd0;
main_sdram_bankmachine5_req_rdata_valid <= 1'd0;
main_sdram_bankmachine5_refresh_gnt <= 1'd0;
main_sdram_bankmachine5_cmd_valid <= 1'd0;
builder_bankmachine5_next_state <= 3'd0;
main_sdram_bankmachine5_row_open <= 1'd0;
main_sdram_bankmachine5_row_close <= 1'd0;
builder_bankmachine5_next_state <= builder_bankmachine5_state;
case (builder_bankmachine5_state)
1'd1: begin
if ((main_sdram_bankmachine5_twtpcon_ready & main_sdram_bankmachine5_trascon_ready)) begin
main_sdram_bankmachine5_cmd_valid <= 1'd1;
if (main_sdram_bankmachine5_cmd_ready) begin
builder_bankmachine5_next_state <= 3'd5;
end
main_sdram_bankmachine5_cmd_payload_ras <= 1'd1;
main_sdram_bankmachine5_cmd_payload_we <= 1'd1;
main_sdram_bankmachine5_cmd_payload_is_cmd <= 1'd1;
end
main_sdram_bankmachine5_row_close <= 1'd1;
end
2'd2: begin
if ((main_sdram_bankmachine5_twtpcon_ready & main_sdram_bankmachine5_trascon_ready)) begin
builder_bankmachine5_next_state <= 3'd5;
end
main_sdram_bankmachine5_row_close <= 1'd1;
end
2'd3: begin
if (main_sdram_bankmachine5_trccon_ready) begin
main_sdram_bankmachine5_row_col_n_addr_sel <= 1'd1;
main_sdram_bankmachine5_row_open <= 1'd1;
main_sdram_bankmachine5_cmd_valid <= 1'd1;
main_sdram_bankmachine5_cmd_payload_is_cmd <= 1'd1;
if (main_sdram_bankmachine5_cmd_ready) begin
builder_bankmachine5_next_state <= 3'd6;
end
main_sdram_bankmachine5_cmd_payload_ras <= 1'd1;
end
end
3'd4: begin
if (main_sdram_bankmachine5_twtpcon_ready) begin
main_sdram_bankmachine5_refresh_gnt <= 1'd1;
end
main_sdram_bankmachine5_row_close <= 1'd1;
main_sdram_bankmachine5_cmd_payload_is_cmd <= 1'd1;
if ((~main_sdram_bankmachine5_refresh_req)) begin
builder_bankmachine5_next_state <= 1'd0;
end
end
3'd5: begin
builder_bankmachine5_next_state <= 2'd3;
end
3'd6: begin
builder_bankmachine5_next_state <= 1'd0;
end
default: begin
if (main_sdram_bankmachine5_refresh_req) begin
builder_bankmachine5_next_state <= 3'd4;
end else begin
if (main_sdram_bankmachine5_cmd_buffer_source_valid) begin
if (main_sdram_bankmachine5_row_opened) begin
if (main_sdram_bankmachine5_row_hit) begin
main_sdram_bankmachine5_cmd_valid <= 1'd1;
if (main_sdram_bankmachine5_cmd_buffer_source_payload_we) begin
main_sdram_bankmachine5_req_wdata_ready <= main_sdram_bankmachine5_cmd_ready;
main_sdram_bankmachine5_cmd_payload_is_write <= 1'd1;
main_sdram_bankmachine5_cmd_payload_we <= 1'd1;
end else begin
main_sdram_bankmachine5_req_rdata_valid <= main_sdram_bankmachine5_cmd_ready;
main_sdram_bankmachine5_cmd_payload_is_read <= 1'd1;
end
main_sdram_bankmachine5_cmd_payload_cas <= 1'd1;
if ((main_sdram_bankmachine5_cmd_ready & main_sdram_bankmachine5_auto_precharge)) begin
builder_bankmachine5_next_state <= 2'd2;
end
end else begin
builder_bankmachine5_next_state <= 1'd1;
end
end else begin
builder_bankmachine5_next_state <= 2'd3;
end
end
end
end
endcase
end
assign main_sdram_bankmachine6_cmd_buffer_lookahead_sink_valid = main_sdram_bankmachine6_req_valid;
assign main_sdram_bankmachine6_req_ready = main_sdram_bankmachine6_cmd_buffer_lookahead_sink_ready;
assign main_sdram_bankmachine6_cmd_buffer_lookahead_sink_payload_we = main_sdram_bankmachine6_req_we;
assign main_sdram_bankmachine6_cmd_buffer_lookahead_sink_payload_addr = main_sdram_bankmachine6_req_addr;
assign main_sdram_bankmachine6_cmd_buffer_sink_valid = main_sdram_bankmachine6_cmd_buffer_lookahead_source_valid;
assign main_sdram_bankmachine6_cmd_buffer_lookahead_source_ready = main_sdram_bankmachine6_cmd_buffer_sink_ready;
assign main_sdram_bankmachine6_cmd_buffer_sink_first = main_sdram_bankmachine6_cmd_buffer_lookahead_source_first;
assign main_sdram_bankmachine6_cmd_buffer_sink_last = main_sdram_bankmachine6_cmd_buffer_lookahead_source_last;
assign main_sdram_bankmachine6_cmd_buffer_sink_payload_we = main_sdram_bankmachine6_cmd_buffer_lookahead_source_payload_we;
assign main_sdram_bankmachine6_cmd_buffer_sink_payload_addr = main_sdram_bankmachine6_cmd_buffer_lookahead_source_payload_addr;
assign main_sdram_bankmachine6_cmd_buffer_source_ready = (main_sdram_bankmachine6_req_wdata_ready | main_sdram_bankmachine6_req_rdata_valid);
assign main_sdram_bankmachine6_req_lock = (main_sdram_bankmachine6_cmd_buffer_lookahead_source_valid | main_sdram_bankmachine6_cmd_buffer_source_valid);
assign main_sdram_bankmachine6_row_hit = (main_sdram_bankmachine6_row == main_sdram_bankmachine6_cmd_buffer_source_payload_addr[20:7]);
assign main_sdram_bankmachine6_cmd_payload_ba = 3'd6;
always @(*) begin
main_sdram_bankmachine6_cmd_payload_a <= 14'd0;
if (main_sdram_bankmachine6_row_col_n_addr_sel) begin
main_sdram_bankmachine6_cmd_payload_a <= main_sdram_bankmachine6_cmd_buffer_source_payload_addr[20:7];
end else begin
main_sdram_bankmachine6_cmd_payload_a <= ((main_sdram_bankmachine6_auto_precharge <<< 4'd10) | {main_sdram_bankmachine6_cmd_buffer_source_payload_addr[6:0], {3{1'd0}}});
end
end
assign main_sdram_bankmachine6_twtpcon_valid = ((main_sdram_bankmachine6_cmd_valid & main_sdram_bankmachine6_cmd_ready) & main_sdram_bankmachine6_cmd_payload_is_write);
assign main_sdram_bankmachine6_trccon_valid = ((main_sdram_bankmachine6_cmd_valid & main_sdram_bankmachine6_cmd_ready) & main_sdram_bankmachine6_row_open);
assign main_sdram_bankmachine6_trascon_valid = ((main_sdram_bankmachine6_cmd_valid & main_sdram_bankmachine6_cmd_ready) & main_sdram_bankmachine6_row_open);
always @(*) begin
main_sdram_bankmachine6_auto_precharge <= 1'd0;
if ((main_sdram_bankmachine6_cmd_buffer_lookahead_source_valid & main_sdram_bankmachine6_cmd_buffer_source_valid)) begin
if ((main_sdram_bankmachine6_cmd_buffer_lookahead_source_payload_addr[20:7] != main_sdram_bankmachine6_cmd_buffer_source_payload_addr[20:7])) begin
main_sdram_bankmachine6_auto_precharge <= (main_sdram_bankmachine6_row_close == 1'd0);
end
end
end
assign main_sdram_bankmachine6_cmd_buffer_lookahead_syncfifo6_din = {main_sdram_bankmachine6_cmd_buffer_lookahead_fifo_in_last, main_sdram_bankmachine6_cmd_buffer_lookahead_fifo_in_first, main_sdram_bankmachine6_cmd_buffer_lookahead_fifo_in_payload_addr, main_sdram_bankmachine6_cmd_buffer_lookahead_fifo_in_payload_we};
assign {main_sdram_bankmachine6_cmd_buffer_lookahead_fifo_out_last, main_sdram_bankmachine6_cmd_buffer_lookahead_fifo_out_first, main_sdram_bankmachine6_cmd_buffer_lookahead_fifo_out_payload_addr, main_sdram_bankmachine6_cmd_buffer_lookahead_fifo_out_payload_we} = main_sdram_bankmachine6_cmd_buffer_lookahead_syncfifo6_dout;
assign main_sdram_bankmachine6_cmd_buffer_lookahead_sink_ready = main_sdram_bankmachine6_cmd_buffer_lookahead_syncfifo6_writable;
assign main_sdram_bankmachine6_cmd_buffer_lookahead_syncfifo6_we = main_sdram_bankmachine6_cmd_buffer_lookahead_sink_valid;
assign main_sdram_bankmachine6_cmd_buffer_lookahead_fifo_in_first = main_sdram_bankmachine6_cmd_buffer_lookahead_sink_first;
assign main_sdram_bankmachine6_cmd_buffer_lookahead_fifo_in_last = main_sdram_bankmachine6_cmd_buffer_lookahead_sink_last;
assign main_sdram_bankmachine6_cmd_buffer_lookahead_fifo_in_payload_we = main_sdram_bankmachine6_cmd_buffer_lookahead_sink_payload_we;
assign main_sdram_bankmachine6_cmd_buffer_lookahead_fifo_in_payload_addr = main_sdram_bankmachine6_cmd_buffer_lookahead_sink_payload_addr;
assign main_sdram_bankmachine6_cmd_buffer_lookahead_source_valid = main_sdram_bankmachine6_cmd_buffer_lookahead_syncfifo6_readable;
assign main_sdram_bankmachine6_cmd_buffer_lookahead_source_first = main_sdram_bankmachine6_cmd_buffer_lookahead_fifo_out_first;
assign main_sdram_bankmachine6_cmd_buffer_lookahead_source_last = main_sdram_bankmachine6_cmd_buffer_lookahead_fifo_out_last;
assign main_sdram_bankmachine6_cmd_buffer_lookahead_source_payload_we = main_sdram_bankmachine6_cmd_buffer_lookahead_fifo_out_payload_we;
assign main_sdram_bankmachine6_cmd_buffer_lookahead_source_payload_addr = main_sdram_bankmachine6_cmd_buffer_lookahead_fifo_out_payload_addr;
assign main_sdram_bankmachine6_cmd_buffer_lookahead_syncfifo6_re = main_sdram_bankmachine6_cmd_buffer_lookahead_source_ready;
always @(*) begin
main_sdram_bankmachine6_cmd_buffer_lookahead_wrport_adr <= 3'd0;
if (main_sdram_bankmachine6_cmd_buffer_lookahead_replace) begin
main_sdram_bankmachine6_cmd_buffer_lookahead_wrport_adr <= (main_sdram_bankmachine6_cmd_buffer_lookahead_produce - 1'd1);
end else begin
main_sdram_bankmachine6_cmd_buffer_lookahead_wrport_adr <= main_sdram_bankmachine6_cmd_buffer_lookahead_produce;
end
end
assign main_sdram_bankmachine6_cmd_buffer_lookahead_wrport_dat_w = main_sdram_bankmachine6_cmd_buffer_lookahead_syncfifo6_din;
assign main_sdram_bankmachine6_cmd_buffer_lookahead_wrport_we = (main_sdram_bankmachine6_cmd_buffer_lookahead_syncfifo6_we & (main_sdram_bankmachine6_cmd_buffer_lookahead_syncfifo6_writable | main_sdram_bankmachine6_cmd_buffer_lookahead_replace));
assign main_sdram_bankmachine6_cmd_buffer_lookahead_do_read = (main_sdram_bankmachine6_cmd_buffer_lookahead_syncfifo6_readable & main_sdram_bankmachine6_cmd_buffer_lookahead_syncfifo6_re);
assign main_sdram_bankmachine6_cmd_buffer_lookahead_rdport_adr = main_sdram_bankmachine6_cmd_buffer_lookahead_consume;
assign main_sdram_bankmachine6_cmd_buffer_lookahead_syncfifo6_dout = main_sdram_bankmachine6_cmd_buffer_lookahead_rdport_dat_r;
assign main_sdram_bankmachine6_cmd_buffer_lookahead_syncfifo6_writable = (main_sdram_bankmachine6_cmd_buffer_lookahead_level != 4'd8);
assign main_sdram_bankmachine6_cmd_buffer_lookahead_syncfifo6_readable = (main_sdram_bankmachine6_cmd_buffer_lookahead_level != 1'd0);
assign main_sdram_bankmachine6_cmd_buffer_sink_ready = ((~main_sdram_bankmachine6_cmd_buffer_source_valid) | main_sdram_bankmachine6_cmd_buffer_source_ready);
always @(*) begin
main_sdram_bankmachine6_cmd_payload_cas <= 1'd0;
main_sdram_bankmachine6_cmd_payload_ras <= 1'd0;
main_sdram_bankmachine6_cmd_payload_we <= 1'd0;
main_sdram_bankmachine6_row_col_n_addr_sel <= 1'd0;
main_sdram_bankmachine6_cmd_payload_is_cmd <= 1'd0;
main_sdram_bankmachine6_cmd_payload_is_read <= 1'd0;
main_sdram_bankmachine6_cmd_payload_is_write <= 1'd0;
main_sdram_bankmachine6_req_wdata_ready <= 1'd0;
main_sdram_bankmachine6_req_rdata_valid <= 1'd0;
main_sdram_bankmachine6_refresh_gnt <= 1'd0;
main_sdram_bankmachine6_cmd_valid <= 1'd0;
builder_bankmachine6_next_state <= 3'd0;
main_sdram_bankmachine6_row_open <= 1'd0;
main_sdram_bankmachine6_row_close <= 1'd0;
builder_bankmachine6_next_state <= builder_bankmachine6_state;
case (builder_bankmachine6_state)
1'd1: begin
if ((main_sdram_bankmachine6_twtpcon_ready & main_sdram_bankmachine6_trascon_ready)) begin
main_sdram_bankmachine6_cmd_valid <= 1'd1;
if (main_sdram_bankmachine6_cmd_ready) begin
builder_bankmachine6_next_state <= 3'd5;
end
main_sdram_bankmachine6_cmd_payload_ras <= 1'd1;
main_sdram_bankmachine6_cmd_payload_we <= 1'd1;
main_sdram_bankmachine6_cmd_payload_is_cmd <= 1'd1;
end
main_sdram_bankmachine6_row_close <= 1'd1;
end
2'd2: begin
if ((main_sdram_bankmachine6_twtpcon_ready & main_sdram_bankmachine6_trascon_ready)) begin
builder_bankmachine6_next_state <= 3'd5;
end
main_sdram_bankmachine6_row_close <= 1'd1;
end
2'd3: begin
if (main_sdram_bankmachine6_trccon_ready) begin
main_sdram_bankmachine6_row_col_n_addr_sel <= 1'd1;
main_sdram_bankmachine6_row_open <= 1'd1;
main_sdram_bankmachine6_cmd_valid <= 1'd1;
main_sdram_bankmachine6_cmd_payload_is_cmd <= 1'd1;
if (main_sdram_bankmachine6_cmd_ready) begin
builder_bankmachine6_next_state <= 3'd6;
end
main_sdram_bankmachine6_cmd_payload_ras <= 1'd1;
end
end
3'd4: begin
if (main_sdram_bankmachine6_twtpcon_ready) begin
main_sdram_bankmachine6_refresh_gnt <= 1'd1;
end
main_sdram_bankmachine6_row_close <= 1'd1;
main_sdram_bankmachine6_cmd_payload_is_cmd <= 1'd1;
if ((~main_sdram_bankmachine6_refresh_req)) begin
builder_bankmachine6_next_state <= 1'd0;
end
end
3'd5: begin
builder_bankmachine6_next_state <= 2'd3;
end
3'd6: begin
builder_bankmachine6_next_state <= 1'd0;
end
default: begin
if (main_sdram_bankmachine6_refresh_req) begin
builder_bankmachine6_next_state <= 3'd4;
end else begin
if (main_sdram_bankmachine6_cmd_buffer_source_valid) begin
if (main_sdram_bankmachine6_row_opened) begin
if (main_sdram_bankmachine6_row_hit) begin
main_sdram_bankmachine6_cmd_valid <= 1'd1;
if (main_sdram_bankmachine6_cmd_buffer_source_payload_we) begin
main_sdram_bankmachine6_req_wdata_ready <= main_sdram_bankmachine6_cmd_ready;
main_sdram_bankmachine6_cmd_payload_is_write <= 1'd1;
main_sdram_bankmachine6_cmd_payload_we <= 1'd1;
end else begin
main_sdram_bankmachine6_req_rdata_valid <= main_sdram_bankmachine6_cmd_ready;
main_sdram_bankmachine6_cmd_payload_is_read <= 1'd1;
end
main_sdram_bankmachine6_cmd_payload_cas <= 1'd1;
if ((main_sdram_bankmachine6_cmd_ready & main_sdram_bankmachine6_auto_precharge)) begin
builder_bankmachine6_next_state <= 2'd2;
end
end else begin
builder_bankmachine6_next_state <= 1'd1;
end
end else begin
builder_bankmachine6_next_state <= 2'd3;
end
end
end
end
endcase
end
assign main_sdram_bankmachine7_cmd_buffer_lookahead_sink_valid = main_sdram_bankmachine7_req_valid;
assign main_sdram_bankmachine7_req_ready = main_sdram_bankmachine7_cmd_buffer_lookahead_sink_ready;
assign main_sdram_bankmachine7_cmd_buffer_lookahead_sink_payload_we = main_sdram_bankmachine7_req_we;
assign main_sdram_bankmachine7_cmd_buffer_lookahead_sink_payload_addr = main_sdram_bankmachine7_req_addr;
assign main_sdram_bankmachine7_cmd_buffer_sink_valid = main_sdram_bankmachine7_cmd_buffer_lookahead_source_valid;
assign main_sdram_bankmachine7_cmd_buffer_lookahead_source_ready = main_sdram_bankmachine7_cmd_buffer_sink_ready;
assign main_sdram_bankmachine7_cmd_buffer_sink_first = main_sdram_bankmachine7_cmd_buffer_lookahead_source_first;
assign main_sdram_bankmachine7_cmd_buffer_sink_last = main_sdram_bankmachine7_cmd_buffer_lookahead_source_last;
assign main_sdram_bankmachine7_cmd_buffer_sink_payload_we = main_sdram_bankmachine7_cmd_buffer_lookahead_source_payload_we;
assign main_sdram_bankmachine7_cmd_buffer_sink_payload_addr = main_sdram_bankmachine7_cmd_buffer_lookahead_source_payload_addr;
assign main_sdram_bankmachine7_cmd_buffer_source_ready = (main_sdram_bankmachine7_req_wdata_ready | main_sdram_bankmachine7_req_rdata_valid);
assign main_sdram_bankmachine7_req_lock = (main_sdram_bankmachine7_cmd_buffer_lookahead_source_valid | main_sdram_bankmachine7_cmd_buffer_source_valid);
assign main_sdram_bankmachine7_row_hit = (main_sdram_bankmachine7_row == main_sdram_bankmachine7_cmd_buffer_source_payload_addr[20:7]);
assign main_sdram_bankmachine7_cmd_payload_ba = 3'd7;
always @(*) begin
main_sdram_bankmachine7_cmd_payload_a <= 14'd0;
if (main_sdram_bankmachine7_row_col_n_addr_sel) begin
main_sdram_bankmachine7_cmd_payload_a <= main_sdram_bankmachine7_cmd_buffer_source_payload_addr[20:7];
end else begin
main_sdram_bankmachine7_cmd_payload_a <= ((main_sdram_bankmachine7_auto_precharge <<< 4'd10) | {main_sdram_bankmachine7_cmd_buffer_source_payload_addr[6:0], {3{1'd0}}});
end
end
assign main_sdram_bankmachine7_twtpcon_valid = ((main_sdram_bankmachine7_cmd_valid & main_sdram_bankmachine7_cmd_ready) & main_sdram_bankmachine7_cmd_payload_is_write);
assign main_sdram_bankmachine7_trccon_valid = ((main_sdram_bankmachine7_cmd_valid & main_sdram_bankmachine7_cmd_ready) & main_sdram_bankmachine7_row_open);
assign main_sdram_bankmachine7_trascon_valid = ((main_sdram_bankmachine7_cmd_valid & main_sdram_bankmachine7_cmd_ready) & main_sdram_bankmachine7_row_open);
always @(*) begin
main_sdram_bankmachine7_auto_precharge <= 1'd0;
if ((main_sdram_bankmachine7_cmd_buffer_lookahead_source_valid & main_sdram_bankmachine7_cmd_buffer_source_valid)) begin
if ((main_sdram_bankmachine7_cmd_buffer_lookahead_source_payload_addr[20:7] != main_sdram_bankmachine7_cmd_buffer_source_payload_addr[20:7])) begin
main_sdram_bankmachine7_auto_precharge <= (main_sdram_bankmachine7_row_close == 1'd0);
end
end
end
assign main_sdram_bankmachine7_cmd_buffer_lookahead_syncfifo7_din = {main_sdram_bankmachine7_cmd_buffer_lookahead_fifo_in_last, main_sdram_bankmachine7_cmd_buffer_lookahead_fifo_in_first, main_sdram_bankmachine7_cmd_buffer_lookahead_fifo_in_payload_addr, main_sdram_bankmachine7_cmd_buffer_lookahead_fifo_in_payload_we};
assign {main_sdram_bankmachine7_cmd_buffer_lookahead_fifo_out_last, main_sdram_bankmachine7_cmd_buffer_lookahead_fifo_out_first, main_sdram_bankmachine7_cmd_buffer_lookahead_fifo_out_payload_addr, main_sdram_bankmachine7_cmd_buffer_lookahead_fifo_out_payload_we} = main_sdram_bankmachine7_cmd_buffer_lookahead_syncfifo7_dout;
assign main_sdram_bankmachine7_cmd_buffer_lookahead_sink_ready = main_sdram_bankmachine7_cmd_buffer_lookahead_syncfifo7_writable;
assign main_sdram_bankmachine7_cmd_buffer_lookahead_syncfifo7_we = main_sdram_bankmachine7_cmd_buffer_lookahead_sink_valid;
assign main_sdram_bankmachine7_cmd_buffer_lookahead_fifo_in_first = main_sdram_bankmachine7_cmd_buffer_lookahead_sink_first;
assign main_sdram_bankmachine7_cmd_buffer_lookahead_fifo_in_last = main_sdram_bankmachine7_cmd_buffer_lookahead_sink_last;
assign main_sdram_bankmachine7_cmd_buffer_lookahead_fifo_in_payload_we = main_sdram_bankmachine7_cmd_buffer_lookahead_sink_payload_we;
assign main_sdram_bankmachine7_cmd_buffer_lookahead_fifo_in_payload_addr = main_sdram_bankmachine7_cmd_buffer_lookahead_sink_payload_addr;
assign main_sdram_bankmachine7_cmd_buffer_lookahead_source_valid = main_sdram_bankmachine7_cmd_buffer_lookahead_syncfifo7_readable;
assign main_sdram_bankmachine7_cmd_buffer_lookahead_source_first = main_sdram_bankmachine7_cmd_buffer_lookahead_fifo_out_first;
assign main_sdram_bankmachine7_cmd_buffer_lookahead_source_last = main_sdram_bankmachine7_cmd_buffer_lookahead_fifo_out_last;
assign main_sdram_bankmachine7_cmd_buffer_lookahead_source_payload_we = main_sdram_bankmachine7_cmd_buffer_lookahead_fifo_out_payload_we;
assign main_sdram_bankmachine7_cmd_buffer_lookahead_source_payload_addr = main_sdram_bankmachine7_cmd_buffer_lookahead_fifo_out_payload_addr;
assign main_sdram_bankmachine7_cmd_buffer_lookahead_syncfifo7_re = main_sdram_bankmachine7_cmd_buffer_lookahead_source_ready;
always @(*) begin
main_sdram_bankmachine7_cmd_buffer_lookahead_wrport_adr <= 3'd0;
if (main_sdram_bankmachine7_cmd_buffer_lookahead_replace) begin
main_sdram_bankmachine7_cmd_buffer_lookahead_wrport_adr <= (main_sdram_bankmachine7_cmd_buffer_lookahead_produce - 1'd1);
end else begin
main_sdram_bankmachine7_cmd_buffer_lookahead_wrport_adr <= main_sdram_bankmachine7_cmd_buffer_lookahead_produce;
end
end
assign main_sdram_bankmachine7_cmd_buffer_lookahead_wrport_dat_w = main_sdram_bankmachine7_cmd_buffer_lookahead_syncfifo7_din;
assign main_sdram_bankmachine7_cmd_buffer_lookahead_wrport_we = (main_sdram_bankmachine7_cmd_buffer_lookahead_syncfifo7_we & (main_sdram_bankmachine7_cmd_buffer_lookahead_syncfifo7_writable | main_sdram_bankmachine7_cmd_buffer_lookahead_replace));
assign main_sdram_bankmachine7_cmd_buffer_lookahead_do_read = (main_sdram_bankmachine7_cmd_buffer_lookahead_syncfifo7_readable & main_sdram_bankmachine7_cmd_buffer_lookahead_syncfifo7_re);
assign main_sdram_bankmachine7_cmd_buffer_lookahead_rdport_adr = main_sdram_bankmachine7_cmd_buffer_lookahead_consume;
assign main_sdram_bankmachine7_cmd_buffer_lookahead_syncfifo7_dout = main_sdram_bankmachine7_cmd_buffer_lookahead_rdport_dat_r;
assign main_sdram_bankmachine7_cmd_buffer_lookahead_syncfifo7_writable = (main_sdram_bankmachine7_cmd_buffer_lookahead_level != 4'd8);
assign main_sdram_bankmachine7_cmd_buffer_lookahead_syncfifo7_readable = (main_sdram_bankmachine7_cmd_buffer_lookahead_level != 1'd0);
assign main_sdram_bankmachine7_cmd_buffer_sink_ready = ((~main_sdram_bankmachine7_cmd_buffer_source_valid) | main_sdram_bankmachine7_cmd_buffer_source_ready);
always @(*) begin
main_sdram_bankmachine7_cmd_payload_cas <= 1'd0;
main_sdram_bankmachine7_cmd_payload_ras <= 1'd0;
main_sdram_bankmachine7_cmd_payload_we <= 1'd0;
main_sdram_bankmachine7_row_col_n_addr_sel <= 1'd0;
main_sdram_bankmachine7_cmd_payload_is_cmd <= 1'd0;
main_sdram_bankmachine7_cmd_payload_is_read <= 1'd0;
main_sdram_bankmachine7_cmd_payload_is_write <= 1'd0;
main_sdram_bankmachine7_req_wdata_ready <= 1'd0;
main_sdram_bankmachine7_req_rdata_valid <= 1'd0;
builder_bankmachine7_next_state <= 3'd0;
main_sdram_bankmachine7_refresh_gnt <= 1'd0;
main_sdram_bankmachine7_cmd_valid <= 1'd0;
main_sdram_bankmachine7_row_open <= 1'd0;
main_sdram_bankmachine7_row_close <= 1'd0;
builder_bankmachine7_next_state <= builder_bankmachine7_state;
case (builder_bankmachine7_state)
1'd1: begin
if ((main_sdram_bankmachine7_twtpcon_ready & main_sdram_bankmachine7_trascon_ready)) begin
main_sdram_bankmachine7_cmd_valid <= 1'd1;
if (main_sdram_bankmachine7_cmd_ready) begin
builder_bankmachine7_next_state <= 3'd5;
end
main_sdram_bankmachine7_cmd_payload_ras <= 1'd1;
main_sdram_bankmachine7_cmd_payload_we <= 1'd1;
main_sdram_bankmachine7_cmd_payload_is_cmd <= 1'd1;
end
main_sdram_bankmachine7_row_close <= 1'd1;
end
2'd2: begin
if ((main_sdram_bankmachine7_twtpcon_ready & main_sdram_bankmachine7_trascon_ready)) begin
builder_bankmachine7_next_state <= 3'd5;
end
main_sdram_bankmachine7_row_close <= 1'd1;
end
2'd3: begin
if (main_sdram_bankmachine7_trccon_ready) begin
main_sdram_bankmachine7_row_col_n_addr_sel <= 1'd1;
main_sdram_bankmachine7_row_open <= 1'd1;
main_sdram_bankmachine7_cmd_valid <= 1'd1;
main_sdram_bankmachine7_cmd_payload_is_cmd <= 1'd1;
if (main_sdram_bankmachine7_cmd_ready) begin
builder_bankmachine7_next_state <= 3'd6;
end
main_sdram_bankmachine7_cmd_payload_ras <= 1'd1;
end
end
3'd4: begin
if (main_sdram_bankmachine7_twtpcon_ready) begin
main_sdram_bankmachine7_refresh_gnt <= 1'd1;
end
main_sdram_bankmachine7_row_close <= 1'd1;
main_sdram_bankmachine7_cmd_payload_is_cmd <= 1'd1;
if ((~main_sdram_bankmachine7_refresh_req)) begin
builder_bankmachine7_next_state <= 1'd0;
end
end
3'd5: begin
builder_bankmachine7_next_state <= 2'd3;
end
3'd6: begin
builder_bankmachine7_next_state <= 1'd0;
end
default: begin
if (main_sdram_bankmachine7_refresh_req) begin
builder_bankmachine7_next_state <= 3'd4;
end else begin
if (main_sdram_bankmachine7_cmd_buffer_source_valid) begin
if (main_sdram_bankmachine7_row_opened) begin
if (main_sdram_bankmachine7_row_hit) begin
main_sdram_bankmachine7_cmd_valid <= 1'd1;
if (main_sdram_bankmachine7_cmd_buffer_source_payload_we) begin
main_sdram_bankmachine7_req_wdata_ready <= main_sdram_bankmachine7_cmd_ready;
main_sdram_bankmachine7_cmd_payload_is_write <= 1'd1;
main_sdram_bankmachine7_cmd_payload_we <= 1'd1;
end else begin
main_sdram_bankmachine7_req_rdata_valid <= main_sdram_bankmachine7_cmd_ready;
main_sdram_bankmachine7_cmd_payload_is_read <= 1'd1;
end
main_sdram_bankmachine7_cmd_payload_cas <= 1'd1;
if ((main_sdram_bankmachine7_cmd_ready & main_sdram_bankmachine7_auto_precharge)) begin
builder_bankmachine7_next_state <= 2'd2;
end
end else begin
builder_bankmachine7_next_state <= 1'd1;
end
end else begin
builder_bankmachine7_next_state <= 2'd3;
end
end
end
end
endcase
end
assign main_sdram_trrdcon_valid = ((main_sdram_choose_cmd_cmd_valid & main_sdram_choose_cmd_cmd_ready) & ((main_sdram_choose_cmd_cmd_payload_ras & (~main_sdram_choose_cmd_cmd_payload_cas)) & (~main_sdram_choose_cmd_cmd_payload_we)));
assign main_sdram_tfawcon_valid = ((main_sdram_choose_cmd_cmd_valid & main_sdram_choose_cmd_cmd_ready) & ((main_sdram_choose_cmd_cmd_payload_ras & (~main_sdram_choose_cmd_cmd_payload_cas)) & (~main_sdram_choose_cmd_cmd_payload_we)));
assign main_sdram_ras_allowed = (main_sdram_trrdcon_ready & main_sdram_tfawcon_ready);
assign main_sdram_tccdcon_valid = ((main_sdram_choose_req_cmd_valid & main_sdram_choose_req_cmd_ready) & (main_sdram_choose_req_cmd_payload_is_write | main_sdram_choose_req_cmd_payload_is_read));
assign main_sdram_cas_allowed = main_sdram_tccdcon_ready;
assign main_sdram_twtrcon_valid = ((main_sdram_choose_req_cmd_valid & main_sdram_choose_req_cmd_ready) & main_sdram_choose_req_cmd_payload_is_write);
assign main_sdram_read_available = ((((((((main_sdram_bankmachine0_cmd_valid & main_sdram_bankmachine0_cmd_payload_is_read) | (main_sdram_bankmachine1_cmd_valid & main_sdram_bankmachine1_cmd_payload_is_read)) | (main_sdram_bankmachine2_cmd_valid & main_sdram_bankmachine2_cmd_payload_is_read)) | (main_sdram_bankmachine3_cmd_valid & main_sdram_bankmachine3_cmd_payload_is_read)) | (main_sdram_bankmachine4_cmd_valid & main_sdram_bankmachine4_cmd_payload_is_read)) | (main_sdram_bankmachine5_cmd_valid & main_sdram_bankmachine5_cmd_payload_is_read)) | (main_sdram_bankmachine6_cmd_valid & main_sdram_bankmachine6_cmd_payload_is_read)) | (main_sdram_bankmachine7_cmd_valid & main_sdram_bankmachine7_cmd_payload_is_read));
assign main_sdram_write_available = ((((((((main_sdram_bankmachine0_cmd_valid & main_sdram_bankmachine0_cmd_payload_is_write) | (main_sdram_bankmachine1_cmd_valid & main_sdram_bankmachine1_cmd_payload_is_write)) | (main_sdram_bankmachine2_cmd_valid & main_sdram_bankmachine2_cmd_payload_is_write)) | (main_sdram_bankmachine3_cmd_valid & main_sdram_bankmachine3_cmd_payload_is_write)) | (main_sdram_bankmachine4_cmd_valid & main_sdram_bankmachine4_cmd_payload_is_write)) | (main_sdram_bankmachine5_cmd_valid & main_sdram_bankmachine5_cmd_payload_is_write)) | (main_sdram_bankmachine6_cmd_valid & main_sdram_bankmachine6_cmd_payload_is_write)) | (main_sdram_bankmachine7_cmd_valid & main_sdram_bankmachine7_cmd_payload_is_write));
assign main_sdram_max_time0 = (main_sdram_time0 == 1'd0);
assign main_sdram_max_time1 = (main_sdram_time1 == 1'd0);
assign main_sdram_bankmachine0_refresh_req = main_sdram_cmd_valid;
assign main_sdram_bankmachine1_refresh_req = main_sdram_cmd_valid;
assign main_sdram_bankmachine2_refresh_req = main_sdram_cmd_valid;
assign main_sdram_bankmachine3_refresh_req = main_sdram_cmd_valid;
assign main_sdram_bankmachine4_refresh_req = main_sdram_cmd_valid;
assign main_sdram_bankmachine5_refresh_req = main_sdram_cmd_valid;
assign main_sdram_bankmachine6_refresh_req = main_sdram_cmd_valid;
assign main_sdram_bankmachine7_refresh_req = main_sdram_cmd_valid;
assign main_sdram_go_to_refresh = (((((((main_sdram_bankmachine0_refresh_gnt & main_sdram_bankmachine1_refresh_gnt) & main_sdram_bankmachine2_refresh_gnt) & main_sdram_bankmachine3_refresh_gnt) & main_sdram_bankmachine4_refresh_gnt) & main_sdram_bankmachine5_refresh_gnt) & main_sdram_bankmachine6_refresh_gnt) & main_sdram_bankmachine7_refresh_gnt);
assign main_sdram_interface_rdata = {main_sdram_dfi_p3_rddata, main_sdram_dfi_p2_rddata, main_sdram_dfi_p1_rddata, main_sdram_dfi_p0_rddata};
assign {main_sdram_dfi_p3_wrdata, main_sdram_dfi_p2_wrdata, main_sdram_dfi_p1_wrdata, main_sdram_dfi_p0_wrdata} = main_sdram_interface_wdata;
assign {main_sdram_dfi_p3_wrdata_mask, main_sdram_dfi_p2_wrdata_mask, main_sdram_dfi_p1_wrdata_mask, main_sdram_dfi_p0_wrdata_mask} = (~main_sdram_interface_wdata_we);
always @(*) begin
main_sdram_choose_cmd_valids <= 8'd0;
main_sdram_choose_cmd_valids[0] <= (main_sdram_bankmachine0_cmd_valid & (((main_sdram_bankmachine0_cmd_payload_is_cmd & main_sdram_choose_cmd_want_cmds) & ((~((main_sdram_bankmachine0_cmd_payload_ras & (~main_sdram_bankmachine0_cmd_payload_cas)) & (~main_sdram_bankmachine0_cmd_payload_we))) | main_sdram_choose_cmd_want_activates)) | ((main_sdram_bankmachine0_cmd_payload_is_read == main_sdram_choose_cmd_want_reads) & (main_sdram_bankmachine0_cmd_payload_is_write == main_sdram_choose_cmd_want_writes))));
main_sdram_choose_cmd_valids[1] <= (main_sdram_bankmachine1_cmd_valid & (((main_sdram_bankmachine1_cmd_payload_is_cmd & main_sdram_choose_cmd_want_cmds) & ((~((main_sdram_bankmachine1_cmd_payload_ras & (~main_sdram_bankmachine1_cmd_payload_cas)) & (~main_sdram_bankmachine1_cmd_payload_we))) | main_sdram_choose_cmd_want_activates)) | ((main_sdram_bankmachine1_cmd_payload_is_read == main_sdram_choose_cmd_want_reads) & (main_sdram_bankmachine1_cmd_payload_is_write == main_sdram_choose_cmd_want_writes))));
main_sdram_choose_cmd_valids[2] <= (main_sdram_bankmachine2_cmd_valid & (((main_sdram_bankmachine2_cmd_payload_is_cmd & main_sdram_choose_cmd_want_cmds) & ((~((main_sdram_bankmachine2_cmd_payload_ras & (~main_sdram_bankmachine2_cmd_payload_cas)) & (~main_sdram_bankmachine2_cmd_payload_we))) | main_sdram_choose_cmd_want_activates)) | ((main_sdram_bankmachine2_cmd_payload_is_read == main_sdram_choose_cmd_want_reads) & (main_sdram_bankmachine2_cmd_payload_is_write == main_sdram_choose_cmd_want_writes))));
main_sdram_choose_cmd_valids[3] <= (main_sdram_bankmachine3_cmd_valid & (((main_sdram_bankmachine3_cmd_payload_is_cmd & main_sdram_choose_cmd_want_cmds) & ((~((main_sdram_bankmachine3_cmd_payload_ras & (~main_sdram_bankmachine3_cmd_payload_cas)) & (~main_sdram_bankmachine3_cmd_payload_we))) | main_sdram_choose_cmd_want_activates)) | ((main_sdram_bankmachine3_cmd_payload_is_read == main_sdram_choose_cmd_want_reads) & (main_sdram_bankmachine3_cmd_payload_is_write == main_sdram_choose_cmd_want_writes))));
main_sdram_choose_cmd_valids[4] <= (main_sdram_bankmachine4_cmd_valid & (((main_sdram_bankmachine4_cmd_payload_is_cmd & main_sdram_choose_cmd_want_cmds) & ((~((main_sdram_bankmachine4_cmd_payload_ras & (~main_sdram_bankmachine4_cmd_payload_cas)) & (~main_sdram_bankmachine4_cmd_payload_we))) | main_sdram_choose_cmd_want_activates)) | ((main_sdram_bankmachine4_cmd_payload_is_read == main_sdram_choose_cmd_want_reads) & (main_sdram_bankmachine4_cmd_payload_is_write == main_sdram_choose_cmd_want_writes))));
main_sdram_choose_cmd_valids[5] <= (main_sdram_bankmachine5_cmd_valid & (((main_sdram_bankmachine5_cmd_payload_is_cmd & main_sdram_choose_cmd_want_cmds) & ((~((main_sdram_bankmachine5_cmd_payload_ras & (~main_sdram_bankmachine5_cmd_payload_cas)) & (~main_sdram_bankmachine5_cmd_payload_we))) | main_sdram_choose_cmd_want_activates)) | ((main_sdram_bankmachine5_cmd_payload_is_read == main_sdram_choose_cmd_want_reads) & (main_sdram_bankmachine5_cmd_payload_is_write == main_sdram_choose_cmd_want_writes))));
main_sdram_choose_cmd_valids[6] <= (main_sdram_bankmachine6_cmd_valid & (((main_sdram_bankmachine6_cmd_payload_is_cmd & main_sdram_choose_cmd_want_cmds) & ((~((main_sdram_bankmachine6_cmd_payload_ras & (~main_sdram_bankmachine6_cmd_payload_cas)) & (~main_sdram_bankmachine6_cmd_payload_we))) | main_sdram_choose_cmd_want_activates)) | ((main_sdram_bankmachine6_cmd_payload_is_read == main_sdram_choose_cmd_want_reads) & (main_sdram_bankmachine6_cmd_payload_is_write == main_sdram_choose_cmd_want_writes))));
main_sdram_choose_cmd_valids[7] <= (main_sdram_bankmachine7_cmd_valid & (((main_sdram_bankmachine7_cmd_payload_is_cmd & main_sdram_choose_cmd_want_cmds) & ((~((main_sdram_bankmachine7_cmd_payload_ras & (~main_sdram_bankmachine7_cmd_payload_cas)) & (~main_sdram_bankmachine7_cmd_payload_we))) | main_sdram_choose_cmd_want_activates)) | ((main_sdram_bankmachine7_cmd_payload_is_read == main_sdram_choose_cmd_want_reads) & (main_sdram_bankmachine7_cmd_payload_is_write == main_sdram_choose_cmd_want_writes))));
end
assign main_sdram_choose_cmd_request = main_sdram_choose_cmd_valids;
assign main_sdram_choose_cmd_cmd_valid = builder_rhs_array_muxed0;
assign main_sdram_choose_cmd_cmd_payload_a = builder_rhs_array_muxed1;
assign main_sdram_choose_cmd_cmd_payload_ba = builder_rhs_array_muxed2;
assign main_sdram_choose_cmd_cmd_payload_is_read = builder_rhs_array_muxed3;
assign main_sdram_choose_cmd_cmd_payload_is_write = builder_rhs_array_muxed4;
assign main_sdram_choose_cmd_cmd_payload_is_cmd = builder_rhs_array_muxed5;
always @(*) begin
main_sdram_choose_cmd_cmd_payload_cas <= 1'd0;
if (main_sdram_choose_cmd_cmd_valid) begin
main_sdram_choose_cmd_cmd_payload_cas <= builder_t_array_muxed0;
end
end
always @(*) begin
main_sdram_choose_cmd_cmd_payload_ras <= 1'd0;
if (main_sdram_choose_cmd_cmd_valid) begin
main_sdram_choose_cmd_cmd_payload_ras <= builder_t_array_muxed1;
end
end
always @(*) begin
main_sdram_choose_cmd_cmd_payload_we <= 1'd0;
if (main_sdram_choose_cmd_cmd_valid) begin
main_sdram_choose_cmd_cmd_payload_we <= builder_t_array_muxed2;
end
end
assign main_sdram_choose_cmd_ce = (main_sdram_choose_cmd_cmd_ready | (~main_sdram_choose_cmd_cmd_valid));
always @(*) begin
main_sdram_choose_req_valids <= 8'd0;
main_sdram_choose_req_valids[0] <= (main_sdram_bankmachine0_cmd_valid & (((main_sdram_bankmachine0_cmd_payload_is_cmd & main_sdram_choose_req_want_cmds) & ((~((main_sdram_bankmachine0_cmd_payload_ras & (~main_sdram_bankmachine0_cmd_payload_cas)) & (~main_sdram_bankmachine0_cmd_payload_we))) | main_sdram_choose_req_want_activates)) | ((main_sdram_bankmachine0_cmd_payload_is_read == main_sdram_choose_req_want_reads) & (main_sdram_bankmachine0_cmd_payload_is_write == main_sdram_choose_req_want_writes))));
main_sdram_choose_req_valids[1] <= (main_sdram_bankmachine1_cmd_valid & (((main_sdram_bankmachine1_cmd_payload_is_cmd & main_sdram_choose_req_want_cmds) & ((~((main_sdram_bankmachine1_cmd_payload_ras & (~main_sdram_bankmachine1_cmd_payload_cas)) & (~main_sdram_bankmachine1_cmd_payload_we))) | main_sdram_choose_req_want_activates)) | ((main_sdram_bankmachine1_cmd_payload_is_read == main_sdram_choose_req_want_reads) & (main_sdram_bankmachine1_cmd_payload_is_write == main_sdram_choose_req_want_writes))));
main_sdram_choose_req_valids[2] <= (main_sdram_bankmachine2_cmd_valid & (((main_sdram_bankmachine2_cmd_payload_is_cmd & main_sdram_choose_req_want_cmds) & ((~((main_sdram_bankmachine2_cmd_payload_ras & (~main_sdram_bankmachine2_cmd_payload_cas)) & (~main_sdram_bankmachine2_cmd_payload_we))) | main_sdram_choose_req_want_activates)) | ((main_sdram_bankmachine2_cmd_payload_is_read == main_sdram_choose_req_want_reads) & (main_sdram_bankmachine2_cmd_payload_is_write == main_sdram_choose_req_want_writes))));
main_sdram_choose_req_valids[3] <= (main_sdram_bankmachine3_cmd_valid & (((main_sdram_bankmachine3_cmd_payload_is_cmd & main_sdram_choose_req_want_cmds) & ((~((main_sdram_bankmachine3_cmd_payload_ras & (~main_sdram_bankmachine3_cmd_payload_cas)) & (~main_sdram_bankmachine3_cmd_payload_we))) | main_sdram_choose_req_want_activates)) | ((main_sdram_bankmachine3_cmd_payload_is_read == main_sdram_choose_req_want_reads) & (main_sdram_bankmachine3_cmd_payload_is_write == main_sdram_choose_req_want_writes))));
main_sdram_choose_req_valids[4] <= (main_sdram_bankmachine4_cmd_valid & (((main_sdram_bankmachine4_cmd_payload_is_cmd & main_sdram_choose_req_want_cmds) & ((~((main_sdram_bankmachine4_cmd_payload_ras & (~main_sdram_bankmachine4_cmd_payload_cas)) & (~main_sdram_bankmachine4_cmd_payload_we))) | main_sdram_choose_req_want_activates)) | ((main_sdram_bankmachine4_cmd_payload_is_read == main_sdram_choose_req_want_reads) & (main_sdram_bankmachine4_cmd_payload_is_write == main_sdram_choose_req_want_writes))));
main_sdram_choose_req_valids[5] <= (main_sdram_bankmachine5_cmd_valid & (((main_sdram_bankmachine5_cmd_payload_is_cmd & main_sdram_choose_req_want_cmds) & ((~((main_sdram_bankmachine5_cmd_payload_ras & (~main_sdram_bankmachine5_cmd_payload_cas)) & (~main_sdram_bankmachine5_cmd_payload_we))) | main_sdram_choose_req_want_activates)) | ((main_sdram_bankmachine5_cmd_payload_is_read == main_sdram_choose_req_want_reads) & (main_sdram_bankmachine5_cmd_payload_is_write == main_sdram_choose_req_want_writes))));
main_sdram_choose_req_valids[6] <= (main_sdram_bankmachine6_cmd_valid & (((main_sdram_bankmachine6_cmd_payload_is_cmd & main_sdram_choose_req_want_cmds) & ((~((main_sdram_bankmachine6_cmd_payload_ras & (~main_sdram_bankmachine6_cmd_payload_cas)) & (~main_sdram_bankmachine6_cmd_payload_we))) | main_sdram_choose_req_want_activates)) | ((main_sdram_bankmachine6_cmd_payload_is_read == main_sdram_choose_req_want_reads) & (main_sdram_bankmachine6_cmd_payload_is_write == main_sdram_choose_req_want_writes))));
main_sdram_choose_req_valids[7] <= (main_sdram_bankmachine7_cmd_valid & (((main_sdram_bankmachine7_cmd_payload_is_cmd & main_sdram_choose_req_want_cmds) & ((~((main_sdram_bankmachine7_cmd_payload_ras & (~main_sdram_bankmachine7_cmd_payload_cas)) & (~main_sdram_bankmachine7_cmd_payload_we))) | main_sdram_choose_req_want_activates)) | ((main_sdram_bankmachine7_cmd_payload_is_read == main_sdram_choose_req_want_reads) & (main_sdram_bankmachine7_cmd_payload_is_write == main_sdram_choose_req_want_writes))));
end
assign main_sdram_choose_req_request = main_sdram_choose_req_valids;
assign main_sdram_choose_req_cmd_valid = builder_rhs_array_muxed6;
assign main_sdram_choose_req_cmd_payload_a = builder_rhs_array_muxed7;
assign main_sdram_choose_req_cmd_payload_ba = builder_rhs_array_muxed8;
assign main_sdram_choose_req_cmd_payload_is_read = builder_rhs_array_muxed9;
assign main_sdram_choose_req_cmd_payload_is_write = builder_rhs_array_muxed10;
assign main_sdram_choose_req_cmd_payload_is_cmd = builder_rhs_array_muxed11;
always @(*) begin
main_sdram_choose_req_cmd_payload_cas <= 1'd0;
if (main_sdram_choose_req_cmd_valid) begin
main_sdram_choose_req_cmd_payload_cas <= builder_t_array_muxed3;
end
end
always @(*) begin
main_sdram_choose_req_cmd_payload_ras <= 1'd0;
if (main_sdram_choose_req_cmd_valid) begin
main_sdram_choose_req_cmd_payload_ras <= builder_t_array_muxed4;
end
end
always @(*) begin
main_sdram_choose_req_cmd_payload_we <= 1'd0;
if (main_sdram_choose_req_cmd_valid) begin
main_sdram_choose_req_cmd_payload_we <= builder_t_array_muxed5;
end
end
always @(*) begin
main_sdram_bankmachine0_cmd_ready <= 1'd0;
if (((main_sdram_choose_cmd_cmd_valid & main_sdram_choose_cmd_cmd_ready) & (main_sdram_choose_cmd_grant == 1'd0))) begin
main_sdram_bankmachine0_cmd_ready <= 1'd1;
end
if (((main_sdram_choose_req_cmd_valid & main_sdram_choose_req_cmd_ready) & (main_sdram_choose_req_grant == 1'd0))) begin
main_sdram_bankmachine0_cmd_ready <= 1'd1;
end
end
always @(*) begin
main_sdram_bankmachine1_cmd_ready <= 1'd0;
if (((main_sdram_choose_cmd_cmd_valid & main_sdram_choose_cmd_cmd_ready) & (main_sdram_choose_cmd_grant == 1'd1))) begin
main_sdram_bankmachine1_cmd_ready <= 1'd1;
end
if (((main_sdram_choose_req_cmd_valid & main_sdram_choose_req_cmd_ready) & (main_sdram_choose_req_grant == 1'd1))) begin
main_sdram_bankmachine1_cmd_ready <= 1'd1;
end
end
always @(*) begin
main_sdram_bankmachine2_cmd_ready <= 1'd0;
if (((main_sdram_choose_cmd_cmd_valid & main_sdram_choose_cmd_cmd_ready) & (main_sdram_choose_cmd_grant == 2'd2))) begin
main_sdram_bankmachine2_cmd_ready <= 1'd1;
end
if (((main_sdram_choose_req_cmd_valid & main_sdram_choose_req_cmd_ready) & (main_sdram_choose_req_grant == 2'd2))) begin
main_sdram_bankmachine2_cmd_ready <= 1'd1;
end
end
always @(*) begin
main_sdram_bankmachine3_cmd_ready <= 1'd0;
if (((main_sdram_choose_cmd_cmd_valid & main_sdram_choose_cmd_cmd_ready) & (main_sdram_choose_cmd_grant == 2'd3))) begin
main_sdram_bankmachine3_cmd_ready <= 1'd1;
end
if (((main_sdram_choose_req_cmd_valid & main_sdram_choose_req_cmd_ready) & (main_sdram_choose_req_grant == 2'd3))) begin
main_sdram_bankmachine3_cmd_ready <= 1'd1;
end
end
always @(*) begin
main_sdram_bankmachine4_cmd_ready <= 1'd0;
if (((main_sdram_choose_cmd_cmd_valid & main_sdram_choose_cmd_cmd_ready) & (main_sdram_choose_cmd_grant == 3'd4))) begin
main_sdram_bankmachine4_cmd_ready <= 1'd1;
end
if (((main_sdram_choose_req_cmd_valid & main_sdram_choose_req_cmd_ready) & (main_sdram_choose_req_grant == 3'd4))) begin
main_sdram_bankmachine4_cmd_ready <= 1'd1;
end
end
always @(*) begin
main_sdram_bankmachine5_cmd_ready <= 1'd0;
if (((main_sdram_choose_cmd_cmd_valid & main_sdram_choose_cmd_cmd_ready) & (main_sdram_choose_cmd_grant == 3'd5))) begin
main_sdram_bankmachine5_cmd_ready <= 1'd1;
end
if (((main_sdram_choose_req_cmd_valid & main_sdram_choose_req_cmd_ready) & (main_sdram_choose_req_grant == 3'd5))) begin
main_sdram_bankmachine5_cmd_ready <= 1'd1;
end
end
always @(*) begin
main_sdram_bankmachine6_cmd_ready <= 1'd0;
if (((main_sdram_choose_cmd_cmd_valid & main_sdram_choose_cmd_cmd_ready) & (main_sdram_choose_cmd_grant == 3'd6))) begin
main_sdram_bankmachine6_cmd_ready <= 1'd1;
end
if (((main_sdram_choose_req_cmd_valid & main_sdram_choose_req_cmd_ready) & (main_sdram_choose_req_grant == 3'd6))) begin
main_sdram_bankmachine6_cmd_ready <= 1'd1;
end
end
always @(*) begin
main_sdram_bankmachine7_cmd_ready <= 1'd0;
if (((main_sdram_choose_cmd_cmd_valid & main_sdram_choose_cmd_cmd_ready) & (main_sdram_choose_cmd_grant == 3'd7))) begin
main_sdram_bankmachine7_cmd_ready <= 1'd1;
end
if (((main_sdram_choose_req_cmd_valid & main_sdram_choose_req_cmd_ready) & (main_sdram_choose_req_grant == 3'd7))) begin
main_sdram_bankmachine7_cmd_ready <= 1'd1;
end
end
assign main_sdram_choose_req_ce = (main_sdram_choose_req_cmd_ready | (~main_sdram_choose_req_cmd_valid));
assign main_sdram_dfi_p0_reset_n = 1'd1;
assign main_sdram_dfi_p0_cke = {1{main_sdram_steerer0}};
assign main_sdram_dfi_p0_odt = {1{main_sdram_steerer1}};
assign main_sdram_dfi_p1_reset_n = 1'd1;
assign main_sdram_dfi_p1_cke = {1{main_sdram_steerer2}};
assign main_sdram_dfi_p1_odt = {1{main_sdram_steerer3}};
assign main_sdram_dfi_p2_reset_n = 1'd1;
assign main_sdram_dfi_p2_cke = {1{main_sdram_steerer4}};
assign main_sdram_dfi_p2_odt = {1{main_sdram_steerer5}};
assign main_sdram_dfi_p3_reset_n = 1'd1;
assign main_sdram_dfi_p3_cke = {1{main_sdram_steerer6}};
assign main_sdram_dfi_p3_odt = {1{main_sdram_steerer7}};
assign main_sdram_tfawcon_count = ((main_sdram_tfawcon_window[0] + main_sdram_tfawcon_window[1]) + main_sdram_tfawcon_window[2]);
always @(*) begin
main_sdram_steerer_sel0 <= 2'd0;
main_sdram_steerer_sel1 <= 2'd0;
main_sdram_en0 <= 1'd0;
main_sdram_steerer_sel2 <= 2'd0;
main_sdram_choose_cmd_want_activates <= 1'd0;
main_sdram_steerer_sel3 <= 2'd0;
builder_multiplexer_next_state <= 4'd0;
main_sdram_cmd_ready <= 1'd0;
main_sdram_choose_cmd_cmd_ready <= 1'd0;
main_sdram_choose_req_want_reads <= 1'd0;
main_sdram_choose_req_want_writes <= 1'd0;
main_sdram_en1 <= 1'd0;
main_sdram_choose_req_cmd_ready <= 1'd0;
builder_multiplexer_next_state <= builder_multiplexer_state;
case (builder_multiplexer_state)
1'd1: begin
main_sdram_en1 <= 1'd1;
main_sdram_choose_req_want_writes <= 1'd1;
if (1'd0) begin
main_sdram_choose_req_cmd_ready <= (main_sdram_cas_allowed & ((~((main_sdram_choose_req_cmd_payload_ras & (~main_sdram_choose_req_cmd_payload_cas)) & (~main_sdram_choose_req_cmd_payload_we))) | main_sdram_ras_allowed));
end else begin
main_sdram_choose_cmd_want_activates <= main_sdram_ras_allowed;
main_sdram_choose_cmd_cmd_ready <= ((~((main_sdram_choose_cmd_cmd_payload_ras & (~main_sdram_choose_cmd_cmd_payload_cas)) & (~main_sdram_choose_cmd_cmd_payload_we))) | main_sdram_ras_allowed);
main_sdram_choose_req_cmd_ready <= main_sdram_cas_allowed;
end
main_sdram_steerer_sel0 <= 1'd0;
main_sdram_steerer_sel1 <= 1'd0;
main_sdram_steerer_sel2 <= 1'd1;
main_sdram_steerer_sel3 <= 2'd2;
if (main_sdram_read_available) begin
if (((~main_sdram_write_available) | main_sdram_max_time1)) begin
builder_multiplexer_next_state <= 2'd3;
end
end
if (main_sdram_go_to_refresh) begin
builder_multiplexer_next_state <= 2'd2;
end
end
2'd2: begin
main_sdram_steerer_sel0 <= 2'd3;
main_sdram_cmd_ready <= 1'd1;
if (main_sdram_cmd_last) begin
builder_multiplexer_next_state <= 1'd0;
end
end
2'd3: begin
if (main_sdram_twtrcon_ready) begin
builder_multiplexer_next_state <= 1'd0;
end
end
3'd4: begin
builder_multiplexer_next_state <= 3'd5;
end
3'd5: begin
builder_multiplexer_next_state <= 3'd6;
end
3'd6: begin
builder_multiplexer_next_state <= 3'd7;
end
3'd7: begin
builder_multiplexer_next_state <= 4'd8;
end
4'd8: begin
builder_multiplexer_next_state <= 4'd9;
end
4'd9: begin
builder_multiplexer_next_state <= 4'd10;
end
4'd10: begin
builder_multiplexer_next_state <= 4'd11;
end
4'd11: begin
builder_multiplexer_next_state <= 1'd1;
end
default: begin
main_sdram_en0 <= 1'd1;
main_sdram_choose_req_want_reads <= 1'd1;
if (1'd0) begin
main_sdram_choose_req_cmd_ready <= (main_sdram_cas_allowed & ((~((main_sdram_choose_req_cmd_payload_ras & (~main_sdram_choose_req_cmd_payload_cas)) & (~main_sdram_choose_req_cmd_payload_we))) | main_sdram_ras_allowed));
end else begin
main_sdram_choose_cmd_want_activates <= main_sdram_ras_allowed;
main_sdram_choose_cmd_cmd_ready <= ((~((main_sdram_choose_cmd_cmd_payload_ras & (~main_sdram_choose_cmd_cmd_payload_cas)) & (~main_sdram_choose_cmd_cmd_payload_we))) | main_sdram_ras_allowed);
main_sdram_choose_req_cmd_ready <= main_sdram_cas_allowed;
end
main_sdram_steerer_sel0 <= 1'd0;
main_sdram_steerer_sel1 <= 1'd1;
main_sdram_steerer_sel2 <= 2'd2;
main_sdram_steerer_sel3 <= 1'd0;
if (main_sdram_write_available) begin
if (((~main_sdram_read_available) | main_sdram_max_time0)) begin
builder_multiplexer_next_state <= 3'd4;
end
end
if (main_sdram_go_to_refresh) begin
builder_multiplexer_next_state <= 2'd2;
end
end
endcase
end
assign builder_roundrobin0_request = {(((main_port_cmd_payload_addr[9:7] == 1'd0) & (~(((((((builder_locked0 | (main_sdram_interface_bank1_lock & (builder_roundrobin1_grant == 1'd0))) | (main_sdram_interface_bank2_lock & (builder_roundrobin2_grant == 1'd0))) | (main_sdram_interface_bank3_lock & (builder_roundrobin3_grant == 1'd0))) | (main_sdram_interface_bank4_lock & (builder_roundrobin4_grant == 1'd0))) | (main_sdram_interface_bank5_lock & (builder_roundrobin5_grant == 1'd0))) | (main_sdram_interface_bank6_lock & (builder_roundrobin6_grant == 1'd0))) | (main_sdram_interface_bank7_lock & (builder_roundrobin7_grant == 1'd0))))) & main_port_cmd_valid)};
assign builder_roundrobin0_ce = ((~main_sdram_interface_bank0_valid) & (~main_sdram_interface_bank0_lock));
assign main_sdram_interface_bank0_addr = builder_rhs_array_muxed12;
assign main_sdram_interface_bank0_we = builder_rhs_array_muxed13;
assign main_sdram_interface_bank0_valid = builder_rhs_array_muxed14;
assign builder_roundrobin1_request = {(((main_port_cmd_payload_addr[9:7] == 1'd1) & (~(((((((builder_locked1 | (main_sdram_interface_bank0_lock & (builder_roundrobin0_grant == 1'd0))) | (main_sdram_interface_bank2_lock & (builder_roundrobin2_grant == 1'd0))) | (main_sdram_interface_bank3_lock & (builder_roundrobin3_grant == 1'd0))) | (main_sdram_interface_bank4_lock & (builder_roundrobin4_grant == 1'd0))) | (main_sdram_interface_bank5_lock & (builder_roundrobin5_grant == 1'd0))) | (main_sdram_interface_bank6_lock & (builder_roundrobin6_grant == 1'd0))) | (main_sdram_interface_bank7_lock & (builder_roundrobin7_grant == 1'd0))))) & main_port_cmd_valid)};
assign builder_roundrobin1_ce = ((~main_sdram_interface_bank1_valid) & (~main_sdram_interface_bank1_lock));
assign main_sdram_interface_bank1_addr = builder_rhs_array_muxed15;
assign main_sdram_interface_bank1_we = builder_rhs_array_muxed16;
assign main_sdram_interface_bank1_valid = builder_rhs_array_muxed17;
assign builder_roundrobin2_request = {(((main_port_cmd_payload_addr[9:7] == 2'd2) & (~(((((((builder_locked2 | (main_sdram_interface_bank0_lock & (builder_roundrobin0_grant == 1'd0))) | (main_sdram_interface_bank1_lock & (builder_roundrobin1_grant == 1'd0))) | (main_sdram_interface_bank3_lock & (builder_roundrobin3_grant == 1'd0))) | (main_sdram_interface_bank4_lock & (builder_roundrobin4_grant == 1'd0))) | (main_sdram_interface_bank5_lock & (builder_roundrobin5_grant == 1'd0))) | (main_sdram_interface_bank6_lock & (builder_roundrobin6_grant == 1'd0))) | (main_sdram_interface_bank7_lock & (builder_roundrobin7_grant == 1'd0))))) & main_port_cmd_valid)};
assign builder_roundrobin2_ce = ((~main_sdram_interface_bank2_valid) & (~main_sdram_interface_bank2_lock));
assign main_sdram_interface_bank2_addr = builder_rhs_array_muxed18;
assign main_sdram_interface_bank2_we = builder_rhs_array_muxed19;
assign main_sdram_interface_bank2_valid = builder_rhs_array_muxed20;
assign builder_roundrobin3_request = {(((main_port_cmd_payload_addr[9:7] == 2'd3) & (~(((((((builder_locked3 | (main_sdram_interface_bank0_lock & (builder_roundrobin0_grant == 1'd0))) | (main_sdram_interface_bank1_lock & (builder_roundrobin1_grant == 1'd0))) | (main_sdram_interface_bank2_lock & (builder_roundrobin2_grant == 1'd0))) | (main_sdram_interface_bank4_lock & (builder_roundrobin4_grant == 1'd0))) | (main_sdram_interface_bank5_lock & (builder_roundrobin5_grant == 1'd0))) | (main_sdram_interface_bank6_lock & (builder_roundrobin6_grant == 1'd0))) | (main_sdram_interface_bank7_lock & (builder_roundrobin7_grant == 1'd0))))) & main_port_cmd_valid)};
assign builder_roundrobin3_ce = ((~main_sdram_interface_bank3_valid) & (~main_sdram_interface_bank3_lock));
assign main_sdram_interface_bank3_addr = builder_rhs_array_muxed21;
assign main_sdram_interface_bank3_we = builder_rhs_array_muxed22;
assign main_sdram_interface_bank3_valid = builder_rhs_array_muxed23;
assign builder_roundrobin4_request = {(((main_port_cmd_payload_addr[9:7] == 3'd4) & (~(((((((builder_locked4 | (main_sdram_interface_bank0_lock & (builder_roundrobin0_grant == 1'd0))) | (main_sdram_interface_bank1_lock & (builder_roundrobin1_grant == 1'd0))) | (main_sdram_interface_bank2_lock & (builder_roundrobin2_grant == 1'd0))) | (main_sdram_interface_bank3_lock & (builder_roundrobin3_grant == 1'd0))) | (main_sdram_interface_bank5_lock & (builder_roundrobin5_grant == 1'd0))) | (main_sdram_interface_bank6_lock & (builder_roundrobin6_grant == 1'd0))) | (main_sdram_interface_bank7_lock & (builder_roundrobin7_grant == 1'd0))))) & main_port_cmd_valid)};
assign builder_roundrobin4_ce = ((~main_sdram_interface_bank4_valid) & (~main_sdram_interface_bank4_lock));
assign main_sdram_interface_bank4_addr = builder_rhs_array_muxed24;
assign main_sdram_interface_bank4_we = builder_rhs_array_muxed25;
assign main_sdram_interface_bank4_valid = builder_rhs_array_muxed26;
assign builder_roundrobin5_request = {(((main_port_cmd_payload_addr[9:7] == 3'd5) & (~(((((((builder_locked5 | (main_sdram_interface_bank0_lock & (builder_roundrobin0_grant == 1'd0))) | (main_sdram_interface_bank1_lock & (builder_roundrobin1_grant == 1'd0))) | (main_sdram_interface_bank2_lock & (builder_roundrobin2_grant == 1'd0))) | (main_sdram_interface_bank3_lock & (builder_roundrobin3_grant == 1'd0))) | (main_sdram_interface_bank4_lock & (builder_roundrobin4_grant == 1'd0))) | (main_sdram_interface_bank6_lock & (builder_roundrobin6_grant == 1'd0))) | (main_sdram_interface_bank7_lock & (builder_roundrobin7_grant == 1'd0))))) & main_port_cmd_valid)};
assign builder_roundrobin5_ce = ((~main_sdram_interface_bank5_valid) & (~main_sdram_interface_bank5_lock));
assign main_sdram_interface_bank5_addr = builder_rhs_array_muxed27;
assign main_sdram_interface_bank5_we = builder_rhs_array_muxed28;
assign main_sdram_interface_bank5_valid = builder_rhs_array_muxed29;
assign builder_roundrobin6_request = {(((main_port_cmd_payload_addr[9:7] == 3'd6) & (~(((((((builder_locked6 | (main_sdram_interface_bank0_lock & (builder_roundrobin0_grant == 1'd0))) | (main_sdram_interface_bank1_lock & (builder_roundrobin1_grant == 1'd0))) | (main_sdram_interface_bank2_lock & (builder_roundrobin2_grant == 1'd0))) | (main_sdram_interface_bank3_lock & (builder_roundrobin3_grant == 1'd0))) | (main_sdram_interface_bank4_lock & (builder_roundrobin4_grant == 1'd0))) | (main_sdram_interface_bank5_lock & (builder_roundrobin5_grant == 1'd0))) | (main_sdram_interface_bank7_lock & (builder_roundrobin7_grant == 1'd0))))) & main_port_cmd_valid)};
assign builder_roundrobin6_ce = ((~main_sdram_interface_bank6_valid) & (~main_sdram_interface_bank6_lock));
assign main_sdram_interface_bank6_addr = builder_rhs_array_muxed30;
assign main_sdram_interface_bank6_we = builder_rhs_array_muxed31;
assign main_sdram_interface_bank6_valid = builder_rhs_array_muxed32;
assign builder_roundrobin7_request = {(((main_port_cmd_payload_addr[9:7] == 3'd7) & (~(((((((builder_locked7 | (main_sdram_interface_bank0_lock & (builder_roundrobin0_grant == 1'd0))) | (main_sdram_interface_bank1_lock & (builder_roundrobin1_grant == 1'd0))) | (main_sdram_interface_bank2_lock & (builder_roundrobin2_grant == 1'd0))) | (main_sdram_interface_bank3_lock & (builder_roundrobin3_grant == 1'd0))) | (main_sdram_interface_bank4_lock & (builder_roundrobin4_grant == 1'd0))) | (main_sdram_interface_bank5_lock & (builder_roundrobin5_grant == 1'd0))) | (main_sdram_interface_bank6_lock & (builder_roundrobin6_grant == 1'd0))))) & main_port_cmd_valid)};
assign builder_roundrobin7_ce = ((~main_sdram_interface_bank7_valid) & (~main_sdram_interface_bank7_lock));
assign main_sdram_interface_bank7_addr = builder_rhs_array_muxed33;
assign main_sdram_interface_bank7_we = builder_rhs_array_muxed34;
assign main_sdram_interface_bank7_valid = builder_rhs_array_muxed35;
assign main_port_cmd_ready = ((((((((1'd0 | (((builder_roundrobin0_grant == 1'd0) & ((main_port_cmd_payload_addr[9:7] == 1'd0) & (~(((((((builder_locked0 | (main_sdram_interface_bank1_lock & (builder_roundrobin1_grant == 1'd0))) | (main_sdram_interface_bank2_lock & (builder_roundrobin2_grant == 1'd0))) | (main_sdram_interface_bank3_lock & (builder_roundrobin3_grant == 1'd0))) | (main_sdram_interface_bank4_lock & (builder_roundrobin4_grant == 1'd0))) | (main_sdram_interface_bank5_lock & (builder_roundrobin5_grant == 1'd0))) | (main_sdram_interface_bank6_lock & (builder_roundrobin6_grant == 1'd0))) | (main_sdram_interface_bank7_lock & (builder_roundrobin7_grant == 1'd0)))))) & main_sdram_interface_bank0_ready)) | (((builder_roundrobin1_grant == 1'd0) & ((main_port_cmd_payload_addr[9:7] == 1'd1) & (~(((((((builder_locked1 | (main_sdram_interface_bank0_lock & (builder_roundrobin0_grant == 1'd0))) | (main_sdram_interface_bank2_lock & (builder_roundrobin2_grant == 1'd0))) | (main_sdram_interface_bank3_lock & (builder_roundrobin3_grant == 1'd0))) | (main_sdram_interface_bank4_lock & (builder_roundrobin4_grant == 1'd0))) | (main_sdram_interface_bank5_lock & (builder_roundrobin5_grant == 1'd0))) | (main_sdram_interface_bank6_lock & (builder_roundrobin6_grant == 1'd0))) | (main_sdram_interface_bank7_lock & (builder_roundrobin7_grant == 1'd0)))))) & main_sdram_interface_bank1_ready)) | (((builder_roundrobin2_grant == 1'd0) & ((main_port_cmd_payload_addr[9:7] == 2'd2) & (~(((((((builder_locked2 | (main_sdram_interface_bank0_lock & (builder_roundrobin0_grant == 1'd0))) | (main_sdram_interface_bank1_lock & (builder_roundrobin1_grant == 1'd0))) | (main_sdram_interface_bank3_lock & (builder_roundrobin3_grant == 1'd0))) | (main_sdram_interface_bank4_lock & (builder_roundrobin4_grant == 1'd0))) | (main_sdram_interface_bank5_lock & (builder_roundrobin5_grant == 1'd0))) | (main_sdram_interface_bank6_lock & (builder_roundrobin6_grant == 1'd0))) | (main_sdram_interface_bank7_lock & (builder_roundrobin7_grant == 1'd0)))))) & main_sdram_interface_bank2_ready)) | (((builder_roundrobin3_grant == 1'd0) & ((main_port_cmd_payload_addr[9:7] == 2'd3) & (~(((((((builder_locked3 | (main_sdram_interface_bank0_lock & (builder_roundrobin0_grant == 1'd0))) | (main_sdram_interface_bank1_lock & (builder_roundrobin1_grant == 1'd0))) | (main_sdram_interface_bank2_lock & (builder_roundrobin2_grant == 1'd0))) | (main_sdram_interface_bank4_lock & (builder_roundrobin4_grant == 1'd0))) | (main_sdram_interface_bank5_lock & (builder_roundrobin5_grant == 1'd0))) | (main_sdram_interface_bank6_lock & (builder_roundrobin6_grant == 1'd0))) | (main_sdram_interface_bank7_lock & (builder_roundrobin7_grant == 1'd0)))))) & main_sdram_interface_bank3_ready)) | (((builder_roundrobin4_grant == 1'd0) & ((main_port_cmd_payload_addr[9:7] == 3'd4) & (~(((((((builder_locked4 | (main_sdram_interface_bank0_lock & (builder_roundrobin0_grant == 1'd0))) | (main_sdram_interface_bank1_lock & (builder_roundrobin1_grant == 1'd0))) | (main_sdram_interface_bank2_lock & (builder_roundrobin2_grant == 1'd0))) | (main_sdram_interface_bank3_lock & (builder_roundrobin3_grant == 1'd0))) | (main_sdram_interface_bank5_lock & (builder_roundrobin5_grant == 1'd0))) | (main_sdram_interface_bank6_lock & (builder_roundrobin6_grant == 1'd0))) | (main_sdram_interface_bank7_lock & (builder_roundrobin7_grant == 1'd0)))))) & main_sdram_interface_bank4_ready)) | (((builder_roundrobin5_grant == 1'd0) & ((main_port_cmd_payload_addr[9:7] == 3'd5) & (~(((((((builder_locked5 | (main_sdram_interface_bank0_lock & (builder_roundrobin0_grant == 1'd0))) | (main_sdram_interface_bank1_lock & (builder_roundrobin1_grant == 1'd0))) | (main_sdram_interface_bank2_lock & (builder_roundrobin2_grant == 1'd0))) | (main_sdram_interface_bank3_lock & (builder_roundrobin3_grant == 1'd0))) | (main_sdram_interface_bank4_lock & (builder_roundrobin4_grant == 1'd0))) | (main_sdram_interface_bank6_lock & (builder_roundrobin6_grant == 1'd0))) | (main_sdram_interface_bank7_lock & (builder_roundrobin7_grant == 1'd0)))))) & main_sdram_interface_bank5_ready)) | (((builder_roundrobin6_grant == 1'd0) & ((main_port_cmd_payload_addr[9:7] == 3'd6) & (~(((((((builder_locked6 | (main_sdram_interface_bank0_lock & (builder_roundrobin0_grant == 1'd0))) | (main_sdram_interface_bank1_lock & (builder_roundrobin1_grant == 1'd0))) | (main_sdram_interface_bank2_lock & (builder_roundrobin2_grant == 1'd0))) | (main_sdram_interface_bank3_lock & (builder_roundrobin3_grant == 1'd0))) | (main_sdram_interface_bank4_lock & (builder_roundrobin4_grant == 1'd0))) | (main_sdram_interface_bank5_lock & (builder_roundrobin5_grant == 1'd0))) | (main_sdram_interface_bank7_lock & (builder_roundrobin7_grant == 1'd0)))))) & main_sdram_interface_bank6_ready)) | (((builder_roundrobin7_grant == 1'd0) & ((main_port_cmd_payload_addr[9:7] == 3'd7) & (~(((((((builder_locked7 | (main_sdram_interface_bank0_lock & (builder_roundrobin0_grant == 1'd0))) | (main_sdram_interface_bank1_lock & (builder_roundrobin1_grant == 1'd0))) | (main_sdram_interface_bank2_lock & (builder_roundrobin2_grant == 1'd0))) | (main_sdram_interface_bank3_lock & (builder_roundrobin3_grant == 1'd0))) | (main_sdram_interface_bank4_lock & (builder_roundrobin4_grant == 1'd0))) | (main_sdram_interface_bank5_lock & (builder_roundrobin5_grant == 1'd0))) | (main_sdram_interface_bank6_lock & (builder_roundrobin6_grant == 1'd0)))))) & main_sdram_interface_bank7_ready));
assign main_port_wdata_ready = builder_new_master_wdata_ready2;
assign main_port_rdata_valid = builder_new_master_rdata_valid9;
always @(*) begin
main_sdram_interface_wdata <= 128'd0;
main_sdram_interface_wdata_we <= 16'd0;
case ({builder_new_master_wdata_ready2})
1'd1: begin
main_sdram_interface_wdata <= main_port_wdata_payload_data;
main_sdram_interface_wdata_we <= main_port_wdata_payload_we;
end
default: begin
main_sdram_interface_wdata <= 1'd0;
main_sdram_interface_wdata_we <= 1'd0;
end
endcase
end
assign main_port_rdata_payload_data = main_sdram_interface_rdata;
assign builder_roundrobin0_grant = 1'd0;
assign builder_roundrobin1_grant = 1'd0;
assign builder_roundrobin2_grant = 1'd0;
assign builder_roundrobin3_grant = 1'd0;
assign builder_roundrobin4_grant = 1'd0;
assign builder_roundrobin5_grant = 1'd0;
assign builder_roundrobin6_grant = 1'd0;
assign builder_roundrobin7_grant = 1'd0;
assign main_data_port_adr = main_interface0_wb_sdram_adr[2];
always @(*) begin
main_data_port_dat_w <= 128'd0;
main_data_port_we <= 16'd0;
if (main_write_from_slave) begin
main_data_port_dat_w <= main_dat_r;
main_data_port_we <= {16{1'd1}};
end else begin
main_data_port_dat_w <= {4{main_interface0_wb_sdram_dat_w}};
if ((((main_interface0_wb_sdram_cyc & main_interface0_wb_sdram_stb) & main_interface0_wb_sdram_we) & main_interface0_wb_sdram_ack)) begin
main_data_port_we <= {({4{(main_interface0_wb_sdram_adr[1:0] == 1'd0)}} & main_interface0_wb_sdram_sel), ({4{(main_interface0_wb_sdram_adr[1:0] == 1'd1)}} & main_interface0_wb_sdram_sel), ({4{(main_interface0_wb_sdram_adr[1:0] == 2'd2)}} & main_interface0_wb_sdram_sel), ({4{(main_interface0_wb_sdram_adr[1:0] == 2'd3)}} & main_interface0_wb_sdram_sel)};
end
end
end
assign main_dat_w = main_data_port_dat_r;
assign main_sel = 16'd65535;
always @(*) begin
main_interface0_wb_sdram_dat_r <= 32'd0;
case (main_adr_offset_r)
1'd0: begin
main_interface0_wb_sdram_dat_r <= main_data_port_dat_r[127:96];
end
1'd1: begin
main_interface0_wb_sdram_dat_r <= main_data_port_dat_r[95:64];
end
2'd2: begin
main_interface0_wb_sdram_dat_r <= main_data_port_dat_r[63:32];
end
default: begin
main_interface0_wb_sdram_dat_r <= main_data_port_dat_r[31:0];
end
endcase
end
assign {main_tag_do_dirty, main_tag_do_tag} = main_tag_port_dat_r;
assign main_tag_port_dat_w = {main_tag_di_dirty, main_tag_di_tag};
assign main_tag_port_adr = main_interface0_wb_sdram_adr[2];
assign main_tag_di_tag = main_interface0_wb_sdram_adr[29:3];
assign main_adr = {main_tag_do_tag, main_interface0_wb_sdram_adr[2]};
always @(*) begin
main_word_inc <= 1'd0;
main_write_from_slave <= 1'd0;
main_interface0_wb_sdram_ack <= 1'd0;
main_cyc <= 1'd0;
main_stb <= 1'd0;
main_tag_port_we <= 1'd0;
main_we <= 1'd0;
builder_fullmemorywe_next_state <= 2'd0;
main_tag_di_dirty <= 1'd0;
main_word_clr <= 1'd0;
builder_fullmemorywe_next_state <= builder_fullmemorywe_state;
case (builder_fullmemorywe_state)
1'd1: begin
main_word_clr <= 1'd1;
if ((main_tag_do_tag == main_interface0_wb_sdram_adr[29:3])) begin
main_interface0_wb_sdram_ack <= 1'd1;
if (main_interface0_wb_sdram_we) begin
main_tag_di_dirty <= 1'd1;
main_tag_port_we <= 1'd1;
end
builder_fullmemorywe_next_state <= 1'd0;
end else begin
if (main_tag_do_dirty) begin
builder_fullmemorywe_next_state <= 2'd2;
end else begin
main_tag_port_we <= 1'd1;
main_word_clr <= 1'd1;
builder_fullmemorywe_next_state <= 2'd3;
end
end
end
2'd2: begin
main_stb <= 1'd1;
main_cyc <= 1'd1;
main_we <= 1'd1;
if (main_ack) begin
main_word_inc <= 1'd1;
if (1'd1) begin
main_tag_port_we <= 1'd1;
main_word_clr <= 1'd1;
builder_fullmemorywe_next_state <= 2'd3;
end
end
end
2'd3: begin
main_stb <= 1'd1;
main_cyc <= 1'd1;
main_we <= 1'd0;
if (main_ack) begin
main_write_from_slave <= 1'd1;
main_word_inc <= 1'd1;
if (1'd1) begin
builder_fullmemorywe_next_state <= 1'd1;
end else begin
builder_fullmemorywe_next_state <= 2'd3;
end
end
end
default: begin
if ((main_interface0_wb_sdram_cyc & main_interface0_wb_sdram_stb)) begin
builder_fullmemorywe_next_state <= 1'd1;
end
end
endcase
end
assign main_wdata_converter_sink_valid = ((main_cyc & main_stb) & main_we);
assign main_wdata_converter_sink_payload_data = main_dat_w;
assign main_wdata_converter_sink_payload_we = main_sel;
assign main_port_wdata_valid = main_wdata_converter_source_valid;
assign main_wdata_converter_source_ready = main_port_wdata_ready;
assign main_port_wdata_first = main_wdata_converter_source_first;
assign main_port_wdata_last = main_wdata_converter_source_last;
assign main_port_wdata_payload_data = main_wdata_converter_source_payload_data;
assign main_port_wdata_payload_we = main_wdata_converter_source_payload_we;
assign main_rdata_converter_sink_valid = main_port_rdata_valid;
assign main_port_rdata_ready = main_rdata_converter_sink_ready;
assign main_rdata_converter_sink_first = main_port_rdata_first;
assign main_rdata_converter_sink_last = main_port_rdata_last;
assign main_rdata_converter_sink_payload_data = main_port_rdata_payload_data;
assign main_rdata_converter_source_ready = 1'd1;
assign main_dat_r = main_rdata_converter_source_payload_data;
assign main_wdata_converter_converter_sink_valid = main_wdata_converter_sink_valid;
assign main_wdata_converter_converter_sink_first = main_wdata_converter_sink_first;
assign main_wdata_converter_converter_sink_last = main_wdata_converter_sink_last;
assign main_wdata_converter_sink_ready = main_wdata_converter_converter_sink_ready;
assign main_wdata_converter_converter_sink_payload_data = {main_wdata_converter_sink_payload_we, main_wdata_converter_sink_payload_data};
assign main_wdata_converter_source_valid = main_wdata_converter_source_source_valid;
assign main_wdata_converter_source_first = main_wdata_converter_source_source_first;
assign main_wdata_converter_source_last = main_wdata_converter_source_source_last;
assign main_wdata_converter_source_source_ready = main_wdata_converter_source_ready;
assign {main_wdata_converter_source_payload_we, main_wdata_converter_source_payload_data} = main_wdata_converter_source_source_payload_data;
assign main_wdata_converter_source_source_valid = main_wdata_converter_converter_source_valid;
assign main_wdata_converter_converter_source_ready = main_wdata_converter_source_source_ready;
assign main_wdata_converter_source_source_first = main_wdata_converter_converter_source_first;
assign main_wdata_converter_source_source_last = main_wdata_converter_converter_source_last;
assign main_wdata_converter_source_source_payload_data = main_wdata_converter_converter_source_payload_data;
assign main_wdata_converter_converter_source_valid = main_wdata_converter_converter_sink_valid;
assign main_wdata_converter_converter_sink_ready = main_wdata_converter_converter_source_ready;
assign main_wdata_converter_converter_source_first = main_wdata_converter_converter_sink_first;
assign main_wdata_converter_converter_source_last = main_wdata_converter_converter_sink_last;
assign main_wdata_converter_converter_source_payload_data = main_wdata_converter_converter_sink_payload_data;
assign main_wdata_converter_converter_source_payload_valid_token_count = 1'd1;
assign main_rdata_converter_converter_sink_valid = main_rdata_converter_sink_valid;
assign main_rdata_converter_converter_sink_first = main_rdata_converter_sink_first;
assign main_rdata_converter_converter_sink_last = main_rdata_converter_sink_last;
assign main_rdata_converter_sink_ready = main_rdata_converter_converter_sink_ready;
assign main_rdata_converter_converter_sink_payload_data = {main_rdata_converter_sink_payload_data};
assign main_rdata_converter_source_valid = main_rdata_converter_source_source_valid;
assign main_rdata_converter_source_first = main_rdata_converter_source_source_first;
assign main_rdata_converter_source_last = main_rdata_converter_source_source_last;
assign main_rdata_converter_source_source_ready = main_rdata_converter_source_ready;
assign {main_rdata_converter_source_payload_data} = main_rdata_converter_source_source_payload_data;
assign main_rdata_converter_source_source_valid = main_rdata_converter_converter_source_valid;
assign main_rdata_converter_converter_source_ready = main_rdata_converter_source_source_ready;
assign main_rdata_converter_source_source_first = main_rdata_converter_converter_source_first;
assign main_rdata_converter_source_source_last = main_rdata_converter_converter_source_last;
assign main_rdata_converter_source_source_payload_data = main_rdata_converter_converter_source_payload_data;
assign main_rdata_converter_converter_source_valid = main_rdata_converter_converter_sink_valid;
assign main_rdata_converter_converter_sink_ready = main_rdata_converter_converter_source_ready;
assign main_rdata_converter_converter_source_first = main_rdata_converter_converter_sink_first;
assign main_rdata_converter_converter_source_last = main_rdata_converter_converter_sink_last;
assign main_rdata_converter_converter_source_payload_data = main_rdata_converter_converter_sink_payload_data;
assign main_rdata_converter_converter_source_payload_valid_token_count = 1'd1;
always @(*) begin
main_port_cmd_payload_addr <= 24'd0;
main_count_next_value <= 1'd0;
main_count_next_value_ce <= 1'd0;
main_port_cmd_valid <= 1'd0;
builder_litedramwishbone2native_next_state <= 2'd0;
main_ack <= 1'd0;
main_port_cmd_payload_we <= 1'd0;
builder_litedramwishbone2native_next_state <= builder_litedramwishbone2native_state;
case (builder_litedramwishbone2native_state)
1'd1: begin
if (main_wdata_converter_sink_ready) begin
main_ack <= 1'd1;
builder_litedramwishbone2native_next_state <= 1'd0;
end
end
2'd2: begin
if (main_rdata_converter_source_valid) begin
main_ack <= 1'd1;
builder_litedramwishbone2native_next_state <= 1'd0;
end
end
default: begin
main_port_cmd_valid <= (main_cyc & main_stb);
main_port_cmd_payload_we <= main_we;
main_port_cmd_payload_addr <= (((main_adr * 1'd1) + main_count) - 1'd0);
if ((main_port_cmd_valid & main_port_cmd_ready)) begin
main_count_next_value <= (main_count + 1'd1);
main_count_next_value_ce <= 1'd1;
if ((main_count == 1'd0)) begin
main_count_next_value <= 1'd0;
main_count_next_value_ce <= 1'd1;
if (main_we) begin
builder_litedramwishbone2native_next_state <= 1'd1;
end else begin
builder_litedramwishbone2native_next_state <= 2'd2;
end
end
end
end
endcase
end
assign main_interface0_wb_sdram_adr = builder_rhs_array_muxed36;
assign main_interface0_wb_sdram_dat_w = builder_rhs_array_muxed37;
assign main_interface0_wb_sdram_sel = builder_rhs_array_muxed38;
assign main_interface0_wb_sdram_cyc = builder_rhs_array_muxed39;
assign main_interface0_wb_sdram_stb = builder_rhs_array_muxed40;
assign main_interface0_wb_sdram_we = builder_rhs_array_muxed41;
assign main_interface0_wb_sdram_cti = builder_rhs_array_muxed42;
assign main_interface0_wb_sdram_bte = builder_rhs_array_muxed43;
assign main_interface1_wb_sdram_dat_r = main_interface0_wb_sdram_dat_r;
assign main_interface1_wb_sdram_ack = (main_interface0_wb_sdram_ack & (builder_wb_sdram_con_grant == 1'd0));
assign main_interface1_wb_sdram_err = (main_interface0_wb_sdram_err & (builder_wb_sdram_con_grant == 1'd0));
assign builder_wb_sdram_con_request = {main_interface1_wb_sdram_cyc};
assign builder_wb_sdram_con_grant = 1'd0;
assign builder_basesoc_shared_adr = builder_rhs_array_muxed44;
assign builder_basesoc_shared_dat_w = builder_rhs_array_muxed45;
assign builder_basesoc_shared_sel = builder_rhs_array_muxed46;
assign builder_basesoc_shared_cyc = builder_rhs_array_muxed47;
assign builder_basesoc_shared_stb = builder_rhs_array_muxed48;
assign builder_basesoc_shared_we = builder_rhs_array_muxed49;
assign builder_basesoc_shared_cti = builder_rhs_array_muxed50;
assign builder_basesoc_shared_bte = builder_rhs_array_muxed51;
assign main_uart_wishbone_dat_r = builder_basesoc_shared_dat_r;
assign main_uart_wishbone_ack = (builder_basesoc_shared_ack & (builder_basesoc_grant == 1'd0));
assign main_uart_wishbone_err = (builder_basesoc_shared_err & (builder_basesoc_grant == 1'd0));
assign builder_basesoc_request = {main_uart_wishbone_cyc};
assign builder_basesoc_grant = 1'd0;
always @(*) begin
builder_basesoc_slave_sel <= 3'd0;
builder_basesoc_slave_sel[0] <= (builder_basesoc_shared_adr[28:10] == 13'd4096);
builder_basesoc_slave_sel[1] <= (builder_basesoc_shared_adr[28:14] == 10'd512);
builder_basesoc_slave_sel[2] <= (builder_basesoc_shared_adr[28:26] == 3'd4);
end
assign main_sram_bus_adr = builder_basesoc_shared_adr;
assign main_sram_bus_dat_w = builder_basesoc_shared_dat_w;
assign main_sram_bus_sel = builder_basesoc_shared_sel;
assign main_sram_bus_stb = builder_basesoc_shared_stb;
assign main_sram_bus_we = builder_basesoc_shared_we;
assign main_sram_bus_cti = builder_basesoc_shared_cti;
assign main_sram_bus_bte = builder_basesoc_shared_bte;
assign main_bus_wishbone_adr = builder_basesoc_shared_adr;
assign main_bus_wishbone_dat_w = builder_basesoc_shared_dat_w;
assign main_bus_wishbone_sel = builder_basesoc_shared_sel;
assign main_bus_wishbone_stb = builder_basesoc_shared_stb;
assign main_bus_wishbone_we = builder_basesoc_shared_we;
assign main_bus_wishbone_cti = builder_basesoc_shared_cti;
assign main_bus_wishbone_bte = builder_basesoc_shared_bte;
assign main_interface1_wb_sdram_adr = builder_basesoc_shared_adr;
assign main_interface1_wb_sdram_dat_w = builder_basesoc_shared_dat_w;
assign main_interface1_wb_sdram_sel = builder_basesoc_shared_sel;
assign main_interface1_wb_sdram_stb = builder_basesoc_shared_stb;
assign main_interface1_wb_sdram_we = builder_basesoc_shared_we;
assign main_interface1_wb_sdram_cti = builder_basesoc_shared_cti;
assign main_interface1_wb_sdram_bte = builder_basesoc_shared_bte;
assign main_sram_bus_cyc = (builder_basesoc_shared_cyc & builder_basesoc_slave_sel[0]);
assign main_bus_wishbone_cyc = (builder_basesoc_shared_cyc & builder_basesoc_slave_sel[1]);
assign main_interface1_wb_sdram_cyc = (builder_basesoc_shared_cyc & builder_basesoc_slave_sel[2]);
assign builder_basesoc_shared_err = ((main_sram_bus_err | main_bus_wishbone_err) | main_interface1_wb_sdram_err);
assign builder_basesoc_wait = ((builder_basesoc_shared_stb & builder_basesoc_shared_cyc) & (~builder_basesoc_shared_ack));
always @(*) begin
builder_basesoc_shared_ack <= 1'd0;
builder_basesoc_shared_dat_r <= 32'd0;
builder_basesoc_error <= 1'd0;
builder_basesoc_shared_ack <= ((main_sram_bus_ack | main_bus_wishbone_ack) | main_interface1_wb_sdram_ack);
builder_basesoc_shared_dat_r <= ((({32{builder_basesoc_slave_sel_r[0]}} & main_sram_bus_dat_r) | ({32{builder_basesoc_slave_sel_r[1]}} & main_bus_wishbone_dat_r)) | ({32{builder_basesoc_slave_sel_r[2]}} & main_interface1_wb_sdram_dat_r));
if (builder_basesoc_done) begin
builder_basesoc_shared_dat_r <= 32'd4294967295;
builder_basesoc_shared_ack <= 1'd1;
builder_basesoc_error <= 1'd1;
end
end
assign builder_basesoc_done = (builder_basesoc_count == 1'd0);
assign builder_basesoc_csrbankarray_csrbank0_sel = (builder_basesoc_csrbankarray_interface0_bank_bus_adr[13:9] == 1'd0);
assign builder_basesoc_csrbankarray_csrbank0_reset0_r = builder_basesoc_csrbankarray_interface0_bank_bus_dat_w[0];
assign builder_basesoc_csrbankarray_csrbank0_reset0_re = ((builder_basesoc_csrbankarray_csrbank0_sel & builder_basesoc_csrbankarray_interface0_bank_bus_we) & (builder_basesoc_csrbankarray_interface0_bank_bus_adr[3:0] == 1'd0));
assign builder_basesoc_csrbankarray_csrbank0_reset0_we = ((builder_basesoc_csrbankarray_csrbank0_sel & (~builder_basesoc_csrbankarray_interface0_bank_bus_we)) & (builder_basesoc_csrbankarray_interface0_bank_bus_adr[3:0] == 1'd0));
assign builder_basesoc_csrbankarray_csrbank0_scratch3_r = builder_basesoc_csrbankarray_interface0_bank_bus_dat_w[7:0];
assign builder_basesoc_csrbankarray_csrbank0_scratch3_re = ((builder_basesoc_csrbankarray_csrbank0_sel & builder_basesoc_csrbankarray_interface0_bank_bus_we) & (builder_basesoc_csrbankarray_interface0_bank_bus_adr[3:0] == 1'd1));
assign builder_basesoc_csrbankarray_csrbank0_scratch3_we = ((builder_basesoc_csrbankarray_csrbank0_sel & (~builder_basesoc_csrbankarray_interface0_bank_bus_we)) & (builder_basesoc_csrbankarray_interface0_bank_bus_adr[3:0] == 1'd1));
assign builder_basesoc_csrbankarray_csrbank0_scratch2_r = builder_basesoc_csrbankarray_interface0_bank_bus_dat_w[7:0];
assign builder_basesoc_csrbankarray_csrbank0_scratch2_re = ((builder_basesoc_csrbankarray_csrbank0_sel & builder_basesoc_csrbankarray_interface0_bank_bus_we) & (builder_basesoc_csrbankarray_interface0_bank_bus_adr[3:0] == 2'd2));
assign builder_basesoc_csrbankarray_csrbank0_scratch2_we = ((builder_basesoc_csrbankarray_csrbank0_sel & (~builder_basesoc_csrbankarray_interface0_bank_bus_we)) & (builder_basesoc_csrbankarray_interface0_bank_bus_adr[3:0] == 2'd2));
assign builder_basesoc_csrbankarray_csrbank0_scratch1_r = builder_basesoc_csrbankarray_interface0_bank_bus_dat_w[7:0];
assign builder_basesoc_csrbankarray_csrbank0_scratch1_re = ((builder_basesoc_csrbankarray_csrbank0_sel & builder_basesoc_csrbankarray_interface0_bank_bus_we) & (builder_basesoc_csrbankarray_interface0_bank_bus_adr[3:0] == 2'd3));
assign builder_basesoc_csrbankarray_csrbank0_scratch1_we = ((builder_basesoc_csrbankarray_csrbank0_sel & (~builder_basesoc_csrbankarray_interface0_bank_bus_we)) & (builder_basesoc_csrbankarray_interface0_bank_bus_adr[3:0] == 2'd3));
assign builder_basesoc_csrbankarray_csrbank0_scratch0_r = builder_basesoc_csrbankarray_interface0_bank_bus_dat_w[7:0];
assign builder_basesoc_csrbankarray_csrbank0_scratch0_re = ((builder_basesoc_csrbankarray_csrbank0_sel & builder_basesoc_csrbankarray_interface0_bank_bus_we) & (builder_basesoc_csrbankarray_interface0_bank_bus_adr[3:0] == 3'd4));
assign builder_basesoc_csrbankarray_csrbank0_scratch0_we = ((builder_basesoc_csrbankarray_csrbank0_sel & (~builder_basesoc_csrbankarray_interface0_bank_bus_we)) & (builder_basesoc_csrbankarray_interface0_bank_bus_adr[3:0] == 3'd4));
assign builder_basesoc_csrbankarray_csrbank0_bus_errors3_r = builder_basesoc_csrbankarray_interface0_bank_bus_dat_w[7:0];
assign builder_basesoc_csrbankarray_csrbank0_bus_errors3_re = ((builder_basesoc_csrbankarray_csrbank0_sel & builder_basesoc_csrbankarray_interface0_bank_bus_we) & (builder_basesoc_csrbankarray_interface0_bank_bus_adr[3:0] == 3'd5));
assign builder_basesoc_csrbankarray_csrbank0_bus_errors3_we = ((builder_basesoc_csrbankarray_csrbank0_sel & (~builder_basesoc_csrbankarray_interface0_bank_bus_we)) & (builder_basesoc_csrbankarray_interface0_bank_bus_adr[3:0] == 3'd5));
assign builder_basesoc_csrbankarray_csrbank0_bus_errors2_r = builder_basesoc_csrbankarray_interface0_bank_bus_dat_w[7:0];
assign builder_basesoc_csrbankarray_csrbank0_bus_errors2_re = ((builder_basesoc_csrbankarray_csrbank0_sel & builder_basesoc_csrbankarray_interface0_bank_bus_we) & (builder_basesoc_csrbankarray_interface0_bank_bus_adr[3:0] == 3'd6));
assign builder_basesoc_csrbankarray_csrbank0_bus_errors2_we = ((builder_basesoc_csrbankarray_csrbank0_sel & (~builder_basesoc_csrbankarray_interface0_bank_bus_we)) & (builder_basesoc_csrbankarray_interface0_bank_bus_adr[3:0] == 3'd6));
assign builder_basesoc_csrbankarray_csrbank0_bus_errors1_r = builder_basesoc_csrbankarray_interface0_bank_bus_dat_w[7:0];
assign builder_basesoc_csrbankarray_csrbank0_bus_errors1_re = ((builder_basesoc_csrbankarray_csrbank0_sel & builder_basesoc_csrbankarray_interface0_bank_bus_we) & (builder_basesoc_csrbankarray_interface0_bank_bus_adr[3:0] == 3'd7));
assign builder_basesoc_csrbankarray_csrbank0_bus_errors1_we = ((builder_basesoc_csrbankarray_csrbank0_sel & (~builder_basesoc_csrbankarray_interface0_bank_bus_we)) & (builder_basesoc_csrbankarray_interface0_bank_bus_adr[3:0] == 3'd7));
assign builder_basesoc_csrbankarray_csrbank0_bus_errors0_r = builder_basesoc_csrbankarray_interface0_bank_bus_dat_w[7:0];
assign builder_basesoc_csrbankarray_csrbank0_bus_errors0_re = ((builder_basesoc_csrbankarray_csrbank0_sel & builder_basesoc_csrbankarray_interface0_bank_bus_we) & (builder_basesoc_csrbankarray_interface0_bank_bus_adr[3:0] == 4'd8));
assign builder_basesoc_csrbankarray_csrbank0_bus_errors0_we = ((builder_basesoc_csrbankarray_csrbank0_sel & (~builder_basesoc_csrbankarray_interface0_bank_bus_we)) & (builder_basesoc_csrbankarray_interface0_bank_bus_adr[3:0] == 4'd8));
assign builder_basesoc_csrbankarray_csrbank0_reset0_w = main_ctrl_reset_storage;
assign builder_basesoc_csrbankarray_csrbank0_scratch3_w = main_ctrl_scratch_storage[31:24];
assign builder_basesoc_csrbankarray_csrbank0_scratch2_w = main_ctrl_scratch_storage[23:16];
assign builder_basesoc_csrbankarray_csrbank0_scratch1_w = main_ctrl_scratch_storage[15:8];
assign builder_basesoc_csrbankarray_csrbank0_scratch0_w = main_ctrl_scratch_storage[7:0];
assign builder_basesoc_csrbankarray_csrbank0_bus_errors3_w = main_ctrl_bus_errors_status[31:24];
assign builder_basesoc_csrbankarray_csrbank0_bus_errors2_w = main_ctrl_bus_errors_status[23:16];
assign builder_basesoc_csrbankarray_csrbank0_bus_errors1_w = main_ctrl_bus_errors_status[15:8];
assign builder_basesoc_csrbankarray_csrbank0_bus_errors0_w = main_ctrl_bus_errors_status[7:0];
assign main_ctrl_bus_errors_we = builder_basesoc_csrbankarray_csrbank0_bus_errors0_we;
assign builder_basesoc_csrbankarray_csrbank1_sel = (builder_basesoc_csrbankarray_interface1_bank_bus_adr[13:9] == 3'd5);
assign builder_basesoc_csrbankarray_csrbank1_half_sys8x_taps0_r = builder_basesoc_csrbankarray_interface1_bank_bus_dat_w[4:0];
assign builder_basesoc_csrbankarray_csrbank1_half_sys8x_taps0_re = ((builder_basesoc_csrbankarray_csrbank1_sel & builder_basesoc_csrbankarray_interface1_bank_bus_we) & (builder_basesoc_csrbankarray_interface1_bank_bus_adr[2:0] == 1'd0));
assign builder_basesoc_csrbankarray_csrbank1_half_sys8x_taps0_we = ((builder_basesoc_csrbankarray_csrbank1_sel & (~builder_basesoc_csrbankarray_interface1_bank_bus_we)) & (builder_basesoc_csrbankarray_interface1_bank_bus_adr[2:0] == 1'd0));
assign main_a7ddrphy_cdly_rst_r = builder_basesoc_csrbankarray_interface1_bank_bus_dat_w[0];
assign main_a7ddrphy_cdly_rst_re = ((builder_basesoc_csrbankarray_csrbank1_sel & builder_basesoc_csrbankarray_interface1_bank_bus_we) & (builder_basesoc_csrbankarray_interface1_bank_bus_adr[2:0] == 1'd1));
assign main_a7ddrphy_cdly_rst_we = ((builder_basesoc_csrbankarray_csrbank1_sel & (~builder_basesoc_csrbankarray_interface1_bank_bus_we)) & (builder_basesoc_csrbankarray_interface1_bank_bus_adr[2:0] == 1'd1));
assign main_a7ddrphy_cdly_inc_r = builder_basesoc_csrbankarray_interface1_bank_bus_dat_w[0];
assign main_a7ddrphy_cdly_inc_re = ((builder_basesoc_csrbankarray_csrbank1_sel & builder_basesoc_csrbankarray_interface1_bank_bus_we) & (builder_basesoc_csrbankarray_interface1_bank_bus_adr[2:0] == 2'd2));
assign main_a7ddrphy_cdly_inc_we = ((builder_basesoc_csrbankarray_csrbank1_sel & (~builder_basesoc_csrbankarray_interface1_bank_bus_we)) & (builder_basesoc_csrbankarray_interface1_bank_bus_adr[2:0] == 2'd2));
assign builder_basesoc_csrbankarray_csrbank1_dly_sel0_r = builder_basesoc_csrbankarray_interface1_bank_bus_dat_w[1:0];
assign builder_basesoc_csrbankarray_csrbank1_dly_sel0_re = ((builder_basesoc_csrbankarray_csrbank1_sel & builder_basesoc_csrbankarray_interface1_bank_bus_we) & (builder_basesoc_csrbankarray_interface1_bank_bus_adr[2:0] == 2'd3));
assign builder_basesoc_csrbankarray_csrbank1_dly_sel0_we = ((builder_basesoc_csrbankarray_csrbank1_sel & (~builder_basesoc_csrbankarray_interface1_bank_bus_we)) & (builder_basesoc_csrbankarray_interface1_bank_bus_adr[2:0] == 2'd3));
assign main_a7ddrphy_rdly_dq_rst_r = builder_basesoc_csrbankarray_interface1_bank_bus_dat_w[0];
assign main_a7ddrphy_rdly_dq_rst_re = ((builder_basesoc_csrbankarray_csrbank1_sel & builder_basesoc_csrbankarray_interface1_bank_bus_we) & (builder_basesoc_csrbankarray_interface1_bank_bus_adr[2:0] == 3'd4));
assign main_a7ddrphy_rdly_dq_rst_we = ((builder_basesoc_csrbankarray_csrbank1_sel & (~builder_basesoc_csrbankarray_interface1_bank_bus_we)) & (builder_basesoc_csrbankarray_interface1_bank_bus_adr[2:0] == 3'd4));
assign main_a7ddrphy_rdly_dq_inc_r = builder_basesoc_csrbankarray_interface1_bank_bus_dat_w[0];
assign main_a7ddrphy_rdly_dq_inc_re = ((builder_basesoc_csrbankarray_csrbank1_sel & builder_basesoc_csrbankarray_interface1_bank_bus_we) & (builder_basesoc_csrbankarray_interface1_bank_bus_adr[2:0] == 3'd5));
assign main_a7ddrphy_rdly_dq_inc_we = ((builder_basesoc_csrbankarray_csrbank1_sel & (~builder_basesoc_csrbankarray_interface1_bank_bus_we)) & (builder_basesoc_csrbankarray_interface1_bank_bus_adr[2:0] == 3'd5));
assign main_a7ddrphy_rdly_dq_bitslip_rst_r = builder_basesoc_csrbankarray_interface1_bank_bus_dat_w[0];
assign main_a7ddrphy_rdly_dq_bitslip_rst_re = ((builder_basesoc_csrbankarray_csrbank1_sel & builder_basesoc_csrbankarray_interface1_bank_bus_we) & (builder_basesoc_csrbankarray_interface1_bank_bus_adr[2:0] == 3'd6));
assign main_a7ddrphy_rdly_dq_bitslip_rst_we = ((builder_basesoc_csrbankarray_csrbank1_sel & (~builder_basesoc_csrbankarray_interface1_bank_bus_we)) & (builder_basesoc_csrbankarray_interface1_bank_bus_adr[2:0] == 3'd6));
assign main_a7ddrphy_rdly_dq_bitslip_r = builder_basesoc_csrbankarray_interface1_bank_bus_dat_w[0];
assign main_a7ddrphy_rdly_dq_bitslip_re = ((builder_basesoc_csrbankarray_csrbank1_sel & builder_basesoc_csrbankarray_interface1_bank_bus_we) & (builder_basesoc_csrbankarray_interface1_bank_bus_adr[2:0] == 3'd7));
assign main_a7ddrphy_rdly_dq_bitslip_we = ((builder_basesoc_csrbankarray_csrbank1_sel & (~builder_basesoc_csrbankarray_interface1_bank_bus_we)) & (builder_basesoc_csrbankarray_interface1_bank_bus_adr[2:0] == 3'd7));
assign builder_basesoc_csrbankarray_csrbank1_half_sys8x_taps0_w = main_a7ddrphy_half_sys8x_taps_storage[4:0];
assign builder_basesoc_csrbankarray_csrbank1_dly_sel0_w = main_a7ddrphy_dly_sel_storage[1:0];
assign builder_basesoc_csrbankarray_sel = (builder_basesoc_csrbankarray_sram_bus_adr[13:9] == 2'd3);
always @(*) begin
builder_basesoc_csrbankarray_sram_bus_dat_r <= 8'd0;
if (builder_basesoc_csrbankarray_sel_r) begin
builder_basesoc_csrbankarray_sram_bus_dat_r <= builder_basesoc_csrbankarray_dat_r;
end
end
assign builder_basesoc_csrbankarray_adr = builder_basesoc_csrbankarray_sram_bus_adr[6:0];
assign builder_basesoc_csrbankarray_csrbank2_sel = (builder_basesoc_csrbankarray_interface2_bank_bus_adr[13:9] == 4'd8);
assign builder_basesoc_csrbankarray_csrbank2_dfii_control0_r = builder_basesoc_csrbankarray_interface2_bank_bus_dat_w[3:0];
assign builder_basesoc_csrbankarray_csrbank2_dfii_control0_re = ((builder_basesoc_csrbankarray_csrbank2_sel & builder_basesoc_csrbankarray_interface2_bank_bus_we) & (builder_basesoc_csrbankarray_interface2_bank_bus_adr[5:0] == 1'd0));
assign builder_basesoc_csrbankarray_csrbank2_dfii_control0_we = ((builder_basesoc_csrbankarray_csrbank2_sel & (~builder_basesoc_csrbankarray_interface2_bank_bus_we)) & (builder_basesoc_csrbankarray_interface2_bank_bus_adr[5:0] == 1'd0));
assign builder_basesoc_csrbankarray_csrbank2_dfii_pi0_command0_r = builder_basesoc_csrbankarray_interface2_bank_bus_dat_w[5:0];
assign builder_basesoc_csrbankarray_csrbank2_dfii_pi0_command0_re = ((builder_basesoc_csrbankarray_csrbank2_sel & builder_basesoc_csrbankarray_interface2_bank_bus_we) & (builder_basesoc_csrbankarray_interface2_bank_bus_adr[5:0] == 1'd1));
assign builder_basesoc_csrbankarray_csrbank2_dfii_pi0_command0_we = ((builder_basesoc_csrbankarray_csrbank2_sel & (~builder_basesoc_csrbankarray_interface2_bank_bus_we)) & (builder_basesoc_csrbankarray_interface2_bank_bus_adr[5:0] == 1'd1));
assign main_sdram_phaseinjector0_command_issue_r = builder_basesoc_csrbankarray_interface2_bank_bus_dat_w[0];
assign main_sdram_phaseinjector0_command_issue_re = ((builder_basesoc_csrbankarray_csrbank2_sel & builder_basesoc_csrbankarray_interface2_bank_bus_we) & (builder_basesoc_csrbankarray_interface2_bank_bus_adr[5:0] == 2'd2));
assign main_sdram_phaseinjector0_command_issue_we = ((builder_basesoc_csrbankarray_csrbank2_sel & (~builder_basesoc_csrbankarray_interface2_bank_bus_we)) & (builder_basesoc_csrbankarray_interface2_bank_bus_adr[5:0] == 2'd2));
assign builder_basesoc_csrbankarray_csrbank2_dfii_pi0_address1_r = builder_basesoc_csrbankarray_interface2_bank_bus_dat_w[5:0];
assign builder_basesoc_csrbankarray_csrbank2_dfii_pi0_address1_re = ((builder_basesoc_csrbankarray_csrbank2_sel & builder_basesoc_csrbankarray_interface2_bank_bus_we) & (builder_basesoc_csrbankarray_interface2_bank_bus_adr[5:0] == 2'd3));
assign builder_basesoc_csrbankarray_csrbank2_dfii_pi0_address1_we = ((builder_basesoc_csrbankarray_csrbank2_sel & (~builder_basesoc_csrbankarray_interface2_bank_bus_we)) & (builder_basesoc_csrbankarray_interface2_bank_bus_adr[5:0] == 2'd3));
assign builder_basesoc_csrbankarray_csrbank2_dfii_pi0_address0_r = builder_basesoc_csrbankarray_interface2_bank_bus_dat_w[7:0];
assign builder_basesoc_csrbankarray_csrbank2_dfii_pi0_address0_re = ((builder_basesoc_csrbankarray_csrbank2_sel & builder_basesoc_csrbankarray_interface2_bank_bus_we) & (builder_basesoc_csrbankarray_interface2_bank_bus_adr[5:0] == 3'd4));
assign builder_basesoc_csrbankarray_csrbank2_dfii_pi0_address0_we = ((builder_basesoc_csrbankarray_csrbank2_sel & (~builder_basesoc_csrbankarray_interface2_bank_bus_we)) & (builder_basesoc_csrbankarray_interface2_bank_bus_adr[5:0] == 3'd4));
assign builder_basesoc_csrbankarray_csrbank2_dfii_pi0_baddress0_r = builder_basesoc_csrbankarray_interface2_bank_bus_dat_w[2:0];
assign builder_basesoc_csrbankarray_csrbank2_dfii_pi0_baddress0_re = ((builder_basesoc_csrbankarray_csrbank2_sel & builder_basesoc_csrbankarray_interface2_bank_bus_we) & (builder_basesoc_csrbankarray_interface2_bank_bus_adr[5:0] == 3'd5));
assign builder_basesoc_csrbankarray_csrbank2_dfii_pi0_baddress0_we = ((builder_basesoc_csrbankarray_csrbank2_sel & (~builder_basesoc_csrbankarray_interface2_bank_bus_we)) & (builder_basesoc_csrbankarray_interface2_bank_bus_adr[5:0] == 3'd5));
assign builder_basesoc_csrbankarray_csrbank2_dfii_pi0_wrdata3_r = builder_basesoc_csrbankarray_interface2_bank_bus_dat_w[7:0];
assign builder_basesoc_csrbankarray_csrbank2_dfii_pi0_wrdata3_re = ((builder_basesoc_csrbankarray_csrbank2_sel & builder_basesoc_csrbankarray_interface2_bank_bus_we) & (builder_basesoc_csrbankarray_interface2_bank_bus_adr[5:0] == 3'd6));
assign builder_basesoc_csrbankarray_csrbank2_dfii_pi0_wrdata3_we = ((builder_basesoc_csrbankarray_csrbank2_sel & (~builder_basesoc_csrbankarray_interface2_bank_bus_we)) & (builder_basesoc_csrbankarray_interface2_bank_bus_adr[5:0] == 3'd6));
assign builder_basesoc_csrbankarray_csrbank2_dfii_pi0_wrdata2_r = builder_basesoc_csrbankarray_interface2_bank_bus_dat_w[7:0];
assign builder_basesoc_csrbankarray_csrbank2_dfii_pi0_wrdata2_re = ((builder_basesoc_csrbankarray_csrbank2_sel & builder_basesoc_csrbankarray_interface2_bank_bus_we) & (builder_basesoc_csrbankarray_interface2_bank_bus_adr[5:0] == 3'd7));
assign builder_basesoc_csrbankarray_csrbank2_dfii_pi0_wrdata2_we = ((builder_basesoc_csrbankarray_csrbank2_sel & (~builder_basesoc_csrbankarray_interface2_bank_bus_we)) & (builder_basesoc_csrbankarray_interface2_bank_bus_adr[5:0] == 3'd7));
assign builder_basesoc_csrbankarray_csrbank2_dfii_pi0_wrdata1_r = builder_basesoc_csrbankarray_interface2_bank_bus_dat_w[7:0];
assign builder_basesoc_csrbankarray_csrbank2_dfii_pi0_wrdata1_re = ((builder_basesoc_csrbankarray_csrbank2_sel & builder_basesoc_csrbankarray_interface2_bank_bus_we) & (builder_basesoc_csrbankarray_interface2_bank_bus_adr[5:0] == 4'd8));
assign builder_basesoc_csrbankarray_csrbank2_dfii_pi0_wrdata1_we = ((builder_basesoc_csrbankarray_csrbank2_sel & (~builder_basesoc_csrbankarray_interface2_bank_bus_we)) & (builder_basesoc_csrbankarray_interface2_bank_bus_adr[5:0] == 4'd8));
assign builder_basesoc_csrbankarray_csrbank2_dfii_pi0_wrdata0_r = builder_basesoc_csrbankarray_interface2_bank_bus_dat_w[7:0];
assign builder_basesoc_csrbankarray_csrbank2_dfii_pi0_wrdata0_re = ((builder_basesoc_csrbankarray_csrbank2_sel & builder_basesoc_csrbankarray_interface2_bank_bus_we) & (builder_basesoc_csrbankarray_interface2_bank_bus_adr[5:0] == 4'd9));
assign builder_basesoc_csrbankarray_csrbank2_dfii_pi0_wrdata0_we = ((builder_basesoc_csrbankarray_csrbank2_sel & (~builder_basesoc_csrbankarray_interface2_bank_bus_we)) & (builder_basesoc_csrbankarray_interface2_bank_bus_adr[5:0] == 4'd9));
assign builder_basesoc_csrbankarray_csrbank2_dfii_pi0_rddata3_r = builder_basesoc_csrbankarray_interface2_bank_bus_dat_w[7:0];
assign builder_basesoc_csrbankarray_csrbank2_dfii_pi0_rddata3_re = ((builder_basesoc_csrbankarray_csrbank2_sel & builder_basesoc_csrbankarray_interface2_bank_bus_we) & (builder_basesoc_csrbankarray_interface2_bank_bus_adr[5:0] == 4'd10));
assign builder_basesoc_csrbankarray_csrbank2_dfii_pi0_rddata3_we = ((builder_basesoc_csrbankarray_csrbank2_sel & (~builder_basesoc_csrbankarray_interface2_bank_bus_we)) & (builder_basesoc_csrbankarray_interface2_bank_bus_adr[5:0] == 4'd10));
assign builder_basesoc_csrbankarray_csrbank2_dfii_pi0_rddata2_r = builder_basesoc_csrbankarray_interface2_bank_bus_dat_w[7:0];
assign builder_basesoc_csrbankarray_csrbank2_dfii_pi0_rddata2_re = ((builder_basesoc_csrbankarray_csrbank2_sel & builder_basesoc_csrbankarray_interface2_bank_bus_we) & (builder_basesoc_csrbankarray_interface2_bank_bus_adr[5:0] == 4'd11));
assign builder_basesoc_csrbankarray_csrbank2_dfii_pi0_rddata2_we = ((builder_basesoc_csrbankarray_csrbank2_sel & (~builder_basesoc_csrbankarray_interface2_bank_bus_we)) & (builder_basesoc_csrbankarray_interface2_bank_bus_adr[5:0] == 4'd11));
assign builder_basesoc_csrbankarray_csrbank2_dfii_pi0_rddata1_r = builder_basesoc_csrbankarray_interface2_bank_bus_dat_w[7:0];
assign builder_basesoc_csrbankarray_csrbank2_dfii_pi0_rddata1_re = ((builder_basesoc_csrbankarray_csrbank2_sel & builder_basesoc_csrbankarray_interface2_bank_bus_we) & (builder_basesoc_csrbankarray_interface2_bank_bus_adr[5:0] == 4'd12));
assign builder_basesoc_csrbankarray_csrbank2_dfii_pi0_rddata1_we = ((builder_basesoc_csrbankarray_csrbank2_sel & (~builder_basesoc_csrbankarray_interface2_bank_bus_we)) & (builder_basesoc_csrbankarray_interface2_bank_bus_adr[5:0] == 4'd12));
assign builder_basesoc_csrbankarray_csrbank2_dfii_pi0_rddata0_r = builder_basesoc_csrbankarray_interface2_bank_bus_dat_w[7:0];
assign builder_basesoc_csrbankarray_csrbank2_dfii_pi0_rddata0_re = ((builder_basesoc_csrbankarray_csrbank2_sel & builder_basesoc_csrbankarray_interface2_bank_bus_we) & (builder_basesoc_csrbankarray_interface2_bank_bus_adr[5:0] == 4'd13));
assign builder_basesoc_csrbankarray_csrbank2_dfii_pi0_rddata0_we = ((builder_basesoc_csrbankarray_csrbank2_sel & (~builder_basesoc_csrbankarray_interface2_bank_bus_we)) & (builder_basesoc_csrbankarray_interface2_bank_bus_adr[5:0] == 4'd13));
assign builder_basesoc_csrbankarray_csrbank2_dfii_pi1_command0_r = builder_basesoc_csrbankarray_interface2_bank_bus_dat_w[5:0];
assign builder_basesoc_csrbankarray_csrbank2_dfii_pi1_command0_re = ((builder_basesoc_csrbankarray_csrbank2_sel & builder_basesoc_csrbankarray_interface2_bank_bus_we) & (builder_basesoc_csrbankarray_interface2_bank_bus_adr[5:0] == 4'd14));
assign builder_basesoc_csrbankarray_csrbank2_dfii_pi1_command0_we = ((builder_basesoc_csrbankarray_csrbank2_sel & (~builder_basesoc_csrbankarray_interface2_bank_bus_we)) & (builder_basesoc_csrbankarray_interface2_bank_bus_adr[5:0] == 4'd14));
assign main_sdram_phaseinjector1_command_issue_r = builder_basesoc_csrbankarray_interface2_bank_bus_dat_w[0];
assign main_sdram_phaseinjector1_command_issue_re = ((builder_basesoc_csrbankarray_csrbank2_sel & builder_basesoc_csrbankarray_interface2_bank_bus_we) & (builder_basesoc_csrbankarray_interface2_bank_bus_adr[5:0] == 4'd15));
assign main_sdram_phaseinjector1_command_issue_we = ((builder_basesoc_csrbankarray_csrbank2_sel & (~builder_basesoc_csrbankarray_interface2_bank_bus_we)) & (builder_basesoc_csrbankarray_interface2_bank_bus_adr[5:0] == 4'd15));
assign builder_basesoc_csrbankarray_csrbank2_dfii_pi1_address1_r = builder_basesoc_csrbankarray_interface2_bank_bus_dat_w[5:0];
assign builder_basesoc_csrbankarray_csrbank2_dfii_pi1_address1_re = ((builder_basesoc_csrbankarray_csrbank2_sel & builder_basesoc_csrbankarray_interface2_bank_bus_we) & (builder_basesoc_csrbankarray_interface2_bank_bus_adr[5:0] == 5'd16));
assign builder_basesoc_csrbankarray_csrbank2_dfii_pi1_address1_we = ((builder_basesoc_csrbankarray_csrbank2_sel & (~builder_basesoc_csrbankarray_interface2_bank_bus_we)) & (builder_basesoc_csrbankarray_interface2_bank_bus_adr[5:0] == 5'd16));
assign builder_basesoc_csrbankarray_csrbank2_dfii_pi1_address0_r = builder_basesoc_csrbankarray_interface2_bank_bus_dat_w[7:0];
assign builder_basesoc_csrbankarray_csrbank2_dfii_pi1_address0_re = ((builder_basesoc_csrbankarray_csrbank2_sel & builder_basesoc_csrbankarray_interface2_bank_bus_we) & (builder_basesoc_csrbankarray_interface2_bank_bus_adr[5:0] == 5'd17));
assign builder_basesoc_csrbankarray_csrbank2_dfii_pi1_address0_we = ((builder_basesoc_csrbankarray_csrbank2_sel & (~builder_basesoc_csrbankarray_interface2_bank_bus_we)) & (builder_basesoc_csrbankarray_interface2_bank_bus_adr[5:0] == 5'd17));
assign builder_basesoc_csrbankarray_csrbank2_dfii_pi1_baddress0_r = builder_basesoc_csrbankarray_interface2_bank_bus_dat_w[2:0];
assign builder_basesoc_csrbankarray_csrbank2_dfii_pi1_baddress0_re = ((builder_basesoc_csrbankarray_csrbank2_sel & builder_basesoc_csrbankarray_interface2_bank_bus_we) & (builder_basesoc_csrbankarray_interface2_bank_bus_adr[5:0] == 5'd18));
assign builder_basesoc_csrbankarray_csrbank2_dfii_pi1_baddress0_we = ((builder_basesoc_csrbankarray_csrbank2_sel & (~builder_basesoc_csrbankarray_interface2_bank_bus_we)) & (builder_basesoc_csrbankarray_interface2_bank_bus_adr[5:0] == 5'd18));
assign builder_basesoc_csrbankarray_csrbank2_dfii_pi1_wrdata3_r = builder_basesoc_csrbankarray_interface2_bank_bus_dat_w[7:0];
assign builder_basesoc_csrbankarray_csrbank2_dfii_pi1_wrdata3_re = ((builder_basesoc_csrbankarray_csrbank2_sel & builder_basesoc_csrbankarray_interface2_bank_bus_we) & (builder_basesoc_csrbankarray_interface2_bank_bus_adr[5:0] == 5'd19));
assign builder_basesoc_csrbankarray_csrbank2_dfii_pi1_wrdata3_we = ((builder_basesoc_csrbankarray_csrbank2_sel & (~builder_basesoc_csrbankarray_interface2_bank_bus_we)) & (builder_basesoc_csrbankarray_interface2_bank_bus_adr[5:0] == 5'd19));
assign builder_basesoc_csrbankarray_csrbank2_dfii_pi1_wrdata2_r = builder_basesoc_csrbankarray_interface2_bank_bus_dat_w[7:0];
assign builder_basesoc_csrbankarray_csrbank2_dfii_pi1_wrdata2_re = ((builder_basesoc_csrbankarray_csrbank2_sel & builder_basesoc_csrbankarray_interface2_bank_bus_we) & (builder_basesoc_csrbankarray_interface2_bank_bus_adr[5:0] == 5'd20));
assign builder_basesoc_csrbankarray_csrbank2_dfii_pi1_wrdata2_we = ((builder_basesoc_csrbankarray_csrbank2_sel & (~builder_basesoc_csrbankarray_interface2_bank_bus_we)) & (builder_basesoc_csrbankarray_interface2_bank_bus_adr[5:0] == 5'd20));
assign builder_basesoc_csrbankarray_csrbank2_dfii_pi1_wrdata1_r = builder_basesoc_csrbankarray_interface2_bank_bus_dat_w[7:0];
assign builder_basesoc_csrbankarray_csrbank2_dfii_pi1_wrdata1_re = ((builder_basesoc_csrbankarray_csrbank2_sel & builder_basesoc_csrbankarray_interface2_bank_bus_we) & (builder_basesoc_csrbankarray_interface2_bank_bus_adr[5:0] == 5'd21));
assign builder_basesoc_csrbankarray_csrbank2_dfii_pi1_wrdata1_we = ((builder_basesoc_csrbankarray_csrbank2_sel & (~builder_basesoc_csrbankarray_interface2_bank_bus_we)) & (builder_basesoc_csrbankarray_interface2_bank_bus_adr[5:0] == 5'd21));
assign builder_basesoc_csrbankarray_csrbank2_dfii_pi1_wrdata0_r = builder_basesoc_csrbankarray_interface2_bank_bus_dat_w[7:0];
assign builder_basesoc_csrbankarray_csrbank2_dfii_pi1_wrdata0_re = ((builder_basesoc_csrbankarray_csrbank2_sel & builder_basesoc_csrbankarray_interface2_bank_bus_we) & (builder_basesoc_csrbankarray_interface2_bank_bus_adr[5:0] == 5'd22));
assign builder_basesoc_csrbankarray_csrbank2_dfii_pi1_wrdata0_we = ((builder_basesoc_csrbankarray_csrbank2_sel & (~builder_basesoc_csrbankarray_interface2_bank_bus_we)) & (builder_basesoc_csrbankarray_interface2_bank_bus_adr[5:0] == 5'd22));
assign builder_basesoc_csrbankarray_csrbank2_dfii_pi1_rddata3_r = builder_basesoc_csrbankarray_interface2_bank_bus_dat_w[7:0];
assign builder_basesoc_csrbankarray_csrbank2_dfii_pi1_rddata3_re = ((builder_basesoc_csrbankarray_csrbank2_sel & builder_basesoc_csrbankarray_interface2_bank_bus_we) & (builder_basesoc_csrbankarray_interface2_bank_bus_adr[5:0] == 5'd23));
assign builder_basesoc_csrbankarray_csrbank2_dfii_pi1_rddata3_we = ((builder_basesoc_csrbankarray_csrbank2_sel & (~builder_basesoc_csrbankarray_interface2_bank_bus_we)) & (builder_basesoc_csrbankarray_interface2_bank_bus_adr[5:0] == 5'd23));
assign builder_basesoc_csrbankarray_csrbank2_dfii_pi1_rddata2_r = builder_basesoc_csrbankarray_interface2_bank_bus_dat_w[7:0];
assign builder_basesoc_csrbankarray_csrbank2_dfii_pi1_rddata2_re = ((builder_basesoc_csrbankarray_csrbank2_sel & builder_basesoc_csrbankarray_interface2_bank_bus_we) & (builder_basesoc_csrbankarray_interface2_bank_bus_adr[5:0] == 5'd24));
assign builder_basesoc_csrbankarray_csrbank2_dfii_pi1_rddata2_we = ((builder_basesoc_csrbankarray_csrbank2_sel & (~builder_basesoc_csrbankarray_interface2_bank_bus_we)) & (builder_basesoc_csrbankarray_interface2_bank_bus_adr[5:0] == 5'd24));
assign builder_basesoc_csrbankarray_csrbank2_dfii_pi1_rddata1_r = builder_basesoc_csrbankarray_interface2_bank_bus_dat_w[7:0];
assign builder_basesoc_csrbankarray_csrbank2_dfii_pi1_rddata1_re = ((builder_basesoc_csrbankarray_csrbank2_sel & builder_basesoc_csrbankarray_interface2_bank_bus_we) & (builder_basesoc_csrbankarray_interface2_bank_bus_adr[5:0] == 5'd25));
assign builder_basesoc_csrbankarray_csrbank2_dfii_pi1_rddata1_we = ((builder_basesoc_csrbankarray_csrbank2_sel & (~builder_basesoc_csrbankarray_interface2_bank_bus_we)) & (builder_basesoc_csrbankarray_interface2_bank_bus_adr[5:0] == 5'd25));
assign builder_basesoc_csrbankarray_csrbank2_dfii_pi1_rddata0_r = builder_basesoc_csrbankarray_interface2_bank_bus_dat_w[7:0];
assign builder_basesoc_csrbankarray_csrbank2_dfii_pi1_rddata0_re = ((builder_basesoc_csrbankarray_csrbank2_sel & builder_basesoc_csrbankarray_interface2_bank_bus_we) & (builder_basesoc_csrbankarray_interface2_bank_bus_adr[5:0] == 5'd26));
assign builder_basesoc_csrbankarray_csrbank2_dfii_pi1_rddata0_we = ((builder_basesoc_csrbankarray_csrbank2_sel & (~builder_basesoc_csrbankarray_interface2_bank_bus_we)) & (builder_basesoc_csrbankarray_interface2_bank_bus_adr[5:0] == 5'd26));
assign builder_basesoc_csrbankarray_csrbank2_dfii_pi2_command0_r = builder_basesoc_csrbankarray_interface2_bank_bus_dat_w[5:0];
assign builder_basesoc_csrbankarray_csrbank2_dfii_pi2_command0_re = ((builder_basesoc_csrbankarray_csrbank2_sel & builder_basesoc_csrbankarray_interface2_bank_bus_we) & (builder_basesoc_csrbankarray_interface2_bank_bus_adr[5:0] == 5'd27));
assign builder_basesoc_csrbankarray_csrbank2_dfii_pi2_command0_we = ((builder_basesoc_csrbankarray_csrbank2_sel & (~builder_basesoc_csrbankarray_interface2_bank_bus_we)) & (builder_basesoc_csrbankarray_interface2_bank_bus_adr[5:0] == 5'd27));
assign main_sdram_phaseinjector2_command_issue_r = builder_basesoc_csrbankarray_interface2_bank_bus_dat_w[0];
assign main_sdram_phaseinjector2_command_issue_re = ((builder_basesoc_csrbankarray_csrbank2_sel & builder_basesoc_csrbankarray_interface2_bank_bus_we) & (builder_basesoc_csrbankarray_interface2_bank_bus_adr[5:0] == 5'd28));
assign main_sdram_phaseinjector2_command_issue_we = ((builder_basesoc_csrbankarray_csrbank2_sel & (~builder_basesoc_csrbankarray_interface2_bank_bus_we)) & (builder_basesoc_csrbankarray_interface2_bank_bus_adr[5:0] == 5'd28));
assign builder_basesoc_csrbankarray_csrbank2_dfii_pi2_address1_r = builder_basesoc_csrbankarray_interface2_bank_bus_dat_w[5:0];
assign builder_basesoc_csrbankarray_csrbank2_dfii_pi2_address1_re = ((builder_basesoc_csrbankarray_csrbank2_sel & builder_basesoc_csrbankarray_interface2_bank_bus_we) & (builder_basesoc_csrbankarray_interface2_bank_bus_adr[5:0] == 5'd29));
assign builder_basesoc_csrbankarray_csrbank2_dfii_pi2_address1_we = ((builder_basesoc_csrbankarray_csrbank2_sel & (~builder_basesoc_csrbankarray_interface2_bank_bus_we)) & (builder_basesoc_csrbankarray_interface2_bank_bus_adr[5:0] == 5'd29));
assign builder_basesoc_csrbankarray_csrbank2_dfii_pi2_address0_r = builder_basesoc_csrbankarray_interface2_bank_bus_dat_w[7:0];
assign builder_basesoc_csrbankarray_csrbank2_dfii_pi2_address0_re = ((builder_basesoc_csrbankarray_csrbank2_sel & builder_basesoc_csrbankarray_interface2_bank_bus_we) & (builder_basesoc_csrbankarray_interface2_bank_bus_adr[5:0] == 5'd30));
assign builder_basesoc_csrbankarray_csrbank2_dfii_pi2_address0_we = ((builder_basesoc_csrbankarray_csrbank2_sel & (~builder_basesoc_csrbankarray_interface2_bank_bus_we)) & (builder_basesoc_csrbankarray_interface2_bank_bus_adr[5:0] == 5'd30));
assign builder_basesoc_csrbankarray_csrbank2_dfii_pi2_baddress0_r = builder_basesoc_csrbankarray_interface2_bank_bus_dat_w[2:0];
assign builder_basesoc_csrbankarray_csrbank2_dfii_pi2_baddress0_re = ((builder_basesoc_csrbankarray_csrbank2_sel & builder_basesoc_csrbankarray_interface2_bank_bus_we) & (builder_basesoc_csrbankarray_interface2_bank_bus_adr[5:0] == 5'd31));
assign builder_basesoc_csrbankarray_csrbank2_dfii_pi2_baddress0_we = ((builder_basesoc_csrbankarray_csrbank2_sel & (~builder_basesoc_csrbankarray_interface2_bank_bus_we)) & (builder_basesoc_csrbankarray_interface2_bank_bus_adr[5:0] == 5'd31));
assign builder_basesoc_csrbankarray_csrbank2_dfii_pi2_wrdata3_r = builder_basesoc_csrbankarray_interface2_bank_bus_dat_w[7:0];
assign builder_basesoc_csrbankarray_csrbank2_dfii_pi2_wrdata3_re = ((builder_basesoc_csrbankarray_csrbank2_sel & builder_basesoc_csrbankarray_interface2_bank_bus_we) & (builder_basesoc_csrbankarray_interface2_bank_bus_adr[5:0] == 6'd32));
assign builder_basesoc_csrbankarray_csrbank2_dfii_pi2_wrdata3_we = ((builder_basesoc_csrbankarray_csrbank2_sel & (~builder_basesoc_csrbankarray_interface2_bank_bus_we)) & (builder_basesoc_csrbankarray_interface2_bank_bus_adr[5:0] == 6'd32));
assign builder_basesoc_csrbankarray_csrbank2_dfii_pi2_wrdata2_r = builder_basesoc_csrbankarray_interface2_bank_bus_dat_w[7:0];
assign builder_basesoc_csrbankarray_csrbank2_dfii_pi2_wrdata2_re = ((builder_basesoc_csrbankarray_csrbank2_sel & builder_basesoc_csrbankarray_interface2_bank_bus_we) & (builder_basesoc_csrbankarray_interface2_bank_bus_adr[5:0] == 6'd33));
assign builder_basesoc_csrbankarray_csrbank2_dfii_pi2_wrdata2_we = ((builder_basesoc_csrbankarray_csrbank2_sel & (~builder_basesoc_csrbankarray_interface2_bank_bus_we)) & (builder_basesoc_csrbankarray_interface2_bank_bus_adr[5:0] == 6'd33));
assign builder_basesoc_csrbankarray_csrbank2_dfii_pi2_wrdata1_r = builder_basesoc_csrbankarray_interface2_bank_bus_dat_w[7:0];
assign builder_basesoc_csrbankarray_csrbank2_dfii_pi2_wrdata1_re = ((builder_basesoc_csrbankarray_csrbank2_sel & builder_basesoc_csrbankarray_interface2_bank_bus_we) & (builder_basesoc_csrbankarray_interface2_bank_bus_adr[5:0] == 6'd34));
assign builder_basesoc_csrbankarray_csrbank2_dfii_pi2_wrdata1_we = ((builder_basesoc_csrbankarray_csrbank2_sel & (~builder_basesoc_csrbankarray_interface2_bank_bus_we)) & (builder_basesoc_csrbankarray_interface2_bank_bus_adr[5:0] == 6'd34));
assign builder_basesoc_csrbankarray_csrbank2_dfii_pi2_wrdata0_r = builder_basesoc_csrbankarray_interface2_bank_bus_dat_w[7:0];
assign builder_basesoc_csrbankarray_csrbank2_dfii_pi2_wrdata0_re = ((builder_basesoc_csrbankarray_csrbank2_sel & builder_basesoc_csrbankarray_interface2_bank_bus_we) & (builder_basesoc_csrbankarray_interface2_bank_bus_adr[5:0] == 6'd35));
assign builder_basesoc_csrbankarray_csrbank2_dfii_pi2_wrdata0_we = ((builder_basesoc_csrbankarray_csrbank2_sel & (~builder_basesoc_csrbankarray_interface2_bank_bus_we)) & (builder_basesoc_csrbankarray_interface2_bank_bus_adr[5:0] == 6'd35));
assign builder_basesoc_csrbankarray_csrbank2_dfii_pi2_rddata3_r = builder_basesoc_csrbankarray_interface2_bank_bus_dat_w[7:0];
assign builder_basesoc_csrbankarray_csrbank2_dfii_pi2_rddata3_re = ((builder_basesoc_csrbankarray_csrbank2_sel & builder_basesoc_csrbankarray_interface2_bank_bus_we) & (builder_basesoc_csrbankarray_interface2_bank_bus_adr[5:0] == 6'd36));
assign builder_basesoc_csrbankarray_csrbank2_dfii_pi2_rddata3_we = ((builder_basesoc_csrbankarray_csrbank2_sel & (~builder_basesoc_csrbankarray_interface2_bank_bus_we)) & (builder_basesoc_csrbankarray_interface2_bank_bus_adr[5:0] == 6'd36));
assign builder_basesoc_csrbankarray_csrbank2_dfii_pi2_rddata2_r = builder_basesoc_csrbankarray_interface2_bank_bus_dat_w[7:0];
assign builder_basesoc_csrbankarray_csrbank2_dfii_pi2_rddata2_re = ((builder_basesoc_csrbankarray_csrbank2_sel & builder_basesoc_csrbankarray_interface2_bank_bus_we) & (builder_basesoc_csrbankarray_interface2_bank_bus_adr[5:0] == 6'd37));
assign builder_basesoc_csrbankarray_csrbank2_dfii_pi2_rddata2_we = ((builder_basesoc_csrbankarray_csrbank2_sel & (~builder_basesoc_csrbankarray_interface2_bank_bus_we)) & (builder_basesoc_csrbankarray_interface2_bank_bus_adr[5:0] == 6'd37));
assign builder_basesoc_csrbankarray_csrbank2_dfii_pi2_rddata1_r = builder_basesoc_csrbankarray_interface2_bank_bus_dat_w[7:0];
assign builder_basesoc_csrbankarray_csrbank2_dfii_pi2_rddata1_re = ((builder_basesoc_csrbankarray_csrbank2_sel & builder_basesoc_csrbankarray_interface2_bank_bus_we) & (builder_basesoc_csrbankarray_interface2_bank_bus_adr[5:0] == 6'd38));
assign builder_basesoc_csrbankarray_csrbank2_dfii_pi2_rddata1_we = ((builder_basesoc_csrbankarray_csrbank2_sel & (~builder_basesoc_csrbankarray_interface2_bank_bus_we)) & (builder_basesoc_csrbankarray_interface2_bank_bus_adr[5:0] == 6'd38));
assign builder_basesoc_csrbankarray_csrbank2_dfii_pi2_rddata0_r = builder_basesoc_csrbankarray_interface2_bank_bus_dat_w[7:0];
assign builder_basesoc_csrbankarray_csrbank2_dfii_pi2_rddata0_re = ((builder_basesoc_csrbankarray_csrbank2_sel & builder_basesoc_csrbankarray_interface2_bank_bus_we) & (builder_basesoc_csrbankarray_interface2_bank_bus_adr[5:0] == 6'd39));
assign builder_basesoc_csrbankarray_csrbank2_dfii_pi2_rddata0_we = ((builder_basesoc_csrbankarray_csrbank2_sel & (~builder_basesoc_csrbankarray_interface2_bank_bus_we)) & (builder_basesoc_csrbankarray_interface2_bank_bus_adr[5:0] == 6'd39));
assign builder_basesoc_csrbankarray_csrbank2_dfii_pi3_command0_r = builder_basesoc_csrbankarray_interface2_bank_bus_dat_w[5:0];
assign builder_basesoc_csrbankarray_csrbank2_dfii_pi3_command0_re = ((builder_basesoc_csrbankarray_csrbank2_sel & builder_basesoc_csrbankarray_interface2_bank_bus_we) & (builder_basesoc_csrbankarray_interface2_bank_bus_adr[5:0] == 6'd40));
assign builder_basesoc_csrbankarray_csrbank2_dfii_pi3_command0_we = ((builder_basesoc_csrbankarray_csrbank2_sel & (~builder_basesoc_csrbankarray_interface2_bank_bus_we)) & (builder_basesoc_csrbankarray_interface2_bank_bus_adr[5:0] == 6'd40));
assign main_sdram_phaseinjector3_command_issue_r = builder_basesoc_csrbankarray_interface2_bank_bus_dat_w[0];
assign main_sdram_phaseinjector3_command_issue_re = ((builder_basesoc_csrbankarray_csrbank2_sel & builder_basesoc_csrbankarray_interface2_bank_bus_we) & (builder_basesoc_csrbankarray_interface2_bank_bus_adr[5:0] == 6'd41));
assign main_sdram_phaseinjector3_command_issue_we = ((builder_basesoc_csrbankarray_csrbank2_sel & (~builder_basesoc_csrbankarray_interface2_bank_bus_we)) & (builder_basesoc_csrbankarray_interface2_bank_bus_adr[5:0] == 6'd41));
assign builder_basesoc_csrbankarray_csrbank2_dfii_pi3_address1_r = builder_basesoc_csrbankarray_interface2_bank_bus_dat_w[5:0];
assign builder_basesoc_csrbankarray_csrbank2_dfii_pi3_address1_re = ((builder_basesoc_csrbankarray_csrbank2_sel & builder_basesoc_csrbankarray_interface2_bank_bus_we) & (builder_basesoc_csrbankarray_interface2_bank_bus_adr[5:0] == 6'd42));
assign builder_basesoc_csrbankarray_csrbank2_dfii_pi3_address1_we = ((builder_basesoc_csrbankarray_csrbank2_sel & (~builder_basesoc_csrbankarray_interface2_bank_bus_we)) & (builder_basesoc_csrbankarray_interface2_bank_bus_adr[5:0] == 6'd42));
assign builder_basesoc_csrbankarray_csrbank2_dfii_pi3_address0_r = builder_basesoc_csrbankarray_interface2_bank_bus_dat_w[7:0];
assign builder_basesoc_csrbankarray_csrbank2_dfii_pi3_address0_re = ((builder_basesoc_csrbankarray_csrbank2_sel & builder_basesoc_csrbankarray_interface2_bank_bus_we) & (builder_basesoc_csrbankarray_interface2_bank_bus_adr[5:0] == 6'd43));
assign builder_basesoc_csrbankarray_csrbank2_dfii_pi3_address0_we = ((builder_basesoc_csrbankarray_csrbank2_sel & (~builder_basesoc_csrbankarray_interface2_bank_bus_we)) & (builder_basesoc_csrbankarray_interface2_bank_bus_adr[5:0] == 6'd43));
assign builder_basesoc_csrbankarray_csrbank2_dfii_pi3_baddress0_r = builder_basesoc_csrbankarray_interface2_bank_bus_dat_w[2:0];
assign builder_basesoc_csrbankarray_csrbank2_dfii_pi3_baddress0_re = ((builder_basesoc_csrbankarray_csrbank2_sel & builder_basesoc_csrbankarray_interface2_bank_bus_we) & (builder_basesoc_csrbankarray_interface2_bank_bus_adr[5:0] == 6'd44));
assign builder_basesoc_csrbankarray_csrbank2_dfii_pi3_baddress0_we = ((builder_basesoc_csrbankarray_csrbank2_sel & (~builder_basesoc_csrbankarray_interface2_bank_bus_we)) & (builder_basesoc_csrbankarray_interface2_bank_bus_adr[5:0] == 6'd44));
assign builder_basesoc_csrbankarray_csrbank2_dfii_pi3_wrdata3_r = builder_basesoc_csrbankarray_interface2_bank_bus_dat_w[7:0];
assign builder_basesoc_csrbankarray_csrbank2_dfii_pi3_wrdata3_re = ((builder_basesoc_csrbankarray_csrbank2_sel & builder_basesoc_csrbankarray_interface2_bank_bus_we) & (builder_basesoc_csrbankarray_interface2_bank_bus_adr[5:0] == 6'd45));
assign builder_basesoc_csrbankarray_csrbank2_dfii_pi3_wrdata3_we = ((builder_basesoc_csrbankarray_csrbank2_sel & (~builder_basesoc_csrbankarray_interface2_bank_bus_we)) & (builder_basesoc_csrbankarray_interface2_bank_bus_adr[5:0] == 6'd45));
assign builder_basesoc_csrbankarray_csrbank2_dfii_pi3_wrdata2_r = builder_basesoc_csrbankarray_interface2_bank_bus_dat_w[7:0];
assign builder_basesoc_csrbankarray_csrbank2_dfii_pi3_wrdata2_re = ((builder_basesoc_csrbankarray_csrbank2_sel & builder_basesoc_csrbankarray_interface2_bank_bus_we) & (builder_basesoc_csrbankarray_interface2_bank_bus_adr[5:0] == 6'd46));
assign builder_basesoc_csrbankarray_csrbank2_dfii_pi3_wrdata2_we = ((builder_basesoc_csrbankarray_csrbank2_sel & (~builder_basesoc_csrbankarray_interface2_bank_bus_we)) & (builder_basesoc_csrbankarray_interface2_bank_bus_adr[5:0] == 6'd46));
assign builder_basesoc_csrbankarray_csrbank2_dfii_pi3_wrdata1_r = builder_basesoc_csrbankarray_interface2_bank_bus_dat_w[7:0];
assign builder_basesoc_csrbankarray_csrbank2_dfii_pi3_wrdata1_re = ((builder_basesoc_csrbankarray_csrbank2_sel & builder_basesoc_csrbankarray_interface2_bank_bus_we) & (builder_basesoc_csrbankarray_interface2_bank_bus_adr[5:0] == 6'd47));
assign builder_basesoc_csrbankarray_csrbank2_dfii_pi3_wrdata1_we = ((builder_basesoc_csrbankarray_csrbank2_sel & (~builder_basesoc_csrbankarray_interface2_bank_bus_we)) & (builder_basesoc_csrbankarray_interface2_bank_bus_adr[5:0] == 6'd47));
assign builder_basesoc_csrbankarray_csrbank2_dfii_pi3_wrdata0_r = builder_basesoc_csrbankarray_interface2_bank_bus_dat_w[7:0];
assign builder_basesoc_csrbankarray_csrbank2_dfii_pi3_wrdata0_re = ((builder_basesoc_csrbankarray_csrbank2_sel & builder_basesoc_csrbankarray_interface2_bank_bus_we) & (builder_basesoc_csrbankarray_interface2_bank_bus_adr[5:0] == 6'd48));
assign builder_basesoc_csrbankarray_csrbank2_dfii_pi3_wrdata0_we = ((builder_basesoc_csrbankarray_csrbank2_sel & (~builder_basesoc_csrbankarray_interface2_bank_bus_we)) & (builder_basesoc_csrbankarray_interface2_bank_bus_adr[5:0] == 6'd48));
assign builder_basesoc_csrbankarray_csrbank2_dfii_pi3_rddata3_r = builder_basesoc_csrbankarray_interface2_bank_bus_dat_w[7:0];
assign builder_basesoc_csrbankarray_csrbank2_dfii_pi3_rddata3_re = ((builder_basesoc_csrbankarray_csrbank2_sel & builder_basesoc_csrbankarray_interface2_bank_bus_we) & (builder_basesoc_csrbankarray_interface2_bank_bus_adr[5:0] == 6'd49));
assign builder_basesoc_csrbankarray_csrbank2_dfii_pi3_rddata3_we = ((builder_basesoc_csrbankarray_csrbank2_sel & (~builder_basesoc_csrbankarray_interface2_bank_bus_we)) & (builder_basesoc_csrbankarray_interface2_bank_bus_adr[5:0] == 6'd49));
assign builder_basesoc_csrbankarray_csrbank2_dfii_pi3_rddata2_r = builder_basesoc_csrbankarray_interface2_bank_bus_dat_w[7:0];
assign builder_basesoc_csrbankarray_csrbank2_dfii_pi3_rddata2_re = ((builder_basesoc_csrbankarray_csrbank2_sel & builder_basesoc_csrbankarray_interface2_bank_bus_we) & (builder_basesoc_csrbankarray_interface2_bank_bus_adr[5:0] == 6'd50));
assign builder_basesoc_csrbankarray_csrbank2_dfii_pi3_rddata2_we = ((builder_basesoc_csrbankarray_csrbank2_sel & (~builder_basesoc_csrbankarray_interface2_bank_bus_we)) & (builder_basesoc_csrbankarray_interface2_bank_bus_adr[5:0] == 6'd50));
assign builder_basesoc_csrbankarray_csrbank2_dfii_pi3_rddata1_r = builder_basesoc_csrbankarray_interface2_bank_bus_dat_w[7:0];
assign builder_basesoc_csrbankarray_csrbank2_dfii_pi3_rddata1_re = ((builder_basesoc_csrbankarray_csrbank2_sel & builder_basesoc_csrbankarray_interface2_bank_bus_we) & (builder_basesoc_csrbankarray_interface2_bank_bus_adr[5:0] == 6'd51));
assign builder_basesoc_csrbankarray_csrbank2_dfii_pi3_rddata1_we = ((builder_basesoc_csrbankarray_csrbank2_sel & (~builder_basesoc_csrbankarray_interface2_bank_bus_we)) & (builder_basesoc_csrbankarray_interface2_bank_bus_adr[5:0] == 6'd51));
assign builder_basesoc_csrbankarray_csrbank2_dfii_pi3_rddata0_r = builder_basesoc_csrbankarray_interface2_bank_bus_dat_w[7:0];
assign builder_basesoc_csrbankarray_csrbank2_dfii_pi3_rddata0_re = ((builder_basesoc_csrbankarray_csrbank2_sel & builder_basesoc_csrbankarray_interface2_bank_bus_we) & (builder_basesoc_csrbankarray_interface2_bank_bus_adr[5:0] == 6'd52));
assign builder_basesoc_csrbankarray_csrbank2_dfii_pi3_rddata0_we = ((builder_basesoc_csrbankarray_csrbank2_sel & (~builder_basesoc_csrbankarray_interface2_bank_bus_we)) & (builder_basesoc_csrbankarray_interface2_bank_bus_adr[5:0] == 6'd52));
assign builder_basesoc_csrbankarray_csrbank2_dfii_control0_w = main_sdram_storage[3:0];
assign builder_basesoc_csrbankarray_csrbank2_dfii_pi0_command0_w = main_sdram_phaseinjector0_command_storage[5:0];
assign builder_basesoc_csrbankarray_csrbank2_dfii_pi0_address1_w = main_sdram_phaseinjector0_address_storage[13:8];
assign builder_basesoc_csrbankarray_csrbank2_dfii_pi0_address0_w = main_sdram_phaseinjector0_address_storage[7:0];
assign builder_basesoc_csrbankarray_csrbank2_dfii_pi0_baddress0_w = main_sdram_phaseinjector0_baddress_storage[2:0];
assign builder_basesoc_csrbankarray_csrbank2_dfii_pi0_wrdata3_w = main_sdram_phaseinjector0_wrdata_storage[31:24];
assign builder_basesoc_csrbankarray_csrbank2_dfii_pi0_wrdata2_w = main_sdram_phaseinjector0_wrdata_storage[23:16];
assign builder_basesoc_csrbankarray_csrbank2_dfii_pi0_wrdata1_w = main_sdram_phaseinjector0_wrdata_storage[15:8];
assign builder_basesoc_csrbankarray_csrbank2_dfii_pi0_wrdata0_w = main_sdram_phaseinjector0_wrdata_storage[7:0];
assign builder_basesoc_csrbankarray_csrbank2_dfii_pi0_rddata3_w = main_sdram_phaseinjector0_status[31:24];
assign builder_basesoc_csrbankarray_csrbank2_dfii_pi0_rddata2_w = main_sdram_phaseinjector0_status[23:16];
assign builder_basesoc_csrbankarray_csrbank2_dfii_pi0_rddata1_w = main_sdram_phaseinjector0_status[15:8];
assign builder_basesoc_csrbankarray_csrbank2_dfii_pi0_rddata0_w = main_sdram_phaseinjector0_status[7:0];
assign main_sdram_phaseinjector0_we = builder_basesoc_csrbankarray_csrbank2_dfii_pi0_rddata0_we;
assign builder_basesoc_csrbankarray_csrbank2_dfii_pi1_command0_w = main_sdram_phaseinjector1_command_storage[5:0];
assign builder_basesoc_csrbankarray_csrbank2_dfii_pi1_address1_w = main_sdram_phaseinjector1_address_storage[13:8];
assign builder_basesoc_csrbankarray_csrbank2_dfii_pi1_address0_w = main_sdram_phaseinjector1_address_storage[7:0];
assign builder_basesoc_csrbankarray_csrbank2_dfii_pi1_baddress0_w = main_sdram_phaseinjector1_baddress_storage[2:0];
assign builder_basesoc_csrbankarray_csrbank2_dfii_pi1_wrdata3_w = main_sdram_phaseinjector1_wrdata_storage[31:24];
assign builder_basesoc_csrbankarray_csrbank2_dfii_pi1_wrdata2_w = main_sdram_phaseinjector1_wrdata_storage[23:16];
assign builder_basesoc_csrbankarray_csrbank2_dfii_pi1_wrdata1_w = main_sdram_phaseinjector1_wrdata_storage[15:8];
assign builder_basesoc_csrbankarray_csrbank2_dfii_pi1_wrdata0_w = main_sdram_phaseinjector1_wrdata_storage[7:0];
assign builder_basesoc_csrbankarray_csrbank2_dfii_pi1_rddata3_w = main_sdram_phaseinjector1_status[31:24];
assign builder_basesoc_csrbankarray_csrbank2_dfii_pi1_rddata2_w = main_sdram_phaseinjector1_status[23:16];
assign builder_basesoc_csrbankarray_csrbank2_dfii_pi1_rddata1_w = main_sdram_phaseinjector1_status[15:8];
assign builder_basesoc_csrbankarray_csrbank2_dfii_pi1_rddata0_w = main_sdram_phaseinjector1_status[7:0];
assign main_sdram_phaseinjector1_we = builder_basesoc_csrbankarray_csrbank2_dfii_pi1_rddata0_we;
assign builder_basesoc_csrbankarray_csrbank2_dfii_pi2_command0_w = main_sdram_phaseinjector2_command_storage[5:0];
assign builder_basesoc_csrbankarray_csrbank2_dfii_pi2_address1_w = main_sdram_phaseinjector2_address_storage[13:8];
assign builder_basesoc_csrbankarray_csrbank2_dfii_pi2_address0_w = main_sdram_phaseinjector2_address_storage[7:0];
assign builder_basesoc_csrbankarray_csrbank2_dfii_pi2_baddress0_w = main_sdram_phaseinjector2_baddress_storage[2:0];
assign builder_basesoc_csrbankarray_csrbank2_dfii_pi2_wrdata3_w = main_sdram_phaseinjector2_wrdata_storage[31:24];
assign builder_basesoc_csrbankarray_csrbank2_dfii_pi2_wrdata2_w = main_sdram_phaseinjector2_wrdata_storage[23:16];
assign builder_basesoc_csrbankarray_csrbank2_dfii_pi2_wrdata1_w = main_sdram_phaseinjector2_wrdata_storage[15:8];
assign builder_basesoc_csrbankarray_csrbank2_dfii_pi2_wrdata0_w = main_sdram_phaseinjector2_wrdata_storage[7:0];
assign builder_basesoc_csrbankarray_csrbank2_dfii_pi2_rddata3_w = main_sdram_phaseinjector2_status[31:24];
assign builder_basesoc_csrbankarray_csrbank2_dfii_pi2_rddata2_w = main_sdram_phaseinjector2_status[23:16];
assign builder_basesoc_csrbankarray_csrbank2_dfii_pi2_rddata1_w = main_sdram_phaseinjector2_status[15:8];
assign builder_basesoc_csrbankarray_csrbank2_dfii_pi2_rddata0_w = main_sdram_phaseinjector2_status[7:0];
assign main_sdram_phaseinjector2_we = builder_basesoc_csrbankarray_csrbank2_dfii_pi2_rddata0_we;
assign builder_basesoc_csrbankarray_csrbank2_dfii_pi3_command0_w = main_sdram_phaseinjector3_command_storage[5:0];
assign builder_basesoc_csrbankarray_csrbank2_dfii_pi3_address1_w = main_sdram_phaseinjector3_address_storage[13:8];
assign builder_basesoc_csrbankarray_csrbank2_dfii_pi3_address0_w = main_sdram_phaseinjector3_address_storage[7:0];
assign builder_basesoc_csrbankarray_csrbank2_dfii_pi3_baddress0_w = main_sdram_phaseinjector3_baddress_storage[2:0];
assign builder_basesoc_csrbankarray_csrbank2_dfii_pi3_wrdata3_w = main_sdram_phaseinjector3_wrdata_storage[31:24];
assign builder_basesoc_csrbankarray_csrbank2_dfii_pi3_wrdata2_w = main_sdram_phaseinjector3_wrdata_storage[23:16];
assign builder_basesoc_csrbankarray_csrbank2_dfii_pi3_wrdata1_w = main_sdram_phaseinjector3_wrdata_storage[15:8];
assign builder_basesoc_csrbankarray_csrbank2_dfii_pi3_wrdata0_w = main_sdram_phaseinjector3_wrdata_storage[7:0];
assign builder_basesoc_csrbankarray_csrbank2_dfii_pi3_rddata3_w = main_sdram_phaseinjector3_status[31:24];
assign builder_basesoc_csrbankarray_csrbank2_dfii_pi3_rddata2_w = main_sdram_phaseinjector3_status[23:16];
assign builder_basesoc_csrbankarray_csrbank2_dfii_pi3_rddata1_w = main_sdram_phaseinjector3_status[15:8];
assign builder_basesoc_csrbankarray_csrbank2_dfii_pi3_rddata0_w = main_sdram_phaseinjector3_status[7:0];
assign main_sdram_phaseinjector3_we = builder_basesoc_csrbankarray_csrbank2_dfii_pi3_rddata0_we;
assign builder_basesoc_csrbankarray_csrbank3_sel = (builder_basesoc_csrbankarray_interface3_bank_bus_adr[13:9] == 3'd4);
assign builder_basesoc_csrbankarray_csrbank3_load3_r = builder_basesoc_csrbankarray_interface3_bank_bus_dat_w[7:0];
assign builder_basesoc_csrbankarray_csrbank3_load3_re = ((builder_basesoc_csrbankarray_csrbank3_sel & builder_basesoc_csrbankarray_interface3_bank_bus_we) & (builder_basesoc_csrbankarray_interface3_bank_bus_adr[4:0] == 1'd0));
assign builder_basesoc_csrbankarray_csrbank3_load3_we = ((builder_basesoc_csrbankarray_csrbank3_sel & (~builder_basesoc_csrbankarray_interface3_bank_bus_we)) & (builder_basesoc_csrbankarray_interface3_bank_bus_adr[4:0] == 1'd0));
assign builder_basesoc_csrbankarray_csrbank3_load2_r = builder_basesoc_csrbankarray_interface3_bank_bus_dat_w[7:0];
assign builder_basesoc_csrbankarray_csrbank3_load2_re = ((builder_basesoc_csrbankarray_csrbank3_sel & builder_basesoc_csrbankarray_interface3_bank_bus_we) & (builder_basesoc_csrbankarray_interface3_bank_bus_adr[4:0] == 1'd1));
assign builder_basesoc_csrbankarray_csrbank3_load2_we = ((builder_basesoc_csrbankarray_csrbank3_sel & (~builder_basesoc_csrbankarray_interface3_bank_bus_we)) & (builder_basesoc_csrbankarray_interface3_bank_bus_adr[4:0] == 1'd1));
assign builder_basesoc_csrbankarray_csrbank3_load1_r = builder_basesoc_csrbankarray_interface3_bank_bus_dat_w[7:0];
assign builder_basesoc_csrbankarray_csrbank3_load1_re = ((builder_basesoc_csrbankarray_csrbank3_sel & builder_basesoc_csrbankarray_interface3_bank_bus_we) & (builder_basesoc_csrbankarray_interface3_bank_bus_adr[4:0] == 2'd2));
assign builder_basesoc_csrbankarray_csrbank3_load1_we = ((builder_basesoc_csrbankarray_csrbank3_sel & (~builder_basesoc_csrbankarray_interface3_bank_bus_we)) & (builder_basesoc_csrbankarray_interface3_bank_bus_adr[4:0] == 2'd2));
assign builder_basesoc_csrbankarray_csrbank3_load0_r = builder_basesoc_csrbankarray_interface3_bank_bus_dat_w[7:0];
assign builder_basesoc_csrbankarray_csrbank3_load0_re = ((builder_basesoc_csrbankarray_csrbank3_sel & builder_basesoc_csrbankarray_interface3_bank_bus_we) & (builder_basesoc_csrbankarray_interface3_bank_bus_adr[4:0] == 2'd3));
assign builder_basesoc_csrbankarray_csrbank3_load0_we = ((builder_basesoc_csrbankarray_csrbank3_sel & (~builder_basesoc_csrbankarray_interface3_bank_bus_we)) & (builder_basesoc_csrbankarray_interface3_bank_bus_adr[4:0] == 2'd3));
assign builder_basesoc_csrbankarray_csrbank3_reload3_r = builder_basesoc_csrbankarray_interface3_bank_bus_dat_w[7:0];
assign builder_basesoc_csrbankarray_csrbank3_reload3_re = ((builder_basesoc_csrbankarray_csrbank3_sel & builder_basesoc_csrbankarray_interface3_bank_bus_we) & (builder_basesoc_csrbankarray_interface3_bank_bus_adr[4:0] == 3'd4));
assign builder_basesoc_csrbankarray_csrbank3_reload3_we = ((builder_basesoc_csrbankarray_csrbank3_sel & (~builder_basesoc_csrbankarray_interface3_bank_bus_we)) & (builder_basesoc_csrbankarray_interface3_bank_bus_adr[4:0] == 3'd4));
assign builder_basesoc_csrbankarray_csrbank3_reload2_r = builder_basesoc_csrbankarray_interface3_bank_bus_dat_w[7:0];
assign builder_basesoc_csrbankarray_csrbank3_reload2_re = ((builder_basesoc_csrbankarray_csrbank3_sel & builder_basesoc_csrbankarray_interface3_bank_bus_we) & (builder_basesoc_csrbankarray_interface3_bank_bus_adr[4:0] == 3'd5));
assign builder_basesoc_csrbankarray_csrbank3_reload2_we = ((builder_basesoc_csrbankarray_csrbank3_sel & (~builder_basesoc_csrbankarray_interface3_bank_bus_we)) & (builder_basesoc_csrbankarray_interface3_bank_bus_adr[4:0] == 3'd5));
assign builder_basesoc_csrbankarray_csrbank3_reload1_r = builder_basesoc_csrbankarray_interface3_bank_bus_dat_w[7:0];
assign builder_basesoc_csrbankarray_csrbank3_reload1_re = ((builder_basesoc_csrbankarray_csrbank3_sel & builder_basesoc_csrbankarray_interface3_bank_bus_we) & (builder_basesoc_csrbankarray_interface3_bank_bus_adr[4:0] == 3'd6));
assign builder_basesoc_csrbankarray_csrbank3_reload1_we = ((builder_basesoc_csrbankarray_csrbank3_sel & (~builder_basesoc_csrbankarray_interface3_bank_bus_we)) & (builder_basesoc_csrbankarray_interface3_bank_bus_adr[4:0] == 3'd6));
assign builder_basesoc_csrbankarray_csrbank3_reload0_r = builder_basesoc_csrbankarray_interface3_bank_bus_dat_w[7:0];
assign builder_basesoc_csrbankarray_csrbank3_reload0_re = ((builder_basesoc_csrbankarray_csrbank3_sel & builder_basesoc_csrbankarray_interface3_bank_bus_we) & (builder_basesoc_csrbankarray_interface3_bank_bus_adr[4:0] == 3'd7));
assign builder_basesoc_csrbankarray_csrbank3_reload0_we = ((builder_basesoc_csrbankarray_csrbank3_sel & (~builder_basesoc_csrbankarray_interface3_bank_bus_we)) & (builder_basesoc_csrbankarray_interface3_bank_bus_adr[4:0] == 3'd7));
assign builder_basesoc_csrbankarray_csrbank3_en0_r = builder_basesoc_csrbankarray_interface3_bank_bus_dat_w[0];
assign builder_basesoc_csrbankarray_csrbank3_en0_re = ((builder_basesoc_csrbankarray_csrbank3_sel & builder_basesoc_csrbankarray_interface3_bank_bus_we) & (builder_basesoc_csrbankarray_interface3_bank_bus_adr[4:0] == 4'd8));
assign builder_basesoc_csrbankarray_csrbank3_en0_we = ((builder_basesoc_csrbankarray_csrbank3_sel & (~builder_basesoc_csrbankarray_interface3_bank_bus_we)) & (builder_basesoc_csrbankarray_interface3_bank_bus_adr[4:0] == 4'd8));
assign builder_basesoc_csrbankarray_csrbank3_update_value0_r = builder_basesoc_csrbankarray_interface3_bank_bus_dat_w[0];
assign builder_basesoc_csrbankarray_csrbank3_update_value0_re = ((builder_basesoc_csrbankarray_csrbank3_sel & builder_basesoc_csrbankarray_interface3_bank_bus_we) & (builder_basesoc_csrbankarray_interface3_bank_bus_adr[4:0] == 4'd9));
assign builder_basesoc_csrbankarray_csrbank3_update_value0_we = ((builder_basesoc_csrbankarray_csrbank3_sel & (~builder_basesoc_csrbankarray_interface3_bank_bus_we)) & (builder_basesoc_csrbankarray_interface3_bank_bus_adr[4:0] == 4'd9));
assign builder_basesoc_csrbankarray_csrbank3_value3_r = builder_basesoc_csrbankarray_interface3_bank_bus_dat_w[7:0];
assign builder_basesoc_csrbankarray_csrbank3_value3_re = ((builder_basesoc_csrbankarray_csrbank3_sel & builder_basesoc_csrbankarray_interface3_bank_bus_we) & (builder_basesoc_csrbankarray_interface3_bank_bus_adr[4:0] == 4'd10));
assign builder_basesoc_csrbankarray_csrbank3_value3_we = ((builder_basesoc_csrbankarray_csrbank3_sel & (~builder_basesoc_csrbankarray_interface3_bank_bus_we)) & (builder_basesoc_csrbankarray_interface3_bank_bus_adr[4:0] == 4'd10));
assign builder_basesoc_csrbankarray_csrbank3_value2_r = builder_basesoc_csrbankarray_interface3_bank_bus_dat_w[7:0];
assign builder_basesoc_csrbankarray_csrbank3_value2_re = ((builder_basesoc_csrbankarray_csrbank3_sel & builder_basesoc_csrbankarray_interface3_bank_bus_we) & (builder_basesoc_csrbankarray_interface3_bank_bus_adr[4:0] == 4'd11));
assign builder_basesoc_csrbankarray_csrbank3_value2_we = ((builder_basesoc_csrbankarray_csrbank3_sel & (~builder_basesoc_csrbankarray_interface3_bank_bus_we)) & (builder_basesoc_csrbankarray_interface3_bank_bus_adr[4:0] == 4'd11));
assign builder_basesoc_csrbankarray_csrbank3_value1_r = builder_basesoc_csrbankarray_interface3_bank_bus_dat_w[7:0];
assign builder_basesoc_csrbankarray_csrbank3_value1_re = ((builder_basesoc_csrbankarray_csrbank3_sel & builder_basesoc_csrbankarray_interface3_bank_bus_we) & (builder_basesoc_csrbankarray_interface3_bank_bus_adr[4:0] == 4'd12));
assign builder_basesoc_csrbankarray_csrbank3_value1_we = ((builder_basesoc_csrbankarray_csrbank3_sel & (~builder_basesoc_csrbankarray_interface3_bank_bus_we)) & (builder_basesoc_csrbankarray_interface3_bank_bus_adr[4:0] == 4'd12));
assign builder_basesoc_csrbankarray_csrbank3_value0_r = builder_basesoc_csrbankarray_interface3_bank_bus_dat_w[7:0];
assign builder_basesoc_csrbankarray_csrbank3_value0_re = ((builder_basesoc_csrbankarray_csrbank3_sel & builder_basesoc_csrbankarray_interface3_bank_bus_we) & (builder_basesoc_csrbankarray_interface3_bank_bus_adr[4:0] == 4'd13));
assign builder_basesoc_csrbankarray_csrbank3_value0_we = ((builder_basesoc_csrbankarray_csrbank3_sel & (~builder_basesoc_csrbankarray_interface3_bank_bus_we)) & (builder_basesoc_csrbankarray_interface3_bank_bus_adr[4:0] == 4'd13));
assign main_eventmanager_status_r = builder_basesoc_csrbankarray_interface3_bank_bus_dat_w[0];
assign main_eventmanager_status_re = ((builder_basesoc_csrbankarray_csrbank3_sel & builder_basesoc_csrbankarray_interface3_bank_bus_we) & (builder_basesoc_csrbankarray_interface3_bank_bus_adr[4:0] == 4'd14));
assign main_eventmanager_status_we = ((builder_basesoc_csrbankarray_csrbank3_sel & (~builder_basesoc_csrbankarray_interface3_bank_bus_we)) & (builder_basesoc_csrbankarray_interface3_bank_bus_adr[4:0] == 4'd14));
assign main_eventmanager_pending_r = builder_basesoc_csrbankarray_interface3_bank_bus_dat_w[0];
assign main_eventmanager_pending_re = ((builder_basesoc_csrbankarray_csrbank3_sel & builder_basesoc_csrbankarray_interface3_bank_bus_we) & (builder_basesoc_csrbankarray_interface3_bank_bus_adr[4:0] == 4'd15));
assign main_eventmanager_pending_we = ((builder_basesoc_csrbankarray_csrbank3_sel & (~builder_basesoc_csrbankarray_interface3_bank_bus_we)) & (builder_basesoc_csrbankarray_interface3_bank_bus_adr[4:0] == 4'd15));
assign builder_basesoc_csrbankarray_csrbank3_ev_enable0_r = builder_basesoc_csrbankarray_interface3_bank_bus_dat_w[0];
assign builder_basesoc_csrbankarray_csrbank3_ev_enable0_re = ((builder_basesoc_csrbankarray_csrbank3_sel & builder_basesoc_csrbankarray_interface3_bank_bus_we) & (builder_basesoc_csrbankarray_interface3_bank_bus_adr[4:0] == 5'd16));
assign builder_basesoc_csrbankarray_csrbank3_ev_enable0_we = ((builder_basesoc_csrbankarray_csrbank3_sel & (~builder_basesoc_csrbankarray_interface3_bank_bus_we)) & (builder_basesoc_csrbankarray_interface3_bank_bus_adr[4:0] == 5'd16));
assign builder_basesoc_csrbankarray_csrbank3_load3_w = main_load_storage[31:24];
assign builder_basesoc_csrbankarray_csrbank3_load2_w = main_load_storage[23:16];
assign builder_basesoc_csrbankarray_csrbank3_load1_w = main_load_storage[15:8];
assign builder_basesoc_csrbankarray_csrbank3_load0_w = main_load_storage[7:0];
assign builder_basesoc_csrbankarray_csrbank3_reload3_w = main_reload_storage[31:24];
assign builder_basesoc_csrbankarray_csrbank3_reload2_w = main_reload_storage[23:16];
assign builder_basesoc_csrbankarray_csrbank3_reload1_w = main_reload_storage[15:8];
assign builder_basesoc_csrbankarray_csrbank3_reload0_w = main_reload_storage[7:0];
assign builder_basesoc_csrbankarray_csrbank3_en0_w = main_en_storage;
assign builder_basesoc_csrbankarray_csrbank3_update_value0_w = main_update_value_storage;
assign builder_basesoc_csrbankarray_csrbank3_value3_w = main_value_status[31:24];
assign builder_basesoc_csrbankarray_csrbank3_value2_w = main_value_status[23:16];
assign builder_basesoc_csrbankarray_csrbank3_value1_w = main_value_status[15:8];
assign builder_basesoc_csrbankarray_csrbank3_value0_w = main_value_status[7:0];
assign main_value_we = builder_basesoc_csrbankarray_csrbank3_value0_we;
assign builder_basesoc_csrbankarray_csrbank3_ev_enable0_w = main_eventmanager_storage;
assign builder_basesoc_csrcon_adr = main_interface_adr;
assign builder_basesoc_csrcon_we = main_interface_we;
assign builder_basesoc_csrcon_dat_w = main_interface_dat_w;
assign main_interface_dat_r = builder_basesoc_csrcon_dat_r;
assign builder_basesoc_csrbankarray_interface0_bank_bus_adr = builder_basesoc_csrcon_adr;
assign builder_basesoc_csrbankarray_interface1_bank_bus_adr = builder_basesoc_csrcon_adr;
assign builder_basesoc_csrbankarray_interface2_bank_bus_adr = builder_basesoc_csrcon_adr;
assign builder_basesoc_csrbankarray_interface3_bank_bus_adr = builder_basesoc_csrcon_adr;
assign builder_basesoc_csrbankarray_sram_bus_adr = builder_basesoc_csrcon_adr;
assign builder_basesoc_csrbankarray_interface0_bank_bus_we = builder_basesoc_csrcon_we;
assign builder_basesoc_csrbankarray_interface1_bank_bus_we = builder_basesoc_csrcon_we;
assign builder_basesoc_csrbankarray_interface2_bank_bus_we = builder_basesoc_csrcon_we;
assign builder_basesoc_csrbankarray_interface3_bank_bus_we = builder_basesoc_csrcon_we;
assign builder_basesoc_csrbankarray_sram_bus_we = builder_basesoc_csrcon_we;
assign builder_basesoc_csrbankarray_interface0_bank_bus_dat_w = builder_basesoc_csrcon_dat_w;
assign builder_basesoc_csrbankarray_interface1_bank_bus_dat_w = builder_basesoc_csrcon_dat_w;
assign builder_basesoc_csrbankarray_interface2_bank_bus_dat_w = builder_basesoc_csrcon_dat_w;
assign builder_basesoc_csrbankarray_interface3_bank_bus_dat_w = builder_basesoc_csrcon_dat_w;
assign builder_basesoc_csrbankarray_sram_bus_dat_w = builder_basesoc_csrcon_dat_w;
assign builder_basesoc_csrcon_dat_r = ((((builder_basesoc_csrbankarray_interface0_bank_bus_dat_r | builder_basesoc_csrbankarray_interface1_bank_bus_dat_r) | builder_basesoc_csrbankarray_interface2_bank_bus_dat_r) | builder_basesoc_csrbankarray_interface3_bank_bus_dat_r) | builder_basesoc_csrbankarray_sram_bus_dat_r);
always @(*) begin
builder_rhs_array_muxed0 <= 1'd0;
case (main_sdram_choose_cmd_grant)
1'd0: begin
builder_rhs_array_muxed0 <= main_sdram_choose_cmd_valids[0];
end
1'd1: begin
builder_rhs_array_muxed0 <= main_sdram_choose_cmd_valids[1];
end
2'd2: begin
builder_rhs_array_muxed0 <= main_sdram_choose_cmd_valids[2];
end
2'd3: begin
builder_rhs_array_muxed0 <= main_sdram_choose_cmd_valids[3];
end
3'd4: begin
builder_rhs_array_muxed0 <= main_sdram_choose_cmd_valids[4];
end
3'd5: begin
builder_rhs_array_muxed0 <= main_sdram_choose_cmd_valids[5];
end
3'd6: begin
builder_rhs_array_muxed0 <= main_sdram_choose_cmd_valids[6];
end
default: begin
builder_rhs_array_muxed0 <= main_sdram_choose_cmd_valids[7];
end
endcase
end
always @(*) begin
builder_rhs_array_muxed1 <= 14'd0;
case (main_sdram_choose_cmd_grant)
1'd0: begin
builder_rhs_array_muxed1 <= main_sdram_bankmachine0_cmd_payload_a;
end
1'd1: begin
builder_rhs_array_muxed1 <= main_sdram_bankmachine1_cmd_payload_a;
end
2'd2: begin
builder_rhs_array_muxed1 <= main_sdram_bankmachine2_cmd_payload_a;
end
2'd3: begin
builder_rhs_array_muxed1 <= main_sdram_bankmachine3_cmd_payload_a;
end
3'd4: begin
builder_rhs_array_muxed1 <= main_sdram_bankmachine4_cmd_payload_a;
end
3'd5: begin
builder_rhs_array_muxed1 <= main_sdram_bankmachine5_cmd_payload_a;
end
3'd6: begin
builder_rhs_array_muxed1 <= main_sdram_bankmachine6_cmd_payload_a;
end
default: begin
builder_rhs_array_muxed1 <= main_sdram_bankmachine7_cmd_payload_a;
end
endcase
end
always @(*) begin
builder_rhs_array_muxed2 <= 3'd0;
case (main_sdram_choose_cmd_grant)
1'd0: begin
builder_rhs_array_muxed2 <= main_sdram_bankmachine0_cmd_payload_ba;
end
1'd1: begin
builder_rhs_array_muxed2 <= main_sdram_bankmachine1_cmd_payload_ba;
end
2'd2: begin
builder_rhs_array_muxed2 <= main_sdram_bankmachine2_cmd_payload_ba;
end
2'd3: begin
builder_rhs_array_muxed2 <= main_sdram_bankmachine3_cmd_payload_ba;
end
3'd4: begin
builder_rhs_array_muxed2 <= main_sdram_bankmachine4_cmd_payload_ba;
end
3'd5: begin
builder_rhs_array_muxed2 <= main_sdram_bankmachine5_cmd_payload_ba;
end
3'd6: begin
builder_rhs_array_muxed2 <= main_sdram_bankmachine6_cmd_payload_ba;
end
default: begin
builder_rhs_array_muxed2 <= main_sdram_bankmachine7_cmd_payload_ba;
end
endcase
end
always @(*) begin
builder_rhs_array_muxed3 <= 1'd0;
case (main_sdram_choose_cmd_grant)
1'd0: begin
builder_rhs_array_muxed3 <= main_sdram_bankmachine0_cmd_payload_is_read;
end
1'd1: begin
builder_rhs_array_muxed3 <= main_sdram_bankmachine1_cmd_payload_is_read;
end
2'd2: begin
builder_rhs_array_muxed3 <= main_sdram_bankmachine2_cmd_payload_is_read;
end
2'd3: begin
builder_rhs_array_muxed3 <= main_sdram_bankmachine3_cmd_payload_is_read;
end
3'd4: begin
builder_rhs_array_muxed3 <= main_sdram_bankmachine4_cmd_payload_is_read;
end
3'd5: begin
builder_rhs_array_muxed3 <= main_sdram_bankmachine5_cmd_payload_is_read;
end
3'd6: begin
builder_rhs_array_muxed3 <= main_sdram_bankmachine6_cmd_payload_is_read;
end
default: begin
builder_rhs_array_muxed3 <= main_sdram_bankmachine7_cmd_payload_is_read;
end
endcase
end
always @(*) begin
builder_rhs_array_muxed4 <= 1'd0;
case (main_sdram_choose_cmd_grant)
1'd0: begin
builder_rhs_array_muxed4 <= main_sdram_bankmachine0_cmd_payload_is_write;
end
1'd1: begin
builder_rhs_array_muxed4 <= main_sdram_bankmachine1_cmd_payload_is_write;
end
2'd2: begin
builder_rhs_array_muxed4 <= main_sdram_bankmachine2_cmd_payload_is_write;
end
2'd3: begin
builder_rhs_array_muxed4 <= main_sdram_bankmachine3_cmd_payload_is_write;
end
3'd4: begin
builder_rhs_array_muxed4 <= main_sdram_bankmachine4_cmd_payload_is_write;
end
3'd5: begin
builder_rhs_array_muxed4 <= main_sdram_bankmachine5_cmd_payload_is_write;
end
3'd6: begin
builder_rhs_array_muxed4 <= main_sdram_bankmachine6_cmd_payload_is_write;
end
default: begin
builder_rhs_array_muxed4 <= main_sdram_bankmachine7_cmd_payload_is_write;
end
endcase
end
always @(*) begin
builder_rhs_array_muxed5 <= 1'd0;
case (main_sdram_choose_cmd_grant)
1'd0: begin
builder_rhs_array_muxed5 <= main_sdram_bankmachine0_cmd_payload_is_cmd;
end
1'd1: begin
builder_rhs_array_muxed5 <= main_sdram_bankmachine1_cmd_payload_is_cmd;
end
2'd2: begin
builder_rhs_array_muxed5 <= main_sdram_bankmachine2_cmd_payload_is_cmd;
end
2'd3: begin
builder_rhs_array_muxed5 <= main_sdram_bankmachine3_cmd_payload_is_cmd;
end
3'd4: begin
builder_rhs_array_muxed5 <= main_sdram_bankmachine4_cmd_payload_is_cmd;
end
3'd5: begin
builder_rhs_array_muxed5 <= main_sdram_bankmachine5_cmd_payload_is_cmd;
end
3'd6: begin
builder_rhs_array_muxed5 <= main_sdram_bankmachine6_cmd_payload_is_cmd;
end
default: begin
builder_rhs_array_muxed5 <= main_sdram_bankmachine7_cmd_payload_is_cmd;
end
endcase
end
always @(*) begin
builder_t_array_muxed0 <= 1'd0;
case (main_sdram_choose_cmd_grant)
1'd0: begin
builder_t_array_muxed0 <= main_sdram_bankmachine0_cmd_payload_cas;
end
1'd1: begin
builder_t_array_muxed0 <= main_sdram_bankmachine1_cmd_payload_cas;
end
2'd2: begin
builder_t_array_muxed0 <= main_sdram_bankmachine2_cmd_payload_cas;
end
2'd3: begin
builder_t_array_muxed0 <= main_sdram_bankmachine3_cmd_payload_cas;
end
3'd4: begin
builder_t_array_muxed0 <= main_sdram_bankmachine4_cmd_payload_cas;
end
3'd5: begin
builder_t_array_muxed0 <= main_sdram_bankmachine5_cmd_payload_cas;
end
3'd6: begin
builder_t_array_muxed0 <= main_sdram_bankmachine6_cmd_payload_cas;
end
default: begin
builder_t_array_muxed0 <= main_sdram_bankmachine7_cmd_payload_cas;
end
endcase
end
always @(*) begin
builder_t_array_muxed1 <= 1'd0;
case (main_sdram_choose_cmd_grant)
1'd0: begin
builder_t_array_muxed1 <= main_sdram_bankmachine0_cmd_payload_ras;
end
1'd1: begin
builder_t_array_muxed1 <= main_sdram_bankmachine1_cmd_payload_ras;
end
2'd2: begin
builder_t_array_muxed1 <= main_sdram_bankmachine2_cmd_payload_ras;
end
2'd3: begin
builder_t_array_muxed1 <= main_sdram_bankmachine3_cmd_payload_ras;
end
3'd4: begin
builder_t_array_muxed1 <= main_sdram_bankmachine4_cmd_payload_ras;
end
3'd5: begin
builder_t_array_muxed1 <= main_sdram_bankmachine5_cmd_payload_ras;
end
3'd6: begin
builder_t_array_muxed1 <= main_sdram_bankmachine6_cmd_payload_ras;
end
default: begin
builder_t_array_muxed1 <= main_sdram_bankmachine7_cmd_payload_ras;
end
endcase
end
always @(*) begin
builder_t_array_muxed2 <= 1'd0;
case (main_sdram_choose_cmd_grant)
1'd0: begin
builder_t_array_muxed2 <= main_sdram_bankmachine0_cmd_payload_we;
end
1'd1: begin
builder_t_array_muxed2 <= main_sdram_bankmachine1_cmd_payload_we;
end
2'd2: begin
builder_t_array_muxed2 <= main_sdram_bankmachine2_cmd_payload_we;
end
2'd3: begin
builder_t_array_muxed2 <= main_sdram_bankmachine3_cmd_payload_we;
end
3'd4: begin
builder_t_array_muxed2 <= main_sdram_bankmachine4_cmd_payload_we;
end
3'd5: begin
builder_t_array_muxed2 <= main_sdram_bankmachine5_cmd_payload_we;
end
3'd6: begin
builder_t_array_muxed2 <= main_sdram_bankmachine6_cmd_payload_we;
end
default: begin
builder_t_array_muxed2 <= main_sdram_bankmachine7_cmd_payload_we;
end
endcase
end
always @(*) begin
builder_rhs_array_muxed6 <= 1'd0;
case (main_sdram_choose_req_grant)
1'd0: begin
builder_rhs_array_muxed6 <= main_sdram_choose_req_valids[0];
end
1'd1: begin
builder_rhs_array_muxed6 <= main_sdram_choose_req_valids[1];
end
2'd2: begin
builder_rhs_array_muxed6 <= main_sdram_choose_req_valids[2];
end
2'd3: begin
builder_rhs_array_muxed6 <= main_sdram_choose_req_valids[3];
end
3'd4: begin
builder_rhs_array_muxed6 <= main_sdram_choose_req_valids[4];
end
3'd5: begin
builder_rhs_array_muxed6 <= main_sdram_choose_req_valids[5];
end
3'd6: begin
builder_rhs_array_muxed6 <= main_sdram_choose_req_valids[6];
end
default: begin
builder_rhs_array_muxed6 <= main_sdram_choose_req_valids[7];
end
endcase
end
always @(*) begin
builder_rhs_array_muxed7 <= 14'd0;
case (main_sdram_choose_req_grant)
1'd0: begin
builder_rhs_array_muxed7 <= main_sdram_bankmachine0_cmd_payload_a;
end
1'd1: begin
builder_rhs_array_muxed7 <= main_sdram_bankmachine1_cmd_payload_a;
end
2'd2: begin
builder_rhs_array_muxed7 <= main_sdram_bankmachine2_cmd_payload_a;
end
2'd3: begin
builder_rhs_array_muxed7 <= main_sdram_bankmachine3_cmd_payload_a;
end
3'd4: begin
builder_rhs_array_muxed7 <= main_sdram_bankmachine4_cmd_payload_a;
end
3'd5: begin
builder_rhs_array_muxed7 <= main_sdram_bankmachine5_cmd_payload_a;
end
3'd6: begin
builder_rhs_array_muxed7 <= main_sdram_bankmachine6_cmd_payload_a;
end
default: begin
builder_rhs_array_muxed7 <= main_sdram_bankmachine7_cmd_payload_a;
end
endcase
end
always @(*) begin
builder_rhs_array_muxed8 <= 3'd0;
case (main_sdram_choose_req_grant)
1'd0: begin
builder_rhs_array_muxed8 <= main_sdram_bankmachine0_cmd_payload_ba;
end
1'd1: begin
builder_rhs_array_muxed8 <= main_sdram_bankmachine1_cmd_payload_ba;
end
2'd2: begin
builder_rhs_array_muxed8 <= main_sdram_bankmachine2_cmd_payload_ba;
end
2'd3: begin
builder_rhs_array_muxed8 <= main_sdram_bankmachine3_cmd_payload_ba;
end
3'd4: begin
builder_rhs_array_muxed8 <= main_sdram_bankmachine4_cmd_payload_ba;
end
3'd5: begin
builder_rhs_array_muxed8 <= main_sdram_bankmachine5_cmd_payload_ba;
end
3'd6: begin
builder_rhs_array_muxed8 <= main_sdram_bankmachine6_cmd_payload_ba;
end
default: begin
builder_rhs_array_muxed8 <= main_sdram_bankmachine7_cmd_payload_ba;
end
endcase
end
always @(*) begin
builder_rhs_array_muxed9 <= 1'd0;
case (main_sdram_choose_req_grant)
1'd0: begin
builder_rhs_array_muxed9 <= main_sdram_bankmachine0_cmd_payload_is_read;
end
1'd1: begin
builder_rhs_array_muxed9 <= main_sdram_bankmachine1_cmd_payload_is_read;
end
2'd2: begin
builder_rhs_array_muxed9 <= main_sdram_bankmachine2_cmd_payload_is_read;
end
2'd3: begin
builder_rhs_array_muxed9 <= main_sdram_bankmachine3_cmd_payload_is_read;
end
3'd4: begin
builder_rhs_array_muxed9 <= main_sdram_bankmachine4_cmd_payload_is_read;
end
3'd5: begin
builder_rhs_array_muxed9 <= main_sdram_bankmachine5_cmd_payload_is_read;
end
3'd6: begin
builder_rhs_array_muxed9 <= main_sdram_bankmachine6_cmd_payload_is_read;
end
default: begin
builder_rhs_array_muxed9 <= main_sdram_bankmachine7_cmd_payload_is_read;
end
endcase
end
always @(*) begin
builder_rhs_array_muxed10 <= 1'd0;
case (main_sdram_choose_req_grant)
1'd0: begin
builder_rhs_array_muxed10 <= main_sdram_bankmachine0_cmd_payload_is_write;
end
1'd1: begin
builder_rhs_array_muxed10 <= main_sdram_bankmachine1_cmd_payload_is_write;
end
2'd2: begin
builder_rhs_array_muxed10 <= main_sdram_bankmachine2_cmd_payload_is_write;
end
2'd3: begin
builder_rhs_array_muxed10 <= main_sdram_bankmachine3_cmd_payload_is_write;
end
3'd4: begin
builder_rhs_array_muxed10 <= main_sdram_bankmachine4_cmd_payload_is_write;
end
3'd5: begin
builder_rhs_array_muxed10 <= main_sdram_bankmachine5_cmd_payload_is_write;
end
3'd6: begin
builder_rhs_array_muxed10 <= main_sdram_bankmachine6_cmd_payload_is_write;
end
default: begin
builder_rhs_array_muxed10 <= main_sdram_bankmachine7_cmd_payload_is_write;
end
endcase
end
always @(*) begin
builder_rhs_array_muxed11 <= 1'd0;
case (main_sdram_choose_req_grant)
1'd0: begin
builder_rhs_array_muxed11 <= main_sdram_bankmachine0_cmd_payload_is_cmd;
end
1'd1: begin
builder_rhs_array_muxed11 <= main_sdram_bankmachine1_cmd_payload_is_cmd;
end
2'd2: begin
builder_rhs_array_muxed11 <= main_sdram_bankmachine2_cmd_payload_is_cmd;
end
2'd3: begin
builder_rhs_array_muxed11 <= main_sdram_bankmachine3_cmd_payload_is_cmd;
end
3'd4: begin
builder_rhs_array_muxed11 <= main_sdram_bankmachine4_cmd_payload_is_cmd;
end
3'd5: begin
builder_rhs_array_muxed11 <= main_sdram_bankmachine5_cmd_payload_is_cmd;
end
3'd6: begin
builder_rhs_array_muxed11 <= main_sdram_bankmachine6_cmd_payload_is_cmd;
end
default: begin
builder_rhs_array_muxed11 <= main_sdram_bankmachine7_cmd_payload_is_cmd;
end
endcase
end
always @(*) begin
builder_t_array_muxed3 <= 1'd0;
case (main_sdram_choose_req_grant)
1'd0: begin
builder_t_array_muxed3 <= main_sdram_bankmachine0_cmd_payload_cas;
end
1'd1: begin
builder_t_array_muxed3 <= main_sdram_bankmachine1_cmd_payload_cas;
end
2'd2: begin
builder_t_array_muxed3 <= main_sdram_bankmachine2_cmd_payload_cas;
end
2'd3: begin
builder_t_array_muxed3 <= main_sdram_bankmachine3_cmd_payload_cas;
end
3'd4: begin
builder_t_array_muxed3 <= main_sdram_bankmachine4_cmd_payload_cas;
end
3'd5: begin
builder_t_array_muxed3 <= main_sdram_bankmachine5_cmd_payload_cas;
end
3'd6: begin
builder_t_array_muxed3 <= main_sdram_bankmachine6_cmd_payload_cas;
end
default: begin
builder_t_array_muxed3 <= main_sdram_bankmachine7_cmd_payload_cas;
end
endcase
end
always @(*) begin
builder_t_array_muxed4 <= 1'd0;
case (main_sdram_choose_req_grant)
1'd0: begin
builder_t_array_muxed4 <= main_sdram_bankmachine0_cmd_payload_ras;
end
1'd1: begin
builder_t_array_muxed4 <= main_sdram_bankmachine1_cmd_payload_ras;
end
2'd2: begin
builder_t_array_muxed4 <= main_sdram_bankmachine2_cmd_payload_ras;
end
2'd3: begin
builder_t_array_muxed4 <= main_sdram_bankmachine3_cmd_payload_ras;
end
3'd4: begin
builder_t_array_muxed4 <= main_sdram_bankmachine4_cmd_payload_ras;
end
3'd5: begin
builder_t_array_muxed4 <= main_sdram_bankmachine5_cmd_payload_ras;
end
3'd6: begin
builder_t_array_muxed4 <= main_sdram_bankmachine6_cmd_payload_ras;
end
default: begin
builder_t_array_muxed4 <= main_sdram_bankmachine7_cmd_payload_ras;
end
endcase
end
always @(*) begin
builder_t_array_muxed5 <= 1'd0;
case (main_sdram_choose_req_grant)
1'd0: begin
builder_t_array_muxed5 <= main_sdram_bankmachine0_cmd_payload_we;
end
1'd1: begin
builder_t_array_muxed5 <= main_sdram_bankmachine1_cmd_payload_we;
end
2'd2: begin
builder_t_array_muxed5 <= main_sdram_bankmachine2_cmd_payload_we;
end
2'd3: begin
builder_t_array_muxed5 <= main_sdram_bankmachine3_cmd_payload_we;
end
3'd4: begin
builder_t_array_muxed5 <= main_sdram_bankmachine4_cmd_payload_we;
end
3'd5: begin
builder_t_array_muxed5 <= main_sdram_bankmachine5_cmd_payload_we;
end
3'd6: begin
builder_t_array_muxed5 <= main_sdram_bankmachine6_cmd_payload_we;
end
default: begin
builder_t_array_muxed5 <= main_sdram_bankmachine7_cmd_payload_we;
end
endcase
end
always @(*) begin
builder_rhs_array_muxed12 <= 21'd0;
case (builder_roundrobin0_grant)
default: begin
builder_rhs_array_muxed12 <= {main_port_cmd_payload_addr[23:10], main_port_cmd_payload_addr[6:0]};
end
endcase
end
always @(*) begin
builder_rhs_array_muxed13 <= 1'd0;
case (builder_roundrobin0_grant)
default: begin
builder_rhs_array_muxed13 <= main_port_cmd_payload_we;
end
endcase
end
always @(*) begin
builder_rhs_array_muxed14 <= 1'd0;
case (builder_roundrobin0_grant)
default: begin
builder_rhs_array_muxed14 <= (((main_port_cmd_payload_addr[9:7] == 1'd0) & (~(((((((builder_locked0 | (main_sdram_interface_bank1_lock & (builder_roundrobin1_grant == 1'd0))) | (main_sdram_interface_bank2_lock & (builder_roundrobin2_grant == 1'd0))) | (main_sdram_interface_bank3_lock & (builder_roundrobin3_grant == 1'd0))) | (main_sdram_interface_bank4_lock & (builder_roundrobin4_grant == 1'd0))) | (main_sdram_interface_bank5_lock & (builder_roundrobin5_grant == 1'd0))) | (main_sdram_interface_bank6_lock & (builder_roundrobin6_grant == 1'd0))) | (main_sdram_interface_bank7_lock & (builder_roundrobin7_grant == 1'd0))))) & main_port_cmd_valid);
end
endcase
end
always @(*) begin
builder_rhs_array_muxed15 <= 21'd0;
case (builder_roundrobin1_grant)
default: begin
builder_rhs_array_muxed15 <= {main_port_cmd_payload_addr[23:10], main_port_cmd_payload_addr[6:0]};
end
endcase
end
always @(*) begin
builder_rhs_array_muxed16 <= 1'd0;
case (builder_roundrobin1_grant)
default: begin
builder_rhs_array_muxed16 <= main_port_cmd_payload_we;
end
endcase
end
always @(*) begin
builder_rhs_array_muxed17 <= 1'd0;
case (builder_roundrobin1_grant)
default: begin
builder_rhs_array_muxed17 <= (((main_port_cmd_payload_addr[9:7] == 1'd1) & (~(((((((builder_locked1 | (main_sdram_interface_bank0_lock & (builder_roundrobin0_grant == 1'd0))) | (main_sdram_interface_bank2_lock & (builder_roundrobin2_grant == 1'd0))) | (main_sdram_interface_bank3_lock & (builder_roundrobin3_grant == 1'd0))) | (main_sdram_interface_bank4_lock & (builder_roundrobin4_grant == 1'd0))) | (main_sdram_interface_bank5_lock & (builder_roundrobin5_grant == 1'd0))) | (main_sdram_interface_bank6_lock & (builder_roundrobin6_grant == 1'd0))) | (main_sdram_interface_bank7_lock & (builder_roundrobin7_grant == 1'd0))))) & main_port_cmd_valid);
end
endcase
end
always @(*) begin
builder_rhs_array_muxed18 <= 21'd0;
case (builder_roundrobin2_grant)
default: begin
builder_rhs_array_muxed18 <= {main_port_cmd_payload_addr[23:10], main_port_cmd_payload_addr[6:0]};
end
endcase
end
always @(*) begin
builder_rhs_array_muxed19 <= 1'd0;
case (builder_roundrobin2_grant)
default: begin
builder_rhs_array_muxed19 <= main_port_cmd_payload_we;
end
endcase
end
always @(*) begin
builder_rhs_array_muxed20 <= 1'd0;
case (builder_roundrobin2_grant)
default: begin
builder_rhs_array_muxed20 <= (((main_port_cmd_payload_addr[9:7] == 2'd2) & (~(((((((builder_locked2 | (main_sdram_interface_bank0_lock & (builder_roundrobin0_grant == 1'd0))) | (main_sdram_interface_bank1_lock & (builder_roundrobin1_grant == 1'd0))) | (main_sdram_interface_bank3_lock & (builder_roundrobin3_grant == 1'd0))) | (main_sdram_interface_bank4_lock & (builder_roundrobin4_grant == 1'd0))) | (main_sdram_interface_bank5_lock & (builder_roundrobin5_grant == 1'd0))) | (main_sdram_interface_bank6_lock & (builder_roundrobin6_grant == 1'd0))) | (main_sdram_interface_bank7_lock & (builder_roundrobin7_grant == 1'd0))))) & main_port_cmd_valid);
end
endcase
end
always @(*) begin
builder_rhs_array_muxed21 <= 21'd0;
case (builder_roundrobin3_grant)
default: begin
builder_rhs_array_muxed21 <= {main_port_cmd_payload_addr[23:10], main_port_cmd_payload_addr[6:0]};
end
endcase
end
always @(*) begin
builder_rhs_array_muxed22 <= 1'd0;
case (builder_roundrobin3_grant)
default: begin
builder_rhs_array_muxed22 <= main_port_cmd_payload_we;
end
endcase
end
always @(*) begin
builder_rhs_array_muxed23 <= 1'd0;
case (builder_roundrobin3_grant)
default: begin
builder_rhs_array_muxed23 <= (((main_port_cmd_payload_addr[9:7] == 2'd3) & (~(((((((builder_locked3 | (main_sdram_interface_bank0_lock & (builder_roundrobin0_grant == 1'd0))) | (main_sdram_interface_bank1_lock & (builder_roundrobin1_grant == 1'd0))) | (main_sdram_interface_bank2_lock & (builder_roundrobin2_grant == 1'd0))) | (main_sdram_interface_bank4_lock & (builder_roundrobin4_grant == 1'd0))) | (main_sdram_interface_bank5_lock & (builder_roundrobin5_grant == 1'd0))) | (main_sdram_interface_bank6_lock & (builder_roundrobin6_grant == 1'd0))) | (main_sdram_interface_bank7_lock & (builder_roundrobin7_grant == 1'd0))))) & main_port_cmd_valid);
end
endcase
end
always @(*) begin
builder_rhs_array_muxed24 <= 21'd0;
case (builder_roundrobin4_grant)
default: begin
builder_rhs_array_muxed24 <= {main_port_cmd_payload_addr[23:10], main_port_cmd_payload_addr[6:0]};
end
endcase
end
always @(*) begin
builder_rhs_array_muxed25 <= 1'd0;
case (builder_roundrobin4_grant)
default: begin
builder_rhs_array_muxed25 <= main_port_cmd_payload_we;
end
endcase
end
always @(*) begin
builder_rhs_array_muxed26 <= 1'd0;
case (builder_roundrobin4_grant)
default: begin
builder_rhs_array_muxed26 <= (((main_port_cmd_payload_addr[9:7] == 3'd4) & (~(((((((builder_locked4 | (main_sdram_interface_bank0_lock & (builder_roundrobin0_grant == 1'd0))) | (main_sdram_interface_bank1_lock & (builder_roundrobin1_grant == 1'd0))) | (main_sdram_interface_bank2_lock & (builder_roundrobin2_grant == 1'd0))) | (main_sdram_interface_bank3_lock & (builder_roundrobin3_grant == 1'd0))) | (main_sdram_interface_bank5_lock & (builder_roundrobin5_grant == 1'd0))) | (main_sdram_interface_bank6_lock & (builder_roundrobin6_grant == 1'd0))) | (main_sdram_interface_bank7_lock & (builder_roundrobin7_grant == 1'd0))))) & main_port_cmd_valid);
end
endcase
end
always @(*) begin
builder_rhs_array_muxed27 <= 21'd0;
case (builder_roundrobin5_grant)
default: begin
builder_rhs_array_muxed27 <= {main_port_cmd_payload_addr[23:10], main_port_cmd_payload_addr[6:0]};
end
endcase
end
always @(*) begin
builder_rhs_array_muxed28 <= 1'd0;
case (builder_roundrobin5_grant)
default: begin
builder_rhs_array_muxed28 <= main_port_cmd_payload_we;
end
endcase
end
always @(*) begin
builder_rhs_array_muxed29 <= 1'd0;
case (builder_roundrobin5_grant)
default: begin
builder_rhs_array_muxed29 <= (((main_port_cmd_payload_addr[9:7] == 3'd5) & (~(((((((builder_locked5 | (main_sdram_interface_bank0_lock & (builder_roundrobin0_grant == 1'd0))) | (main_sdram_interface_bank1_lock & (builder_roundrobin1_grant == 1'd0))) | (main_sdram_interface_bank2_lock & (builder_roundrobin2_grant == 1'd0))) | (main_sdram_interface_bank3_lock & (builder_roundrobin3_grant == 1'd0))) | (main_sdram_interface_bank4_lock & (builder_roundrobin4_grant == 1'd0))) | (main_sdram_interface_bank6_lock & (builder_roundrobin6_grant == 1'd0))) | (main_sdram_interface_bank7_lock & (builder_roundrobin7_grant == 1'd0))))) & main_port_cmd_valid);
end
endcase
end
always @(*) begin
builder_rhs_array_muxed30 <= 21'd0;
case (builder_roundrobin6_grant)
default: begin
builder_rhs_array_muxed30 <= {main_port_cmd_payload_addr[23:10], main_port_cmd_payload_addr[6:0]};
end
endcase
end
always @(*) begin
builder_rhs_array_muxed31 <= 1'd0;
case (builder_roundrobin6_grant)
default: begin
builder_rhs_array_muxed31 <= main_port_cmd_payload_we;
end
endcase
end
always @(*) begin
builder_rhs_array_muxed32 <= 1'd0;
case (builder_roundrobin6_grant)
default: begin
builder_rhs_array_muxed32 <= (((main_port_cmd_payload_addr[9:7] == 3'd6) & (~(((((((builder_locked6 | (main_sdram_interface_bank0_lock & (builder_roundrobin0_grant == 1'd0))) | (main_sdram_interface_bank1_lock & (builder_roundrobin1_grant == 1'd0))) | (main_sdram_interface_bank2_lock & (builder_roundrobin2_grant == 1'd0))) | (main_sdram_interface_bank3_lock & (builder_roundrobin3_grant == 1'd0))) | (main_sdram_interface_bank4_lock & (builder_roundrobin4_grant == 1'd0))) | (main_sdram_interface_bank5_lock & (builder_roundrobin5_grant == 1'd0))) | (main_sdram_interface_bank7_lock & (builder_roundrobin7_grant == 1'd0))))) & main_port_cmd_valid);
end
endcase
end
always @(*) begin
builder_rhs_array_muxed33 <= 21'd0;
case (builder_roundrobin7_grant)
default: begin
builder_rhs_array_muxed33 <= {main_port_cmd_payload_addr[23:10], main_port_cmd_payload_addr[6:0]};
end
endcase
end
always @(*) begin
builder_rhs_array_muxed34 <= 1'd0;
case (builder_roundrobin7_grant)
default: begin
builder_rhs_array_muxed34 <= main_port_cmd_payload_we;
end
endcase
end
always @(*) begin
builder_rhs_array_muxed35 <= 1'd0;
case (builder_roundrobin7_grant)
default: begin
builder_rhs_array_muxed35 <= (((main_port_cmd_payload_addr[9:7] == 3'd7) & (~(((((((builder_locked7 | (main_sdram_interface_bank0_lock & (builder_roundrobin0_grant == 1'd0))) | (main_sdram_interface_bank1_lock & (builder_roundrobin1_grant == 1'd0))) | (main_sdram_interface_bank2_lock & (builder_roundrobin2_grant == 1'd0))) | (main_sdram_interface_bank3_lock & (builder_roundrobin3_grant == 1'd0))) | (main_sdram_interface_bank4_lock & (builder_roundrobin4_grant == 1'd0))) | (main_sdram_interface_bank5_lock & (builder_roundrobin5_grant == 1'd0))) | (main_sdram_interface_bank6_lock & (builder_roundrobin6_grant == 1'd0))))) & main_port_cmd_valid);
end
endcase
end
always @(*) begin
builder_rhs_array_muxed36 <= 30'd0;
case (builder_wb_sdram_con_grant)
default: begin
builder_rhs_array_muxed36 <= main_interface1_wb_sdram_adr;
end
endcase
end
always @(*) begin
builder_rhs_array_muxed37 <= 32'd0;
case (builder_wb_sdram_con_grant)
default: begin
builder_rhs_array_muxed37 <= main_interface1_wb_sdram_dat_w;
end
endcase
end
always @(*) begin
builder_rhs_array_muxed38 <= 4'd0;
case (builder_wb_sdram_con_grant)
default: begin
builder_rhs_array_muxed38 <= main_interface1_wb_sdram_sel;
end
endcase
end
always @(*) begin
builder_rhs_array_muxed39 <= 1'd0;
case (builder_wb_sdram_con_grant)
default: begin
builder_rhs_array_muxed39 <= main_interface1_wb_sdram_cyc;
end
endcase
end
always @(*) begin
builder_rhs_array_muxed40 <= 1'd0;
case (builder_wb_sdram_con_grant)
default: begin
builder_rhs_array_muxed40 <= main_interface1_wb_sdram_stb;
end
endcase
end
always @(*) begin
builder_rhs_array_muxed41 <= 1'd0;
case (builder_wb_sdram_con_grant)
default: begin
builder_rhs_array_muxed41 <= main_interface1_wb_sdram_we;
end
endcase
end
always @(*) begin
builder_rhs_array_muxed42 <= 3'd0;
case (builder_wb_sdram_con_grant)
default: begin
builder_rhs_array_muxed42 <= main_interface1_wb_sdram_cti;
end
endcase
end
always @(*) begin
builder_rhs_array_muxed43 <= 2'd0;
case (builder_wb_sdram_con_grant)
default: begin
builder_rhs_array_muxed43 <= main_interface1_wb_sdram_bte;
end
endcase
end
always @(*) begin
builder_rhs_array_muxed44 <= 30'd0;
case (builder_basesoc_grant)
default: begin
builder_rhs_array_muxed44 <= main_uart_wishbone_adr;
end
endcase
end
always @(*) begin
builder_rhs_array_muxed45 <= 32'd0;
case (builder_basesoc_grant)
default: begin
builder_rhs_array_muxed45 <= main_uart_wishbone_dat_w;
end
endcase
end
always @(*) begin
builder_rhs_array_muxed46 <= 4'd0;
case (builder_basesoc_grant)
default: begin
builder_rhs_array_muxed46 <= main_uart_wishbone_sel;
end
endcase
end
always @(*) begin
builder_rhs_array_muxed47 <= 1'd0;
case (builder_basesoc_grant)
default: begin
builder_rhs_array_muxed47 <= main_uart_wishbone_cyc;
end
endcase
end
always @(*) begin
builder_rhs_array_muxed48 <= 1'd0;
case (builder_basesoc_grant)
default: begin
builder_rhs_array_muxed48 <= main_uart_wishbone_stb;
end
endcase
end
always @(*) begin
builder_rhs_array_muxed49 <= 1'd0;
case (builder_basesoc_grant)
default: begin
builder_rhs_array_muxed49 <= main_uart_wishbone_we;
end
endcase
end
always @(*) begin
builder_rhs_array_muxed50 <= 3'd0;
case (builder_basesoc_grant)
default: begin
builder_rhs_array_muxed50 <= main_uart_wishbone_cti;
end
endcase
end
always @(*) begin
builder_rhs_array_muxed51 <= 2'd0;
case (builder_basesoc_grant)
default: begin
builder_rhs_array_muxed51 <= main_uart_wishbone_bte;
end
endcase
end
always @(*) begin
builder_array_muxed0 <= 3'd0;
case (main_sdram_steerer_sel0)
1'd0: begin
builder_array_muxed0 <= main_sdram_nop_ba[2:0];
end
1'd1: begin
builder_array_muxed0 <= main_sdram_choose_cmd_cmd_payload_ba[2:0];
end
2'd2: begin
builder_array_muxed0 <= main_sdram_choose_req_cmd_payload_ba[2:0];
end
default: begin
builder_array_muxed0 <= main_sdram_cmd_payload_ba[2:0];
end
endcase
end
always @(*) begin
builder_array_muxed1 <= 14'd0;
case (main_sdram_steerer_sel0)
1'd0: begin
builder_array_muxed1 <= main_sdram_nop_a;
end
1'd1: begin
builder_array_muxed1 <= main_sdram_choose_cmd_cmd_payload_a;
end
2'd2: begin
builder_array_muxed1 <= main_sdram_choose_req_cmd_payload_a;
end
default: begin
builder_array_muxed1 <= main_sdram_cmd_payload_a;
end
endcase
end
always @(*) begin
builder_array_muxed2 <= 1'd0;
case (main_sdram_steerer_sel0)
1'd0: begin
builder_array_muxed2 <= 1'd0;
end
1'd1: begin
builder_array_muxed2 <= ((main_sdram_choose_cmd_cmd_valid & main_sdram_choose_cmd_cmd_ready) & main_sdram_choose_cmd_cmd_payload_cas);
end
2'd2: begin
builder_array_muxed2 <= ((main_sdram_choose_req_cmd_valid & main_sdram_choose_req_cmd_ready) & main_sdram_choose_req_cmd_payload_cas);
end
default: begin
builder_array_muxed2 <= ((main_sdram_cmd_valid & main_sdram_cmd_ready) & main_sdram_cmd_payload_cas);
end
endcase
end
always @(*) begin
builder_array_muxed3 <= 1'd0;
case (main_sdram_steerer_sel0)
1'd0: begin
builder_array_muxed3 <= 1'd0;
end
1'd1: begin
builder_array_muxed3 <= ((main_sdram_choose_cmd_cmd_valid & main_sdram_choose_cmd_cmd_ready) & main_sdram_choose_cmd_cmd_payload_ras);
end
2'd2: begin
builder_array_muxed3 <= ((main_sdram_choose_req_cmd_valid & main_sdram_choose_req_cmd_ready) & main_sdram_choose_req_cmd_payload_ras);
end
default: begin
builder_array_muxed3 <= ((main_sdram_cmd_valid & main_sdram_cmd_ready) & main_sdram_cmd_payload_ras);
end
endcase
end
always @(*) begin
builder_array_muxed4 <= 1'd0;
case (main_sdram_steerer_sel0)
1'd0: begin
builder_array_muxed4 <= 1'd0;
end
1'd1: begin
builder_array_muxed4 <= ((main_sdram_choose_cmd_cmd_valid & main_sdram_choose_cmd_cmd_ready) & main_sdram_choose_cmd_cmd_payload_we);
end
2'd2: begin
builder_array_muxed4 <= ((main_sdram_choose_req_cmd_valid & main_sdram_choose_req_cmd_ready) & main_sdram_choose_req_cmd_payload_we);
end
default: begin
builder_array_muxed4 <= ((main_sdram_cmd_valid & main_sdram_cmd_ready) & main_sdram_cmd_payload_we);
end
endcase
end
always @(*) begin
builder_array_muxed5 <= 1'd0;
case (main_sdram_steerer_sel0)
1'd0: begin
builder_array_muxed5 <= 1'd0;
end
1'd1: begin
builder_array_muxed5 <= ((main_sdram_choose_cmd_cmd_valid & main_sdram_choose_cmd_cmd_ready) & main_sdram_choose_cmd_cmd_payload_is_read);
end
2'd2: begin
builder_array_muxed5 <= ((main_sdram_choose_req_cmd_valid & main_sdram_choose_req_cmd_ready) & main_sdram_choose_req_cmd_payload_is_read);
end
default: begin
builder_array_muxed5 <= ((main_sdram_cmd_valid & main_sdram_cmd_ready) & main_sdram_cmd_payload_is_read);
end
endcase
end
always @(*) begin
builder_array_muxed6 <= 1'd0;
case (main_sdram_steerer_sel0)
1'd0: begin
builder_array_muxed6 <= 1'd0;
end
1'd1: begin
builder_array_muxed6 <= ((main_sdram_choose_cmd_cmd_valid & main_sdram_choose_cmd_cmd_ready) & main_sdram_choose_cmd_cmd_payload_is_write);
end
2'd2: begin
builder_array_muxed6 <= ((main_sdram_choose_req_cmd_valid & main_sdram_choose_req_cmd_ready) & main_sdram_choose_req_cmd_payload_is_write);
end
default: begin
builder_array_muxed6 <= ((main_sdram_cmd_valid & main_sdram_cmd_ready) & main_sdram_cmd_payload_is_write);
end
endcase
end
always @(*) begin
builder_array_muxed7 <= 3'd0;
case (main_sdram_steerer_sel1)
1'd0: begin
builder_array_muxed7 <= main_sdram_nop_ba[2:0];
end
1'd1: begin
builder_array_muxed7 <= main_sdram_choose_cmd_cmd_payload_ba[2:0];
end
2'd2: begin
builder_array_muxed7 <= main_sdram_choose_req_cmd_payload_ba[2:0];
end
default: begin
builder_array_muxed7 <= main_sdram_cmd_payload_ba[2:0];
end
endcase
end
always @(*) begin
builder_array_muxed8 <= 14'd0;
case (main_sdram_steerer_sel1)
1'd0: begin
builder_array_muxed8 <= main_sdram_nop_a;
end
1'd1: begin
builder_array_muxed8 <= main_sdram_choose_cmd_cmd_payload_a;
end
2'd2: begin
builder_array_muxed8 <= main_sdram_choose_req_cmd_payload_a;
end
default: begin
builder_array_muxed8 <= main_sdram_cmd_payload_a;
end
endcase
end
always @(*) begin
builder_array_muxed9 <= 1'd0;
case (main_sdram_steerer_sel1)
1'd0: begin
builder_array_muxed9 <= 1'd0;
end
1'd1: begin
builder_array_muxed9 <= ((main_sdram_choose_cmd_cmd_valid & main_sdram_choose_cmd_cmd_ready) & main_sdram_choose_cmd_cmd_payload_cas);
end
2'd2: begin
builder_array_muxed9 <= ((main_sdram_choose_req_cmd_valid & main_sdram_choose_req_cmd_ready) & main_sdram_choose_req_cmd_payload_cas);
end
default: begin
builder_array_muxed9 <= ((main_sdram_cmd_valid & main_sdram_cmd_ready) & main_sdram_cmd_payload_cas);
end
endcase
end
always @(*) begin
builder_array_muxed10 <= 1'd0;
case (main_sdram_steerer_sel1)
1'd0: begin
builder_array_muxed10 <= 1'd0;
end
1'd1: begin
builder_array_muxed10 <= ((main_sdram_choose_cmd_cmd_valid & main_sdram_choose_cmd_cmd_ready) & main_sdram_choose_cmd_cmd_payload_ras);
end
2'd2: begin
builder_array_muxed10 <= ((main_sdram_choose_req_cmd_valid & main_sdram_choose_req_cmd_ready) & main_sdram_choose_req_cmd_payload_ras);
end
default: begin
builder_array_muxed10 <= ((main_sdram_cmd_valid & main_sdram_cmd_ready) & main_sdram_cmd_payload_ras);
end
endcase
end
always @(*) begin
builder_array_muxed11 <= 1'd0;
case (main_sdram_steerer_sel1)
1'd0: begin
builder_array_muxed11 <= 1'd0;
end
1'd1: begin
builder_array_muxed11 <= ((main_sdram_choose_cmd_cmd_valid & main_sdram_choose_cmd_cmd_ready) & main_sdram_choose_cmd_cmd_payload_we);
end
2'd2: begin
builder_array_muxed11 <= ((main_sdram_choose_req_cmd_valid & main_sdram_choose_req_cmd_ready) & main_sdram_choose_req_cmd_payload_we);
end
default: begin
builder_array_muxed11 <= ((main_sdram_cmd_valid & main_sdram_cmd_ready) & main_sdram_cmd_payload_we);
end
endcase
end
always @(*) begin
builder_array_muxed12 <= 1'd0;
case (main_sdram_steerer_sel1)
1'd0: begin
builder_array_muxed12 <= 1'd0;
end
1'd1: begin
builder_array_muxed12 <= ((main_sdram_choose_cmd_cmd_valid & main_sdram_choose_cmd_cmd_ready) & main_sdram_choose_cmd_cmd_payload_is_read);
end
2'd2: begin
builder_array_muxed12 <= ((main_sdram_choose_req_cmd_valid & main_sdram_choose_req_cmd_ready) & main_sdram_choose_req_cmd_payload_is_read);
end
default: begin
builder_array_muxed12 <= ((main_sdram_cmd_valid & main_sdram_cmd_ready) & main_sdram_cmd_payload_is_read);
end
endcase
end
always @(*) begin
builder_array_muxed13 <= 1'd0;
case (main_sdram_steerer_sel1)
1'd0: begin
builder_array_muxed13 <= 1'd0;
end
1'd1: begin
builder_array_muxed13 <= ((main_sdram_choose_cmd_cmd_valid & main_sdram_choose_cmd_cmd_ready) & main_sdram_choose_cmd_cmd_payload_is_write);
end
2'd2: begin
builder_array_muxed13 <= ((main_sdram_choose_req_cmd_valid & main_sdram_choose_req_cmd_ready) & main_sdram_choose_req_cmd_payload_is_write);
end
default: begin
builder_array_muxed13 <= ((main_sdram_cmd_valid & main_sdram_cmd_ready) & main_sdram_cmd_payload_is_write);
end
endcase
end
always @(*) begin
builder_array_muxed14 <= 3'd0;
case (main_sdram_steerer_sel2)
1'd0: begin
builder_array_muxed14 <= main_sdram_nop_ba[2:0];
end
1'd1: begin
builder_array_muxed14 <= main_sdram_choose_cmd_cmd_payload_ba[2:0];
end
2'd2: begin
builder_array_muxed14 <= main_sdram_choose_req_cmd_payload_ba[2:0];
end
default: begin
builder_array_muxed14 <= main_sdram_cmd_payload_ba[2:0];
end
endcase
end
always @(*) begin
builder_array_muxed15 <= 14'd0;
case (main_sdram_steerer_sel2)
1'd0: begin
builder_array_muxed15 <= main_sdram_nop_a;
end
1'd1: begin
builder_array_muxed15 <= main_sdram_choose_cmd_cmd_payload_a;
end
2'd2: begin
builder_array_muxed15 <= main_sdram_choose_req_cmd_payload_a;
end
default: begin
builder_array_muxed15 <= main_sdram_cmd_payload_a;
end
endcase
end
always @(*) begin
builder_array_muxed16 <= 1'd0;
case (main_sdram_steerer_sel2)
1'd0: begin
builder_array_muxed16 <= 1'd0;
end
1'd1: begin
builder_array_muxed16 <= ((main_sdram_choose_cmd_cmd_valid & main_sdram_choose_cmd_cmd_ready) & main_sdram_choose_cmd_cmd_payload_cas);
end
2'd2: begin
builder_array_muxed16 <= ((main_sdram_choose_req_cmd_valid & main_sdram_choose_req_cmd_ready) & main_sdram_choose_req_cmd_payload_cas);
end
default: begin
builder_array_muxed16 <= ((main_sdram_cmd_valid & main_sdram_cmd_ready) & main_sdram_cmd_payload_cas);
end
endcase
end
always @(*) begin
builder_array_muxed17 <= 1'd0;
case (main_sdram_steerer_sel2)
1'd0: begin
builder_array_muxed17 <= 1'd0;
end
1'd1: begin
builder_array_muxed17 <= ((main_sdram_choose_cmd_cmd_valid & main_sdram_choose_cmd_cmd_ready) & main_sdram_choose_cmd_cmd_payload_ras);
end
2'd2: begin
builder_array_muxed17 <= ((main_sdram_choose_req_cmd_valid & main_sdram_choose_req_cmd_ready) & main_sdram_choose_req_cmd_payload_ras);
end
default: begin
builder_array_muxed17 <= ((main_sdram_cmd_valid & main_sdram_cmd_ready) & main_sdram_cmd_payload_ras);
end
endcase
end
always @(*) begin
builder_array_muxed18 <= 1'd0;
case (main_sdram_steerer_sel2)
1'd0: begin
builder_array_muxed18 <= 1'd0;
end
1'd1: begin
builder_array_muxed18 <= ((main_sdram_choose_cmd_cmd_valid & main_sdram_choose_cmd_cmd_ready) & main_sdram_choose_cmd_cmd_payload_we);
end
2'd2: begin
builder_array_muxed18 <= ((main_sdram_choose_req_cmd_valid & main_sdram_choose_req_cmd_ready) & main_sdram_choose_req_cmd_payload_we);
end
default: begin
builder_array_muxed18 <= ((main_sdram_cmd_valid & main_sdram_cmd_ready) & main_sdram_cmd_payload_we);
end
endcase
end
always @(*) begin
builder_array_muxed19 <= 1'd0;
case (main_sdram_steerer_sel2)
1'd0: begin
builder_array_muxed19 <= 1'd0;
end
1'd1: begin
builder_array_muxed19 <= ((main_sdram_choose_cmd_cmd_valid & main_sdram_choose_cmd_cmd_ready) & main_sdram_choose_cmd_cmd_payload_is_read);
end
2'd2: begin
builder_array_muxed19 <= ((main_sdram_choose_req_cmd_valid & main_sdram_choose_req_cmd_ready) & main_sdram_choose_req_cmd_payload_is_read);
end
default: begin
builder_array_muxed19 <= ((main_sdram_cmd_valid & main_sdram_cmd_ready) & main_sdram_cmd_payload_is_read);
end
endcase
end
always @(*) begin
builder_array_muxed20 <= 1'd0;
case (main_sdram_steerer_sel2)
1'd0: begin
builder_array_muxed20 <= 1'd0;
end
1'd1: begin
builder_array_muxed20 <= ((main_sdram_choose_cmd_cmd_valid & main_sdram_choose_cmd_cmd_ready) & main_sdram_choose_cmd_cmd_payload_is_write);
end
2'd2: begin
builder_array_muxed20 <= ((main_sdram_choose_req_cmd_valid & main_sdram_choose_req_cmd_ready) & main_sdram_choose_req_cmd_payload_is_write);
end
default: begin
builder_array_muxed20 <= ((main_sdram_cmd_valid & main_sdram_cmd_ready) & main_sdram_cmd_payload_is_write);
end
endcase
end
always @(*) begin
builder_array_muxed21 <= 3'd0;
case (main_sdram_steerer_sel3)
1'd0: begin
builder_array_muxed21 <= main_sdram_nop_ba[2:0];
end
1'd1: begin
builder_array_muxed21 <= main_sdram_choose_cmd_cmd_payload_ba[2:0];
end
2'd2: begin
builder_array_muxed21 <= main_sdram_choose_req_cmd_payload_ba[2:0];
end
default: begin
builder_array_muxed21 <= main_sdram_cmd_payload_ba[2:0];
end
endcase
end
always @(*) begin
builder_array_muxed22 <= 14'd0;
case (main_sdram_steerer_sel3)
1'd0: begin
builder_array_muxed22 <= main_sdram_nop_a;
end
1'd1: begin
builder_array_muxed22 <= main_sdram_choose_cmd_cmd_payload_a;
end
2'd2: begin
builder_array_muxed22 <= main_sdram_choose_req_cmd_payload_a;
end
default: begin
builder_array_muxed22 <= main_sdram_cmd_payload_a;
end
endcase
end
always @(*) begin
builder_array_muxed23 <= 1'd0;
case (main_sdram_steerer_sel3)
1'd0: begin
builder_array_muxed23 <= 1'd0;
end
1'd1: begin
builder_array_muxed23 <= ((main_sdram_choose_cmd_cmd_valid & main_sdram_choose_cmd_cmd_ready) & main_sdram_choose_cmd_cmd_payload_cas);
end
2'd2: begin
builder_array_muxed23 <= ((main_sdram_choose_req_cmd_valid & main_sdram_choose_req_cmd_ready) & main_sdram_choose_req_cmd_payload_cas);
end
default: begin
builder_array_muxed23 <= ((main_sdram_cmd_valid & main_sdram_cmd_ready) & main_sdram_cmd_payload_cas);
end
endcase
end
always @(*) begin
builder_array_muxed24 <= 1'd0;
case (main_sdram_steerer_sel3)
1'd0: begin
builder_array_muxed24 <= 1'd0;
end
1'd1: begin
builder_array_muxed24 <= ((main_sdram_choose_cmd_cmd_valid & main_sdram_choose_cmd_cmd_ready) & main_sdram_choose_cmd_cmd_payload_ras);
end
2'd2: begin
builder_array_muxed24 <= ((main_sdram_choose_req_cmd_valid & main_sdram_choose_req_cmd_ready) & main_sdram_choose_req_cmd_payload_ras);
end
default: begin
builder_array_muxed24 <= ((main_sdram_cmd_valid & main_sdram_cmd_ready) & main_sdram_cmd_payload_ras);
end
endcase
end
always @(*) begin
builder_array_muxed25 <= 1'd0;
case (main_sdram_steerer_sel3)
1'd0: begin
builder_array_muxed25 <= 1'd0;
end
1'd1: begin
builder_array_muxed25 <= ((main_sdram_choose_cmd_cmd_valid & main_sdram_choose_cmd_cmd_ready) & main_sdram_choose_cmd_cmd_payload_we);
end
2'd2: begin
builder_array_muxed25 <= ((main_sdram_choose_req_cmd_valid & main_sdram_choose_req_cmd_ready) & main_sdram_choose_req_cmd_payload_we);
end
default: begin
builder_array_muxed25 <= ((main_sdram_cmd_valid & main_sdram_cmd_ready) & main_sdram_cmd_payload_we);
end
endcase
end
always @(*) begin
builder_array_muxed26 <= 1'd0;
case (main_sdram_steerer_sel3)
1'd0: begin
builder_array_muxed26 <= 1'd0;
end
1'd1: begin
builder_array_muxed26 <= ((main_sdram_choose_cmd_cmd_valid & main_sdram_choose_cmd_cmd_ready) & main_sdram_choose_cmd_cmd_payload_is_read);
end
2'd2: begin
builder_array_muxed26 <= ((main_sdram_choose_req_cmd_valid & main_sdram_choose_req_cmd_ready) & main_sdram_choose_req_cmd_payload_is_read);
end
default: begin
builder_array_muxed26 <= ((main_sdram_cmd_valid & main_sdram_cmd_ready) & main_sdram_cmd_payload_is_read);
end
endcase
end
always @(*) begin
builder_array_muxed27 <= 1'd0;
case (main_sdram_steerer_sel3)
1'd0: begin
builder_array_muxed27 <= 1'd0;
end
1'd1: begin
builder_array_muxed27 <= ((main_sdram_choose_cmd_cmd_valid & main_sdram_choose_cmd_cmd_ready) & main_sdram_choose_cmd_cmd_payload_is_write);
end
2'd2: begin
builder_array_muxed27 <= ((main_sdram_choose_req_cmd_valid & main_sdram_choose_req_cmd_ready) & main_sdram_choose_req_cmd_payload_is_write);
end
default: begin
builder_array_muxed27 <= ((main_sdram_cmd_valid & main_sdram_cmd_ready) & main_sdram_cmd_payload_is_write);
end
endcase
end
assign main_uart_rx = builder_regs1;
assign builder_xilinxasyncresetsynchronizerimpl0 = ((~main_locked) | main_reset);
assign builder_xilinxasyncresetsynchronizerimpl1 = ((~main_locked) | main_reset);
assign builder_xilinxasyncresetsynchronizerimpl2 = ((~main_locked) | main_reset);
assign builder_xilinxasyncresetsynchronizerimpl3 = ((~main_locked) | main_reset);
always @(posedge clk200_clk) begin
if ((main_reset_counter != 1'd0)) begin
main_reset_counter <= (main_reset_counter - 1'd1);
end else begin
main_ic_reset <= 1'd0;
end
if (clk200_rst) begin
main_reset_counter <= 4'd15;
main_ic_reset <= 1'd1;
end
end
always @(posedge sys_clk) begin
if ((main_ctrl_bus_errors != 32'd4294967295)) begin
if (main_ctrl_bus_error) begin
main_ctrl_bus_errors <= (main_ctrl_bus_errors + 1'd1);
end
end
main_sram_bus_ack <= 1'd0;
if (((main_sram_bus_cyc & main_sram_bus_stb) & (~main_sram_bus_ack))) begin
main_sram_bus_ack <= 1'd1;
end
if (main_uart_byte_counter_reset) begin
main_uart_byte_counter <= 1'd0;
end else begin
if (main_uart_byte_counter_ce) begin
main_uart_byte_counter <= (main_uart_byte_counter + 1'd1);
end
end
if (main_uart_word_counter_reset) begin
main_uart_word_counter <= 1'd0;
end else begin
if (main_uart_word_counter_ce) begin
main_uart_word_counter <= (main_uart_word_counter + 1'd1);
end
end
if (main_uart_cmd_ce) begin
main_uart_cmd <= main_uart_source_payload_data;
end
if (main_uart_length_ce) begin
main_uart_length <= main_uart_source_payload_data;
end
if (main_uart_address_ce) begin
main_uart_address <= {main_uart_address[23:0], main_uart_source_payload_data};
end
if (main_uart_rx_data_ce) begin
main_uart_data <= {main_uart_data[23:0], main_uart_source_payload_data};
end else begin
if (main_uart_tx_data_ce) begin
main_uart_data <= main_uart_wishbone_dat_r;
end
end
main_uart_sink_ready <= 1'd0;
if (((main_uart_sink_valid & (~main_uart_tx_busy)) & (~main_uart_sink_ready))) begin
main_uart_tx_reg <= main_uart_sink_payload_data;
main_uart_tx_bitcount <= 1'd0;
main_uart_tx_busy <= 1'd1;
serial_tx <= 1'd0;
end else begin
if ((main_uart_uart_clk_txen & main_uart_tx_busy)) begin
main_uart_tx_bitcount <= (main_uart_tx_bitcount + 1'd1);
if ((main_uart_tx_bitcount == 4'd8)) begin
serial_tx <= 1'd1;
end else begin
if ((main_uart_tx_bitcount == 4'd9)) begin
serial_tx <= 1'd1;
main_uart_tx_busy <= 1'd0;
main_uart_sink_ready <= 1'd1;
end else begin
serial_tx <= main_uart_tx_reg[0];
main_uart_tx_reg <= {1'd0, main_uart_tx_reg[7:1]};
end
end
end
end
if (main_uart_tx_busy) begin
{main_uart_uart_clk_txen, main_uart_phase_accumulator_tx} <= (main_uart_phase_accumulator_tx + main_uart_storage);
end else begin
{main_uart_uart_clk_txen, main_uart_phase_accumulator_tx} <= 1'd0;
end
main_uart_source_valid <= 1'd0;
main_uart_rx_r <= main_uart_rx;
if ((~main_uart_rx_busy)) begin
if (((~main_uart_rx) & main_uart_rx_r)) begin
main_uart_rx_busy <= 1'd1;
main_uart_rx_bitcount <= 1'd0;
end
end else begin
if (main_uart_uart_clk_rxen) begin
main_uart_rx_bitcount <= (main_uart_rx_bitcount + 1'd1);
if ((main_uart_rx_bitcount == 1'd0)) begin
if (main_uart_rx) begin
main_uart_rx_busy <= 1'd0;
end
end else begin
if ((main_uart_rx_bitcount == 4'd9)) begin
main_uart_rx_busy <= 1'd0;
if (main_uart_rx) begin
main_uart_source_payload_data <= main_uart_rx_reg;
main_uart_source_valid <= 1'd1;
end
end else begin
main_uart_rx_reg <= {main_uart_rx, main_uart_rx_reg[7:1]};
end
end
end
end
if (main_uart_rx_busy) begin
{main_uart_uart_clk_rxen, main_uart_phase_accumulator_rx} <= (main_uart_phase_accumulator_rx + main_uart_storage);
end else begin
{main_uart_uart_clk_rxen, main_uart_phase_accumulator_rx} <= 32'd2147483648;
end
builder_uartwishbonebridge_state <= builder_uartwishbonebridge_next_state;
if (main_uart_reset) begin
builder_uartwishbonebridge_state <= 3'd0;
end
if (main_uart_wait) begin
if ((~main_uart_done)) begin
main_uart_count <= (main_uart_count - 1'd1);
end
end else begin
main_uart_count <= 23'd5000000;
end
if (main_en_storage) begin
if ((main_value == 1'd0)) begin
main_value <= main_reload_storage;
end else begin
main_value <= (main_value - 1'd1);
end
end else begin
main_value <= main_load_storage;
end
if (main_update_value_re) begin
main_value_status <= main_value;
end
if (main_zero_clear) begin
main_zero_pending <= 1'd0;
end
main_zero_old_trigger <= main_zero_trigger;
if (((~main_zero_trigger) & main_zero_old_trigger)) begin
main_zero_pending <= 1'd1;
end
builder_wb2csr_state <= builder_wb2csr_next_state;
if (main_a7ddrphy_dly_sel_storage[0]) begin
if (main_a7ddrphy_rdly_dq_bitslip_rst_re) begin
main_a7ddrphy_bitslip0_value <= 1'd0;
end else begin
if (main_a7ddrphy_rdly_dq_bitslip_re) begin
main_a7ddrphy_bitslip0_value <= (main_a7ddrphy_bitslip0_value + 1'd1);
end
end
end
if (main_a7ddrphy_dly_sel_storage[0]) begin
if (main_a7ddrphy_rdly_dq_bitslip_rst_re) begin
main_a7ddrphy_bitslip1_value <= 1'd0;
end else begin
if (main_a7ddrphy_rdly_dq_bitslip_re) begin
main_a7ddrphy_bitslip1_value <= (main_a7ddrphy_bitslip1_value + 1'd1);
end
end
end
if (main_a7ddrphy_dly_sel_storage[0]) begin
if (main_a7ddrphy_rdly_dq_bitslip_rst_re) begin
main_a7ddrphy_bitslip2_value <= 1'd0;
end else begin
if (main_a7ddrphy_rdly_dq_bitslip_re) begin
main_a7ddrphy_bitslip2_value <= (main_a7ddrphy_bitslip2_value + 1'd1);
end
end
end
if (main_a7ddrphy_dly_sel_storage[0]) begin
if (main_a7ddrphy_rdly_dq_bitslip_rst_re) begin
main_a7ddrphy_bitslip3_value <= 1'd0;
end else begin
if (main_a7ddrphy_rdly_dq_bitslip_re) begin
main_a7ddrphy_bitslip3_value <= (main_a7ddrphy_bitslip3_value + 1'd1);
end
end
end
if (main_a7ddrphy_dly_sel_storage[0]) begin
if (main_a7ddrphy_rdly_dq_bitslip_rst_re) begin
main_a7ddrphy_bitslip4_value <= 1'd0;
end else begin
if (main_a7ddrphy_rdly_dq_bitslip_re) begin
main_a7ddrphy_bitslip4_value <= (main_a7ddrphy_bitslip4_value + 1'd1);
end
end
end
if (main_a7ddrphy_dly_sel_storage[0]) begin
if (main_a7ddrphy_rdly_dq_bitslip_rst_re) begin
main_a7ddrphy_bitslip5_value <= 1'd0;
end else begin
if (main_a7ddrphy_rdly_dq_bitslip_re) begin
main_a7ddrphy_bitslip5_value <= (main_a7ddrphy_bitslip5_value + 1'd1);
end
end
end
if (main_a7ddrphy_dly_sel_storage[0]) begin
if (main_a7ddrphy_rdly_dq_bitslip_rst_re) begin
main_a7ddrphy_bitslip6_value <= 1'd0;
end else begin
if (main_a7ddrphy_rdly_dq_bitslip_re) begin
main_a7ddrphy_bitslip6_value <= (main_a7ddrphy_bitslip6_value + 1'd1);
end
end
end
if (main_a7ddrphy_dly_sel_storage[0]) begin
if (main_a7ddrphy_rdly_dq_bitslip_rst_re) begin
main_a7ddrphy_bitslip7_value <= 1'd0;
end else begin
if (main_a7ddrphy_rdly_dq_bitslip_re) begin
main_a7ddrphy_bitslip7_value <= (main_a7ddrphy_bitslip7_value + 1'd1);
end
end
end
if (main_a7ddrphy_dly_sel_storage[1]) begin
if (main_a7ddrphy_rdly_dq_bitslip_rst_re) begin
main_a7ddrphy_bitslip8_value <= 1'd0;
end else begin
if (main_a7ddrphy_rdly_dq_bitslip_re) begin
main_a7ddrphy_bitslip8_value <= (main_a7ddrphy_bitslip8_value + 1'd1);
end
end
end
if (main_a7ddrphy_dly_sel_storage[1]) begin
if (main_a7ddrphy_rdly_dq_bitslip_rst_re) begin
main_a7ddrphy_bitslip9_value <= 1'd0;
end else begin
if (main_a7ddrphy_rdly_dq_bitslip_re) begin
main_a7ddrphy_bitslip9_value <= (main_a7ddrphy_bitslip9_value + 1'd1);
end
end
end
if (main_a7ddrphy_dly_sel_storage[1]) begin
if (main_a7ddrphy_rdly_dq_bitslip_rst_re) begin
main_a7ddrphy_bitslip10_value <= 1'd0;
end else begin
if (main_a7ddrphy_rdly_dq_bitslip_re) begin
main_a7ddrphy_bitslip10_value <= (main_a7ddrphy_bitslip10_value + 1'd1);
end
end
end
if (main_a7ddrphy_dly_sel_storage[1]) begin
if (main_a7ddrphy_rdly_dq_bitslip_rst_re) begin
main_a7ddrphy_bitslip11_value <= 1'd0;
end else begin
if (main_a7ddrphy_rdly_dq_bitslip_re) begin
main_a7ddrphy_bitslip11_value <= (main_a7ddrphy_bitslip11_value + 1'd1);
end
end
end
if (main_a7ddrphy_dly_sel_storage[1]) begin
if (main_a7ddrphy_rdly_dq_bitslip_rst_re) begin
main_a7ddrphy_bitslip12_value <= 1'd0;
end else begin
if (main_a7ddrphy_rdly_dq_bitslip_re) begin
main_a7ddrphy_bitslip12_value <= (main_a7ddrphy_bitslip12_value + 1'd1);
end
end
end
if (main_a7ddrphy_dly_sel_storage[1]) begin
if (main_a7ddrphy_rdly_dq_bitslip_rst_re) begin
main_a7ddrphy_bitslip13_value <= 1'd0;
end else begin
if (main_a7ddrphy_rdly_dq_bitslip_re) begin
main_a7ddrphy_bitslip13_value <= (main_a7ddrphy_bitslip13_value + 1'd1);
end
end
end
if (main_a7ddrphy_dly_sel_storage[1]) begin
if (main_a7ddrphy_rdly_dq_bitslip_rst_re) begin
main_a7ddrphy_bitslip14_value <= 1'd0;
end else begin
if (main_a7ddrphy_rdly_dq_bitslip_re) begin
main_a7ddrphy_bitslip14_value <= (main_a7ddrphy_bitslip14_value + 1'd1);
end
end
end
if (main_a7ddrphy_dly_sel_storage[1]) begin
if (main_a7ddrphy_rdly_dq_bitslip_rst_re) begin
main_a7ddrphy_bitslip15_value <= 1'd0;
end else begin
if (main_a7ddrphy_rdly_dq_bitslip_re) begin
main_a7ddrphy_bitslip15_value <= (main_a7ddrphy_bitslip15_value + 1'd1);
end
end
end
main_a7ddrphy_n_rddata_en0 <= main_a7ddrphy_dfi_p2_rddata_en;
main_a7ddrphy_n_rddata_en1 <= main_a7ddrphy_n_rddata_en0;
main_a7ddrphy_n_rddata_en2 <= main_a7ddrphy_n_rddata_en1;
main_a7ddrphy_n_rddata_en3 <= main_a7ddrphy_n_rddata_en2;
main_a7ddrphy_n_rddata_en4 <= main_a7ddrphy_n_rddata_en3;
main_a7ddrphy_n_rddata_en5 <= main_a7ddrphy_n_rddata_en4;
main_a7ddrphy_n_rddata_en6 <= main_a7ddrphy_n_rddata_en5;
main_a7ddrphy_n_rddata_en7 <= main_a7ddrphy_n_rddata_en6;
main_a7ddrphy_dfi_p0_rddata_valid <= main_a7ddrphy_n_rddata_en7;
main_a7ddrphy_dfi_p1_rddata_valid <= main_a7ddrphy_n_rddata_en7;
main_a7ddrphy_dfi_p2_rddata_valid <= main_a7ddrphy_n_rddata_en7;
main_a7ddrphy_dfi_p3_rddata_valid <= main_a7ddrphy_n_rddata_en7;
main_a7ddrphy_last_wrdata_en <= {main_a7ddrphy_last_wrdata_en[2:0], main_a7ddrphy_dfi_p3_wrdata_en};
main_a7ddrphy_oe_dqs <= main_a7ddrphy_oe;
main_a7ddrphy_oe_dq <= main_a7ddrphy_oe;
main_a7ddrphy_bitslip0_r <= {main_a7ddrphy_bitslip0_i, main_a7ddrphy_bitslip0_r[15:8]};
case (main_a7ddrphy_bitslip0_value)
1'd0: begin
main_a7ddrphy_bitslip0_o <= main_a7ddrphy_bitslip0_r[7:0];
end
1'd1: begin
main_a7ddrphy_bitslip0_o <= main_a7ddrphy_bitslip0_r[8:1];
end
2'd2: begin
main_a7ddrphy_bitslip0_o <= main_a7ddrphy_bitslip0_r[9:2];
end
2'd3: begin
main_a7ddrphy_bitslip0_o <= main_a7ddrphy_bitslip0_r[10:3];
end
3'd4: begin
main_a7ddrphy_bitslip0_o <= main_a7ddrphy_bitslip0_r[11:4];
end
3'd5: begin
main_a7ddrphy_bitslip0_o <= main_a7ddrphy_bitslip0_r[12:5];
end
3'd6: begin
main_a7ddrphy_bitslip0_o <= main_a7ddrphy_bitslip0_r[13:6];
end
3'd7: begin
main_a7ddrphy_bitslip0_o <= main_a7ddrphy_bitslip0_r[14:7];
end
endcase
main_a7ddrphy_bitslip1_r <= {main_a7ddrphy_bitslip1_i, main_a7ddrphy_bitslip1_r[15:8]};
case (main_a7ddrphy_bitslip1_value)
1'd0: begin
main_a7ddrphy_bitslip1_o <= main_a7ddrphy_bitslip1_r[7:0];
end
1'd1: begin
main_a7ddrphy_bitslip1_o <= main_a7ddrphy_bitslip1_r[8:1];
end
2'd2: begin
main_a7ddrphy_bitslip1_o <= main_a7ddrphy_bitslip1_r[9:2];
end
2'd3: begin
main_a7ddrphy_bitslip1_o <= main_a7ddrphy_bitslip1_r[10:3];
end
3'd4: begin
main_a7ddrphy_bitslip1_o <= main_a7ddrphy_bitslip1_r[11:4];
end
3'd5: begin
main_a7ddrphy_bitslip1_o <= main_a7ddrphy_bitslip1_r[12:5];
end
3'd6: begin
main_a7ddrphy_bitslip1_o <= main_a7ddrphy_bitslip1_r[13:6];
end
3'd7: begin
main_a7ddrphy_bitslip1_o <= main_a7ddrphy_bitslip1_r[14:7];
end
endcase
main_a7ddrphy_bitslip2_r <= {main_a7ddrphy_bitslip2_i, main_a7ddrphy_bitslip2_r[15:8]};
case (main_a7ddrphy_bitslip2_value)
1'd0: begin
main_a7ddrphy_bitslip2_o <= main_a7ddrphy_bitslip2_r[7:0];
end
1'd1: begin
main_a7ddrphy_bitslip2_o <= main_a7ddrphy_bitslip2_r[8:1];
end
2'd2: begin
main_a7ddrphy_bitslip2_o <= main_a7ddrphy_bitslip2_r[9:2];
end
2'd3: begin
main_a7ddrphy_bitslip2_o <= main_a7ddrphy_bitslip2_r[10:3];
end
3'd4: begin
main_a7ddrphy_bitslip2_o <= main_a7ddrphy_bitslip2_r[11:4];
end
3'd5: begin
main_a7ddrphy_bitslip2_o <= main_a7ddrphy_bitslip2_r[12:5];
end
3'd6: begin
main_a7ddrphy_bitslip2_o <= main_a7ddrphy_bitslip2_r[13:6];
end
3'd7: begin
main_a7ddrphy_bitslip2_o <= main_a7ddrphy_bitslip2_r[14:7];
end
endcase
main_a7ddrphy_bitslip3_r <= {main_a7ddrphy_bitslip3_i, main_a7ddrphy_bitslip3_r[15:8]};
case (main_a7ddrphy_bitslip3_value)
1'd0: begin
main_a7ddrphy_bitslip3_o <= main_a7ddrphy_bitslip3_r[7:0];
end
1'd1: begin
main_a7ddrphy_bitslip3_o <= main_a7ddrphy_bitslip3_r[8:1];
end
2'd2: begin
main_a7ddrphy_bitslip3_o <= main_a7ddrphy_bitslip3_r[9:2];
end
2'd3: begin
main_a7ddrphy_bitslip3_o <= main_a7ddrphy_bitslip3_r[10:3];
end
3'd4: begin
main_a7ddrphy_bitslip3_o <= main_a7ddrphy_bitslip3_r[11:4];
end
3'd5: begin
main_a7ddrphy_bitslip3_o <= main_a7ddrphy_bitslip3_r[12:5];
end
3'd6: begin
main_a7ddrphy_bitslip3_o <= main_a7ddrphy_bitslip3_r[13:6];
end
3'd7: begin
main_a7ddrphy_bitslip3_o <= main_a7ddrphy_bitslip3_r[14:7];
end
endcase
main_a7ddrphy_bitslip4_r <= {main_a7ddrphy_bitslip4_i, main_a7ddrphy_bitslip4_r[15:8]};
case (main_a7ddrphy_bitslip4_value)
1'd0: begin
main_a7ddrphy_bitslip4_o <= main_a7ddrphy_bitslip4_r[7:0];
end
1'd1: begin
main_a7ddrphy_bitslip4_o <= main_a7ddrphy_bitslip4_r[8:1];
end
2'd2: begin
main_a7ddrphy_bitslip4_o <= main_a7ddrphy_bitslip4_r[9:2];
end
2'd3: begin
main_a7ddrphy_bitslip4_o <= main_a7ddrphy_bitslip4_r[10:3];
end
3'd4: begin
main_a7ddrphy_bitslip4_o <= main_a7ddrphy_bitslip4_r[11:4];
end
3'd5: begin
main_a7ddrphy_bitslip4_o <= main_a7ddrphy_bitslip4_r[12:5];
end
3'd6: begin
main_a7ddrphy_bitslip4_o <= main_a7ddrphy_bitslip4_r[13:6];
end
3'd7: begin
main_a7ddrphy_bitslip4_o <= main_a7ddrphy_bitslip4_r[14:7];
end
endcase
main_a7ddrphy_bitslip5_r <= {main_a7ddrphy_bitslip5_i, main_a7ddrphy_bitslip5_r[15:8]};
case (main_a7ddrphy_bitslip5_value)
1'd0: begin
main_a7ddrphy_bitslip5_o <= main_a7ddrphy_bitslip5_r[7:0];
end
1'd1: begin
main_a7ddrphy_bitslip5_o <= main_a7ddrphy_bitslip5_r[8:1];
end
2'd2: begin
main_a7ddrphy_bitslip5_o <= main_a7ddrphy_bitslip5_r[9:2];
end
2'd3: begin
main_a7ddrphy_bitslip5_o <= main_a7ddrphy_bitslip5_r[10:3];
end
3'd4: begin
main_a7ddrphy_bitslip5_o <= main_a7ddrphy_bitslip5_r[11:4];
end
3'd5: begin
main_a7ddrphy_bitslip5_o <= main_a7ddrphy_bitslip5_r[12:5];
end
3'd6: begin
main_a7ddrphy_bitslip5_o <= main_a7ddrphy_bitslip5_r[13:6];
end
3'd7: begin
main_a7ddrphy_bitslip5_o <= main_a7ddrphy_bitslip5_r[14:7];
end
endcase
main_a7ddrphy_bitslip6_r <= {main_a7ddrphy_bitslip6_i, main_a7ddrphy_bitslip6_r[15:8]};
case (main_a7ddrphy_bitslip6_value)
1'd0: begin
main_a7ddrphy_bitslip6_o <= main_a7ddrphy_bitslip6_r[7:0];
end
1'd1: begin
main_a7ddrphy_bitslip6_o <= main_a7ddrphy_bitslip6_r[8:1];
end
2'd2: begin
main_a7ddrphy_bitslip6_o <= main_a7ddrphy_bitslip6_r[9:2];
end
2'd3: begin
main_a7ddrphy_bitslip6_o <= main_a7ddrphy_bitslip6_r[10:3];
end
3'd4: begin
main_a7ddrphy_bitslip6_o <= main_a7ddrphy_bitslip6_r[11:4];
end
3'd5: begin
main_a7ddrphy_bitslip6_o <= main_a7ddrphy_bitslip6_r[12:5];
end
3'd6: begin
main_a7ddrphy_bitslip6_o <= main_a7ddrphy_bitslip6_r[13:6];
end
3'd7: begin
main_a7ddrphy_bitslip6_o <= main_a7ddrphy_bitslip6_r[14:7];
end
endcase
main_a7ddrphy_bitslip7_r <= {main_a7ddrphy_bitslip7_i, main_a7ddrphy_bitslip7_r[15:8]};
case (main_a7ddrphy_bitslip7_value)
1'd0: begin
main_a7ddrphy_bitslip7_o <= main_a7ddrphy_bitslip7_r[7:0];
end
1'd1: begin
main_a7ddrphy_bitslip7_o <= main_a7ddrphy_bitslip7_r[8:1];
end
2'd2: begin
main_a7ddrphy_bitslip7_o <= main_a7ddrphy_bitslip7_r[9:2];
end
2'd3: begin
main_a7ddrphy_bitslip7_o <= main_a7ddrphy_bitslip7_r[10:3];
end
3'd4: begin
main_a7ddrphy_bitslip7_o <= main_a7ddrphy_bitslip7_r[11:4];
end
3'd5: begin
main_a7ddrphy_bitslip7_o <= main_a7ddrphy_bitslip7_r[12:5];
end
3'd6: begin
main_a7ddrphy_bitslip7_o <= main_a7ddrphy_bitslip7_r[13:6];
end
3'd7: begin
main_a7ddrphy_bitslip7_o <= main_a7ddrphy_bitslip7_r[14:7];
end
endcase
main_a7ddrphy_bitslip8_r <= {main_a7ddrphy_bitslip8_i, main_a7ddrphy_bitslip8_r[15:8]};
case (main_a7ddrphy_bitslip8_value)
1'd0: begin
main_a7ddrphy_bitslip8_o <= main_a7ddrphy_bitslip8_r[7:0];
end
1'd1: begin
main_a7ddrphy_bitslip8_o <= main_a7ddrphy_bitslip8_r[8:1];
end
2'd2: begin
main_a7ddrphy_bitslip8_o <= main_a7ddrphy_bitslip8_r[9:2];
end
2'd3: begin
main_a7ddrphy_bitslip8_o <= main_a7ddrphy_bitslip8_r[10:3];
end
3'd4: begin
main_a7ddrphy_bitslip8_o <= main_a7ddrphy_bitslip8_r[11:4];
end
3'd5: begin
main_a7ddrphy_bitslip8_o <= main_a7ddrphy_bitslip8_r[12:5];
end
3'd6: begin
main_a7ddrphy_bitslip8_o <= main_a7ddrphy_bitslip8_r[13:6];
end
3'd7: begin
main_a7ddrphy_bitslip8_o <= main_a7ddrphy_bitslip8_r[14:7];
end
endcase
main_a7ddrphy_bitslip9_r <= {main_a7ddrphy_bitslip9_i, main_a7ddrphy_bitslip9_r[15:8]};
case (main_a7ddrphy_bitslip9_value)
1'd0: begin
main_a7ddrphy_bitslip9_o <= main_a7ddrphy_bitslip9_r[7:0];
end
1'd1: begin
main_a7ddrphy_bitslip9_o <= main_a7ddrphy_bitslip9_r[8:1];
end
2'd2: begin
main_a7ddrphy_bitslip9_o <= main_a7ddrphy_bitslip9_r[9:2];
end
2'd3: begin
main_a7ddrphy_bitslip9_o <= main_a7ddrphy_bitslip9_r[10:3];
end
3'd4: begin
main_a7ddrphy_bitslip9_o <= main_a7ddrphy_bitslip9_r[11:4];
end
3'd5: begin
main_a7ddrphy_bitslip9_o <= main_a7ddrphy_bitslip9_r[12:5];
end
3'd6: begin
main_a7ddrphy_bitslip9_o <= main_a7ddrphy_bitslip9_r[13:6];
end
3'd7: begin
main_a7ddrphy_bitslip9_o <= main_a7ddrphy_bitslip9_r[14:7];
end
endcase
main_a7ddrphy_bitslip10_r <= {main_a7ddrphy_bitslip10_i, main_a7ddrphy_bitslip10_r[15:8]};
case (main_a7ddrphy_bitslip10_value)
1'd0: begin
main_a7ddrphy_bitslip10_o <= main_a7ddrphy_bitslip10_r[7:0];
end
1'd1: begin
main_a7ddrphy_bitslip10_o <= main_a7ddrphy_bitslip10_r[8:1];
end
2'd2: begin
main_a7ddrphy_bitslip10_o <= main_a7ddrphy_bitslip10_r[9:2];
end
2'd3: begin
main_a7ddrphy_bitslip10_o <= main_a7ddrphy_bitslip10_r[10:3];
end
3'd4: begin
main_a7ddrphy_bitslip10_o <= main_a7ddrphy_bitslip10_r[11:4];
end
3'd5: begin
main_a7ddrphy_bitslip10_o <= main_a7ddrphy_bitslip10_r[12:5];
end
3'd6: begin
main_a7ddrphy_bitslip10_o <= main_a7ddrphy_bitslip10_r[13:6];
end
3'd7: begin
main_a7ddrphy_bitslip10_o <= main_a7ddrphy_bitslip10_r[14:7];
end
endcase
main_a7ddrphy_bitslip11_r <= {main_a7ddrphy_bitslip11_i, main_a7ddrphy_bitslip11_r[15:8]};
case (main_a7ddrphy_bitslip11_value)
1'd0: begin
main_a7ddrphy_bitslip11_o <= main_a7ddrphy_bitslip11_r[7:0];
end
1'd1: begin
main_a7ddrphy_bitslip11_o <= main_a7ddrphy_bitslip11_r[8:1];
end
2'd2: begin
main_a7ddrphy_bitslip11_o <= main_a7ddrphy_bitslip11_r[9:2];
end
2'd3: begin
main_a7ddrphy_bitslip11_o <= main_a7ddrphy_bitslip11_r[10:3];
end
3'd4: begin
main_a7ddrphy_bitslip11_o <= main_a7ddrphy_bitslip11_r[11:4];
end
3'd5: begin
main_a7ddrphy_bitslip11_o <= main_a7ddrphy_bitslip11_r[12:5];
end
3'd6: begin
main_a7ddrphy_bitslip11_o <= main_a7ddrphy_bitslip11_r[13:6];
end
3'd7: begin
main_a7ddrphy_bitslip11_o <= main_a7ddrphy_bitslip11_r[14:7];
end
endcase
main_a7ddrphy_bitslip12_r <= {main_a7ddrphy_bitslip12_i, main_a7ddrphy_bitslip12_r[15:8]};
case (main_a7ddrphy_bitslip12_value)
1'd0: begin
main_a7ddrphy_bitslip12_o <= main_a7ddrphy_bitslip12_r[7:0];
end
1'd1: begin
main_a7ddrphy_bitslip12_o <= main_a7ddrphy_bitslip12_r[8:1];
end
2'd2: begin
main_a7ddrphy_bitslip12_o <= main_a7ddrphy_bitslip12_r[9:2];
end
2'd3: begin
main_a7ddrphy_bitslip12_o <= main_a7ddrphy_bitslip12_r[10:3];
end
3'd4: begin
main_a7ddrphy_bitslip12_o <= main_a7ddrphy_bitslip12_r[11:4];
end
3'd5: begin
main_a7ddrphy_bitslip12_o <= main_a7ddrphy_bitslip12_r[12:5];
end
3'd6: begin
main_a7ddrphy_bitslip12_o <= main_a7ddrphy_bitslip12_r[13:6];
end
3'd7: begin
main_a7ddrphy_bitslip12_o <= main_a7ddrphy_bitslip12_r[14:7];
end
endcase
main_a7ddrphy_bitslip13_r <= {main_a7ddrphy_bitslip13_i, main_a7ddrphy_bitslip13_r[15:8]};
case (main_a7ddrphy_bitslip13_value)
1'd0: begin
main_a7ddrphy_bitslip13_o <= main_a7ddrphy_bitslip13_r[7:0];
end
1'd1: begin
main_a7ddrphy_bitslip13_o <= main_a7ddrphy_bitslip13_r[8:1];
end
2'd2: begin
main_a7ddrphy_bitslip13_o <= main_a7ddrphy_bitslip13_r[9:2];
end
2'd3: begin
main_a7ddrphy_bitslip13_o <= main_a7ddrphy_bitslip13_r[10:3];
end
3'd4: begin
main_a7ddrphy_bitslip13_o <= main_a7ddrphy_bitslip13_r[11:4];
end
3'd5: begin
main_a7ddrphy_bitslip13_o <= main_a7ddrphy_bitslip13_r[12:5];
end
3'd6: begin
main_a7ddrphy_bitslip13_o <= main_a7ddrphy_bitslip13_r[13:6];
end
3'd7: begin
main_a7ddrphy_bitslip13_o <= main_a7ddrphy_bitslip13_r[14:7];
end
endcase
main_a7ddrphy_bitslip14_r <= {main_a7ddrphy_bitslip14_i, main_a7ddrphy_bitslip14_r[15:8]};
case (main_a7ddrphy_bitslip14_value)
1'd0: begin
main_a7ddrphy_bitslip14_o <= main_a7ddrphy_bitslip14_r[7:0];
end
1'd1: begin
main_a7ddrphy_bitslip14_o <= main_a7ddrphy_bitslip14_r[8:1];
end
2'd2: begin
main_a7ddrphy_bitslip14_o <= main_a7ddrphy_bitslip14_r[9:2];
end
2'd3: begin
main_a7ddrphy_bitslip14_o <= main_a7ddrphy_bitslip14_r[10:3];
end
3'd4: begin
main_a7ddrphy_bitslip14_o <= main_a7ddrphy_bitslip14_r[11:4];
end
3'd5: begin
main_a7ddrphy_bitslip14_o <= main_a7ddrphy_bitslip14_r[12:5];
end
3'd6: begin
main_a7ddrphy_bitslip14_o <= main_a7ddrphy_bitslip14_r[13:6];
end
3'd7: begin
main_a7ddrphy_bitslip14_o <= main_a7ddrphy_bitslip14_r[14:7];
end
endcase
main_a7ddrphy_bitslip15_r <= {main_a7ddrphy_bitslip15_i, main_a7ddrphy_bitslip15_r[15:8]};
case (main_a7ddrphy_bitslip15_value)
1'd0: begin
main_a7ddrphy_bitslip15_o <= main_a7ddrphy_bitslip15_r[7:0];
end
1'd1: begin
main_a7ddrphy_bitslip15_o <= main_a7ddrphy_bitslip15_r[8:1];
end
2'd2: begin
main_a7ddrphy_bitslip15_o <= main_a7ddrphy_bitslip15_r[9:2];
end
2'd3: begin
main_a7ddrphy_bitslip15_o <= main_a7ddrphy_bitslip15_r[10:3];
end
3'd4: begin
main_a7ddrphy_bitslip15_o <= main_a7ddrphy_bitslip15_r[11:4];
end
3'd5: begin
main_a7ddrphy_bitslip15_o <= main_a7ddrphy_bitslip15_r[12:5];
end
3'd6: begin
main_a7ddrphy_bitslip15_o <= main_a7ddrphy_bitslip15_r[13:6];
end
3'd7: begin
main_a7ddrphy_bitslip15_o <= main_a7ddrphy_bitslip15_r[14:7];
end
endcase
if (main_sdram_inti_p0_rddata_valid) begin
main_sdram_phaseinjector0_status <= main_sdram_inti_p0_rddata;
end
if (main_sdram_inti_p1_rddata_valid) begin
main_sdram_phaseinjector1_status <= main_sdram_inti_p1_rddata;
end
if (main_sdram_inti_p2_rddata_valid) begin
main_sdram_phaseinjector2_status <= main_sdram_inti_p2_rddata;
end
if (main_sdram_inti_p3_rddata_valid) begin
main_sdram_phaseinjector3_status <= main_sdram_inti_p3_rddata;
end
if ((main_sdram_timer_wait & (~main_sdram_timer_done0))) begin
main_sdram_timer_count1 <= (main_sdram_timer_count1 - 1'd1);
end else begin
main_sdram_timer_count1 <= 9'd390;
end
main_sdram_postponer_req_o <= 1'd0;
if (main_sdram_postponer_req_i) begin
main_sdram_postponer_count <= (main_sdram_postponer_count - 1'd1);
if ((main_sdram_postponer_count == 1'd0)) begin
main_sdram_postponer_count <= 1'd0;
main_sdram_postponer_req_o <= 1'd1;
end
end
if (main_sdram_sequencer_start0) begin
main_sdram_sequencer_count <= 1'd0;
end else begin
if (main_sdram_sequencer_done1) begin
if ((main_sdram_sequencer_count != 1'd0)) begin
main_sdram_sequencer_count <= (main_sdram_sequencer_count - 1'd1);
end
end
end
main_sdram_cmd_payload_a <= 1'd0;
main_sdram_cmd_payload_ba <= 1'd0;
main_sdram_cmd_payload_cas <= 1'd0;
main_sdram_cmd_payload_ras <= 1'd0;
main_sdram_cmd_payload_we <= 1'd0;
main_sdram_sequencer_done1 <= 1'd0;
if ((main_sdram_sequencer_start1 & (main_sdram_sequencer_counter == 1'd0))) begin
main_sdram_cmd_payload_a <= 11'd1024;
main_sdram_cmd_payload_ba <= 1'd0;
main_sdram_cmd_payload_cas <= 1'd0;
main_sdram_cmd_payload_ras <= 1'd1;
main_sdram_cmd_payload_we <= 1'd1;
end
if ((main_sdram_sequencer_counter == 2'd2)) begin
main_sdram_cmd_payload_a <= 1'd0;
main_sdram_cmd_payload_ba <= 1'd0;
main_sdram_cmd_payload_cas <= 1'd1;
main_sdram_cmd_payload_ras <= 1'd1;
main_sdram_cmd_payload_we <= 1'd0;
end
if ((main_sdram_sequencer_counter == 6'd34)) begin
main_sdram_cmd_payload_a <= 1'd0;
main_sdram_cmd_payload_ba <= 1'd0;
main_sdram_cmd_payload_cas <= 1'd0;
main_sdram_cmd_payload_ras <= 1'd0;
main_sdram_cmd_payload_we <= 1'd0;
main_sdram_sequencer_done1 <= 1'd1;
end
if ((main_sdram_sequencer_counter == 6'd34)) begin
main_sdram_sequencer_counter <= 1'd0;
end else begin
if ((main_sdram_sequencer_counter != 1'd0)) begin
main_sdram_sequencer_counter <= (main_sdram_sequencer_counter + 1'd1);
end else begin
if (main_sdram_sequencer_start1) begin
main_sdram_sequencer_counter <= 1'd1;
end
end
end
if ((main_sdram_zqcs_timer_wait & (~main_sdram_zqcs_timer_done0))) begin
main_sdram_zqcs_timer_count1 <= (main_sdram_zqcs_timer_count1 - 1'd1);
end else begin
main_sdram_zqcs_timer_count1 <= 26'd49999999;
end
main_sdram_zqcs_executer_done <= 1'd0;
if ((main_sdram_zqcs_executer_start & (main_sdram_zqcs_executer_counter == 1'd0))) begin
main_sdram_cmd_payload_a <= 11'd1024;
main_sdram_cmd_payload_ba <= 1'd0;
main_sdram_cmd_payload_cas <= 1'd0;
main_sdram_cmd_payload_ras <= 1'd1;
main_sdram_cmd_payload_we <= 1'd1;
end
if ((main_sdram_zqcs_executer_counter == 2'd2)) begin
main_sdram_cmd_payload_a <= 1'd0;
main_sdram_cmd_payload_ba <= 1'd0;
main_sdram_cmd_payload_cas <= 1'd0;
main_sdram_cmd_payload_ras <= 1'd0;
main_sdram_cmd_payload_we <= 1'd1;
end
if ((main_sdram_zqcs_executer_counter == 5'd18)) begin
main_sdram_cmd_payload_a <= 1'd0;
main_sdram_cmd_payload_ba <= 1'd0;
main_sdram_cmd_payload_cas <= 1'd0;
main_sdram_cmd_payload_ras <= 1'd0;
main_sdram_cmd_payload_we <= 1'd0;
main_sdram_zqcs_executer_done <= 1'd1;
end
if ((main_sdram_zqcs_executer_counter == 5'd18)) begin
main_sdram_zqcs_executer_counter <= 1'd0;
end else begin
if ((main_sdram_zqcs_executer_counter != 1'd0)) begin
main_sdram_zqcs_executer_counter <= (main_sdram_zqcs_executer_counter + 1'd1);
end else begin
if (main_sdram_zqcs_executer_start) begin
main_sdram_zqcs_executer_counter <= 1'd1;
end
end
end
builder_refresher_state <= builder_refresher_next_state;
if (main_sdram_bankmachine0_row_close) begin
main_sdram_bankmachine0_row_opened <= 1'd0;
end else begin
if (main_sdram_bankmachine0_row_open) begin
main_sdram_bankmachine0_row_opened <= 1'd1;
main_sdram_bankmachine0_row <= main_sdram_bankmachine0_cmd_buffer_source_payload_addr[20:7];
end
end
if (((main_sdram_bankmachine0_cmd_buffer_lookahead_syncfifo0_we & main_sdram_bankmachine0_cmd_buffer_lookahead_syncfifo0_writable) & (~main_sdram_bankmachine0_cmd_buffer_lookahead_replace))) begin
main_sdram_bankmachine0_cmd_buffer_lookahead_produce <= (main_sdram_bankmachine0_cmd_buffer_lookahead_produce + 1'd1);
end
if (main_sdram_bankmachine0_cmd_buffer_lookahead_do_read) begin
main_sdram_bankmachine0_cmd_buffer_lookahead_consume <= (main_sdram_bankmachine0_cmd_buffer_lookahead_consume + 1'd1);
end
if (((main_sdram_bankmachine0_cmd_buffer_lookahead_syncfifo0_we & main_sdram_bankmachine0_cmd_buffer_lookahead_syncfifo0_writable) & (~main_sdram_bankmachine0_cmd_buffer_lookahead_replace))) begin
if ((~main_sdram_bankmachine0_cmd_buffer_lookahead_do_read)) begin
main_sdram_bankmachine0_cmd_buffer_lookahead_level <= (main_sdram_bankmachine0_cmd_buffer_lookahead_level + 1'd1);
end
end else begin
if (main_sdram_bankmachine0_cmd_buffer_lookahead_do_read) begin
main_sdram_bankmachine0_cmd_buffer_lookahead_level <= (main_sdram_bankmachine0_cmd_buffer_lookahead_level - 1'd1);
end
end
if (((~main_sdram_bankmachine0_cmd_buffer_source_valid) | main_sdram_bankmachine0_cmd_buffer_source_ready)) begin
main_sdram_bankmachine0_cmd_buffer_source_valid <= main_sdram_bankmachine0_cmd_buffer_sink_valid;
main_sdram_bankmachine0_cmd_buffer_source_first <= main_sdram_bankmachine0_cmd_buffer_sink_first;
main_sdram_bankmachine0_cmd_buffer_source_last <= main_sdram_bankmachine0_cmd_buffer_sink_last;
main_sdram_bankmachine0_cmd_buffer_source_payload_we <= main_sdram_bankmachine0_cmd_buffer_sink_payload_we;
main_sdram_bankmachine0_cmd_buffer_source_payload_addr <= main_sdram_bankmachine0_cmd_buffer_sink_payload_addr;
end
if (main_sdram_bankmachine0_twtpcon_valid) begin
main_sdram_bankmachine0_twtpcon_count <= 3'd4;
if (1'd0) begin
main_sdram_bankmachine0_twtpcon_ready <= 1'd1;
end else begin
main_sdram_bankmachine0_twtpcon_ready <= 1'd0;
end
end else begin
if ((~main_sdram_bankmachine0_twtpcon_ready)) begin
main_sdram_bankmachine0_twtpcon_count <= (main_sdram_bankmachine0_twtpcon_count - 1'd1);
if ((main_sdram_bankmachine0_twtpcon_count == 1'd1)) begin
main_sdram_bankmachine0_twtpcon_ready <= 1'd1;
end
end
end
if (main_sdram_bankmachine0_trccon_valid) begin
main_sdram_bankmachine0_trccon_count <= 2'd3;
if (1'd0) begin
main_sdram_bankmachine0_trccon_ready <= 1'd1;
end else begin
main_sdram_bankmachine0_trccon_ready <= 1'd0;
end
end else begin
if ((~main_sdram_bankmachine0_trccon_ready)) begin
main_sdram_bankmachine0_trccon_count <= (main_sdram_bankmachine0_trccon_count - 1'd1);
if ((main_sdram_bankmachine0_trccon_count == 1'd1)) begin
main_sdram_bankmachine0_trccon_ready <= 1'd1;
end
end
end
if (main_sdram_bankmachine0_trascon_valid) begin
main_sdram_bankmachine0_trascon_count <= 2'd2;
if (1'd0) begin
main_sdram_bankmachine0_trascon_ready <= 1'd1;
end else begin
main_sdram_bankmachine0_trascon_ready <= 1'd0;
end
end else begin
if ((~main_sdram_bankmachine0_trascon_ready)) begin
main_sdram_bankmachine0_trascon_count <= (main_sdram_bankmachine0_trascon_count - 1'd1);
if ((main_sdram_bankmachine0_trascon_count == 1'd1)) begin
main_sdram_bankmachine0_trascon_ready <= 1'd1;
end
end
end
builder_bankmachine0_state <= builder_bankmachine0_next_state;
if (main_sdram_bankmachine1_row_close) begin
main_sdram_bankmachine1_row_opened <= 1'd0;
end else begin
if (main_sdram_bankmachine1_row_open) begin
main_sdram_bankmachine1_row_opened <= 1'd1;
main_sdram_bankmachine1_row <= main_sdram_bankmachine1_cmd_buffer_source_payload_addr[20:7];
end
end
if (((main_sdram_bankmachine1_cmd_buffer_lookahead_syncfifo1_we & main_sdram_bankmachine1_cmd_buffer_lookahead_syncfifo1_writable) & (~main_sdram_bankmachine1_cmd_buffer_lookahead_replace))) begin
main_sdram_bankmachine1_cmd_buffer_lookahead_produce <= (main_sdram_bankmachine1_cmd_buffer_lookahead_produce + 1'd1);
end
if (main_sdram_bankmachine1_cmd_buffer_lookahead_do_read) begin
main_sdram_bankmachine1_cmd_buffer_lookahead_consume <= (main_sdram_bankmachine1_cmd_buffer_lookahead_consume + 1'd1);
end
if (((main_sdram_bankmachine1_cmd_buffer_lookahead_syncfifo1_we & main_sdram_bankmachine1_cmd_buffer_lookahead_syncfifo1_writable) & (~main_sdram_bankmachine1_cmd_buffer_lookahead_replace))) begin
if ((~main_sdram_bankmachine1_cmd_buffer_lookahead_do_read)) begin
main_sdram_bankmachine1_cmd_buffer_lookahead_level <= (main_sdram_bankmachine1_cmd_buffer_lookahead_level + 1'd1);
end
end else begin
if (main_sdram_bankmachine1_cmd_buffer_lookahead_do_read) begin
main_sdram_bankmachine1_cmd_buffer_lookahead_level <= (main_sdram_bankmachine1_cmd_buffer_lookahead_level - 1'd1);
end
end
if (((~main_sdram_bankmachine1_cmd_buffer_source_valid) | main_sdram_bankmachine1_cmd_buffer_source_ready)) begin
main_sdram_bankmachine1_cmd_buffer_source_valid <= main_sdram_bankmachine1_cmd_buffer_sink_valid;
main_sdram_bankmachine1_cmd_buffer_source_first <= main_sdram_bankmachine1_cmd_buffer_sink_first;
main_sdram_bankmachine1_cmd_buffer_source_last <= main_sdram_bankmachine1_cmd_buffer_sink_last;
main_sdram_bankmachine1_cmd_buffer_source_payload_we <= main_sdram_bankmachine1_cmd_buffer_sink_payload_we;
main_sdram_bankmachine1_cmd_buffer_source_payload_addr <= main_sdram_bankmachine1_cmd_buffer_sink_payload_addr;
end
if (main_sdram_bankmachine1_twtpcon_valid) begin
main_sdram_bankmachine1_twtpcon_count <= 3'd4;
if (1'd0) begin
main_sdram_bankmachine1_twtpcon_ready <= 1'd1;
end else begin
main_sdram_bankmachine1_twtpcon_ready <= 1'd0;
end
end else begin
if ((~main_sdram_bankmachine1_twtpcon_ready)) begin
main_sdram_bankmachine1_twtpcon_count <= (main_sdram_bankmachine1_twtpcon_count - 1'd1);
if ((main_sdram_bankmachine1_twtpcon_count == 1'd1)) begin
main_sdram_bankmachine1_twtpcon_ready <= 1'd1;
end
end
end
if (main_sdram_bankmachine1_trccon_valid) begin
main_sdram_bankmachine1_trccon_count <= 2'd3;
if (1'd0) begin
main_sdram_bankmachine1_trccon_ready <= 1'd1;
end else begin
main_sdram_bankmachine1_trccon_ready <= 1'd0;
end
end else begin
if ((~main_sdram_bankmachine1_trccon_ready)) begin
main_sdram_bankmachine1_trccon_count <= (main_sdram_bankmachine1_trccon_count - 1'd1);
if ((main_sdram_bankmachine1_trccon_count == 1'd1)) begin
main_sdram_bankmachine1_trccon_ready <= 1'd1;
end
end
end
if (main_sdram_bankmachine1_trascon_valid) begin
main_sdram_bankmachine1_trascon_count <= 2'd2;
if (1'd0) begin
main_sdram_bankmachine1_trascon_ready <= 1'd1;
end else begin
main_sdram_bankmachine1_trascon_ready <= 1'd0;
end
end else begin
if ((~main_sdram_bankmachine1_trascon_ready)) begin
main_sdram_bankmachine1_trascon_count <= (main_sdram_bankmachine1_trascon_count - 1'd1);
if ((main_sdram_bankmachine1_trascon_count == 1'd1)) begin
main_sdram_bankmachine1_trascon_ready <= 1'd1;
end
end
end
builder_bankmachine1_state <= builder_bankmachine1_next_state;
if (main_sdram_bankmachine2_row_close) begin
main_sdram_bankmachine2_row_opened <= 1'd0;
end else begin
if (main_sdram_bankmachine2_row_open) begin
main_sdram_bankmachine2_row_opened <= 1'd1;
main_sdram_bankmachine2_row <= main_sdram_bankmachine2_cmd_buffer_source_payload_addr[20:7];
end
end
if (((main_sdram_bankmachine2_cmd_buffer_lookahead_syncfifo2_we & main_sdram_bankmachine2_cmd_buffer_lookahead_syncfifo2_writable) & (~main_sdram_bankmachine2_cmd_buffer_lookahead_replace))) begin
main_sdram_bankmachine2_cmd_buffer_lookahead_produce <= (main_sdram_bankmachine2_cmd_buffer_lookahead_produce + 1'd1);
end
if (main_sdram_bankmachine2_cmd_buffer_lookahead_do_read) begin
main_sdram_bankmachine2_cmd_buffer_lookahead_consume <= (main_sdram_bankmachine2_cmd_buffer_lookahead_consume + 1'd1);
end
if (((main_sdram_bankmachine2_cmd_buffer_lookahead_syncfifo2_we & main_sdram_bankmachine2_cmd_buffer_lookahead_syncfifo2_writable) & (~main_sdram_bankmachine2_cmd_buffer_lookahead_replace))) begin
if ((~main_sdram_bankmachine2_cmd_buffer_lookahead_do_read)) begin
main_sdram_bankmachine2_cmd_buffer_lookahead_level <= (main_sdram_bankmachine2_cmd_buffer_lookahead_level + 1'd1);
end
end else begin
if (main_sdram_bankmachine2_cmd_buffer_lookahead_do_read) begin
main_sdram_bankmachine2_cmd_buffer_lookahead_level <= (main_sdram_bankmachine2_cmd_buffer_lookahead_level - 1'd1);
end
end
if (((~main_sdram_bankmachine2_cmd_buffer_source_valid) | main_sdram_bankmachine2_cmd_buffer_source_ready)) begin
main_sdram_bankmachine2_cmd_buffer_source_valid <= main_sdram_bankmachine2_cmd_buffer_sink_valid;
main_sdram_bankmachine2_cmd_buffer_source_first <= main_sdram_bankmachine2_cmd_buffer_sink_first;
main_sdram_bankmachine2_cmd_buffer_source_last <= main_sdram_bankmachine2_cmd_buffer_sink_last;
main_sdram_bankmachine2_cmd_buffer_source_payload_we <= main_sdram_bankmachine2_cmd_buffer_sink_payload_we;
main_sdram_bankmachine2_cmd_buffer_source_payload_addr <= main_sdram_bankmachine2_cmd_buffer_sink_payload_addr;
end
if (main_sdram_bankmachine2_twtpcon_valid) begin
main_sdram_bankmachine2_twtpcon_count <= 3'd4;
if (1'd0) begin
main_sdram_bankmachine2_twtpcon_ready <= 1'd1;
end else begin
main_sdram_bankmachine2_twtpcon_ready <= 1'd0;
end
end else begin
if ((~main_sdram_bankmachine2_twtpcon_ready)) begin
main_sdram_bankmachine2_twtpcon_count <= (main_sdram_bankmachine2_twtpcon_count - 1'd1);
if ((main_sdram_bankmachine2_twtpcon_count == 1'd1)) begin
main_sdram_bankmachine2_twtpcon_ready <= 1'd1;
end
end
end
if (main_sdram_bankmachine2_trccon_valid) begin
main_sdram_bankmachine2_trccon_count <= 2'd3;
if (1'd0) begin
main_sdram_bankmachine2_trccon_ready <= 1'd1;
end else begin
main_sdram_bankmachine2_trccon_ready <= 1'd0;
end
end else begin
if ((~main_sdram_bankmachine2_trccon_ready)) begin
main_sdram_bankmachine2_trccon_count <= (main_sdram_bankmachine2_trccon_count - 1'd1);
if ((main_sdram_bankmachine2_trccon_count == 1'd1)) begin
main_sdram_bankmachine2_trccon_ready <= 1'd1;
end
end
end
if (main_sdram_bankmachine2_trascon_valid) begin
main_sdram_bankmachine2_trascon_count <= 2'd2;
if (1'd0) begin
main_sdram_bankmachine2_trascon_ready <= 1'd1;
end else begin
main_sdram_bankmachine2_trascon_ready <= 1'd0;
end
end else begin
if ((~main_sdram_bankmachine2_trascon_ready)) begin
main_sdram_bankmachine2_trascon_count <= (main_sdram_bankmachine2_trascon_count - 1'd1);
if ((main_sdram_bankmachine2_trascon_count == 1'd1)) begin
main_sdram_bankmachine2_trascon_ready <= 1'd1;
end
end
end
builder_bankmachine2_state <= builder_bankmachine2_next_state;
if (main_sdram_bankmachine3_row_close) begin
main_sdram_bankmachine3_row_opened <= 1'd0;
end else begin
if (main_sdram_bankmachine3_row_open) begin
main_sdram_bankmachine3_row_opened <= 1'd1;
main_sdram_bankmachine3_row <= main_sdram_bankmachine3_cmd_buffer_source_payload_addr[20:7];
end
end
if (((main_sdram_bankmachine3_cmd_buffer_lookahead_syncfifo3_we & main_sdram_bankmachine3_cmd_buffer_lookahead_syncfifo3_writable) & (~main_sdram_bankmachine3_cmd_buffer_lookahead_replace))) begin
main_sdram_bankmachine3_cmd_buffer_lookahead_produce <= (main_sdram_bankmachine3_cmd_buffer_lookahead_produce + 1'd1);
end
if (main_sdram_bankmachine3_cmd_buffer_lookahead_do_read) begin
main_sdram_bankmachine3_cmd_buffer_lookahead_consume <= (main_sdram_bankmachine3_cmd_buffer_lookahead_consume + 1'd1);
end
if (((main_sdram_bankmachine3_cmd_buffer_lookahead_syncfifo3_we & main_sdram_bankmachine3_cmd_buffer_lookahead_syncfifo3_writable) & (~main_sdram_bankmachine3_cmd_buffer_lookahead_replace))) begin
if ((~main_sdram_bankmachine3_cmd_buffer_lookahead_do_read)) begin
main_sdram_bankmachine3_cmd_buffer_lookahead_level <= (main_sdram_bankmachine3_cmd_buffer_lookahead_level + 1'd1);
end
end else begin
if (main_sdram_bankmachine3_cmd_buffer_lookahead_do_read) begin
main_sdram_bankmachine3_cmd_buffer_lookahead_level <= (main_sdram_bankmachine3_cmd_buffer_lookahead_level - 1'd1);
end
end
if (((~main_sdram_bankmachine3_cmd_buffer_source_valid) | main_sdram_bankmachine3_cmd_buffer_source_ready)) begin
main_sdram_bankmachine3_cmd_buffer_source_valid <= main_sdram_bankmachine3_cmd_buffer_sink_valid;
main_sdram_bankmachine3_cmd_buffer_source_first <= main_sdram_bankmachine3_cmd_buffer_sink_first;
main_sdram_bankmachine3_cmd_buffer_source_last <= main_sdram_bankmachine3_cmd_buffer_sink_last;
main_sdram_bankmachine3_cmd_buffer_source_payload_we <= main_sdram_bankmachine3_cmd_buffer_sink_payload_we;
main_sdram_bankmachine3_cmd_buffer_source_payload_addr <= main_sdram_bankmachine3_cmd_buffer_sink_payload_addr;
end
if (main_sdram_bankmachine3_twtpcon_valid) begin
main_sdram_bankmachine3_twtpcon_count <= 3'd4;
if (1'd0) begin
main_sdram_bankmachine3_twtpcon_ready <= 1'd1;
end else begin
main_sdram_bankmachine3_twtpcon_ready <= 1'd0;
end
end else begin
if ((~main_sdram_bankmachine3_twtpcon_ready)) begin
main_sdram_bankmachine3_twtpcon_count <= (main_sdram_bankmachine3_twtpcon_count - 1'd1);
if ((main_sdram_bankmachine3_twtpcon_count == 1'd1)) begin
main_sdram_bankmachine3_twtpcon_ready <= 1'd1;
end
end
end
if (main_sdram_bankmachine3_trccon_valid) begin
main_sdram_bankmachine3_trccon_count <= 2'd3;
if (1'd0) begin
main_sdram_bankmachine3_trccon_ready <= 1'd1;
end else begin
main_sdram_bankmachine3_trccon_ready <= 1'd0;
end
end else begin
if ((~main_sdram_bankmachine3_trccon_ready)) begin
main_sdram_bankmachine3_trccon_count <= (main_sdram_bankmachine3_trccon_count - 1'd1);
if ((main_sdram_bankmachine3_trccon_count == 1'd1)) begin
main_sdram_bankmachine3_trccon_ready <= 1'd1;
end
end
end
if (main_sdram_bankmachine3_trascon_valid) begin
main_sdram_bankmachine3_trascon_count <= 2'd2;
if (1'd0) begin
main_sdram_bankmachine3_trascon_ready <= 1'd1;
end else begin
main_sdram_bankmachine3_trascon_ready <= 1'd0;
end
end else begin
if ((~main_sdram_bankmachine3_trascon_ready)) begin
main_sdram_bankmachine3_trascon_count <= (main_sdram_bankmachine3_trascon_count - 1'd1);
if ((main_sdram_bankmachine3_trascon_count == 1'd1)) begin
main_sdram_bankmachine3_trascon_ready <= 1'd1;
end
end
end
builder_bankmachine3_state <= builder_bankmachine3_next_state;
if (main_sdram_bankmachine4_row_close) begin
main_sdram_bankmachine4_row_opened <= 1'd0;
end else begin
if (main_sdram_bankmachine4_row_open) begin
main_sdram_bankmachine4_row_opened <= 1'd1;
main_sdram_bankmachine4_row <= main_sdram_bankmachine4_cmd_buffer_source_payload_addr[20:7];
end
end
if (((main_sdram_bankmachine4_cmd_buffer_lookahead_syncfifo4_we & main_sdram_bankmachine4_cmd_buffer_lookahead_syncfifo4_writable) & (~main_sdram_bankmachine4_cmd_buffer_lookahead_replace))) begin
main_sdram_bankmachine4_cmd_buffer_lookahead_produce <= (main_sdram_bankmachine4_cmd_buffer_lookahead_produce + 1'd1);
end
if (main_sdram_bankmachine4_cmd_buffer_lookahead_do_read) begin
main_sdram_bankmachine4_cmd_buffer_lookahead_consume <= (main_sdram_bankmachine4_cmd_buffer_lookahead_consume + 1'd1);
end
if (((main_sdram_bankmachine4_cmd_buffer_lookahead_syncfifo4_we & main_sdram_bankmachine4_cmd_buffer_lookahead_syncfifo4_writable) & (~main_sdram_bankmachine4_cmd_buffer_lookahead_replace))) begin
if ((~main_sdram_bankmachine4_cmd_buffer_lookahead_do_read)) begin
main_sdram_bankmachine4_cmd_buffer_lookahead_level <= (main_sdram_bankmachine4_cmd_buffer_lookahead_level + 1'd1);
end
end else begin
if (main_sdram_bankmachine4_cmd_buffer_lookahead_do_read) begin
main_sdram_bankmachine4_cmd_buffer_lookahead_level <= (main_sdram_bankmachine4_cmd_buffer_lookahead_level - 1'd1);
end
end
if (((~main_sdram_bankmachine4_cmd_buffer_source_valid) | main_sdram_bankmachine4_cmd_buffer_source_ready)) begin
main_sdram_bankmachine4_cmd_buffer_source_valid <= main_sdram_bankmachine4_cmd_buffer_sink_valid;
main_sdram_bankmachine4_cmd_buffer_source_first <= main_sdram_bankmachine4_cmd_buffer_sink_first;
main_sdram_bankmachine4_cmd_buffer_source_last <= main_sdram_bankmachine4_cmd_buffer_sink_last;
main_sdram_bankmachine4_cmd_buffer_source_payload_we <= main_sdram_bankmachine4_cmd_buffer_sink_payload_we;
main_sdram_bankmachine4_cmd_buffer_source_payload_addr <= main_sdram_bankmachine4_cmd_buffer_sink_payload_addr;
end
if (main_sdram_bankmachine4_twtpcon_valid) begin
main_sdram_bankmachine4_twtpcon_count <= 3'd4;
if (1'd0) begin
main_sdram_bankmachine4_twtpcon_ready <= 1'd1;
end else begin
main_sdram_bankmachine4_twtpcon_ready <= 1'd0;
end
end else begin
if ((~main_sdram_bankmachine4_twtpcon_ready)) begin
main_sdram_bankmachine4_twtpcon_count <= (main_sdram_bankmachine4_twtpcon_count - 1'd1);
if ((main_sdram_bankmachine4_twtpcon_count == 1'd1)) begin
main_sdram_bankmachine4_twtpcon_ready <= 1'd1;
end
end
end
if (main_sdram_bankmachine4_trccon_valid) begin
main_sdram_bankmachine4_trccon_count <= 2'd3;
if (1'd0) begin
main_sdram_bankmachine4_trccon_ready <= 1'd1;
end else begin
main_sdram_bankmachine4_trccon_ready <= 1'd0;
end
end else begin
if ((~main_sdram_bankmachine4_trccon_ready)) begin
main_sdram_bankmachine4_trccon_count <= (main_sdram_bankmachine4_trccon_count - 1'd1);
if ((main_sdram_bankmachine4_trccon_count == 1'd1)) begin
main_sdram_bankmachine4_trccon_ready <= 1'd1;
end
end
end
if (main_sdram_bankmachine4_trascon_valid) begin
main_sdram_bankmachine4_trascon_count <= 2'd2;
if (1'd0) begin
main_sdram_bankmachine4_trascon_ready <= 1'd1;
end else begin
main_sdram_bankmachine4_trascon_ready <= 1'd0;
end
end else begin
if ((~main_sdram_bankmachine4_trascon_ready)) begin
main_sdram_bankmachine4_trascon_count <= (main_sdram_bankmachine4_trascon_count - 1'd1);
if ((main_sdram_bankmachine4_trascon_count == 1'd1)) begin
main_sdram_bankmachine4_trascon_ready <= 1'd1;
end
end
end
builder_bankmachine4_state <= builder_bankmachine4_next_state;
if (main_sdram_bankmachine5_row_close) begin
main_sdram_bankmachine5_row_opened <= 1'd0;
end else begin
if (main_sdram_bankmachine5_row_open) begin
main_sdram_bankmachine5_row_opened <= 1'd1;
main_sdram_bankmachine5_row <= main_sdram_bankmachine5_cmd_buffer_source_payload_addr[20:7];
end
end
if (((main_sdram_bankmachine5_cmd_buffer_lookahead_syncfifo5_we & main_sdram_bankmachine5_cmd_buffer_lookahead_syncfifo5_writable) & (~main_sdram_bankmachine5_cmd_buffer_lookahead_replace))) begin
main_sdram_bankmachine5_cmd_buffer_lookahead_produce <= (main_sdram_bankmachine5_cmd_buffer_lookahead_produce + 1'd1);
end
if (main_sdram_bankmachine5_cmd_buffer_lookahead_do_read) begin
main_sdram_bankmachine5_cmd_buffer_lookahead_consume <= (main_sdram_bankmachine5_cmd_buffer_lookahead_consume + 1'd1);
end
if (((main_sdram_bankmachine5_cmd_buffer_lookahead_syncfifo5_we & main_sdram_bankmachine5_cmd_buffer_lookahead_syncfifo5_writable) & (~main_sdram_bankmachine5_cmd_buffer_lookahead_replace))) begin
if ((~main_sdram_bankmachine5_cmd_buffer_lookahead_do_read)) begin
main_sdram_bankmachine5_cmd_buffer_lookahead_level <= (main_sdram_bankmachine5_cmd_buffer_lookahead_level + 1'd1);
end
end else begin
if (main_sdram_bankmachine5_cmd_buffer_lookahead_do_read) begin
main_sdram_bankmachine5_cmd_buffer_lookahead_level <= (main_sdram_bankmachine5_cmd_buffer_lookahead_level - 1'd1);
end
end
if (((~main_sdram_bankmachine5_cmd_buffer_source_valid) | main_sdram_bankmachine5_cmd_buffer_source_ready)) begin
main_sdram_bankmachine5_cmd_buffer_source_valid <= main_sdram_bankmachine5_cmd_buffer_sink_valid;
main_sdram_bankmachine5_cmd_buffer_source_first <= main_sdram_bankmachine5_cmd_buffer_sink_first;
main_sdram_bankmachine5_cmd_buffer_source_last <= main_sdram_bankmachine5_cmd_buffer_sink_last;
main_sdram_bankmachine5_cmd_buffer_source_payload_we <= main_sdram_bankmachine5_cmd_buffer_sink_payload_we;
main_sdram_bankmachine5_cmd_buffer_source_payload_addr <= main_sdram_bankmachine5_cmd_buffer_sink_payload_addr;
end
if (main_sdram_bankmachine5_twtpcon_valid) begin
main_sdram_bankmachine5_twtpcon_count <= 3'd4;
if (1'd0) begin
main_sdram_bankmachine5_twtpcon_ready <= 1'd1;
end else begin
main_sdram_bankmachine5_twtpcon_ready <= 1'd0;
end
end else begin
if ((~main_sdram_bankmachine5_twtpcon_ready)) begin
main_sdram_bankmachine5_twtpcon_count <= (main_sdram_bankmachine5_twtpcon_count - 1'd1);
if ((main_sdram_bankmachine5_twtpcon_count == 1'd1)) begin
main_sdram_bankmachine5_twtpcon_ready <= 1'd1;
end
end
end
if (main_sdram_bankmachine5_trccon_valid) begin
main_sdram_bankmachine5_trccon_count <= 2'd3;
if (1'd0) begin
main_sdram_bankmachine5_trccon_ready <= 1'd1;
end else begin
main_sdram_bankmachine5_trccon_ready <= 1'd0;
end
end else begin
if ((~main_sdram_bankmachine5_trccon_ready)) begin
main_sdram_bankmachine5_trccon_count <= (main_sdram_bankmachine5_trccon_count - 1'd1);
if ((main_sdram_bankmachine5_trccon_count == 1'd1)) begin
main_sdram_bankmachine5_trccon_ready <= 1'd1;
end
end
end
if (main_sdram_bankmachine5_trascon_valid) begin
main_sdram_bankmachine5_trascon_count <= 2'd2;
if (1'd0) begin
main_sdram_bankmachine5_trascon_ready <= 1'd1;
end else begin
main_sdram_bankmachine5_trascon_ready <= 1'd0;
end
end else begin
if ((~main_sdram_bankmachine5_trascon_ready)) begin
main_sdram_bankmachine5_trascon_count <= (main_sdram_bankmachine5_trascon_count - 1'd1);
if ((main_sdram_bankmachine5_trascon_count == 1'd1)) begin
main_sdram_bankmachine5_trascon_ready <= 1'd1;
end
end
end
builder_bankmachine5_state <= builder_bankmachine5_next_state;
if (main_sdram_bankmachine6_row_close) begin
main_sdram_bankmachine6_row_opened <= 1'd0;
end else begin
if (main_sdram_bankmachine6_row_open) begin
main_sdram_bankmachine6_row_opened <= 1'd1;
main_sdram_bankmachine6_row <= main_sdram_bankmachine6_cmd_buffer_source_payload_addr[20:7];
end
end
if (((main_sdram_bankmachine6_cmd_buffer_lookahead_syncfifo6_we & main_sdram_bankmachine6_cmd_buffer_lookahead_syncfifo6_writable) & (~main_sdram_bankmachine6_cmd_buffer_lookahead_replace))) begin
main_sdram_bankmachine6_cmd_buffer_lookahead_produce <= (main_sdram_bankmachine6_cmd_buffer_lookahead_produce + 1'd1);
end
if (main_sdram_bankmachine6_cmd_buffer_lookahead_do_read) begin
main_sdram_bankmachine6_cmd_buffer_lookahead_consume <= (main_sdram_bankmachine6_cmd_buffer_lookahead_consume + 1'd1);
end
if (((main_sdram_bankmachine6_cmd_buffer_lookahead_syncfifo6_we & main_sdram_bankmachine6_cmd_buffer_lookahead_syncfifo6_writable) & (~main_sdram_bankmachine6_cmd_buffer_lookahead_replace))) begin
if ((~main_sdram_bankmachine6_cmd_buffer_lookahead_do_read)) begin
main_sdram_bankmachine6_cmd_buffer_lookahead_level <= (main_sdram_bankmachine6_cmd_buffer_lookahead_level + 1'd1);
end
end else begin
if (main_sdram_bankmachine6_cmd_buffer_lookahead_do_read) begin
main_sdram_bankmachine6_cmd_buffer_lookahead_level <= (main_sdram_bankmachine6_cmd_buffer_lookahead_level - 1'd1);
end
end
if (((~main_sdram_bankmachine6_cmd_buffer_source_valid) | main_sdram_bankmachine6_cmd_buffer_source_ready)) begin
main_sdram_bankmachine6_cmd_buffer_source_valid <= main_sdram_bankmachine6_cmd_buffer_sink_valid;
main_sdram_bankmachine6_cmd_buffer_source_first <= main_sdram_bankmachine6_cmd_buffer_sink_first;
main_sdram_bankmachine6_cmd_buffer_source_last <= main_sdram_bankmachine6_cmd_buffer_sink_last;
main_sdram_bankmachine6_cmd_buffer_source_payload_we <= main_sdram_bankmachine6_cmd_buffer_sink_payload_we;
main_sdram_bankmachine6_cmd_buffer_source_payload_addr <= main_sdram_bankmachine6_cmd_buffer_sink_payload_addr;
end
if (main_sdram_bankmachine6_twtpcon_valid) begin
main_sdram_bankmachine6_twtpcon_count <= 3'd4;
if (1'd0) begin
main_sdram_bankmachine6_twtpcon_ready <= 1'd1;
end else begin
main_sdram_bankmachine6_twtpcon_ready <= 1'd0;
end
end else begin
if ((~main_sdram_bankmachine6_twtpcon_ready)) begin
main_sdram_bankmachine6_twtpcon_count <= (main_sdram_bankmachine6_twtpcon_count - 1'd1);
if ((main_sdram_bankmachine6_twtpcon_count == 1'd1)) begin
main_sdram_bankmachine6_twtpcon_ready <= 1'd1;
end
end
end
if (main_sdram_bankmachine6_trccon_valid) begin
main_sdram_bankmachine6_trccon_count <= 2'd3;
if (1'd0) begin
main_sdram_bankmachine6_trccon_ready <= 1'd1;
end else begin
main_sdram_bankmachine6_trccon_ready <= 1'd0;
end
end else begin
if ((~main_sdram_bankmachine6_trccon_ready)) begin
main_sdram_bankmachine6_trccon_count <= (main_sdram_bankmachine6_trccon_count - 1'd1);
if ((main_sdram_bankmachine6_trccon_count == 1'd1)) begin
main_sdram_bankmachine6_trccon_ready <= 1'd1;
end
end
end
if (main_sdram_bankmachine6_trascon_valid) begin
main_sdram_bankmachine6_trascon_count <= 2'd2;
if (1'd0) begin
main_sdram_bankmachine6_trascon_ready <= 1'd1;
end else begin
main_sdram_bankmachine6_trascon_ready <= 1'd0;
end
end else begin
if ((~main_sdram_bankmachine6_trascon_ready)) begin
main_sdram_bankmachine6_trascon_count <= (main_sdram_bankmachine6_trascon_count - 1'd1);
if ((main_sdram_bankmachine6_trascon_count == 1'd1)) begin
main_sdram_bankmachine6_trascon_ready <= 1'd1;
end
end
end
builder_bankmachine6_state <= builder_bankmachine6_next_state;
if (main_sdram_bankmachine7_row_close) begin
main_sdram_bankmachine7_row_opened <= 1'd0;
end else begin
if (main_sdram_bankmachine7_row_open) begin
main_sdram_bankmachine7_row_opened <= 1'd1;
main_sdram_bankmachine7_row <= main_sdram_bankmachine7_cmd_buffer_source_payload_addr[20:7];
end
end
if (((main_sdram_bankmachine7_cmd_buffer_lookahead_syncfifo7_we & main_sdram_bankmachine7_cmd_buffer_lookahead_syncfifo7_writable) & (~main_sdram_bankmachine7_cmd_buffer_lookahead_replace))) begin
main_sdram_bankmachine7_cmd_buffer_lookahead_produce <= (main_sdram_bankmachine7_cmd_buffer_lookahead_produce + 1'd1);
end
if (main_sdram_bankmachine7_cmd_buffer_lookahead_do_read) begin
main_sdram_bankmachine7_cmd_buffer_lookahead_consume <= (main_sdram_bankmachine7_cmd_buffer_lookahead_consume + 1'd1);
end
if (((main_sdram_bankmachine7_cmd_buffer_lookahead_syncfifo7_we & main_sdram_bankmachine7_cmd_buffer_lookahead_syncfifo7_writable) & (~main_sdram_bankmachine7_cmd_buffer_lookahead_replace))) begin
if ((~main_sdram_bankmachine7_cmd_buffer_lookahead_do_read)) begin
main_sdram_bankmachine7_cmd_buffer_lookahead_level <= (main_sdram_bankmachine7_cmd_buffer_lookahead_level + 1'd1);
end
end else begin
if (main_sdram_bankmachine7_cmd_buffer_lookahead_do_read) begin
main_sdram_bankmachine7_cmd_buffer_lookahead_level <= (main_sdram_bankmachine7_cmd_buffer_lookahead_level - 1'd1);
end
end
if (((~main_sdram_bankmachine7_cmd_buffer_source_valid) | main_sdram_bankmachine7_cmd_buffer_source_ready)) begin
main_sdram_bankmachine7_cmd_buffer_source_valid <= main_sdram_bankmachine7_cmd_buffer_sink_valid;
main_sdram_bankmachine7_cmd_buffer_source_first <= main_sdram_bankmachine7_cmd_buffer_sink_first;
main_sdram_bankmachine7_cmd_buffer_source_last <= main_sdram_bankmachine7_cmd_buffer_sink_last;
main_sdram_bankmachine7_cmd_buffer_source_payload_we <= main_sdram_bankmachine7_cmd_buffer_sink_payload_we;
main_sdram_bankmachine7_cmd_buffer_source_payload_addr <= main_sdram_bankmachine7_cmd_buffer_sink_payload_addr;
end
if (main_sdram_bankmachine7_twtpcon_valid) begin
main_sdram_bankmachine7_twtpcon_count <= 3'd4;
if (1'd0) begin
main_sdram_bankmachine7_twtpcon_ready <= 1'd1;
end else begin
main_sdram_bankmachine7_twtpcon_ready <= 1'd0;
end
end else begin
if ((~main_sdram_bankmachine7_twtpcon_ready)) begin
main_sdram_bankmachine7_twtpcon_count <= (main_sdram_bankmachine7_twtpcon_count - 1'd1);
if ((main_sdram_bankmachine7_twtpcon_count == 1'd1)) begin
main_sdram_bankmachine7_twtpcon_ready <= 1'd1;
end
end
end
if (main_sdram_bankmachine7_trccon_valid) begin
main_sdram_bankmachine7_trccon_count <= 2'd3;
if (1'd0) begin
main_sdram_bankmachine7_trccon_ready <= 1'd1;
end else begin
main_sdram_bankmachine7_trccon_ready <= 1'd0;
end
end else begin
if ((~main_sdram_bankmachine7_trccon_ready)) begin
main_sdram_bankmachine7_trccon_count <= (main_sdram_bankmachine7_trccon_count - 1'd1);
if ((main_sdram_bankmachine7_trccon_count == 1'd1)) begin
main_sdram_bankmachine7_trccon_ready <= 1'd1;
end
end
end
if (main_sdram_bankmachine7_trascon_valid) begin
main_sdram_bankmachine7_trascon_count <= 2'd2;
if (1'd0) begin
main_sdram_bankmachine7_trascon_ready <= 1'd1;
end else begin
main_sdram_bankmachine7_trascon_ready <= 1'd0;
end
end else begin
if ((~main_sdram_bankmachine7_trascon_ready)) begin
main_sdram_bankmachine7_trascon_count <= (main_sdram_bankmachine7_trascon_count - 1'd1);
if ((main_sdram_bankmachine7_trascon_count == 1'd1)) begin
main_sdram_bankmachine7_trascon_ready <= 1'd1;
end
end
end
builder_bankmachine7_state <= builder_bankmachine7_next_state;
if ((~main_sdram_en0)) begin
main_sdram_time0 <= 5'd31;
end else begin
if ((~main_sdram_max_time0)) begin
main_sdram_time0 <= (main_sdram_time0 - 1'd1);
end
end
if ((~main_sdram_en1)) begin
main_sdram_time1 <= 4'd15;
end else begin
if ((~main_sdram_max_time1)) begin
main_sdram_time1 <= (main_sdram_time1 - 1'd1);
end
end
if (main_sdram_choose_cmd_ce) begin
case (main_sdram_choose_cmd_grant)
1'd0: begin
if (main_sdram_choose_cmd_request[1]) begin
main_sdram_choose_cmd_grant <= 1'd1;
end else begin
if (main_sdram_choose_cmd_request[2]) begin
main_sdram_choose_cmd_grant <= 2'd2;
end else begin
if (main_sdram_choose_cmd_request[3]) begin
main_sdram_choose_cmd_grant <= 2'd3;
end else begin
if (main_sdram_choose_cmd_request[4]) begin
main_sdram_choose_cmd_grant <= 3'd4;
end else begin
if (main_sdram_choose_cmd_request[5]) begin
main_sdram_choose_cmd_grant <= 3'd5;
end else begin
if (main_sdram_choose_cmd_request[6]) begin
main_sdram_choose_cmd_grant <= 3'd6;
end else begin
if (main_sdram_choose_cmd_request[7]) begin
main_sdram_choose_cmd_grant <= 3'd7;
end
end
end
end
end
end
end
end
1'd1: begin
if (main_sdram_choose_cmd_request[2]) begin
main_sdram_choose_cmd_grant <= 2'd2;
end else begin
if (main_sdram_choose_cmd_request[3]) begin
main_sdram_choose_cmd_grant <= 2'd3;
end else begin
if (main_sdram_choose_cmd_request[4]) begin
main_sdram_choose_cmd_grant <= 3'd4;
end else begin
if (main_sdram_choose_cmd_request[5]) begin
main_sdram_choose_cmd_grant <= 3'd5;
end else begin
if (main_sdram_choose_cmd_request[6]) begin
main_sdram_choose_cmd_grant <= 3'd6;
end else begin
if (main_sdram_choose_cmd_request[7]) begin
main_sdram_choose_cmd_grant <= 3'd7;
end else begin
if (main_sdram_choose_cmd_request[0]) begin
main_sdram_choose_cmd_grant <= 1'd0;
end
end
end
end
end
end
end
end
2'd2: begin
if (main_sdram_choose_cmd_request[3]) begin
main_sdram_choose_cmd_grant <= 2'd3;
end else begin
if (main_sdram_choose_cmd_request[4]) begin
main_sdram_choose_cmd_grant <= 3'd4;
end else begin
if (main_sdram_choose_cmd_request[5]) begin
main_sdram_choose_cmd_grant <= 3'd5;
end else begin
if (main_sdram_choose_cmd_request[6]) begin
main_sdram_choose_cmd_grant <= 3'd6;
end else begin
if (main_sdram_choose_cmd_request[7]) begin
main_sdram_choose_cmd_grant <= 3'd7;
end else begin
if (main_sdram_choose_cmd_request[0]) begin
main_sdram_choose_cmd_grant <= 1'd0;
end else begin
if (main_sdram_choose_cmd_request[1]) begin
main_sdram_choose_cmd_grant <= 1'd1;
end
end
end
end
end
end
end
end
2'd3: begin
if (main_sdram_choose_cmd_request[4]) begin
main_sdram_choose_cmd_grant <= 3'd4;
end else begin
if (main_sdram_choose_cmd_request[5]) begin
main_sdram_choose_cmd_grant <= 3'd5;
end else begin
if (main_sdram_choose_cmd_request[6]) begin
main_sdram_choose_cmd_grant <= 3'd6;
end else begin
if (main_sdram_choose_cmd_request[7]) begin
main_sdram_choose_cmd_grant <= 3'd7;
end else begin
if (main_sdram_choose_cmd_request[0]) begin
main_sdram_choose_cmd_grant <= 1'd0;
end else begin
if (main_sdram_choose_cmd_request[1]) begin
main_sdram_choose_cmd_grant <= 1'd1;
end else begin
if (main_sdram_choose_cmd_request[2]) begin
main_sdram_choose_cmd_grant <= 2'd2;
end
end
end
end
end
end
end
end
3'd4: begin
if (main_sdram_choose_cmd_request[5]) begin
main_sdram_choose_cmd_grant <= 3'd5;
end else begin
if (main_sdram_choose_cmd_request[6]) begin
main_sdram_choose_cmd_grant <= 3'd6;
end else begin
if (main_sdram_choose_cmd_request[7]) begin
main_sdram_choose_cmd_grant <= 3'd7;
end else begin
if (main_sdram_choose_cmd_request[0]) begin
main_sdram_choose_cmd_grant <= 1'd0;
end else begin
if (main_sdram_choose_cmd_request[1]) begin
main_sdram_choose_cmd_grant <= 1'd1;
end else begin
if (main_sdram_choose_cmd_request[2]) begin
main_sdram_choose_cmd_grant <= 2'd2;
end else begin
if (main_sdram_choose_cmd_request[3]) begin
main_sdram_choose_cmd_grant <= 2'd3;
end
end
end
end
end
end
end
end
3'd5: begin
if (main_sdram_choose_cmd_request[6]) begin
main_sdram_choose_cmd_grant <= 3'd6;
end else begin
if (main_sdram_choose_cmd_request[7]) begin
main_sdram_choose_cmd_grant <= 3'd7;
end else begin
if (main_sdram_choose_cmd_request[0]) begin
main_sdram_choose_cmd_grant <= 1'd0;
end else begin
if (main_sdram_choose_cmd_request[1]) begin
main_sdram_choose_cmd_grant <= 1'd1;
end else begin
if (main_sdram_choose_cmd_request[2]) begin
main_sdram_choose_cmd_grant <= 2'd2;
end else begin
if (main_sdram_choose_cmd_request[3]) begin
main_sdram_choose_cmd_grant <= 2'd3;
end else begin
if (main_sdram_choose_cmd_request[4]) begin
main_sdram_choose_cmd_grant <= 3'd4;
end
end
end
end
end
end
end
end
3'd6: begin
if (main_sdram_choose_cmd_request[7]) begin
main_sdram_choose_cmd_grant <= 3'd7;
end else begin
if (main_sdram_choose_cmd_request[0]) begin
main_sdram_choose_cmd_grant <= 1'd0;
end else begin
if (main_sdram_choose_cmd_request[1]) begin
main_sdram_choose_cmd_grant <= 1'd1;
end else begin
if (main_sdram_choose_cmd_request[2]) begin
main_sdram_choose_cmd_grant <= 2'd2;
end else begin
if (main_sdram_choose_cmd_request[3]) begin
main_sdram_choose_cmd_grant <= 2'd3;
end else begin
if (main_sdram_choose_cmd_request[4]) begin
main_sdram_choose_cmd_grant <= 3'd4;
end else begin
if (main_sdram_choose_cmd_request[5]) begin
main_sdram_choose_cmd_grant <= 3'd5;
end
end
end
end
end
end
end
end
3'd7: begin
if (main_sdram_choose_cmd_request[0]) begin
main_sdram_choose_cmd_grant <= 1'd0;
end else begin
if (main_sdram_choose_cmd_request[1]) begin
main_sdram_choose_cmd_grant <= 1'd1;
end else begin
if (main_sdram_choose_cmd_request[2]) begin
main_sdram_choose_cmd_grant <= 2'd2;
end else begin
if (main_sdram_choose_cmd_request[3]) begin
main_sdram_choose_cmd_grant <= 2'd3;
end else begin
if (main_sdram_choose_cmd_request[4]) begin
main_sdram_choose_cmd_grant <= 3'd4;
end else begin
if (main_sdram_choose_cmd_request[5]) begin
main_sdram_choose_cmd_grant <= 3'd5;
end else begin
if (main_sdram_choose_cmd_request[6]) begin
main_sdram_choose_cmd_grant <= 3'd6;
end
end
end
end
end
end
end
end
endcase
end
if (main_sdram_choose_req_ce) begin
case (main_sdram_choose_req_grant)
1'd0: begin
if (main_sdram_choose_req_request[1]) begin
main_sdram_choose_req_grant <= 1'd1;
end else begin
if (main_sdram_choose_req_request[2]) begin
main_sdram_choose_req_grant <= 2'd2;
end else begin
if (main_sdram_choose_req_request[3]) begin
main_sdram_choose_req_grant <= 2'd3;
end else begin
if (main_sdram_choose_req_request[4]) begin
main_sdram_choose_req_grant <= 3'd4;
end else begin
if (main_sdram_choose_req_request[5]) begin
main_sdram_choose_req_grant <= 3'd5;
end else begin
if (main_sdram_choose_req_request[6]) begin
main_sdram_choose_req_grant <= 3'd6;
end else begin
if (main_sdram_choose_req_request[7]) begin
main_sdram_choose_req_grant <= 3'd7;
end
end
end
end
end
end
end
end
1'd1: begin
if (main_sdram_choose_req_request[2]) begin
main_sdram_choose_req_grant <= 2'd2;
end else begin
if (main_sdram_choose_req_request[3]) begin
main_sdram_choose_req_grant <= 2'd3;
end else begin
if (main_sdram_choose_req_request[4]) begin
main_sdram_choose_req_grant <= 3'd4;
end else begin
if (main_sdram_choose_req_request[5]) begin
main_sdram_choose_req_grant <= 3'd5;
end else begin
if (main_sdram_choose_req_request[6]) begin
main_sdram_choose_req_grant <= 3'd6;
end else begin
if (main_sdram_choose_req_request[7]) begin
main_sdram_choose_req_grant <= 3'd7;
end else begin
if (main_sdram_choose_req_request[0]) begin
main_sdram_choose_req_grant <= 1'd0;
end
end
end
end
end
end
end
end
2'd2: begin
if (main_sdram_choose_req_request[3]) begin
main_sdram_choose_req_grant <= 2'd3;
end else begin
if (main_sdram_choose_req_request[4]) begin
main_sdram_choose_req_grant <= 3'd4;
end else begin
if (main_sdram_choose_req_request[5]) begin
main_sdram_choose_req_grant <= 3'd5;
end else begin
if (main_sdram_choose_req_request[6]) begin
main_sdram_choose_req_grant <= 3'd6;
end else begin
if (main_sdram_choose_req_request[7]) begin
main_sdram_choose_req_grant <= 3'd7;
end else begin
if (main_sdram_choose_req_request[0]) begin
main_sdram_choose_req_grant <= 1'd0;
end else begin
if (main_sdram_choose_req_request[1]) begin
main_sdram_choose_req_grant <= 1'd1;
end
end
end
end
end
end
end
end
2'd3: begin
if (main_sdram_choose_req_request[4]) begin
main_sdram_choose_req_grant <= 3'd4;
end else begin
if (main_sdram_choose_req_request[5]) begin
main_sdram_choose_req_grant <= 3'd5;
end else begin
if (main_sdram_choose_req_request[6]) begin
main_sdram_choose_req_grant <= 3'd6;
end else begin
if (main_sdram_choose_req_request[7]) begin
main_sdram_choose_req_grant <= 3'd7;
end else begin
if (main_sdram_choose_req_request[0]) begin
main_sdram_choose_req_grant <= 1'd0;
end else begin
if (main_sdram_choose_req_request[1]) begin
main_sdram_choose_req_grant <= 1'd1;
end else begin
if (main_sdram_choose_req_request[2]) begin
main_sdram_choose_req_grant <= 2'd2;
end
end
end
end
end
end
end
end
3'd4: begin
if (main_sdram_choose_req_request[5]) begin
main_sdram_choose_req_grant <= 3'd5;
end else begin
if (main_sdram_choose_req_request[6]) begin
main_sdram_choose_req_grant <= 3'd6;
end else begin
if (main_sdram_choose_req_request[7]) begin
main_sdram_choose_req_grant <= 3'd7;
end else begin
if (main_sdram_choose_req_request[0]) begin
main_sdram_choose_req_grant <= 1'd0;
end else begin
if (main_sdram_choose_req_request[1]) begin
main_sdram_choose_req_grant <= 1'd1;
end else begin
if (main_sdram_choose_req_request[2]) begin
main_sdram_choose_req_grant <= 2'd2;
end else begin
if (main_sdram_choose_req_request[3]) begin
main_sdram_choose_req_grant <= 2'd3;
end
end
end
end
end
end
end
end
3'd5: begin
if (main_sdram_choose_req_request[6]) begin
main_sdram_choose_req_grant <= 3'd6;
end else begin
if (main_sdram_choose_req_request[7]) begin
main_sdram_choose_req_grant <= 3'd7;
end else begin
if (main_sdram_choose_req_request[0]) begin
main_sdram_choose_req_grant <= 1'd0;
end else begin
if (main_sdram_choose_req_request[1]) begin
main_sdram_choose_req_grant <= 1'd1;
end else begin
if (main_sdram_choose_req_request[2]) begin
main_sdram_choose_req_grant <= 2'd2;
end else begin
if (main_sdram_choose_req_request[3]) begin
main_sdram_choose_req_grant <= 2'd3;
end else begin
if (main_sdram_choose_req_request[4]) begin
main_sdram_choose_req_grant <= 3'd4;
end
end
end
end
end
end
end
end
3'd6: begin
if (main_sdram_choose_req_request[7]) begin
main_sdram_choose_req_grant <= 3'd7;
end else begin
if (main_sdram_choose_req_request[0]) begin
main_sdram_choose_req_grant <= 1'd0;
end else begin
if (main_sdram_choose_req_request[1]) begin
main_sdram_choose_req_grant <= 1'd1;
end else begin
if (main_sdram_choose_req_request[2]) begin
main_sdram_choose_req_grant <= 2'd2;
end else begin
if (main_sdram_choose_req_request[3]) begin
main_sdram_choose_req_grant <= 2'd3;
end else begin
if (main_sdram_choose_req_request[4]) begin
main_sdram_choose_req_grant <= 3'd4;
end else begin
if (main_sdram_choose_req_request[5]) begin
main_sdram_choose_req_grant <= 3'd5;
end
end
end
end
end
end
end
end
3'd7: begin
if (main_sdram_choose_req_request[0]) begin
main_sdram_choose_req_grant <= 1'd0;
end else begin
if (main_sdram_choose_req_request[1]) begin
main_sdram_choose_req_grant <= 1'd1;
end else begin
if (main_sdram_choose_req_request[2]) begin
main_sdram_choose_req_grant <= 2'd2;
end else begin
if (main_sdram_choose_req_request[3]) begin
main_sdram_choose_req_grant <= 2'd3;
end else begin
if (main_sdram_choose_req_request[4]) begin
main_sdram_choose_req_grant <= 3'd4;
end else begin
if (main_sdram_choose_req_request[5]) begin
main_sdram_choose_req_grant <= 3'd5;
end else begin
if (main_sdram_choose_req_request[6]) begin
main_sdram_choose_req_grant <= 3'd6;
end
end
end
end
end
end
end
end
endcase
end
main_sdram_dfi_p0_cs_n <= 1'd0;
main_sdram_dfi_p0_bank <= builder_array_muxed0;
main_sdram_dfi_p0_address <= builder_array_muxed1;
main_sdram_dfi_p0_cas_n <= (~builder_array_muxed2);
main_sdram_dfi_p0_ras_n <= (~builder_array_muxed3);
main_sdram_dfi_p0_we_n <= (~builder_array_muxed4);
main_sdram_dfi_p0_rddata_en <= builder_array_muxed5;
main_sdram_dfi_p0_wrdata_en <= builder_array_muxed6;
main_sdram_dfi_p1_cs_n <= 1'd0;
main_sdram_dfi_p1_bank <= builder_array_muxed7;
main_sdram_dfi_p1_address <= builder_array_muxed8;
main_sdram_dfi_p1_cas_n <= (~builder_array_muxed9);
main_sdram_dfi_p1_ras_n <= (~builder_array_muxed10);
main_sdram_dfi_p1_we_n <= (~builder_array_muxed11);
main_sdram_dfi_p1_rddata_en <= builder_array_muxed12;
main_sdram_dfi_p1_wrdata_en <= builder_array_muxed13;
main_sdram_dfi_p2_cs_n <= 1'd0;
main_sdram_dfi_p2_bank <= builder_array_muxed14;
main_sdram_dfi_p2_address <= builder_array_muxed15;
main_sdram_dfi_p2_cas_n <= (~builder_array_muxed16);
main_sdram_dfi_p2_ras_n <= (~builder_array_muxed17);
main_sdram_dfi_p2_we_n <= (~builder_array_muxed18);
main_sdram_dfi_p2_rddata_en <= builder_array_muxed19;
main_sdram_dfi_p2_wrdata_en <= builder_array_muxed20;
main_sdram_dfi_p3_cs_n <= 1'd0;
main_sdram_dfi_p3_bank <= builder_array_muxed21;
main_sdram_dfi_p3_address <= builder_array_muxed22;
main_sdram_dfi_p3_cas_n <= (~builder_array_muxed23);
main_sdram_dfi_p3_ras_n <= (~builder_array_muxed24);
main_sdram_dfi_p3_we_n <= (~builder_array_muxed25);
main_sdram_dfi_p3_rddata_en <= builder_array_muxed26;
main_sdram_dfi_p3_wrdata_en <= builder_array_muxed27;
if (main_sdram_trrdcon_valid) begin
main_sdram_trrdcon_count <= 1'd1;
if (1'd0) begin
main_sdram_trrdcon_ready <= 1'd1;
end else begin
main_sdram_trrdcon_ready <= 1'd0;
end
end else begin
if ((~main_sdram_trrdcon_ready)) begin
main_sdram_trrdcon_count <= (main_sdram_trrdcon_count - 1'd1);
if ((main_sdram_trrdcon_count == 1'd1)) begin
main_sdram_trrdcon_ready <= 1'd1;
end
end
end
main_sdram_tfawcon_window <= {main_sdram_tfawcon_window, main_sdram_tfawcon_valid};
if ((main_sdram_tfawcon_count < 3'd4)) begin
if ((main_sdram_tfawcon_count == 2'd3)) begin
main_sdram_tfawcon_ready <= (~main_sdram_tfawcon_valid);
end else begin
main_sdram_tfawcon_ready <= 1'd1;
end
end
if (main_sdram_tccdcon_valid) begin
main_sdram_tccdcon_count <= 1'd0;
if (1'd1) begin
main_sdram_tccdcon_ready <= 1'd1;
end else begin
main_sdram_tccdcon_ready <= 1'd0;
end
end else begin
if ((~main_sdram_tccdcon_ready)) begin
main_sdram_tccdcon_count <= (main_sdram_tccdcon_count - 1'd1);
if ((main_sdram_tccdcon_count == 1'd1)) begin
main_sdram_tccdcon_ready <= 1'd1;
end
end
end
if (main_sdram_twtrcon_valid) begin
main_sdram_twtrcon_count <= 3'd4;
if (1'd0) begin
main_sdram_twtrcon_ready <= 1'd1;
end else begin
main_sdram_twtrcon_ready <= 1'd0;
end
end else begin
if ((~main_sdram_twtrcon_ready)) begin
main_sdram_twtrcon_count <= (main_sdram_twtrcon_count - 1'd1);
if ((main_sdram_twtrcon_count == 1'd1)) begin
main_sdram_twtrcon_ready <= 1'd1;
end
end
end
builder_multiplexer_state <= builder_multiplexer_next_state;
if (((builder_roundrobin0_grant == 1'd0) & main_sdram_interface_bank0_rdata_valid)) begin
builder_rbank <= 1'd0;
end
if (((builder_roundrobin0_grant == 1'd0) & main_sdram_interface_bank0_wdata_ready)) begin
builder_wbank <= 1'd0;
end
if (((builder_roundrobin1_grant == 1'd0) & main_sdram_interface_bank1_rdata_valid)) begin
builder_rbank <= 1'd1;
end
if (((builder_roundrobin1_grant == 1'd0) & main_sdram_interface_bank1_wdata_ready)) begin
builder_wbank <= 1'd1;
end
if (((builder_roundrobin2_grant == 1'd0) & main_sdram_interface_bank2_rdata_valid)) begin
builder_rbank <= 2'd2;
end
if (((builder_roundrobin2_grant == 1'd0) & main_sdram_interface_bank2_wdata_ready)) begin
builder_wbank <= 2'd2;
end
if (((builder_roundrobin3_grant == 1'd0) & main_sdram_interface_bank3_rdata_valid)) begin
builder_rbank <= 2'd3;
end
if (((builder_roundrobin3_grant == 1'd0) & main_sdram_interface_bank3_wdata_ready)) begin
builder_wbank <= 2'd3;
end
if (((builder_roundrobin4_grant == 1'd0) & main_sdram_interface_bank4_rdata_valid)) begin
builder_rbank <= 3'd4;
end
if (((builder_roundrobin4_grant == 1'd0) & main_sdram_interface_bank4_wdata_ready)) begin
builder_wbank <= 3'd4;
end
if (((builder_roundrobin5_grant == 1'd0) & main_sdram_interface_bank5_rdata_valid)) begin
builder_rbank <= 3'd5;
end
if (((builder_roundrobin5_grant == 1'd0) & main_sdram_interface_bank5_wdata_ready)) begin
builder_wbank <= 3'd5;
end
if (((builder_roundrobin6_grant == 1'd0) & main_sdram_interface_bank6_rdata_valid)) begin
builder_rbank <= 3'd6;
end
if (((builder_roundrobin6_grant == 1'd0) & main_sdram_interface_bank6_wdata_ready)) begin
builder_wbank <= 3'd6;
end
if (((builder_roundrobin7_grant == 1'd0) & main_sdram_interface_bank7_rdata_valid)) begin
builder_rbank <= 3'd7;
end
if (((builder_roundrobin7_grant == 1'd0) & main_sdram_interface_bank7_wdata_ready)) begin
builder_wbank <= 3'd7;
end
builder_new_master_wdata_ready0 <= ((((((((1'd0 | ((builder_roundrobin0_grant == 1'd0) & main_sdram_interface_bank0_wdata_ready)) | ((builder_roundrobin1_grant == 1'd0) & main_sdram_interface_bank1_wdata_ready)) | ((builder_roundrobin2_grant == 1'd0) & main_sdram_interface_bank2_wdata_ready)) | ((builder_roundrobin3_grant == 1'd0) & main_sdram_interface_bank3_wdata_ready)) | ((builder_roundrobin4_grant == 1'd0) & main_sdram_interface_bank4_wdata_ready)) | ((builder_roundrobin5_grant == 1'd0) & main_sdram_interface_bank5_wdata_ready)) | ((builder_roundrobin6_grant == 1'd0) & main_sdram_interface_bank6_wdata_ready)) | ((builder_roundrobin7_grant == 1'd0) & main_sdram_interface_bank7_wdata_ready));
builder_new_master_wdata_ready1 <= builder_new_master_wdata_ready0;
builder_new_master_wdata_ready2 <= builder_new_master_wdata_ready1;
builder_new_master_rdata_valid0 <= ((((((((1'd0 | ((builder_roundrobin0_grant == 1'd0) & main_sdram_interface_bank0_rdata_valid)) | ((builder_roundrobin1_grant == 1'd0) & main_sdram_interface_bank1_rdata_valid)) | ((builder_roundrobin2_grant == 1'd0) & main_sdram_interface_bank2_rdata_valid)) | ((builder_roundrobin3_grant == 1'd0) & main_sdram_interface_bank3_rdata_valid)) | ((builder_roundrobin4_grant == 1'd0) & main_sdram_interface_bank4_rdata_valid)) | ((builder_roundrobin5_grant == 1'd0) & main_sdram_interface_bank5_rdata_valid)) | ((builder_roundrobin6_grant == 1'd0) & main_sdram_interface_bank6_rdata_valid)) | ((builder_roundrobin7_grant == 1'd0) & main_sdram_interface_bank7_rdata_valid));
builder_new_master_rdata_valid1 <= builder_new_master_rdata_valid0;
builder_new_master_rdata_valid2 <= builder_new_master_rdata_valid1;
builder_new_master_rdata_valid3 <= builder_new_master_rdata_valid2;
builder_new_master_rdata_valid4 <= builder_new_master_rdata_valid3;
builder_new_master_rdata_valid5 <= builder_new_master_rdata_valid4;
builder_new_master_rdata_valid6 <= builder_new_master_rdata_valid5;
builder_new_master_rdata_valid7 <= builder_new_master_rdata_valid6;
builder_new_master_rdata_valid8 <= builder_new_master_rdata_valid7;
builder_new_master_rdata_valid9 <= builder_new_master_rdata_valid8;
main_adr_offset_r <= main_interface0_wb_sdram_adr[1:0];
builder_fullmemorywe_state <= builder_fullmemorywe_next_state;
builder_litedramwishbone2native_state <= builder_litedramwishbone2native_next_state;
if (main_count_next_value_ce) begin
main_count <= main_count_next_value;
end
builder_basesoc_slave_sel_r <= builder_basesoc_slave_sel;
if (builder_basesoc_wait) begin
if ((~builder_basesoc_done)) begin
builder_basesoc_count <= (builder_basesoc_count - 1'd1);
end
end else begin
builder_basesoc_count <= 20'd1000000;
end
builder_basesoc_csrbankarray_interface0_bank_bus_dat_r <= 1'd0;
if (builder_basesoc_csrbankarray_csrbank0_sel) begin
case (builder_basesoc_csrbankarray_interface0_bank_bus_adr[3:0])
1'd0: begin
builder_basesoc_csrbankarray_interface0_bank_bus_dat_r <= builder_basesoc_csrbankarray_csrbank0_reset0_w;
end
1'd1: begin
builder_basesoc_csrbankarray_interface0_bank_bus_dat_r <= builder_basesoc_csrbankarray_csrbank0_scratch3_w;
end
2'd2: begin
builder_basesoc_csrbankarray_interface0_bank_bus_dat_r <= builder_basesoc_csrbankarray_csrbank0_scratch2_w;
end
2'd3: begin
builder_basesoc_csrbankarray_interface0_bank_bus_dat_r <= builder_basesoc_csrbankarray_csrbank0_scratch1_w;
end
3'd4: begin
builder_basesoc_csrbankarray_interface0_bank_bus_dat_r <= builder_basesoc_csrbankarray_csrbank0_scratch0_w;
end
3'd5: begin
builder_basesoc_csrbankarray_interface0_bank_bus_dat_r <= builder_basesoc_csrbankarray_csrbank0_bus_errors3_w;
end
3'd6: begin
builder_basesoc_csrbankarray_interface0_bank_bus_dat_r <= builder_basesoc_csrbankarray_csrbank0_bus_errors2_w;
end
3'd7: begin
builder_basesoc_csrbankarray_interface0_bank_bus_dat_r <= builder_basesoc_csrbankarray_csrbank0_bus_errors1_w;
end
4'd8: begin
builder_basesoc_csrbankarray_interface0_bank_bus_dat_r <= builder_basesoc_csrbankarray_csrbank0_bus_errors0_w;
end
endcase
end
if (builder_basesoc_csrbankarray_csrbank0_reset0_re) begin
main_ctrl_reset_storage <= builder_basesoc_csrbankarray_csrbank0_reset0_r;
end
main_ctrl_reset_re <= builder_basesoc_csrbankarray_csrbank0_reset0_re;
if (builder_basesoc_csrbankarray_csrbank0_scratch3_re) begin
main_ctrl_scratch_storage[31:24] <= builder_basesoc_csrbankarray_csrbank0_scratch3_r;
end
if (builder_basesoc_csrbankarray_csrbank0_scratch2_re) begin
main_ctrl_scratch_storage[23:16] <= builder_basesoc_csrbankarray_csrbank0_scratch2_r;
end
if (builder_basesoc_csrbankarray_csrbank0_scratch1_re) begin
main_ctrl_scratch_storage[15:8] <= builder_basesoc_csrbankarray_csrbank0_scratch1_r;
end
if (builder_basesoc_csrbankarray_csrbank0_scratch0_re) begin
main_ctrl_scratch_storage[7:0] <= builder_basesoc_csrbankarray_csrbank0_scratch0_r;
end
main_ctrl_scratch_re <= builder_basesoc_csrbankarray_csrbank0_scratch0_re;
builder_basesoc_csrbankarray_interface1_bank_bus_dat_r <= 1'd0;
if (builder_basesoc_csrbankarray_csrbank1_sel) begin
case (builder_basesoc_csrbankarray_interface1_bank_bus_adr[2:0])
1'd0: begin
builder_basesoc_csrbankarray_interface1_bank_bus_dat_r <= builder_basesoc_csrbankarray_csrbank1_half_sys8x_taps0_w;
end
1'd1: begin
builder_basesoc_csrbankarray_interface1_bank_bus_dat_r <= main_a7ddrphy_cdly_rst_w;
end
2'd2: begin
builder_basesoc_csrbankarray_interface1_bank_bus_dat_r <= main_a7ddrphy_cdly_inc_w;
end
2'd3: begin
builder_basesoc_csrbankarray_interface1_bank_bus_dat_r <= builder_basesoc_csrbankarray_csrbank1_dly_sel0_w;
end
3'd4: begin
builder_basesoc_csrbankarray_interface1_bank_bus_dat_r <= main_a7ddrphy_rdly_dq_rst_w;
end
3'd5: begin
builder_basesoc_csrbankarray_interface1_bank_bus_dat_r <= main_a7ddrphy_rdly_dq_inc_w;
end
3'd6: begin
builder_basesoc_csrbankarray_interface1_bank_bus_dat_r <= main_a7ddrphy_rdly_dq_bitslip_rst_w;
end
3'd7: begin
builder_basesoc_csrbankarray_interface1_bank_bus_dat_r <= main_a7ddrphy_rdly_dq_bitslip_w;
end
endcase
end
if (builder_basesoc_csrbankarray_csrbank1_half_sys8x_taps0_re) begin
main_a7ddrphy_half_sys8x_taps_storage[4:0] <= builder_basesoc_csrbankarray_csrbank1_half_sys8x_taps0_r;
end
main_a7ddrphy_half_sys8x_taps_re <= builder_basesoc_csrbankarray_csrbank1_half_sys8x_taps0_re;
if (builder_basesoc_csrbankarray_csrbank1_dly_sel0_re) begin
main_a7ddrphy_dly_sel_storage[1:0] <= builder_basesoc_csrbankarray_csrbank1_dly_sel0_r;
end
main_a7ddrphy_dly_sel_re <= builder_basesoc_csrbankarray_csrbank1_dly_sel0_re;
builder_basesoc_csrbankarray_sel_r <= builder_basesoc_csrbankarray_sel;
builder_basesoc_csrbankarray_interface2_bank_bus_dat_r <= 1'd0;
if (builder_basesoc_csrbankarray_csrbank2_sel) begin
case (builder_basesoc_csrbankarray_interface2_bank_bus_adr[5:0])
1'd0: begin
builder_basesoc_csrbankarray_interface2_bank_bus_dat_r <= builder_basesoc_csrbankarray_csrbank2_dfii_control0_w;
end
1'd1: begin
builder_basesoc_csrbankarray_interface2_bank_bus_dat_r <= builder_basesoc_csrbankarray_csrbank2_dfii_pi0_command0_w;
end
2'd2: begin
builder_basesoc_csrbankarray_interface2_bank_bus_dat_r <= main_sdram_phaseinjector0_command_issue_w;
end
2'd3: begin
builder_basesoc_csrbankarray_interface2_bank_bus_dat_r <= builder_basesoc_csrbankarray_csrbank2_dfii_pi0_address1_w;
end
3'd4: begin
builder_basesoc_csrbankarray_interface2_bank_bus_dat_r <= builder_basesoc_csrbankarray_csrbank2_dfii_pi0_address0_w;
end
3'd5: begin
builder_basesoc_csrbankarray_interface2_bank_bus_dat_r <= builder_basesoc_csrbankarray_csrbank2_dfii_pi0_baddress0_w;
end
3'd6: begin
builder_basesoc_csrbankarray_interface2_bank_bus_dat_r <= builder_basesoc_csrbankarray_csrbank2_dfii_pi0_wrdata3_w;
end
3'd7: begin
builder_basesoc_csrbankarray_interface2_bank_bus_dat_r <= builder_basesoc_csrbankarray_csrbank2_dfii_pi0_wrdata2_w;
end
4'd8: begin
builder_basesoc_csrbankarray_interface2_bank_bus_dat_r <= builder_basesoc_csrbankarray_csrbank2_dfii_pi0_wrdata1_w;
end
4'd9: begin
builder_basesoc_csrbankarray_interface2_bank_bus_dat_r <= builder_basesoc_csrbankarray_csrbank2_dfii_pi0_wrdata0_w;
end
4'd10: begin
builder_basesoc_csrbankarray_interface2_bank_bus_dat_r <= builder_basesoc_csrbankarray_csrbank2_dfii_pi0_rddata3_w;
end
4'd11: begin
builder_basesoc_csrbankarray_interface2_bank_bus_dat_r <= builder_basesoc_csrbankarray_csrbank2_dfii_pi0_rddata2_w;
end
4'd12: begin
builder_basesoc_csrbankarray_interface2_bank_bus_dat_r <= builder_basesoc_csrbankarray_csrbank2_dfii_pi0_rddata1_w;
end
4'd13: begin
builder_basesoc_csrbankarray_interface2_bank_bus_dat_r <= builder_basesoc_csrbankarray_csrbank2_dfii_pi0_rddata0_w;
end
4'd14: begin
builder_basesoc_csrbankarray_interface2_bank_bus_dat_r <= builder_basesoc_csrbankarray_csrbank2_dfii_pi1_command0_w;
end
4'd15: begin
builder_basesoc_csrbankarray_interface2_bank_bus_dat_r <= main_sdram_phaseinjector1_command_issue_w;
end
5'd16: begin
builder_basesoc_csrbankarray_interface2_bank_bus_dat_r <= builder_basesoc_csrbankarray_csrbank2_dfii_pi1_address1_w;
end
5'd17: begin
builder_basesoc_csrbankarray_interface2_bank_bus_dat_r <= builder_basesoc_csrbankarray_csrbank2_dfii_pi1_address0_w;
end
5'd18: begin
builder_basesoc_csrbankarray_interface2_bank_bus_dat_r <= builder_basesoc_csrbankarray_csrbank2_dfii_pi1_baddress0_w;
end
5'd19: begin
builder_basesoc_csrbankarray_interface2_bank_bus_dat_r <= builder_basesoc_csrbankarray_csrbank2_dfii_pi1_wrdata3_w;
end
5'd20: begin
builder_basesoc_csrbankarray_interface2_bank_bus_dat_r <= builder_basesoc_csrbankarray_csrbank2_dfii_pi1_wrdata2_w;
end
5'd21: begin
builder_basesoc_csrbankarray_interface2_bank_bus_dat_r <= builder_basesoc_csrbankarray_csrbank2_dfii_pi1_wrdata1_w;
end
5'd22: begin
builder_basesoc_csrbankarray_interface2_bank_bus_dat_r <= builder_basesoc_csrbankarray_csrbank2_dfii_pi1_wrdata0_w;
end
5'd23: begin
builder_basesoc_csrbankarray_interface2_bank_bus_dat_r <= builder_basesoc_csrbankarray_csrbank2_dfii_pi1_rddata3_w;
end
5'd24: begin
builder_basesoc_csrbankarray_interface2_bank_bus_dat_r <= builder_basesoc_csrbankarray_csrbank2_dfii_pi1_rddata2_w;
end
5'd25: begin
builder_basesoc_csrbankarray_interface2_bank_bus_dat_r <= builder_basesoc_csrbankarray_csrbank2_dfii_pi1_rddata1_w;
end
5'd26: begin
builder_basesoc_csrbankarray_interface2_bank_bus_dat_r <= builder_basesoc_csrbankarray_csrbank2_dfii_pi1_rddata0_w;
end
5'd27: begin
builder_basesoc_csrbankarray_interface2_bank_bus_dat_r <= builder_basesoc_csrbankarray_csrbank2_dfii_pi2_command0_w;
end
5'd28: begin
builder_basesoc_csrbankarray_interface2_bank_bus_dat_r <= main_sdram_phaseinjector2_command_issue_w;
end
5'd29: begin
builder_basesoc_csrbankarray_interface2_bank_bus_dat_r <= builder_basesoc_csrbankarray_csrbank2_dfii_pi2_address1_w;
end
5'd30: begin
builder_basesoc_csrbankarray_interface2_bank_bus_dat_r <= builder_basesoc_csrbankarray_csrbank2_dfii_pi2_address0_w;
end
5'd31: begin
builder_basesoc_csrbankarray_interface2_bank_bus_dat_r <= builder_basesoc_csrbankarray_csrbank2_dfii_pi2_baddress0_w;
end
6'd32: begin
builder_basesoc_csrbankarray_interface2_bank_bus_dat_r <= builder_basesoc_csrbankarray_csrbank2_dfii_pi2_wrdata3_w;
end
6'd33: begin
builder_basesoc_csrbankarray_interface2_bank_bus_dat_r <= builder_basesoc_csrbankarray_csrbank2_dfii_pi2_wrdata2_w;
end
6'd34: begin
builder_basesoc_csrbankarray_interface2_bank_bus_dat_r <= builder_basesoc_csrbankarray_csrbank2_dfii_pi2_wrdata1_w;
end
6'd35: begin
builder_basesoc_csrbankarray_interface2_bank_bus_dat_r <= builder_basesoc_csrbankarray_csrbank2_dfii_pi2_wrdata0_w;
end
6'd36: begin
builder_basesoc_csrbankarray_interface2_bank_bus_dat_r <= builder_basesoc_csrbankarray_csrbank2_dfii_pi2_rddata3_w;
end
6'd37: begin
builder_basesoc_csrbankarray_interface2_bank_bus_dat_r <= builder_basesoc_csrbankarray_csrbank2_dfii_pi2_rddata2_w;
end
6'd38: begin
builder_basesoc_csrbankarray_interface2_bank_bus_dat_r <= builder_basesoc_csrbankarray_csrbank2_dfii_pi2_rddata1_w;
end
6'd39: begin
builder_basesoc_csrbankarray_interface2_bank_bus_dat_r <= builder_basesoc_csrbankarray_csrbank2_dfii_pi2_rddata0_w;
end
6'd40: begin
builder_basesoc_csrbankarray_interface2_bank_bus_dat_r <= builder_basesoc_csrbankarray_csrbank2_dfii_pi3_command0_w;
end
6'd41: begin
builder_basesoc_csrbankarray_interface2_bank_bus_dat_r <= main_sdram_phaseinjector3_command_issue_w;
end
6'd42: begin
builder_basesoc_csrbankarray_interface2_bank_bus_dat_r <= builder_basesoc_csrbankarray_csrbank2_dfii_pi3_address1_w;
end
6'd43: begin
builder_basesoc_csrbankarray_interface2_bank_bus_dat_r <= builder_basesoc_csrbankarray_csrbank2_dfii_pi3_address0_w;
end
6'd44: begin
builder_basesoc_csrbankarray_interface2_bank_bus_dat_r <= builder_basesoc_csrbankarray_csrbank2_dfii_pi3_baddress0_w;
end
6'd45: begin
builder_basesoc_csrbankarray_interface2_bank_bus_dat_r <= builder_basesoc_csrbankarray_csrbank2_dfii_pi3_wrdata3_w;
end
6'd46: begin
builder_basesoc_csrbankarray_interface2_bank_bus_dat_r <= builder_basesoc_csrbankarray_csrbank2_dfii_pi3_wrdata2_w;
end
6'd47: begin
builder_basesoc_csrbankarray_interface2_bank_bus_dat_r <= builder_basesoc_csrbankarray_csrbank2_dfii_pi3_wrdata1_w;
end
6'd48: begin
builder_basesoc_csrbankarray_interface2_bank_bus_dat_r <= builder_basesoc_csrbankarray_csrbank2_dfii_pi3_wrdata0_w;
end
6'd49: begin
builder_basesoc_csrbankarray_interface2_bank_bus_dat_r <= builder_basesoc_csrbankarray_csrbank2_dfii_pi3_rddata3_w;
end
6'd50: begin
builder_basesoc_csrbankarray_interface2_bank_bus_dat_r <= builder_basesoc_csrbankarray_csrbank2_dfii_pi3_rddata2_w;
end
6'd51: begin
builder_basesoc_csrbankarray_interface2_bank_bus_dat_r <= builder_basesoc_csrbankarray_csrbank2_dfii_pi3_rddata1_w;
end
6'd52: begin
builder_basesoc_csrbankarray_interface2_bank_bus_dat_r <= builder_basesoc_csrbankarray_csrbank2_dfii_pi3_rddata0_w;
end
endcase
end
if (builder_basesoc_csrbankarray_csrbank2_dfii_control0_re) begin
main_sdram_storage[3:0] <= builder_basesoc_csrbankarray_csrbank2_dfii_control0_r;
end
main_sdram_re <= builder_basesoc_csrbankarray_csrbank2_dfii_control0_re;
if (builder_basesoc_csrbankarray_csrbank2_dfii_pi0_command0_re) begin
main_sdram_phaseinjector0_command_storage[5:0] <= builder_basesoc_csrbankarray_csrbank2_dfii_pi0_command0_r;
end
main_sdram_phaseinjector0_command_re <= builder_basesoc_csrbankarray_csrbank2_dfii_pi0_command0_re;
if (builder_basesoc_csrbankarray_csrbank2_dfii_pi0_address1_re) begin
main_sdram_phaseinjector0_address_storage[13:8] <= builder_basesoc_csrbankarray_csrbank2_dfii_pi0_address1_r;
end
if (builder_basesoc_csrbankarray_csrbank2_dfii_pi0_address0_re) begin
main_sdram_phaseinjector0_address_storage[7:0] <= builder_basesoc_csrbankarray_csrbank2_dfii_pi0_address0_r;
end
main_sdram_phaseinjector0_address_re <= builder_basesoc_csrbankarray_csrbank2_dfii_pi0_address0_re;
if (builder_basesoc_csrbankarray_csrbank2_dfii_pi0_baddress0_re) begin
main_sdram_phaseinjector0_baddress_storage[2:0] <= builder_basesoc_csrbankarray_csrbank2_dfii_pi0_baddress0_r;
end
main_sdram_phaseinjector0_baddress_re <= builder_basesoc_csrbankarray_csrbank2_dfii_pi0_baddress0_re;
if (builder_basesoc_csrbankarray_csrbank2_dfii_pi0_wrdata3_re) begin
main_sdram_phaseinjector0_wrdata_storage[31:24] <= builder_basesoc_csrbankarray_csrbank2_dfii_pi0_wrdata3_r;
end
if (builder_basesoc_csrbankarray_csrbank2_dfii_pi0_wrdata2_re) begin
main_sdram_phaseinjector0_wrdata_storage[23:16] <= builder_basesoc_csrbankarray_csrbank2_dfii_pi0_wrdata2_r;
end
if (builder_basesoc_csrbankarray_csrbank2_dfii_pi0_wrdata1_re) begin
main_sdram_phaseinjector0_wrdata_storage[15:8] <= builder_basesoc_csrbankarray_csrbank2_dfii_pi0_wrdata1_r;
end
if (builder_basesoc_csrbankarray_csrbank2_dfii_pi0_wrdata0_re) begin
main_sdram_phaseinjector0_wrdata_storage[7:0] <= builder_basesoc_csrbankarray_csrbank2_dfii_pi0_wrdata0_r;
end
main_sdram_phaseinjector0_wrdata_re <= builder_basesoc_csrbankarray_csrbank2_dfii_pi0_wrdata0_re;
if (builder_basesoc_csrbankarray_csrbank2_dfii_pi1_command0_re) begin
main_sdram_phaseinjector1_command_storage[5:0] <= builder_basesoc_csrbankarray_csrbank2_dfii_pi1_command0_r;
end
main_sdram_phaseinjector1_command_re <= builder_basesoc_csrbankarray_csrbank2_dfii_pi1_command0_re;
if (builder_basesoc_csrbankarray_csrbank2_dfii_pi1_address1_re) begin
main_sdram_phaseinjector1_address_storage[13:8] <= builder_basesoc_csrbankarray_csrbank2_dfii_pi1_address1_r;
end
if (builder_basesoc_csrbankarray_csrbank2_dfii_pi1_address0_re) begin
main_sdram_phaseinjector1_address_storage[7:0] <= builder_basesoc_csrbankarray_csrbank2_dfii_pi1_address0_r;
end
main_sdram_phaseinjector1_address_re <= builder_basesoc_csrbankarray_csrbank2_dfii_pi1_address0_re;
if (builder_basesoc_csrbankarray_csrbank2_dfii_pi1_baddress0_re) begin
main_sdram_phaseinjector1_baddress_storage[2:0] <= builder_basesoc_csrbankarray_csrbank2_dfii_pi1_baddress0_r;
end
main_sdram_phaseinjector1_baddress_re <= builder_basesoc_csrbankarray_csrbank2_dfii_pi1_baddress0_re;
if (builder_basesoc_csrbankarray_csrbank2_dfii_pi1_wrdata3_re) begin
main_sdram_phaseinjector1_wrdata_storage[31:24] <= builder_basesoc_csrbankarray_csrbank2_dfii_pi1_wrdata3_r;
end
if (builder_basesoc_csrbankarray_csrbank2_dfii_pi1_wrdata2_re) begin
main_sdram_phaseinjector1_wrdata_storage[23:16] <= builder_basesoc_csrbankarray_csrbank2_dfii_pi1_wrdata2_r;
end
if (builder_basesoc_csrbankarray_csrbank2_dfii_pi1_wrdata1_re) begin
main_sdram_phaseinjector1_wrdata_storage[15:8] <= builder_basesoc_csrbankarray_csrbank2_dfii_pi1_wrdata1_r;
end
if (builder_basesoc_csrbankarray_csrbank2_dfii_pi1_wrdata0_re) begin
main_sdram_phaseinjector1_wrdata_storage[7:0] <= builder_basesoc_csrbankarray_csrbank2_dfii_pi1_wrdata0_r;
end
main_sdram_phaseinjector1_wrdata_re <= builder_basesoc_csrbankarray_csrbank2_dfii_pi1_wrdata0_re;
if (builder_basesoc_csrbankarray_csrbank2_dfii_pi2_command0_re) begin
main_sdram_phaseinjector2_command_storage[5:0] <= builder_basesoc_csrbankarray_csrbank2_dfii_pi2_command0_r;
end
main_sdram_phaseinjector2_command_re <= builder_basesoc_csrbankarray_csrbank2_dfii_pi2_command0_re;
if (builder_basesoc_csrbankarray_csrbank2_dfii_pi2_address1_re) begin
main_sdram_phaseinjector2_address_storage[13:8] <= builder_basesoc_csrbankarray_csrbank2_dfii_pi2_address1_r;
end
if (builder_basesoc_csrbankarray_csrbank2_dfii_pi2_address0_re) begin
main_sdram_phaseinjector2_address_storage[7:0] <= builder_basesoc_csrbankarray_csrbank2_dfii_pi2_address0_r;
end
main_sdram_phaseinjector2_address_re <= builder_basesoc_csrbankarray_csrbank2_dfii_pi2_address0_re;
if (builder_basesoc_csrbankarray_csrbank2_dfii_pi2_baddress0_re) begin
main_sdram_phaseinjector2_baddress_storage[2:0] <= builder_basesoc_csrbankarray_csrbank2_dfii_pi2_baddress0_r;
end
main_sdram_phaseinjector2_baddress_re <= builder_basesoc_csrbankarray_csrbank2_dfii_pi2_baddress0_re;
if (builder_basesoc_csrbankarray_csrbank2_dfii_pi2_wrdata3_re) begin
main_sdram_phaseinjector2_wrdata_storage[31:24] <= builder_basesoc_csrbankarray_csrbank2_dfii_pi2_wrdata3_r;
end
if (builder_basesoc_csrbankarray_csrbank2_dfii_pi2_wrdata2_re) begin
main_sdram_phaseinjector2_wrdata_storage[23:16] <= builder_basesoc_csrbankarray_csrbank2_dfii_pi2_wrdata2_r;
end
if (builder_basesoc_csrbankarray_csrbank2_dfii_pi2_wrdata1_re) begin
main_sdram_phaseinjector2_wrdata_storage[15:8] <= builder_basesoc_csrbankarray_csrbank2_dfii_pi2_wrdata1_r;
end
if (builder_basesoc_csrbankarray_csrbank2_dfii_pi2_wrdata0_re) begin
main_sdram_phaseinjector2_wrdata_storage[7:0] <= builder_basesoc_csrbankarray_csrbank2_dfii_pi2_wrdata0_r;
end
main_sdram_phaseinjector2_wrdata_re <= builder_basesoc_csrbankarray_csrbank2_dfii_pi2_wrdata0_re;
if (builder_basesoc_csrbankarray_csrbank2_dfii_pi3_command0_re) begin
main_sdram_phaseinjector3_command_storage[5:0] <= builder_basesoc_csrbankarray_csrbank2_dfii_pi3_command0_r;
end
main_sdram_phaseinjector3_command_re <= builder_basesoc_csrbankarray_csrbank2_dfii_pi3_command0_re;
if (builder_basesoc_csrbankarray_csrbank2_dfii_pi3_address1_re) begin
main_sdram_phaseinjector3_address_storage[13:8] <= builder_basesoc_csrbankarray_csrbank2_dfii_pi3_address1_r;
end
if (builder_basesoc_csrbankarray_csrbank2_dfii_pi3_address0_re) begin
main_sdram_phaseinjector3_address_storage[7:0] <= builder_basesoc_csrbankarray_csrbank2_dfii_pi3_address0_r;
end
main_sdram_phaseinjector3_address_re <= builder_basesoc_csrbankarray_csrbank2_dfii_pi3_address0_re;
if (builder_basesoc_csrbankarray_csrbank2_dfii_pi3_baddress0_re) begin
main_sdram_phaseinjector3_baddress_storage[2:0] <= builder_basesoc_csrbankarray_csrbank2_dfii_pi3_baddress0_r;
end
main_sdram_phaseinjector3_baddress_re <= builder_basesoc_csrbankarray_csrbank2_dfii_pi3_baddress0_re;
if (builder_basesoc_csrbankarray_csrbank2_dfii_pi3_wrdata3_re) begin
main_sdram_phaseinjector3_wrdata_storage[31:24] <= builder_basesoc_csrbankarray_csrbank2_dfii_pi3_wrdata3_r;
end
if (builder_basesoc_csrbankarray_csrbank2_dfii_pi3_wrdata2_re) begin
main_sdram_phaseinjector3_wrdata_storage[23:16] <= builder_basesoc_csrbankarray_csrbank2_dfii_pi3_wrdata2_r;
end
if (builder_basesoc_csrbankarray_csrbank2_dfii_pi3_wrdata1_re) begin
main_sdram_phaseinjector3_wrdata_storage[15:8] <= builder_basesoc_csrbankarray_csrbank2_dfii_pi3_wrdata1_r;
end
if (builder_basesoc_csrbankarray_csrbank2_dfii_pi3_wrdata0_re) begin
main_sdram_phaseinjector3_wrdata_storage[7:0] <= builder_basesoc_csrbankarray_csrbank2_dfii_pi3_wrdata0_r;
end
main_sdram_phaseinjector3_wrdata_re <= builder_basesoc_csrbankarray_csrbank2_dfii_pi3_wrdata0_re;
builder_basesoc_csrbankarray_interface3_bank_bus_dat_r <= 1'd0;
if (builder_basesoc_csrbankarray_csrbank3_sel) begin
case (builder_basesoc_csrbankarray_interface3_bank_bus_adr[4:0])
1'd0: begin
builder_basesoc_csrbankarray_interface3_bank_bus_dat_r <= builder_basesoc_csrbankarray_csrbank3_load3_w;
end
1'd1: begin
builder_basesoc_csrbankarray_interface3_bank_bus_dat_r <= builder_basesoc_csrbankarray_csrbank3_load2_w;
end
2'd2: begin
builder_basesoc_csrbankarray_interface3_bank_bus_dat_r <= builder_basesoc_csrbankarray_csrbank3_load1_w;
end
2'd3: begin
builder_basesoc_csrbankarray_interface3_bank_bus_dat_r <= builder_basesoc_csrbankarray_csrbank3_load0_w;
end
3'd4: begin
builder_basesoc_csrbankarray_interface3_bank_bus_dat_r <= builder_basesoc_csrbankarray_csrbank3_reload3_w;
end
3'd5: begin
builder_basesoc_csrbankarray_interface3_bank_bus_dat_r <= builder_basesoc_csrbankarray_csrbank3_reload2_w;
end
3'd6: begin
builder_basesoc_csrbankarray_interface3_bank_bus_dat_r <= builder_basesoc_csrbankarray_csrbank3_reload1_w;
end
3'd7: begin
builder_basesoc_csrbankarray_interface3_bank_bus_dat_r <= builder_basesoc_csrbankarray_csrbank3_reload0_w;
end
4'd8: begin
builder_basesoc_csrbankarray_interface3_bank_bus_dat_r <= builder_basesoc_csrbankarray_csrbank3_en0_w;
end
4'd9: begin
builder_basesoc_csrbankarray_interface3_bank_bus_dat_r <= builder_basesoc_csrbankarray_csrbank3_update_value0_w;
end
4'd10: begin
builder_basesoc_csrbankarray_interface3_bank_bus_dat_r <= builder_basesoc_csrbankarray_csrbank3_value3_w;
end
4'd11: begin
builder_basesoc_csrbankarray_interface3_bank_bus_dat_r <= builder_basesoc_csrbankarray_csrbank3_value2_w;
end
4'd12: begin
builder_basesoc_csrbankarray_interface3_bank_bus_dat_r <= builder_basesoc_csrbankarray_csrbank3_value1_w;
end
4'd13: begin
builder_basesoc_csrbankarray_interface3_bank_bus_dat_r <= builder_basesoc_csrbankarray_csrbank3_value0_w;
end
4'd14: begin
builder_basesoc_csrbankarray_interface3_bank_bus_dat_r <= main_eventmanager_status_w;
end
4'd15: begin
builder_basesoc_csrbankarray_interface3_bank_bus_dat_r <= main_eventmanager_pending_w;
end
5'd16: begin
builder_basesoc_csrbankarray_interface3_bank_bus_dat_r <= builder_basesoc_csrbankarray_csrbank3_ev_enable0_w;
end
endcase
end
if (builder_basesoc_csrbankarray_csrbank3_load3_re) begin
main_load_storage[31:24] <= builder_basesoc_csrbankarray_csrbank3_load3_r;
end
if (builder_basesoc_csrbankarray_csrbank3_load2_re) begin
main_load_storage[23:16] <= builder_basesoc_csrbankarray_csrbank3_load2_r;
end
if (builder_basesoc_csrbankarray_csrbank3_load1_re) begin
main_load_storage[15:8] <= builder_basesoc_csrbankarray_csrbank3_load1_r;
end
if (builder_basesoc_csrbankarray_csrbank3_load0_re) begin
main_load_storage[7:0] <= builder_basesoc_csrbankarray_csrbank3_load0_r;
end
main_load_re <= builder_basesoc_csrbankarray_csrbank3_load0_re;
if (builder_basesoc_csrbankarray_csrbank3_reload3_re) begin
main_reload_storage[31:24] <= builder_basesoc_csrbankarray_csrbank3_reload3_r;
end
if (builder_basesoc_csrbankarray_csrbank3_reload2_re) begin
main_reload_storage[23:16] <= builder_basesoc_csrbankarray_csrbank3_reload2_r;
end
if (builder_basesoc_csrbankarray_csrbank3_reload1_re) begin
main_reload_storage[15:8] <= builder_basesoc_csrbankarray_csrbank3_reload1_r;
end
if (builder_basesoc_csrbankarray_csrbank3_reload0_re) begin
main_reload_storage[7:0] <= builder_basesoc_csrbankarray_csrbank3_reload0_r;
end
main_reload_re <= builder_basesoc_csrbankarray_csrbank3_reload0_re;
if (builder_basesoc_csrbankarray_csrbank3_en0_re) begin
main_en_storage <= builder_basesoc_csrbankarray_csrbank3_en0_r;
end
main_en_re <= builder_basesoc_csrbankarray_csrbank3_en0_re;
if (builder_basesoc_csrbankarray_csrbank3_update_value0_re) begin
main_update_value_storage <= builder_basesoc_csrbankarray_csrbank3_update_value0_r;
end
main_update_value_re <= builder_basesoc_csrbankarray_csrbank3_update_value0_re;
if (builder_basesoc_csrbankarray_csrbank3_ev_enable0_re) begin
main_eventmanager_storage <= builder_basesoc_csrbankarray_csrbank3_ev_enable0_r;
end
main_eventmanager_re <= builder_basesoc_csrbankarray_csrbank3_ev_enable0_re;
if (sys_rst) begin
main_ctrl_reset_storage <= 1'd0;
main_ctrl_reset_re <= 1'd0;
main_ctrl_scratch_storage <= 32'd305419896;
main_ctrl_scratch_re <= 1'd0;
main_ctrl_bus_errors <= 32'd0;
main_sram_bus_ack <= 1'd0;
serial_tx <= 1'd1;
main_uart_sink_ready <= 1'd0;
main_uart_uart_clk_txen <= 1'd0;
main_uart_phase_accumulator_tx <= 32'd0;
main_uart_tx_reg <= 8'd0;
main_uart_tx_bitcount <= 4'd0;
main_uart_tx_busy <= 1'd0;
main_uart_source_valid <= 1'd0;
main_uart_source_payload_data <= 8'd0;
main_uart_uart_clk_rxen <= 1'd0;
main_uart_phase_accumulator_rx <= 32'd0;
main_uart_rx_r <= 1'd0;
main_uart_rx_reg <= 8'd0;
main_uart_rx_bitcount <= 4'd0;
main_uart_rx_busy <= 1'd0;
main_uart_count <= 23'd5000000;
main_load_storage <= 32'd0;
main_load_re <= 1'd0;
main_reload_storage <= 32'd0;
main_reload_re <= 1'd0;
main_en_storage <= 1'd0;
main_en_re <= 1'd0;
main_update_value_storage <= 1'd0;
main_update_value_re <= 1'd0;
main_value_status <= 32'd0;
main_zero_pending <= 1'd0;
main_zero_old_trigger <= 1'd0;
main_eventmanager_storage <= 1'd0;
main_eventmanager_re <= 1'd0;
main_value <= 32'd0;
main_a7ddrphy_half_sys8x_taps_storage <= 5'd16;
main_a7ddrphy_half_sys8x_taps_re <= 1'd0;
main_a7ddrphy_dly_sel_storage <= 2'd0;
main_a7ddrphy_dly_sel_re <= 1'd0;
main_a7ddrphy_dfi_p0_rddata_valid <= 1'd0;
main_a7ddrphy_dfi_p1_rddata_valid <= 1'd0;
main_a7ddrphy_dfi_p2_rddata_valid <= 1'd0;
main_a7ddrphy_dfi_p3_rddata_valid <= 1'd0;
main_a7ddrphy_oe_dqs <= 1'd0;
main_a7ddrphy_oe_dq <= 1'd0;
main_a7ddrphy_bitslip0_o <= 8'd0;
main_a7ddrphy_bitslip0_value <= 3'd0;
main_a7ddrphy_bitslip0_r <= 16'd0;
main_a7ddrphy_bitslip1_o <= 8'd0;
main_a7ddrphy_bitslip1_value <= 3'd0;
main_a7ddrphy_bitslip1_r <= 16'd0;
main_a7ddrphy_bitslip2_o <= 8'd0;
main_a7ddrphy_bitslip2_value <= 3'd0;
main_a7ddrphy_bitslip2_r <= 16'd0;
main_a7ddrphy_bitslip3_o <= 8'd0;
main_a7ddrphy_bitslip3_value <= 3'd0;
main_a7ddrphy_bitslip3_r <= 16'd0;
main_a7ddrphy_bitslip4_o <= 8'd0;
main_a7ddrphy_bitslip4_value <= 3'd0;
main_a7ddrphy_bitslip4_r <= 16'd0;
main_a7ddrphy_bitslip5_o <= 8'd0;
main_a7ddrphy_bitslip5_value <= 3'd0;
main_a7ddrphy_bitslip5_r <= 16'd0;
main_a7ddrphy_bitslip6_o <= 8'd0;
main_a7ddrphy_bitslip6_value <= 3'd0;
main_a7ddrphy_bitslip6_r <= 16'd0;
main_a7ddrphy_bitslip7_o <= 8'd0;
main_a7ddrphy_bitslip7_value <= 3'd0;
main_a7ddrphy_bitslip7_r <= 16'd0;
main_a7ddrphy_bitslip8_o <= 8'd0;
main_a7ddrphy_bitslip8_value <= 3'd0;
main_a7ddrphy_bitslip8_r <= 16'd0;
main_a7ddrphy_bitslip9_o <= 8'd0;
main_a7ddrphy_bitslip9_value <= 3'd0;
main_a7ddrphy_bitslip9_r <= 16'd0;
main_a7ddrphy_bitslip10_o <= 8'd0;
main_a7ddrphy_bitslip10_value <= 3'd0;
main_a7ddrphy_bitslip10_r <= 16'd0;
main_a7ddrphy_bitslip11_o <= 8'd0;
main_a7ddrphy_bitslip11_value <= 3'd0;
main_a7ddrphy_bitslip11_r <= 16'd0;
main_a7ddrphy_bitslip12_o <= 8'd0;
main_a7ddrphy_bitslip12_value <= 3'd0;
main_a7ddrphy_bitslip12_r <= 16'd0;
main_a7ddrphy_bitslip13_o <= 8'd0;
main_a7ddrphy_bitslip13_value <= 3'd0;
main_a7ddrphy_bitslip13_r <= 16'd0;
main_a7ddrphy_bitslip14_o <= 8'd0;
main_a7ddrphy_bitslip14_value <= 3'd0;
main_a7ddrphy_bitslip14_r <= 16'd0;
main_a7ddrphy_bitslip15_o <= 8'd0;
main_a7ddrphy_bitslip15_value <= 3'd0;
main_a7ddrphy_bitslip15_r <= 16'd0;
main_a7ddrphy_n_rddata_en0 <= 1'd0;
main_a7ddrphy_n_rddata_en1 <= 1'd0;
main_a7ddrphy_n_rddata_en2 <= 1'd0;
main_a7ddrphy_n_rddata_en3 <= 1'd0;
main_a7ddrphy_n_rddata_en4 <= 1'd0;
main_a7ddrphy_n_rddata_en5 <= 1'd0;
main_a7ddrphy_n_rddata_en6 <= 1'd0;
main_a7ddrphy_n_rddata_en7 <= 1'd0;
main_a7ddrphy_last_wrdata_en <= 4'd0;
main_sdram_storage <= 4'd0;
main_sdram_re <= 1'd0;
main_sdram_phaseinjector0_command_storage <= 6'd0;
main_sdram_phaseinjector0_command_re <= 1'd0;
main_sdram_phaseinjector0_address_storage <= 14'd0;
main_sdram_phaseinjector0_address_re <= 1'd0;
main_sdram_phaseinjector0_baddress_storage <= 3'd0;
main_sdram_phaseinjector0_baddress_re <= 1'd0;
main_sdram_phaseinjector0_wrdata_storage <= 32'd0;
main_sdram_phaseinjector0_wrdata_re <= 1'd0;
main_sdram_phaseinjector0_status <= 32'd0;
main_sdram_phaseinjector1_command_storage <= 6'd0;
main_sdram_phaseinjector1_command_re <= 1'd0;
main_sdram_phaseinjector1_address_storage <= 14'd0;
main_sdram_phaseinjector1_address_re <= 1'd0;
main_sdram_phaseinjector1_baddress_storage <= 3'd0;
main_sdram_phaseinjector1_baddress_re <= 1'd0;
main_sdram_phaseinjector1_wrdata_storage <= 32'd0;
main_sdram_phaseinjector1_wrdata_re <= 1'd0;
main_sdram_phaseinjector1_status <= 32'd0;
main_sdram_phaseinjector2_command_storage <= 6'd0;
main_sdram_phaseinjector2_command_re <= 1'd0;
main_sdram_phaseinjector2_address_storage <= 14'd0;
main_sdram_phaseinjector2_address_re <= 1'd0;
main_sdram_phaseinjector2_baddress_storage <= 3'd0;
main_sdram_phaseinjector2_baddress_re <= 1'd0;
main_sdram_phaseinjector2_wrdata_storage <= 32'd0;
main_sdram_phaseinjector2_wrdata_re <= 1'd0;
main_sdram_phaseinjector2_status <= 32'd0;
main_sdram_phaseinjector3_command_storage <= 6'd0;
main_sdram_phaseinjector3_command_re <= 1'd0;
main_sdram_phaseinjector3_address_storage <= 14'd0;
main_sdram_phaseinjector3_address_re <= 1'd0;
main_sdram_phaseinjector3_baddress_storage <= 3'd0;
main_sdram_phaseinjector3_baddress_re <= 1'd0;
main_sdram_phaseinjector3_wrdata_storage <= 32'd0;
main_sdram_phaseinjector3_wrdata_re <= 1'd0;
main_sdram_phaseinjector3_status <= 32'd0;
main_sdram_dfi_p0_address <= 14'd0;
main_sdram_dfi_p0_bank <= 3'd0;
main_sdram_dfi_p0_cas_n <= 1'd1;
main_sdram_dfi_p0_cs_n <= 1'd1;
main_sdram_dfi_p0_ras_n <= 1'd1;
main_sdram_dfi_p0_we_n <= 1'd1;
main_sdram_dfi_p0_wrdata_en <= 1'd0;
main_sdram_dfi_p0_rddata_en <= 1'd0;
main_sdram_dfi_p1_address <= 14'd0;
main_sdram_dfi_p1_bank <= 3'd0;
main_sdram_dfi_p1_cas_n <= 1'd1;
main_sdram_dfi_p1_cs_n <= 1'd1;
main_sdram_dfi_p1_ras_n <= 1'd1;
main_sdram_dfi_p1_we_n <= 1'd1;
main_sdram_dfi_p1_wrdata_en <= 1'd0;
main_sdram_dfi_p1_rddata_en <= 1'd0;
main_sdram_dfi_p2_address <= 14'd0;
main_sdram_dfi_p2_bank <= 3'd0;
main_sdram_dfi_p2_cas_n <= 1'd1;
main_sdram_dfi_p2_cs_n <= 1'd1;
main_sdram_dfi_p2_ras_n <= 1'd1;
main_sdram_dfi_p2_we_n <= 1'd1;
main_sdram_dfi_p2_wrdata_en <= 1'd0;
main_sdram_dfi_p2_rddata_en <= 1'd0;
main_sdram_dfi_p3_address <= 14'd0;
main_sdram_dfi_p3_bank <= 3'd0;
main_sdram_dfi_p3_cas_n <= 1'd1;
main_sdram_dfi_p3_cs_n <= 1'd1;
main_sdram_dfi_p3_ras_n <= 1'd1;
main_sdram_dfi_p3_we_n <= 1'd1;
main_sdram_dfi_p3_wrdata_en <= 1'd0;
main_sdram_dfi_p3_rddata_en <= 1'd0;
main_sdram_cmd_payload_a <= 14'd0;
main_sdram_cmd_payload_ba <= 3'd0;
main_sdram_cmd_payload_cas <= 1'd0;
main_sdram_cmd_payload_ras <= 1'd0;
main_sdram_cmd_payload_we <= 1'd0;
main_sdram_timer_count1 <= 9'd390;
main_sdram_postponer_req_o <= 1'd0;
main_sdram_postponer_count <= 1'd0;
main_sdram_sequencer_done1 <= 1'd0;
main_sdram_sequencer_counter <= 6'd0;
main_sdram_sequencer_count <= 1'd0;
main_sdram_zqcs_timer_count1 <= 26'd49999999;
main_sdram_zqcs_executer_done <= 1'd0;
main_sdram_zqcs_executer_counter <= 5'd0;
main_sdram_bankmachine0_cmd_buffer_lookahead_level <= 4'd0;
main_sdram_bankmachine0_cmd_buffer_lookahead_produce <= 3'd0;
main_sdram_bankmachine0_cmd_buffer_lookahead_consume <= 3'd0;
main_sdram_bankmachine0_cmd_buffer_source_valid <= 1'd0;
main_sdram_bankmachine0_cmd_buffer_source_first <= 1'd0;
main_sdram_bankmachine0_cmd_buffer_source_last <= 1'd0;
main_sdram_bankmachine0_cmd_buffer_source_payload_we <= 1'd0;
main_sdram_bankmachine0_cmd_buffer_source_payload_addr <= 21'd0;
main_sdram_bankmachine0_row <= 14'd0;
main_sdram_bankmachine0_row_opened <= 1'd0;
main_sdram_bankmachine0_twtpcon_ready <= 1'd1;
main_sdram_bankmachine0_twtpcon_count <= 3'd0;
main_sdram_bankmachine0_trccon_ready <= 1'd1;
main_sdram_bankmachine0_trccon_count <= 2'd0;
main_sdram_bankmachine0_trascon_ready <= 1'd1;
main_sdram_bankmachine0_trascon_count <= 2'd0;
main_sdram_bankmachine1_cmd_buffer_lookahead_level <= 4'd0;
main_sdram_bankmachine1_cmd_buffer_lookahead_produce <= 3'd0;
main_sdram_bankmachine1_cmd_buffer_lookahead_consume <= 3'd0;
main_sdram_bankmachine1_cmd_buffer_source_valid <= 1'd0;
main_sdram_bankmachine1_cmd_buffer_source_first <= 1'd0;
main_sdram_bankmachine1_cmd_buffer_source_last <= 1'd0;
main_sdram_bankmachine1_cmd_buffer_source_payload_we <= 1'd0;
main_sdram_bankmachine1_cmd_buffer_source_payload_addr <= 21'd0;
main_sdram_bankmachine1_row <= 14'd0;
main_sdram_bankmachine1_row_opened <= 1'd0;
main_sdram_bankmachine1_twtpcon_ready <= 1'd1;
main_sdram_bankmachine1_twtpcon_count <= 3'd0;
main_sdram_bankmachine1_trccon_ready <= 1'd1;
main_sdram_bankmachine1_trccon_count <= 2'd0;
main_sdram_bankmachine1_trascon_ready <= 1'd1;
main_sdram_bankmachine1_trascon_count <= 2'd0;
main_sdram_bankmachine2_cmd_buffer_lookahead_level <= 4'd0;
main_sdram_bankmachine2_cmd_buffer_lookahead_produce <= 3'd0;
main_sdram_bankmachine2_cmd_buffer_lookahead_consume <= 3'd0;
main_sdram_bankmachine2_cmd_buffer_source_valid <= 1'd0;
main_sdram_bankmachine2_cmd_buffer_source_first <= 1'd0;
main_sdram_bankmachine2_cmd_buffer_source_last <= 1'd0;
main_sdram_bankmachine2_cmd_buffer_source_payload_we <= 1'd0;
main_sdram_bankmachine2_cmd_buffer_source_payload_addr <= 21'd0;
main_sdram_bankmachine2_row <= 14'd0;
main_sdram_bankmachine2_row_opened <= 1'd0;
main_sdram_bankmachine2_twtpcon_ready <= 1'd1;
main_sdram_bankmachine2_twtpcon_count <= 3'd0;
main_sdram_bankmachine2_trccon_ready <= 1'd1;
main_sdram_bankmachine2_trccon_count <= 2'd0;
main_sdram_bankmachine2_trascon_ready <= 1'd1;
main_sdram_bankmachine2_trascon_count <= 2'd0;
main_sdram_bankmachine3_cmd_buffer_lookahead_level <= 4'd0;
main_sdram_bankmachine3_cmd_buffer_lookahead_produce <= 3'd0;
main_sdram_bankmachine3_cmd_buffer_lookahead_consume <= 3'd0;
main_sdram_bankmachine3_cmd_buffer_source_valid <= 1'd0;
main_sdram_bankmachine3_cmd_buffer_source_first <= 1'd0;
main_sdram_bankmachine3_cmd_buffer_source_last <= 1'd0;
main_sdram_bankmachine3_cmd_buffer_source_payload_we <= 1'd0;
main_sdram_bankmachine3_cmd_buffer_source_payload_addr <= 21'd0;
main_sdram_bankmachine3_row <= 14'd0;
main_sdram_bankmachine3_row_opened <= 1'd0;
main_sdram_bankmachine3_twtpcon_ready <= 1'd1;
main_sdram_bankmachine3_twtpcon_count <= 3'd0;
main_sdram_bankmachine3_trccon_ready <= 1'd1;
main_sdram_bankmachine3_trccon_count <= 2'd0;
main_sdram_bankmachine3_trascon_ready <= 1'd1;
main_sdram_bankmachine3_trascon_count <= 2'd0;
main_sdram_bankmachine4_cmd_buffer_lookahead_level <= 4'd0;
main_sdram_bankmachine4_cmd_buffer_lookahead_produce <= 3'd0;
main_sdram_bankmachine4_cmd_buffer_lookahead_consume <= 3'd0;
main_sdram_bankmachine4_cmd_buffer_source_valid <= 1'd0;
main_sdram_bankmachine4_cmd_buffer_source_first <= 1'd0;
main_sdram_bankmachine4_cmd_buffer_source_last <= 1'd0;
main_sdram_bankmachine4_cmd_buffer_source_payload_we <= 1'd0;
main_sdram_bankmachine4_cmd_buffer_source_payload_addr <= 21'd0;
main_sdram_bankmachine4_row <= 14'd0;
main_sdram_bankmachine4_row_opened <= 1'd0;
main_sdram_bankmachine4_twtpcon_ready <= 1'd1;
main_sdram_bankmachine4_twtpcon_count <= 3'd0;
main_sdram_bankmachine4_trccon_ready <= 1'd1;
main_sdram_bankmachine4_trccon_count <= 2'd0;
main_sdram_bankmachine4_trascon_ready <= 1'd1;
main_sdram_bankmachine4_trascon_count <= 2'd0;
main_sdram_bankmachine5_cmd_buffer_lookahead_level <= 4'd0;
main_sdram_bankmachine5_cmd_buffer_lookahead_produce <= 3'd0;
main_sdram_bankmachine5_cmd_buffer_lookahead_consume <= 3'd0;
main_sdram_bankmachine5_cmd_buffer_source_valid <= 1'd0;
main_sdram_bankmachine5_cmd_buffer_source_first <= 1'd0;
main_sdram_bankmachine5_cmd_buffer_source_last <= 1'd0;
main_sdram_bankmachine5_cmd_buffer_source_payload_we <= 1'd0;
main_sdram_bankmachine5_cmd_buffer_source_payload_addr <= 21'd0;
main_sdram_bankmachine5_row <= 14'd0;
main_sdram_bankmachine5_row_opened <= 1'd0;
main_sdram_bankmachine5_twtpcon_ready <= 1'd1;
main_sdram_bankmachine5_twtpcon_count <= 3'd0;
main_sdram_bankmachine5_trccon_ready <= 1'd1;
main_sdram_bankmachine5_trccon_count <= 2'd0;
main_sdram_bankmachine5_trascon_ready <= 1'd1;
main_sdram_bankmachine5_trascon_count <= 2'd0;
main_sdram_bankmachine6_cmd_buffer_lookahead_level <= 4'd0;
main_sdram_bankmachine6_cmd_buffer_lookahead_produce <= 3'd0;
main_sdram_bankmachine6_cmd_buffer_lookahead_consume <= 3'd0;
main_sdram_bankmachine6_cmd_buffer_source_valid <= 1'd0;
main_sdram_bankmachine6_cmd_buffer_source_first <= 1'd0;
main_sdram_bankmachine6_cmd_buffer_source_last <= 1'd0;
main_sdram_bankmachine6_cmd_buffer_source_payload_we <= 1'd0;
main_sdram_bankmachine6_cmd_buffer_source_payload_addr <= 21'd0;
main_sdram_bankmachine6_row <= 14'd0;
main_sdram_bankmachine6_row_opened <= 1'd0;
main_sdram_bankmachine6_twtpcon_ready <= 1'd1;
main_sdram_bankmachine6_twtpcon_count <= 3'd0;
main_sdram_bankmachine6_trccon_ready <= 1'd1;
main_sdram_bankmachine6_trccon_count <= 2'd0;
main_sdram_bankmachine6_trascon_ready <= 1'd1;
main_sdram_bankmachine6_trascon_count <= 2'd0;
main_sdram_bankmachine7_cmd_buffer_lookahead_level <= 4'd0;
main_sdram_bankmachine7_cmd_buffer_lookahead_produce <= 3'd0;
main_sdram_bankmachine7_cmd_buffer_lookahead_consume <= 3'd0;
main_sdram_bankmachine7_cmd_buffer_source_valid <= 1'd0;
main_sdram_bankmachine7_cmd_buffer_source_first <= 1'd0;
main_sdram_bankmachine7_cmd_buffer_source_last <= 1'd0;
main_sdram_bankmachine7_cmd_buffer_source_payload_we <= 1'd0;
main_sdram_bankmachine7_cmd_buffer_source_payload_addr <= 21'd0;
main_sdram_bankmachine7_row <= 14'd0;
main_sdram_bankmachine7_row_opened <= 1'd0;
main_sdram_bankmachine7_twtpcon_ready <= 1'd1;
main_sdram_bankmachine7_twtpcon_count <= 3'd0;
main_sdram_bankmachine7_trccon_ready <= 1'd1;
main_sdram_bankmachine7_trccon_count <= 2'd0;
main_sdram_bankmachine7_trascon_ready <= 1'd1;
main_sdram_bankmachine7_trascon_count <= 2'd0;
main_sdram_choose_cmd_grant <= 3'd0;
main_sdram_choose_req_grant <= 3'd0;
main_sdram_trrdcon_ready <= 1'd1;
main_sdram_trrdcon_count <= 1'd0;
main_sdram_tfawcon_ready <= 1'd1;
main_sdram_tfawcon_window <= 3'd0;
main_sdram_tccdcon_ready <= 1'd1;
main_sdram_tccdcon_count <= 1'd0;
main_sdram_twtrcon_ready <= 1'd1;
main_sdram_twtrcon_count <= 3'd0;
main_sdram_time0 <= 5'd0;
main_sdram_time1 <= 4'd0;
main_adr_offset_r <= 2'd0;
main_count <= 1'd0;
builder_uartwishbonebridge_state <= 3'd0;
builder_wb2csr_state <= 1'd0;
builder_refresher_state <= 2'd0;
builder_bankmachine0_state <= 3'd0;
builder_bankmachine1_state <= 3'd0;
builder_bankmachine2_state <= 3'd0;
builder_bankmachine3_state <= 3'd0;
builder_bankmachine4_state <= 3'd0;
builder_bankmachine5_state <= 3'd0;
builder_bankmachine6_state <= 3'd0;
builder_bankmachine7_state <= 3'd0;
builder_multiplexer_state <= 4'd0;
builder_rbank <= 3'd0;
builder_wbank <= 3'd0;
builder_new_master_wdata_ready0 <= 1'd0;
builder_new_master_wdata_ready1 <= 1'd0;
builder_new_master_wdata_ready2 <= 1'd0;
builder_new_master_rdata_valid0 <= 1'd0;
builder_new_master_rdata_valid1 <= 1'd0;
builder_new_master_rdata_valid2 <= 1'd0;
builder_new_master_rdata_valid3 <= 1'd0;
builder_new_master_rdata_valid4 <= 1'd0;
builder_new_master_rdata_valid5 <= 1'd0;
builder_new_master_rdata_valid6 <= 1'd0;
builder_new_master_rdata_valid7 <= 1'd0;
builder_new_master_rdata_valid8 <= 1'd0;
builder_new_master_rdata_valid9 <= 1'd0;
builder_fullmemorywe_state <= 2'd0;
builder_litedramwishbone2native_state <= 2'd0;
builder_basesoc_slave_sel_r <= 3'd0;
builder_basesoc_count <= 20'd1000000;
builder_basesoc_csrbankarray_interface0_bank_bus_dat_r <= 8'd0;
builder_basesoc_csrbankarray_interface1_bank_bus_dat_r <= 8'd0;
builder_basesoc_csrbankarray_sel_r <= 1'd0;
builder_basesoc_csrbankarray_interface2_bank_bus_dat_r <= 8'd0;
builder_basesoc_csrbankarray_interface3_bank_bus_dat_r <= 8'd0;
end
builder_regs0 <= serial_rx;
builder_regs1 <= builder_regs0;
end
reg [31:0] mem[0:1023];
reg [9:0] memadr;
always @(posedge sys_clk) begin
if (main_sram_we[0])
mem[main_sram_adr][7:0] <= main_sram_dat_w[7:0];
if (main_sram_we[1])
mem[main_sram_adr][15:8] <= main_sram_dat_w[15:8];
if (main_sram_we[2])
mem[main_sram_adr][23:16] <= main_sram_dat_w[23:16];
if (main_sram_we[3])
mem[main_sram_adr][31:24] <= main_sram_dat_w[31:24];
memadr <= main_sram_adr;
end
assign main_sram_dat_r = mem[memadr];
initial begin
$readmemh("mem.init", mem);
end
reg [7:0] mem_1[0:73];
reg [6:0] memadr_1;
always @(posedge sys_clk) begin
memadr_1 <= builder_basesoc_csrbankarray_adr;
end
assign builder_basesoc_csrbankarray_dat_r = mem_1[memadr_1];
initial begin
$readmemh("mem_1.init", mem_1);
end
(* LOC="BUFGCTRL_X0Y16" *)
BUFG BUFG(
.I(clk100),
.O(main_pll_clkin)
);
(* LOC="BUFGCTRL_X0Y0" *)
BUFG BUFG_1(
.I(main_clkout0),
.O(main_clkout_buf0)
);
(* LOC="BUFGCTRL_X0Y1" *)
BUFG BUFG_2(
.I(main_clkout1),
.O(main_clkout_buf1)
);
(* LOC="BUFGCTRL_X0Y3" *)
BUFG BUFG_3(
.I(main_clkout2),
.O(main_clkout_buf2)
);
(* LOC="BUFGCTRL_X0Y2" *)
BUFG BUFG_4(
.I(main_clkout3),
.O(main_clkout_buf3)
);
(* LOC="BUFGCTRL_X0Y4" *)
BUFG BUFG_5(
.I((~main_clkout_buf1)),
.O(main_clkout_buf4)
);
(* LOC="IDELAYCTRL_X1Y0" *)
IDELAYCTRL IDELAYCTRL(
.REFCLK(clk200_clk),
.RST(main_ic_reset),
.RDY(idelayctl_rdy)
);
wire tq;
OSERDESE2 #(
.DATA_RATE_OQ("DDR"),
.DATA_RATE_TQ("BUF"),
.DATA_WIDTH(4'd8),
.SERDES_MODE("MASTER"),
.TRISTATE_WIDTH(1'd1)
) OSERDESE2 (
.CLK(sys4x_clk),
.CLKDIV(sys_clk),
.D1(1'd0),
.D2(1'd1),
.D3(1'd0),
.D4(1'd1),
.D5(1'd0),
.D6(1'd1),
.D7(1'd0),
.D8(1'd1),
.OCE(1'd1),
.RST(sys_rst),
.OQ(main_a7ddrphy_sd_clk_se_nodelay),
.TQ(tq),
.TCE(1'd1),
.T1(1'b0)
);
OBUFTDS OBUFTDS_2(
.I(main_a7ddrphy_sd_clk_se_nodelay),
.O(ddram_clk_p),
.OB(ddram_clk_n),
.T(tq)
);
OSERDESE2 #(
.DATA_RATE_OQ("DDR"),
.DATA_RATE_TQ("BUF"),
.DATA_WIDTH(4'd8),
.SERDES_MODE("MASTER"),
.TRISTATE_WIDTH(1'd1)
) OSERDESE2_1 (
.CLK(sys4x_clk),
.CLKDIV(sys_clk),
.D1(main_a7ddrphy_dfi_p0_address[0]),
.D2(main_a7ddrphy_dfi_p0_address[0]),
.D3(main_a7ddrphy_dfi_p1_address[0]),
.D4(main_a7ddrphy_dfi_p1_address[0]),
.D5(main_a7ddrphy_dfi_p2_address[0]),
.D6(main_a7ddrphy_dfi_p2_address[0]),
.D7(main_a7ddrphy_dfi_p3_address[0]),
.D8(main_a7ddrphy_dfi_p3_address[0]),
.OCE(1'd1),
.RST(sys_rst),
.OQ(ddram_a_iob[0])
);
OSERDESE2 #(
.DATA_RATE_OQ("DDR"),
.DATA_RATE_TQ("BUF"),
.DATA_WIDTH(4'd8),
.SERDES_MODE("MASTER"),
.TRISTATE_WIDTH(1'd1)
) OSERDESE2_2 (
.CLK(sys4x_clk),
.CLKDIV(sys_clk),
.D1(main_a7ddrphy_dfi_p0_address[1]),
.D2(main_a7ddrphy_dfi_p0_address[1]),
.D3(main_a7ddrphy_dfi_p1_address[1]),
.D4(main_a7ddrphy_dfi_p1_address[1]),
.D5(main_a7ddrphy_dfi_p2_address[1]),
.D6(main_a7ddrphy_dfi_p2_address[1]),
.D7(main_a7ddrphy_dfi_p3_address[1]),
.D8(main_a7ddrphy_dfi_p3_address[1]),
.OCE(1'd1),
.RST(sys_rst),
.OQ(ddram_a_iob[1])
);
OSERDESE2 #(
.DATA_RATE_OQ("DDR"),
.DATA_RATE_TQ("BUF"),
.DATA_WIDTH(4'd8),
.SERDES_MODE("MASTER"),
.TRISTATE_WIDTH(1'd1)
) OSERDESE2_3 (
.CLK(sys4x_clk),
.CLKDIV(sys_clk),
.D1(main_a7ddrphy_dfi_p0_address[2]),
.D2(main_a7ddrphy_dfi_p0_address[2]),
.D3(main_a7ddrphy_dfi_p1_address[2]),
.D4(main_a7ddrphy_dfi_p1_address[2]),
.D5(main_a7ddrphy_dfi_p2_address[2]),
.D6(main_a7ddrphy_dfi_p2_address[2]),
.D7(main_a7ddrphy_dfi_p3_address[2]),
.D8(main_a7ddrphy_dfi_p3_address[2]),
.OCE(1'd1),
.RST(sys_rst),
.OQ(ddram_a_iob[2])
);
OSERDESE2 #(
.DATA_RATE_OQ("DDR"),
.DATA_RATE_TQ("BUF"),
.DATA_WIDTH(4'd8),
.SERDES_MODE("MASTER"),
.TRISTATE_WIDTH(1'd1)
) OSERDESE2_4 (
.CLK(sys4x_clk),
.CLKDIV(sys_clk),
.D1(main_a7ddrphy_dfi_p0_address[3]),
.D2(main_a7ddrphy_dfi_p0_address[3]),
.D3(main_a7ddrphy_dfi_p1_address[3]),
.D4(main_a7ddrphy_dfi_p1_address[3]),
.D5(main_a7ddrphy_dfi_p2_address[3]),
.D6(main_a7ddrphy_dfi_p2_address[3]),
.D7(main_a7ddrphy_dfi_p3_address[3]),
.D8(main_a7ddrphy_dfi_p3_address[3]),
.OCE(1'd1),
.RST(sys_rst),
.OQ(ddram_a_iob[3])
);
OSERDESE2 #(
.DATA_RATE_OQ("DDR"),
.DATA_RATE_TQ("BUF"),
.DATA_WIDTH(4'd8),
.SERDES_MODE("MASTER"),
.TRISTATE_WIDTH(1'd1)
) OSERDESE2_5 (
.CLK(sys4x_clk),
.CLKDIV(sys_clk),
.D1(main_a7ddrphy_dfi_p0_address[4]),
.D2(main_a7ddrphy_dfi_p0_address[4]),
.D3(main_a7ddrphy_dfi_p1_address[4]),
.D4(main_a7ddrphy_dfi_p1_address[4]),
.D5(main_a7ddrphy_dfi_p2_address[4]),
.D6(main_a7ddrphy_dfi_p2_address[4]),
.D7(main_a7ddrphy_dfi_p3_address[4]),
.D8(main_a7ddrphy_dfi_p3_address[4]),
.OCE(1'd1),
.RST(sys_rst),
.OQ(ddram_a_iob[4])
);
OSERDESE2 #(
.DATA_RATE_OQ("DDR"),
.DATA_RATE_TQ("BUF"),
.DATA_WIDTH(4'd8),
.SERDES_MODE("MASTER"),
.TRISTATE_WIDTH(1'd1)
) OSERDESE2_6 (
.CLK(sys4x_clk),
.CLKDIV(sys_clk),
.D1(main_a7ddrphy_dfi_p0_address[5]),
.D2(main_a7ddrphy_dfi_p0_address[5]),
.D3(main_a7ddrphy_dfi_p1_address[5]),
.D4(main_a7ddrphy_dfi_p1_address[5]),
.D5(main_a7ddrphy_dfi_p2_address[5]),
.D6(main_a7ddrphy_dfi_p2_address[5]),
.D7(main_a7ddrphy_dfi_p3_address[5]),
.D8(main_a7ddrphy_dfi_p3_address[5]),
.OCE(1'd1),
.RST(sys_rst),
.OQ(ddram_a_iob[5])
);
OSERDESE2 #(
.DATA_RATE_OQ("DDR"),
.DATA_RATE_TQ("BUF"),
.DATA_WIDTH(4'd8),
.SERDES_MODE("MASTER"),
.TRISTATE_WIDTH(1'd1)
) OSERDESE2_7 (
.CLK(sys4x_clk),
.CLKDIV(sys_clk),
.D1(main_a7ddrphy_dfi_p0_address[6]),
.D2(main_a7ddrphy_dfi_p0_address[6]),
.D3(main_a7ddrphy_dfi_p1_address[6]),
.D4(main_a7ddrphy_dfi_p1_address[6]),
.D5(main_a7ddrphy_dfi_p2_address[6]),
.D6(main_a7ddrphy_dfi_p2_address[6]),
.D7(main_a7ddrphy_dfi_p3_address[6]),
.D8(main_a7ddrphy_dfi_p3_address[6]),
.OCE(1'd1),
.RST(sys_rst),
.OQ(ddram_a_iob[6])
);
OSERDESE2 #(
.DATA_RATE_OQ("DDR"),
.DATA_RATE_TQ("BUF"),
.DATA_WIDTH(4'd8),
.SERDES_MODE("MASTER"),
.TRISTATE_WIDTH(1'd1)
) OSERDESE2_8 (
.CLK(sys4x_clk),
.CLKDIV(sys_clk),
.D1(main_a7ddrphy_dfi_p0_address[7]),
.D2(main_a7ddrphy_dfi_p0_address[7]),
.D3(main_a7ddrphy_dfi_p1_address[7]),
.D4(main_a7ddrphy_dfi_p1_address[7]),
.D5(main_a7ddrphy_dfi_p2_address[7]),
.D6(main_a7ddrphy_dfi_p2_address[7]),
.D7(main_a7ddrphy_dfi_p3_address[7]),
.D8(main_a7ddrphy_dfi_p3_address[7]),
.OCE(1'd1),
.RST(sys_rst),
.OQ(ddram_a_iob[7])
);
OSERDESE2 #(
.DATA_RATE_OQ("DDR"),
.DATA_RATE_TQ("BUF"),
.DATA_WIDTH(4'd8),
.SERDES_MODE("MASTER"),
.TRISTATE_WIDTH(1'd1)
) OSERDESE2_9 (
.CLK(sys4x_clk),
.CLKDIV(sys_clk),
.D1(main_a7ddrphy_dfi_p0_address[8]),
.D2(main_a7ddrphy_dfi_p0_address[8]),
.D3(main_a7ddrphy_dfi_p1_address[8]),
.D4(main_a7ddrphy_dfi_p1_address[8]),
.D5(main_a7ddrphy_dfi_p2_address[8]),
.D6(main_a7ddrphy_dfi_p2_address[8]),
.D7(main_a7ddrphy_dfi_p3_address[8]),
.D8(main_a7ddrphy_dfi_p3_address[8]),
.OCE(1'd1),
.RST(sys_rst),
.OQ(ddram_a_iob[8])
);
OSERDESE2 #(
.DATA_RATE_OQ("DDR"),
.DATA_RATE_TQ("BUF"),
.DATA_WIDTH(4'd8),
.SERDES_MODE("MASTER"),
.TRISTATE_WIDTH(1'd1)
) OSERDESE2_10 (
.CLK(sys4x_clk),
.CLKDIV(sys_clk),
.D1(main_a7ddrphy_dfi_p0_address[9]),
.D2(main_a7ddrphy_dfi_p0_address[9]),
.D3(main_a7ddrphy_dfi_p1_address[9]),
.D4(main_a7ddrphy_dfi_p1_address[9]),
.D5(main_a7ddrphy_dfi_p2_address[9]),
.D6(main_a7ddrphy_dfi_p2_address[9]),
.D7(main_a7ddrphy_dfi_p3_address[9]),
.D8(main_a7ddrphy_dfi_p3_address[9]),
.OCE(1'd1),
.RST(sys_rst),
.OQ(ddram_a_iob[9])
);
OSERDESE2 #(
.DATA_RATE_OQ("DDR"),
.DATA_RATE_TQ("BUF"),
.DATA_WIDTH(4'd8),
.SERDES_MODE("MASTER"),
.TRISTATE_WIDTH(1'd1)
) OSERDESE2_11 (
.CLK(sys4x_clk),
.CLKDIV(sys_clk),
.D1(main_a7ddrphy_dfi_p0_address[10]),
.D2(main_a7ddrphy_dfi_p0_address[10]),
.D3(main_a7ddrphy_dfi_p1_address[10]),
.D4(main_a7ddrphy_dfi_p1_address[10]),
.D5(main_a7ddrphy_dfi_p2_address[10]),
.D6(main_a7ddrphy_dfi_p2_address[10]),
.D7(main_a7ddrphy_dfi_p3_address[10]),
.D8(main_a7ddrphy_dfi_p3_address[10]),
.OCE(1'd1),
.RST(sys_rst),
.OQ(ddram_a_iob[10])
);
OSERDESE2 #(
.DATA_RATE_OQ("DDR"),
.DATA_RATE_TQ("BUF"),
.DATA_WIDTH(4'd8),
.SERDES_MODE("MASTER"),
.TRISTATE_WIDTH(1'd1)
) OSERDESE2_12 (
.CLK(sys4x_clk),
.CLKDIV(sys_clk),
.D1(main_a7ddrphy_dfi_p0_address[11]),
.D2(main_a7ddrphy_dfi_p0_address[11]),
.D3(main_a7ddrphy_dfi_p1_address[11]),
.D4(main_a7ddrphy_dfi_p1_address[11]),
.D5(main_a7ddrphy_dfi_p2_address[11]),
.D6(main_a7ddrphy_dfi_p2_address[11]),
.D7(main_a7ddrphy_dfi_p3_address[11]),
.D8(main_a7ddrphy_dfi_p3_address[11]),
.OCE(1'd1),
.RST(sys_rst),
.OQ(ddram_a_iob[11])
);
OSERDESE2 #(
.DATA_RATE_OQ("DDR"),
.DATA_RATE_TQ("BUF"),
.DATA_WIDTH(4'd8),
.SERDES_MODE("MASTER"),
.TRISTATE_WIDTH(1'd1)
) OSERDESE2_13 (
.CLK(sys4x_clk),
.CLKDIV(sys_clk),
.D1(main_a7ddrphy_dfi_p0_address[12]),
.D2(main_a7ddrphy_dfi_p0_address[12]),
.D3(main_a7ddrphy_dfi_p1_address[12]),
.D4(main_a7ddrphy_dfi_p1_address[12]),
.D5(main_a7ddrphy_dfi_p2_address[12]),
.D6(main_a7ddrphy_dfi_p2_address[12]),
.D7(main_a7ddrphy_dfi_p3_address[12]),
.D8(main_a7ddrphy_dfi_p3_address[12]),
.OCE(1'd1),
.RST(sys_rst),
.OQ(ddram_a_iob[12])
);
OSERDESE2 #(
.DATA_RATE_OQ("DDR"),
.DATA_RATE_TQ("BUF"),
.DATA_WIDTH(4'd8),
.SERDES_MODE("MASTER"),
.TRISTATE_WIDTH(1'd1)
) OSERDESE2_14 (
.CLK(sys4x_clk),
.CLKDIV(sys_clk),
.D1(main_a7ddrphy_dfi_p0_address[13]),
.D2(main_a7ddrphy_dfi_p0_address[13]),
.D3(main_a7ddrphy_dfi_p1_address[13]),
.D4(main_a7ddrphy_dfi_p1_address[13]),
.D5(main_a7ddrphy_dfi_p2_address[13]),
.D6(main_a7ddrphy_dfi_p2_address[13]),
.D7(main_a7ddrphy_dfi_p3_address[13]),
.D8(main_a7ddrphy_dfi_p3_address[13]),
.OCE(1'd1),
.RST(sys_rst),
.OQ(ddram_a_iob[13])
);
OSERDESE2 #(
.DATA_RATE_OQ("DDR"),
.DATA_RATE_TQ("BUF"),
.DATA_WIDTH(4'd8),
.SERDES_MODE("MASTER"),
.TRISTATE_WIDTH(1'd1)
) OSERDESE2_15 (
.CLK(sys4x_clk),
.CLKDIV(sys_clk),
.D1(main_a7ddrphy_dfi_p0_bank[0]),
.D2(main_a7ddrphy_dfi_p0_bank[0]),
.D3(main_a7ddrphy_dfi_p1_bank[0]),
.D4(main_a7ddrphy_dfi_p1_bank[0]),
.D5(main_a7ddrphy_dfi_p2_bank[0]),
.D6(main_a7ddrphy_dfi_p2_bank[0]),
.D7(main_a7ddrphy_dfi_p3_bank[0]),
.D8(main_a7ddrphy_dfi_p3_bank[0]),
.OCE(1'd1),
.RST(sys_rst),
.OQ(ddram_ba_iob[0])
);
OSERDESE2 #(
.DATA_RATE_OQ("DDR"),
.DATA_RATE_TQ("BUF"),
.DATA_WIDTH(4'd8),
.SERDES_MODE("MASTER"),
.TRISTATE_WIDTH(1'd1)
) OSERDESE2_16 (
.CLK(sys4x_clk),
.CLKDIV(sys_clk),
.D1(main_a7ddrphy_dfi_p0_bank[1]),
.D2(main_a7ddrphy_dfi_p0_bank[1]),
.D3(main_a7ddrphy_dfi_p1_bank[1]),
.D4(main_a7ddrphy_dfi_p1_bank[1]),
.D5(main_a7ddrphy_dfi_p2_bank[1]),
.D6(main_a7ddrphy_dfi_p2_bank[1]),
.D7(main_a7ddrphy_dfi_p3_bank[1]),
.D8(main_a7ddrphy_dfi_p3_bank[1]),
.OCE(1'd1),
.RST(sys_rst),
.OQ(ddram_ba_iob[1])
);
OSERDESE2 #(
.DATA_RATE_OQ("DDR"),
.DATA_RATE_TQ("BUF"),
.DATA_WIDTH(4'd8),
.SERDES_MODE("MASTER"),
.TRISTATE_WIDTH(1'd1)
) OSERDESE2_17 (
.CLK(sys4x_clk),
.CLKDIV(sys_clk),
.D1(main_a7ddrphy_dfi_p0_bank[2]),
.D2(main_a7ddrphy_dfi_p0_bank[2]),
.D3(main_a7ddrphy_dfi_p1_bank[2]),
.D4(main_a7ddrphy_dfi_p1_bank[2]),
.D5(main_a7ddrphy_dfi_p2_bank[2]),
.D6(main_a7ddrphy_dfi_p2_bank[2]),
.D7(main_a7ddrphy_dfi_p3_bank[2]),
.D8(main_a7ddrphy_dfi_p3_bank[2]),
.OCE(1'd1),
.RST(sys_rst),
.OQ(ddram_ba_iob[2])
);
OSERDESE2 #(
.DATA_RATE_OQ("DDR"),
.DATA_RATE_TQ("BUF"),
.DATA_WIDTH(4'd8),
.SERDES_MODE("MASTER"),
.TRISTATE_WIDTH(1'd1)
) OSERDESE2_18 (
.CLK(sys4x_clk),
.CLKDIV(sys_clk),
.D1(main_a7ddrphy_dfi_p0_ras_n),
.D2(main_a7ddrphy_dfi_p0_ras_n),
.D3(main_a7ddrphy_dfi_p1_ras_n),
.D4(main_a7ddrphy_dfi_p1_ras_n),
.D5(main_a7ddrphy_dfi_p2_ras_n),
.D6(main_a7ddrphy_dfi_p2_ras_n),
.D7(main_a7ddrphy_dfi_p3_ras_n),
.D8(main_a7ddrphy_dfi_p3_ras_n),
.OCE(1'd1),
.RST(sys_rst),
.OQ(ddram_ras_n_iob)
);
OSERDESE2 #(
.DATA_RATE_OQ("DDR"),
.DATA_RATE_TQ("BUF"),
.DATA_WIDTH(4'd8),
.SERDES_MODE("MASTER"),
.TRISTATE_WIDTH(1'd1)
) OSERDESE2_19 (
.CLK(sys4x_clk),
.CLKDIV(sys_clk),
.D1(main_a7ddrphy_dfi_p0_cas_n),
.D2(main_a7ddrphy_dfi_p0_cas_n),
.D3(main_a7ddrphy_dfi_p1_cas_n),
.D4(main_a7ddrphy_dfi_p1_cas_n),
.D5(main_a7ddrphy_dfi_p2_cas_n),
.D6(main_a7ddrphy_dfi_p2_cas_n),
.D7(main_a7ddrphy_dfi_p3_cas_n),
.D8(main_a7ddrphy_dfi_p3_cas_n),
.OCE(1'd1),
.RST(sys_rst),
.OQ(ddram_cas_n_iob)
);
OSERDESE2 #(
.DATA_RATE_OQ("DDR"),
.DATA_RATE_TQ("BUF"),
.DATA_WIDTH(4'd8),
.SERDES_MODE("MASTER"),
.TRISTATE_WIDTH(1'd1)
) OSERDESE2_20 (
.CLK(sys4x_clk),
.CLKDIV(sys_clk),
.D1(main_a7ddrphy_dfi_p0_we_n),
.D2(main_a7ddrphy_dfi_p0_we_n),
.D3(main_a7ddrphy_dfi_p1_we_n),
.D4(main_a7ddrphy_dfi_p1_we_n),
.D5(main_a7ddrphy_dfi_p2_we_n),
.D6(main_a7ddrphy_dfi_p2_we_n),
.D7(main_a7ddrphy_dfi_p3_we_n),
.D8(main_a7ddrphy_dfi_p3_we_n),
.OCE(1'd1),
.RST(sys_rst),
.OQ(ddram_we_n_iob)
);
OSERDESE2 #(
.DATA_RATE_OQ("DDR"),
.DATA_RATE_TQ("BUF"),
.DATA_WIDTH(4'd8),
.SERDES_MODE("MASTER"),
.TRISTATE_WIDTH(1'd1)
) OSERDESE2_21 (
.CLK(sys4x_clk),
.CLKDIV(sys_clk),
.D1(main_a7ddrphy_dfi_p0_cke),
.D2(main_a7ddrphy_dfi_p0_cke),
.D3(main_a7ddrphy_dfi_p1_cke),
.D4(main_a7ddrphy_dfi_p1_cke),
.D5(main_a7ddrphy_dfi_p2_cke),
.D6(main_a7ddrphy_dfi_p2_cke),
.D7(main_a7ddrphy_dfi_p3_cke),
.D8(main_a7ddrphy_dfi_p3_cke),
.OCE(1'd1),
.RST(sys_rst),
.OQ(ddram_cke_iob)
);
OSERDESE2 #(
.DATA_RATE_OQ("DDR"),
.DATA_RATE_TQ("BUF"),
.DATA_WIDTH(4'd8),
.SERDES_MODE("MASTER"),
.TRISTATE_WIDTH(1'd1)
) OSERDESE2_22 (
.CLK(sys4x_clk),
.CLKDIV(sys_clk),
.D1(main_a7ddrphy_dfi_p0_odt),
.D2(main_a7ddrphy_dfi_p0_odt),
.D3(main_a7ddrphy_dfi_p1_odt),
.D4(main_a7ddrphy_dfi_p1_odt),
.D5(main_a7ddrphy_dfi_p2_odt),
.D6(main_a7ddrphy_dfi_p2_odt),
.D7(main_a7ddrphy_dfi_p3_odt),
.D8(main_a7ddrphy_dfi_p3_odt),
.OCE(1'd1),
.RST(sys_rst),
.OQ(ddram_odt_iob)
);
OSERDESE2 #(
.DATA_RATE_OQ("DDR"),
.DATA_RATE_TQ("BUF"),
.DATA_WIDTH(4'd8),
.SERDES_MODE("MASTER"),
.TRISTATE_WIDTH(1'd1)
) OSERDESE2_23 (
.CLK(sys4x_clk),
.CLKDIV(sys_clk),
.D1(main_a7ddrphy_dfi_p0_reset_n),
.D2(main_a7ddrphy_dfi_p0_reset_n),
.D3(main_a7ddrphy_dfi_p1_reset_n),
.D4(main_a7ddrphy_dfi_p1_reset_n),
.D5(main_a7ddrphy_dfi_p2_reset_n),
.D6(main_a7ddrphy_dfi_p2_reset_n),
.D7(main_a7ddrphy_dfi_p3_reset_n),
.D8(main_a7ddrphy_dfi_p3_reset_n),
.OCE(1'd1),
.RST(sys_rst),
.OQ(ddram_reset_n_iob)
);
OSERDESE2 #(
.DATA_RATE_OQ("DDR"),
.DATA_RATE_TQ("BUF"),
.DATA_WIDTH(4'd8),
.SERDES_MODE("MASTER"),
.TRISTATE_WIDTH(1'd1)
) OSERDESE2_24 (
.CLK(sys4x_clk),
.CLKDIV(sys_clk),
.D1(main_a7ddrphy_dfi_p0_cs_n),
.D2(main_a7ddrphy_dfi_p0_cs_n),
.D3(main_a7ddrphy_dfi_p1_cs_n),
.D4(main_a7ddrphy_dfi_p1_cs_n),
.D5(main_a7ddrphy_dfi_p2_cs_n),
.D6(main_a7ddrphy_dfi_p2_cs_n),
.D7(main_a7ddrphy_dfi_p3_cs_n),
.D8(main_a7ddrphy_dfi_p3_cs_n),
.OCE(1'd1),
.RST(sys_rst),
.OQ(ddram_cs_n_iob)
);
OSERDESE2 #(
.DATA_RATE_OQ("DDR"),
.DATA_RATE_TQ("BUF"),
.DATA_WIDTH(4'd8),
.SERDES_MODE("MASTER"),
.TRISTATE_WIDTH(1'd1)
) OSERDESE2_25 (
.CLK(sys4x_clk),
.CLKDIV(sys_clk),
.D1(main_a7ddrphy_dfi_p0_wrdata_mask[0]),
.D2(main_a7ddrphy_dfi_p0_wrdata_mask[2]),
.D3(main_a7ddrphy_dfi_p1_wrdata_mask[0]),
.D4(main_a7ddrphy_dfi_p1_wrdata_mask[2]),
.D5(main_a7ddrphy_dfi_p2_wrdata_mask[0]),
.D6(main_a7ddrphy_dfi_p2_wrdata_mask[2]),
.D7(main_a7ddrphy_dfi_p3_wrdata_mask[0]),
.D8(main_a7ddrphy_dfi_p3_wrdata_mask[2]),
.OCE(1'd1),
.RST(sys_rst),
.OQ(ddram_dm_iob[0])
);
OSERDESE2 #(
.DATA_RATE_OQ("DDR"),
.DATA_RATE_TQ("BUF"),
.DATA_WIDTH(4'd8),
.SERDES_MODE("MASTER"),
.TRISTATE_WIDTH(1'd1)
) OSERDESE2_26 (
.CLK(sys4x_dqs_clk),
.CLKDIV(sys_clk),
.D1(main_a7ddrphy_dqs_serdes_pattern[0]),
.D2(main_a7ddrphy_dqs_serdes_pattern[1]),
.D3(main_a7ddrphy_dqs_serdes_pattern[2]),
.D4(main_a7ddrphy_dqs_serdes_pattern[3]),
.D5(main_a7ddrphy_dqs_serdes_pattern[4]),
.D6(main_a7ddrphy_dqs_serdes_pattern[5]),
.D7(main_a7ddrphy_dqs_serdes_pattern[6]),
.D8(main_a7ddrphy_dqs_serdes_pattern[7]),
.OCE(1'd1),
.RST(sys_rst),
.T1((~main_a7ddrphy_oe_dqs)),
.TCE(1'd1),
.OFB(main_a7ddrphy0),
.OQ(main_a7ddrphy_dqs_nodelay0),
.TQ(main_a7ddrphy_dqs_t0)
);
OBUFTDS OBUFTDS(
.I(main_a7ddrphy_dqs_nodelay0),
.T(main_a7ddrphy_dqs_t0),
.O(ddram_dqs_p[0]),
.OB(ddram_dqs_n[0])
);
OSERDESE2 #(
.DATA_RATE_OQ("DDR"),
.DATA_RATE_TQ("BUF"),
.DATA_WIDTH(4'd8),
.SERDES_MODE("MASTER"),
.TRISTATE_WIDTH(1'd1)
) OSERDESE2_27 (
.CLK(sys4x_clk),
.CLKDIV(sys_clk),
.D1(main_a7ddrphy_dfi_p0_wrdata_mask[1]),
.D2(main_a7ddrphy_dfi_p0_wrdata_mask[3]),
.D3(main_a7ddrphy_dfi_p1_wrdata_mask[1]),
.D4(main_a7ddrphy_dfi_p1_wrdata_mask[3]),
.D5(main_a7ddrphy_dfi_p2_wrdata_mask[1]),
.D6(main_a7ddrphy_dfi_p2_wrdata_mask[3]),
.D7(main_a7ddrphy_dfi_p3_wrdata_mask[1]),
.D8(main_a7ddrphy_dfi_p3_wrdata_mask[3]),
.OCE(1'd1),
.RST(sys_rst),
.OQ(ddram_dm_iob[1])
);
OSERDESE2 #(
.DATA_RATE_OQ("DDR"),
.DATA_RATE_TQ("BUF"),
.DATA_WIDTH(4'd8),
.SERDES_MODE("MASTER"),
.TRISTATE_WIDTH(1'd1)
) OSERDESE2_28 (
.CLK(sys4x_dqs_clk),
.CLKDIV(sys_clk),
.D1(main_a7ddrphy_dqs_serdes_pattern[0]),
.D2(main_a7ddrphy_dqs_serdes_pattern[1]),
.D3(main_a7ddrphy_dqs_serdes_pattern[2]),
.D4(main_a7ddrphy_dqs_serdes_pattern[3]),
.D5(main_a7ddrphy_dqs_serdes_pattern[4]),
.D6(main_a7ddrphy_dqs_serdes_pattern[5]),
.D7(main_a7ddrphy_dqs_serdes_pattern[6]),
.D8(main_a7ddrphy_dqs_serdes_pattern[7]),
.OCE(1'd1),
.RST(sys_rst),
.T1((~main_a7ddrphy_oe_dqs)),
.TCE(1'd1),
.OFB(main_a7ddrphy1),
.OQ(main_a7ddrphy_dqs_nodelay1),
.TQ(main_a7ddrphy_dqs_t1)
);
OBUFTDS OBUFTDS_1(
.I(main_a7ddrphy_dqs_nodelay1),
.T(main_a7ddrphy_dqs_t1),
.O(ddram_dqs_p[1]),
.OB(ddram_dqs_n[1])
);
OSERDESE2 #(
.DATA_RATE_OQ("DDR"),
.DATA_RATE_TQ("BUF"),
.DATA_WIDTH(4'd8),
.SERDES_MODE("MASTER"),
.TRISTATE_WIDTH(1'd1)
) OSERDESE2_29 (
.CLK(sys4x_clk),
.CLKDIV(sys_clk),
.D1(main_a7ddrphy_dfi_p0_wrdata[0]),
.D2(main_a7ddrphy_dfi_p0_wrdata[16]),
.D3(main_a7ddrphy_dfi_p1_wrdata[0]),
.D4(main_a7ddrphy_dfi_p1_wrdata[16]),
.D5(main_a7ddrphy_dfi_p2_wrdata[0]),
.D6(main_a7ddrphy_dfi_p2_wrdata[16]),
.D7(main_a7ddrphy_dfi_p3_wrdata[0]),
.D8(main_a7ddrphy_dfi_p3_wrdata[16]),
.OCE(1'd1),
.RST(sys_rst),
.T1((~main_a7ddrphy_oe_dq)),
.TCE(1'd1),
.OQ(main_a7ddrphy_dq_o_nodelay0),
.TQ(main_a7ddrphy_dq_t0)
);
ISERDESE2 #(
.DATA_RATE("DDR"),
.DATA_WIDTH(4'd8),
.INTERFACE_TYPE("NETWORKING"),
.IOBDELAY("IFD"),
.NUM_CE(1'd1),
.SERDES_MODE("MASTER")
) ISERDESE2 (
.BITSLIP(1'd0),
.CE1(1'd1),
.CLK(sys4x_clk),
.CLKB(sys4x_clkb),
.CLKDIV(sys_clk),
.DDLY(main_a7ddrphy_dq_i_delayed0),
.RST(sys_rst),
.Q1(main_a7ddrphy_dq_i_data0[7]),
.Q2(main_a7ddrphy_dq_i_data0[6]),
.Q3(main_a7ddrphy_dq_i_data0[5]),
.Q4(main_a7ddrphy_dq_i_data0[4]),
.Q5(main_a7ddrphy_dq_i_data0[3]),
.Q6(main_a7ddrphy_dq_i_data0[2]),
.Q7(main_a7ddrphy_dq_i_data0[1]),
.Q8(main_a7ddrphy_dq_i_data0[0])
);
IDELAYE2 #(
.CINVCTRL_SEL("FALSE"),
.DELAY_SRC("IDATAIN"),
.HIGH_PERFORMANCE_MODE("TRUE"),
.IDELAY_TYPE("VARIABLE"),
.IDELAY_VALUE(1'd0),
.PIPE_SEL("FALSE"),
.REFCLK_FREQUENCY(200.0),
.SIGNAL_PATTERN("DATA")
) IDELAYE2 (
.C(sys_clk),
.CE((main_a7ddrphy_dly_sel_storage[0] & main_a7ddrphy_rdly_dq_inc_re)),
.IDATAIN(main_a7ddrphy_dq_i_nodelay0),
.INC(1'd1),
.LD((main_a7ddrphy_dly_sel_storage[0] & main_a7ddrphy_rdly_dq_rst_re)),
.LDPIPEEN(1'd0),
.DATAOUT(main_a7ddrphy_dq_i_delayed0)
);
IOBUF IOBUF(
.I(main_a7ddrphy_dq_o_nodelay0),
.T(main_a7ddrphy_dq_t0),
.IO(ddram_dq[0]),
.O(main_a7ddrphy_dq_i_nodelay0)
);
OSERDESE2 #(
.DATA_RATE_OQ("DDR"),
.DATA_RATE_TQ("BUF"),
.DATA_WIDTH(4'd8),
.SERDES_MODE("MASTER"),
.TRISTATE_WIDTH(1'd1)
) OSERDESE2_30 (
.CLK(sys4x_clk),
.CLKDIV(sys_clk),
.D1(main_a7ddrphy_dfi_p0_wrdata[1]),
.D2(main_a7ddrphy_dfi_p0_wrdata[17]),
.D3(main_a7ddrphy_dfi_p1_wrdata[1]),
.D4(main_a7ddrphy_dfi_p1_wrdata[17]),
.D5(main_a7ddrphy_dfi_p2_wrdata[1]),
.D6(main_a7ddrphy_dfi_p2_wrdata[17]),
.D7(main_a7ddrphy_dfi_p3_wrdata[1]),
.D8(main_a7ddrphy_dfi_p3_wrdata[17]),
.OCE(1'd1),
.RST(sys_rst),
.T1((~main_a7ddrphy_oe_dq)),
.TCE(1'd1),
.OQ(main_a7ddrphy_dq_o_nodelay1),
.TQ(main_a7ddrphy_dq_t1)
);
ISERDESE2 #(
.DATA_RATE("DDR"),
.DATA_WIDTH(4'd8),
.INTERFACE_TYPE("NETWORKING"),
.IOBDELAY("IFD"),
.NUM_CE(1'd1),
.SERDES_MODE("MASTER")
) ISERDESE2_1 (
.BITSLIP(1'd0),
.CE1(1'd1),
.CLK(sys4x_clk),
.CLKB(sys4x_clkb),
.CLKDIV(sys_clk),
.DDLY(main_a7ddrphy_dq_i_delayed1),
.RST(sys_rst),
.Q1(main_a7ddrphy_dq_i_data1[7]),
.Q2(main_a7ddrphy_dq_i_data1[6]),
.Q3(main_a7ddrphy_dq_i_data1[5]),
.Q4(main_a7ddrphy_dq_i_data1[4]),
.Q5(main_a7ddrphy_dq_i_data1[3]),
.Q6(main_a7ddrphy_dq_i_data1[2]),
.Q7(main_a7ddrphy_dq_i_data1[1]),
.Q8(main_a7ddrphy_dq_i_data1[0])
);
IDELAYE2 #(
.CINVCTRL_SEL("FALSE"),
.DELAY_SRC("IDATAIN"),
.HIGH_PERFORMANCE_MODE("TRUE"),
.IDELAY_TYPE("VARIABLE"),
.IDELAY_VALUE(1'd0),
.PIPE_SEL("FALSE"),
.REFCLK_FREQUENCY(200.0),
.SIGNAL_PATTERN("DATA")
) IDELAYE2_1 (
.C(sys_clk),
.CE((main_a7ddrphy_dly_sel_storage[0] & main_a7ddrphy_rdly_dq_inc_re)),
.IDATAIN(main_a7ddrphy_dq_i_nodelay1),
.INC(1'd1),
.LD((main_a7ddrphy_dly_sel_storage[0] & main_a7ddrphy_rdly_dq_rst_re)),
.LDPIPEEN(1'd0),
.DATAOUT(main_a7ddrphy_dq_i_delayed1)
);
IOBUF IOBUF_1(
.I(main_a7ddrphy_dq_o_nodelay1),
.T(main_a7ddrphy_dq_t1),
.IO(ddram_dq[1]),
.O(main_a7ddrphy_dq_i_nodelay1)
);
OSERDESE2 #(
.DATA_RATE_OQ("DDR"),
.DATA_RATE_TQ("BUF"),
.DATA_WIDTH(4'd8),
.SERDES_MODE("MASTER"),
.TRISTATE_WIDTH(1'd1)
) OSERDESE2_31 (
.CLK(sys4x_clk),
.CLKDIV(sys_clk),
.D1(main_a7ddrphy_dfi_p0_wrdata[2]),
.D2(main_a7ddrphy_dfi_p0_wrdata[18]),
.D3(main_a7ddrphy_dfi_p1_wrdata[2]),
.D4(main_a7ddrphy_dfi_p1_wrdata[18]),
.D5(main_a7ddrphy_dfi_p2_wrdata[2]),
.D6(main_a7ddrphy_dfi_p2_wrdata[18]),
.D7(main_a7ddrphy_dfi_p3_wrdata[2]),
.D8(main_a7ddrphy_dfi_p3_wrdata[18]),
.OCE(1'd1),
.RST(sys_rst),
.T1((~main_a7ddrphy_oe_dq)),
.TCE(1'd1),
.OQ(main_a7ddrphy_dq_o_nodelay2),
.TQ(main_a7ddrphy_dq_t2)
);
ISERDESE2 #(
.DATA_RATE("DDR"),
.DATA_WIDTH(4'd8),
.INTERFACE_TYPE("NETWORKING"),
.IOBDELAY("IFD"),
.NUM_CE(1'd1),
.SERDES_MODE("MASTER")
) ISERDESE2_2 (
.BITSLIP(1'd0),
.CE1(1'd1),
.CLK(sys4x_clk),
.CLKB(sys4x_clkb),
.CLKDIV(sys_clk),
.DDLY(main_a7ddrphy_dq_i_delayed2),
.RST(sys_rst),
.Q1(main_a7ddrphy_dq_i_data2[7]),
.Q2(main_a7ddrphy_dq_i_data2[6]),
.Q3(main_a7ddrphy_dq_i_data2[5]),
.Q4(main_a7ddrphy_dq_i_data2[4]),
.Q5(main_a7ddrphy_dq_i_data2[3]),
.Q6(main_a7ddrphy_dq_i_data2[2]),
.Q7(main_a7ddrphy_dq_i_data2[1]),
.Q8(main_a7ddrphy_dq_i_data2[0])
);
IDELAYE2 #(
.CINVCTRL_SEL("FALSE"),
.DELAY_SRC("IDATAIN"),
.HIGH_PERFORMANCE_MODE("TRUE"),
.IDELAY_TYPE("VARIABLE"),
.IDELAY_VALUE(1'd0),
.PIPE_SEL("FALSE"),
.REFCLK_FREQUENCY(200.0),
.SIGNAL_PATTERN("DATA")
) IDELAYE2_2 (
.C(sys_clk),
.CE((main_a7ddrphy_dly_sel_storage[0] & main_a7ddrphy_rdly_dq_inc_re)),
.IDATAIN(main_a7ddrphy_dq_i_nodelay2),
.INC(1'd1),
.LD((main_a7ddrphy_dly_sel_storage[0] & main_a7ddrphy_rdly_dq_rst_re)),
.LDPIPEEN(1'd0),
.DATAOUT(main_a7ddrphy_dq_i_delayed2)
);
IOBUF IOBUF_2(
.I(main_a7ddrphy_dq_o_nodelay2),
.T(main_a7ddrphy_dq_t2),
.IO(ddram_dq[2]),
.O(main_a7ddrphy_dq_i_nodelay2)
);
OSERDESE2 #(
.DATA_RATE_OQ("DDR"),
.DATA_RATE_TQ("BUF"),
.DATA_WIDTH(4'd8),
.SERDES_MODE("MASTER"),
.TRISTATE_WIDTH(1'd1)
) OSERDESE2_32 (
.CLK(sys4x_clk),
.CLKDIV(sys_clk),
.D1(main_a7ddrphy_dfi_p0_wrdata[3]),
.D2(main_a7ddrphy_dfi_p0_wrdata[19]),
.D3(main_a7ddrphy_dfi_p1_wrdata[3]),
.D4(main_a7ddrphy_dfi_p1_wrdata[19]),
.D5(main_a7ddrphy_dfi_p2_wrdata[3]),
.D6(main_a7ddrphy_dfi_p2_wrdata[19]),
.D7(main_a7ddrphy_dfi_p3_wrdata[3]),
.D8(main_a7ddrphy_dfi_p3_wrdata[19]),
.OCE(1'd1),
.RST(sys_rst),
.T1((~main_a7ddrphy_oe_dq)),
.TCE(1'd1),
.OQ(main_a7ddrphy_dq_o_nodelay3),
.TQ(main_a7ddrphy_dq_t3)
);
ISERDESE2 #(
.DATA_RATE("DDR"),
.DATA_WIDTH(4'd8),
.INTERFACE_TYPE("NETWORKING"),
.IOBDELAY("IFD"),
.NUM_CE(1'd1),
.SERDES_MODE("MASTER")
) ISERDESE2_3 (
.BITSLIP(1'd0),
.CE1(1'd1),
.CLK(sys4x_clk),
.CLKB(sys4x_clkb),
.CLKDIV(sys_clk),
.DDLY(main_a7ddrphy_dq_i_delayed3),
.RST(sys_rst),
.Q1(main_a7ddrphy_dq_i_data3[7]),
.Q2(main_a7ddrphy_dq_i_data3[6]),
.Q3(main_a7ddrphy_dq_i_data3[5]),
.Q4(main_a7ddrphy_dq_i_data3[4]),
.Q5(main_a7ddrphy_dq_i_data3[3]),
.Q6(main_a7ddrphy_dq_i_data3[2]),
.Q7(main_a7ddrphy_dq_i_data3[1]),
.Q8(main_a7ddrphy_dq_i_data3[0])
);
IDELAYE2 #(
.CINVCTRL_SEL("FALSE"),
.DELAY_SRC("IDATAIN"),
.HIGH_PERFORMANCE_MODE("TRUE"),
.IDELAY_TYPE("VARIABLE"),
.IDELAY_VALUE(1'd0),
.PIPE_SEL("FALSE"),
.REFCLK_FREQUENCY(200.0),
.SIGNAL_PATTERN("DATA")
) IDELAYE2_3 (
.C(sys_clk),
.CE((main_a7ddrphy_dly_sel_storage[0] & main_a7ddrphy_rdly_dq_inc_re)),
.IDATAIN(main_a7ddrphy_dq_i_nodelay3),
.INC(1'd1),
.LD((main_a7ddrphy_dly_sel_storage[0] & main_a7ddrphy_rdly_dq_rst_re)),
.LDPIPEEN(1'd0),
.DATAOUT(main_a7ddrphy_dq_i_delayed3)
);
IOBUF IOBUF_3(
.I(main_a7ddrphy_dq_o_nodelay3),
.T(main_a7ddrphy_dq_t3),
.IO(ddram_dq[3]),
.O(main_a7ddrphy_dq_i_nodelay3)
);
OSERDESE2 #(
.DATA_RATE_OQ("DDR"),
.DATA_RATE_TQ("BUF"),
.DATA_WIDTH(4'd8),
.SERDES_MODE("MASTER"),
.TRISTATE_WIDTH(1'd1)
) OSERDESE2_33 (
.CLK(sys4x_clk),
.CLKDIV(sys_clk),
.D1(main_a7ddrphy_dfi_p0_wrdata[4]),
.D2(main_a7ddrphy_dfi_p0_wrdata[20]),
.D3(main_a7ddrphy_dfi_p1_wrdata[4]),
.D4(main_a7ddrphy_dfi_p1_wrdata[20]),
.D5(main_a7ddrphy_dfi_p2_wrdata[4]),
.D6(main_a7ddrphy_dfi_p2_wrdata[20]),
.D7(main_a7ddrphy_dfi_p3_wrdata[4]),
.D8(main_a7ddrphy_dfi_p3_wrdata[20]),
.OCE(1'd1),
.RST(sys_rst),
.T1((~main_a7ddrphy_oe_dq)),
.TCE(1'd1),
.OQ(main_a7ddrphy_dq_o_nodelay4),
.TQ(main_a7ddrphy_dq_t4)
);
ISERDESE2 #(
.DATA_RATE("DDR"),
.DATA_WIDTH(4'd8),
.INTERFACE_TYPE("NETWORKING"),
.IOBDELAY("IFD"),
.NUM_CE(1'd1),
.SERDES_MODE("MASTER")
) ISERDESE2_4 (
.BITSLIP(1'd0),
.CE1(1'd1),
.CLK(sys4x_clk),
.CLKB(sys4x_clkb),
.CLKDIV(sys_clk),
.DDLY(main_a7ddrphy_dq_i_delayed4),
.RST(sys_rst),
.Q1(main_a7ddrphy_dq_i_data4[7]),
.Q2(main_a7ddrphy_dq_i_data4[6]),
.Q3(main_a7ddrphy_dq_i_data4[5]),
.Q4(main_a7ddrphy_dq_i_data4[4]),
.Q5(main_a7ddrphy_dq_i_data4[3]),
.Q6(main_a7ddrphy_dq_i_data4[2]),
.Q7(main_a7ddrphy_dq_i_data4[1]),
.Q8(main_a7ddrphy_dq_i_data4[0])
);
IDELAYE2 #(
.CINVCTRL_SEL("FALSE"),
.DELAY_SRC("IDATAIN"),
.HIGH_PERFORMANCE_MODE("TRUE"),
.IDELAY_TYPE("VARIABLE"),
.IDELAY_VALUE(1'd0),
.PIPE_SEL("FALSE"),
.REFCLK_FREQUENCY(200.0),
.SIGNAL_PATTERN("DATA")
) IDELAYE2_4 (
.C(sys_clk),
.CE((main_a7ddrphy_dly_sel_storage[0] & main_a7ddrphy_rdly_dq_inc_re)),
.IDATAIN(main_a7ddrphy_dq_i_nodelay4),
.INC(1'd1),
.LD((main_a7ddrphy_dly_sel_storage[0] & main_a7ddrphy_rdly_dq_rst_re)),
.LDPIPEEN(1'd0),
.DATAOUT(main_a7ddrphy_dq_i_delayed4)
);
IOBUF IOBUF_4(
.I(main_a7ddrphy_dq_o_nodelay4),
.T(main_a7ddrphy_dq_t4),
.IO(ddram_dq[4]),
.O(main_a7ddrphy_dq_i_nodelay4)
);
OSERDESE2 #(
.DATA_RATE_OQ("DDR"),
.DATA_RATE_TQ("BUF"),
.DATA_WIDTH(4'd8),
.SERDES_MODE("MASTER"),
.TRISTATE_WIDTH(1'd1)
) OSERDESE2_34 (
.CLK(sys4x_clk),
.CLKDIV(sys_clk),
.D1(main_a7ddrphy_dfi_p0_wrdata[5]),
.D2(main_a7ddrphy_dfi_p0_wrdata[21]),
.D3(main_a7ddrphy_dfi_p1_wrdata[5]),
.D4(main_a7ddrphy_dfi_p1_wrdata[21]),
.D5(main_a7ddrphy_dfi_p2_wrdata[5]),
.D6(main_a7ddrphy_dfi_p2_wrdata[21]),
.D7(main_a7ddrphy_dfi_p3_wrdata[5]),
.D8(main_a7ddrphy_dfi_p3_wrdata[21]),
.OCE(1'd1),
.RST(sys_rst),
.T1((~main_a7ddrphy_oe_dq)),
.TCE(1'd1),
.OQ(main_a7ddrphy_dq_o_nodelay5),
.TQ(main_a7ddrphy_dq_t5)
);
ISERDESE2 #(
.DATA_RATE("DDR"),
.DATA_WIDTH(4'd8),
.INTERFACE_TYPE("NETWORKING"),
.IOBDELAY("IFD"),
.NUM_CE(1'd1),
.SERDES_MODE("MASTER")
) ISERDESE2_5 (
.BITSLIP(1'd0),
.CE1(1'd1),
.CLK(sys4x_clk),
.CLKB(sys4x_clkb),
.CLKDIV(sys_clk),
.DDLY(main_a7ddrphy_dq_i_delayed5),
.RST(sys_rst),
.Q1(main_a7ddrphy_dq_i_data5[7]),
.Q2(main_a7ddrphy_dq_i_data5[6]),
.Q3(main_a7ddrphy_dq_i_data5[5]),
.Q4(main_a7ddrphy_dq_i_data5[4]),
.Q5(main_a7ddrphy_dq_i_data5[3]),
.Q6(main_a7ddrphy_dq_i_data5[2]),
.Q7(main_a7ddrphy_dq_i_data5[1]),
.Q8(main_a7ddrphy_dq_i_data5[0])
);
IDELAYE2 #(
.CINVCTRL_SEL("FALSE"),
.DELAY_SRC("IDATAIN"),
.HIGH_PERFORMANCE_MODE("TRUE"),
.IDELAY_TYPE("VARIABLE"),
.IDELAY_VALUE(1'd0),
.PIPE_SEL("FALSE"),
.REFCLK_FREQUENCY(200.0),
.SIGNAL_PATTERN("DATA")
) IDELAYE2_5 (
.C(sys_clk),
.CE((main_a7ddrphy_dly_sel_storage[0] & main_a7ddrphy_rdly_dq_inc_re)),
.IDATAIN(main_a7ddrphy_dq_i_nodelay5),
.INC(1'd1),
.LD((main_a7ddrphy_dly_sel_storage[0] & main_a7ddrphy_rdly_dq_rst_re)),
.LDPIPEEN(1'd0),
.DATAOUT(main_a7ddrphy_dq_i_delayed5)
);
IOBUF IOBUF_5(
.I(main_a7ddrphy_dq_o_nodelay5),
.T(main_a7ddrphy_dq_t5),
.IO(ddram_dq[5]),
.O(main_a7ddrphy_dq_i_nodelay5)
);
OSERDESE2 #(
.DATA_RATE_OQ("DDR"),
.DATA_RATE_TQ("BUF"),
.DATA_WIDTH(4'd8),
.SERDES_MODE("MASTER"),
.TRISTATE_WIDTH(1'd1)
) OSERDESE2_35 (
.CLK(sys4x_clk),
.CLKDIV(sys_clk),
.D1(main_a7ddrphy_dfi_p0_wrdata[6]),
.D2(main_a7ddrphy_dfi_p0_wrdata[22]),
.D3(main_a7ddrphy_dfi_p1_wrdata[6]),
.D4(main_a7ddrphy_dfi_p1_wrdata[22]),
.D5(main_a7ddrphy_dfi_p2_wrdata[6]),
.D6(main_a7ddrphy_dfi_p2_wrdata[22]),
.D7(main_a7ddrphy_dfi_p3_wrdata[6]),
.D8(main_a7ddrphy_dfi_p3_wrdata[22]),
.OCE(1'd1),
.RST(sys_rst),
.T1((~main_a7ddrphy_oe_dq)),
.TCE(1'd1),
.OQ(main_a7ddrphy_dq_o_nodelay6),
.TQ(main_a7ddrphy_dq_t6)
);
ISERDESE2 #(
.DATA_RATE("DDR"),
.DATA_WIDTH(4'd8),
.INTERFACE_TYPE("NETWORKING"),
.IOBDELAY("IFD"),
.NUM_CE(1'd1),
.SERDES_MODE("MASTER")
) ISERDESE2_6 (
.BITSLIP(1'd0),
.CE1(1'd1),
.CLK(sys4x_clk),
.CLKB(sys4x_clkb),
.CLKDIV(sys_clk),
.DDLY(main_a7ddrphy_dq_i_delayed6),
.RST(sys_rst),
.Q1(main_a7ddrphy_dq_i_data6[7]),
.Q2(main_a7ddrphy_dq_i_data6[6]),
.Q3(main_a7ddrphy_dq_i_data6[5]),
.Q4(main_a7ddrphy_dq_i_data6[4]),
.Q5(main_a7ddrphy_dq_i_data6[3]),
.Q6(main_a7ddrphy_dq_i_data6[2]),
.Q7(main_a7ddrphy_dq_i_data6[1]),
.Q8(main_a7ddrphy_dq_i_data6[0])
);
IDELAYE2 #(
.CINVCTRL_SEL("FALSE"),
.DELAY_SRC("IDATAIN"),
.HIGH_PERFORMANCE_MODE("TRUE"),
.IDELAY_TYPE("VARIABLE"),
.IDELAY_VALUE(1'd0),
.PIPE_SEL("FALSE"),
.REFCLK_FREQUENCY(200.0),
.SIGNAL_PATTERN("DATA")
) IDELAYE2_6 (
.C(sys_clk),
.CE((main_a7ddrphy_dly_sel_storage[0] & main_a7ddrphy_rdly_dq_inc_re)),
.IDATAIN(main_a7ddrphy_dq_i_nodelay6),
.INC(1'd1),
.LD((main_a7ddrphy_dly_sel_storage[0] & main_a7ddrphy_rdly_dq_rst_re)),
.LDPIPEEN(1'd0),
.DATAOUT(main_a7ddrphy_dq_i_delayed6)
);
IOBUF IOBUF_6(
.I(main_a7ddrphy_dq_o_nodelay6),
.T(main_a7ddrphy_dq_t6),
.IO(ddram_dq[6]),
.O(main_a7ddrphy_dq_i_nodelay6)
);
OSERDESE2 #(
.DATA_RATE_OQ("DDR"),
.DATA_RATE_TQ("BUF"),
.DATA_WIDTH(4'd8),
.SERDES_MODE("MASTER"),
.TRISTATE_WIDTH(1'd1)
) OSERDESE2_36 (
.CLK(sys4x_clk),
.CLKDIV(sys_clk),
.D1(main_a7ddrphy_dfi_p0_wrdata[7]),
.D2(main_a7ddrphy_dfi_p0_wrdata[23]),
.D3(main_a7ddrphy_dfi_p1_wrdata[7]),
.D4(main_a7ddrphy_dfi_p1_wrdata[23]),
.D5(main_a7ddrphy_dfi_p2_wrdata[7]),
.D6(main_a7ddrphy_dfi_p2_wrdata[23]),
.D7(main_a7ddrphy_dfi_p3_wrdata[7]),
.D8(main_a7ddrphy_dfi_p3_wrdata[23]),
.OCE(1'd1),
.RST(sys_rst),
.T1((~main_a7ddrphy_oe_dq)),
.TCE(1'd1),
.OQ(main_a7ddrphy_dq_o_nodelay7),
.TQ(main_a7ddrphy_dq_t7)
);
ISERDESE2 #(
.DATA_RATE("DDR"),
.DATA_WIDTH(4'd8),
.INTERFACE_TYPE("NETWORKING"),
.IOBDELAY("IFD"),
.NUM_CE(1'd1),
.SERDES_MODE("MASTER")
) ISERDESE2_7 (
.BITSLIP(1'd0),
.CE1(1'd1),
.CLK(sys4x_clk),
.CLKB(sys4x_clkb),
.CLKDIV(sys_clk),
.DDLY(main_a7ddrphy_dq_i_delayed7),
.RST(sys_rst),
.Q1(main_a7ddrphy_dq_i_data7[7]),
.Q2(main_a7ddrphy_dq_i_data7[6]),
.Q3(main_a7ddrphy_dq_i_data7[5]),
.Q4(main_a7ddrphy_dq_i_data7[4]),
.Q5(main_a7ddrphy_dq_i_data7[3]),
.Q6(main_a7ddrphy_dq_i_data7[2]),
.Q7(main_a7ddrphy_dq_i_data7[1]),
.Q8(main_a7ddrphy_dq_i_data7[0])
);
IDELAYE2 #(
.CINVCTRL_SEL("FALSE"),
.DELAY_SRC("IDATAIN"),
.HIGH_PERFORMANCE_MODE("TRUE"),
.IDELAY_TYPE("VARIABLE"),
.IDELAY_VALUE(1'd0),
.PIPE_SEL("FALSE"),
.REFCLK_FREQUENCY(200.0),
.SIGNAL_PATTERN("DATA")
) IDELAYE2_7 (
.C(sys_clk),
.CE((main_a7ddrphy_dly_sel_storage[0] & main_a7ddrphy_rdly_dq_inc_re)),
.IDATAIN(main_a7ddrphy_dq_i_nodelay7),
.INC(1'd1),
.LD((main_a7ddrphy_dly_sel_storage[0] & main_a7ddrphy_rdly_dq_rst_re)),
.LDPIPEEN(1'd0),
.DATAOUT(main_a7ddrphy_dq_i_delayed7)
);
IOBUF IOBUF_7(
.I(main_a7ddrphy_dq_o_nodelay7),
.T(main_a7ddrphy_dq_t7),
.IO(ddram_dq[7]),
.O(main_a7ddrphy_dq_i_nodelay7)
);
OSERDESE2 #(
.DATA_RATE_OQ("DDR"),
.DATA_RATE_TQ("BUF"),
.DATA_WIDTH(4'd8),
.SERDES_MODE("MASTER"),
.TRISTATE_WIDTH(1'd1)
) OSERDESE2_37 (
.CLK(sys4x_clk),
.CLKDIV(sys_clk),
.D1(main_a7ddrphy_dfi_p0_wrdata[8]),
.D2(main_a7ddrphy_dfi_p0_wrdata[24]),
.D3(main_a7ddrphy_dfi_p1_wrdata[8]),
.D4(main_a7ddrphy_dfi_p1_wrdata[24]),
.D5(main_a7ddrphy_dfi_p2_wrdata[8]),
.D6(main_a7ddrphy_dfi_p2_wrdata[24]),
.D7(main_a7ddrphy_dfi_p3_wrdata[8]),
.D8(main_a7ddrphy_dfi_p3_wrdata[24]),
.OCE(1'd1),
.RST(sys_rst),
.T1((~main_a7ddrphy_oe_dq)),
.TCE(1'd1),
.OQ(main_a7ddrphy_dq_o_nodelay8),
.TQ(main_a7ddrphy_dq_t8)
);
ISERDESE2 #(
.DATA_RATE("DDR"),
.DATA_WIDTH(4'd8),
.INTERFACE_TYPE("NETWORKING"),
.IOBDELAY("IFD"),
.NUM_CE(1'd1),
.SERDES_MODE("MASTER")
) ISERDESE2_8 (
.BITSLIP(1'd0),
.CE1(1'd1),
.CLK(sys4x_clk),
.CLKB(sys4x_clkb),
.CLKDIV(sys_clk),
.DDLY(main_a7ddrphy_dq_i_delayed8),
.RST(sys_rst),
.Q1(main_a7ddrphy_dq_i_data8[7]),
.Q2(main_a7ddrphy_dq_i_data8[6]),
.Q3(main_a7ddrphy_dq_i_data8[5]),
.Q4(main_a7ddrphy_dq_i_data8[4]),
.Q5(main_a7ddrphy_dq_i_data8[3]),
.Q6(main_a7ddrphy_dq_i_data8[2]),
.Q7(main_a7ddrphy_dq_i_data8[1]),
.Q8(main_a7ddrphy_dq_i_data8[0])
);
IDELAYE2 #(
.CINVCTRL_SEL("FALSE"),
.DELAY_SRC("IDATAIN"),
.HIGH_PERFORMANCE_MODE("TRUE"),
.IDELAY_TYPE("VARIABLE"),
.IDELAY_VALUE(1'd0),
.PIPE_SEL("FALSE"),
.REFCLK_FREQUENCY(200.0),
.SIGNAL_PATTERN("DATA")
) IDELAYE2_8 (
.C(sys_clk),
.CE((main_a7ddrphy_dly_sel_storage[1] & main_a7ddrphy_rdly_dq_inc_re)),
.IDATAIN(main_a7ddrphy_dq_i_nodelay8),
.INC(1'd1),
.LD((main_a7ddrphy_dly_sel_storage[1] & main_a7ddrphy_rdly_dq_rst_re)),
.LDPIPEEN(1'd0),
.DATAOUT(main_a7ddrphy_dq_i_delayed8)
);
IOBUF IOBUF_8(
.I(main_a7ddrphy_dq_o_nodelay8),
.T(main_a7ddrphy_dq_t8),
.IO(ddram_dq[8]),
.O(main_a7ddrphy_dq_i_nodelay8)
);
OSERDESE2 #(
.DATA_RATE_OQ("DDR"),
.DATA_RATE_TQ("BUF"),
.DATA_WIDTH(4'd8),
.SERDES_MODE("MASTER"),
.TRISTATE_WIDTH(1'd1)
) OSERDESE2_38 (
.CLK(sys4x_clk),
.CLKDIV(sys_clk),
.D1(main_a7ddrphy_dfi_p0_wrdata[9]),
.D2(main_a7ddrphy_dfi_p0_wrdata[25]),
.D3(main_a7ddrphy_dfi_p1_wrdata[9]),
.D4(main_a7ddrphy_dfi_p1_wrdata[25]),
.D5(main_a7ddrphy_dfi_p2_wrdata[9]),
.D6(main_a7ddrphy_dfi_p2_wrdata[25]),
.D7(main_a7ddrphy_dfi_p3_wrdata[9]),
.D8(main_a7ddrphy_dfi_p3_wrdata[25]),
.OCE(1'd1),
.RST(sys_rst),
.T1((~main_a7ddrphy_oe_dq)),
.TCE(1'd1),
.OQ(main_a7ddrphy_dq_o_nodelay9),
.TQ(main_a7ddrphy_dq_t9)
);
ISERDESE2 #(
.DATA_RATE("DDR"),
.DATA_WIDTH(4'd8),
.INTERFACE_TYPE("NETWORKING"),
.IOBDELAY("IFD"),
.NUM_CE(1'd1),
.SERDES_MODE("MASTER")
) ISERDESE2_9 (
.BITSLIP(1'd0),
.CE1(1'd1),
.CLK(sys4x_clk),
.CLKB(sys4x_clkb),
.CLKDIV(sys_clk),
.DDLY(main_a7ddrphy_dq_i_delayed9),
.RST(sys_rst),
.Q1(main_a7ddrphy_dq_i_data9[7]),
.Q2(main_a7ddrphy_dq_i_data9[6]),
.Q3(main_a7ddrphy_dq_i_data9[5]),
.Q4(main_a7ddrphy_dq_i_data9[4]),
.Q5(main_a7ddrphy_dq_i_data9[3]),
.Q6(main_a7ddrphy_dq_i_data9[2]),
.Q7(main_a7ddrphy_dq_i_data9[1]),
.Q8(main_a7ddrphy_dq_i_data9[0])
);
IDELAYE2 #(
.CINVCTRL_SEL("FALSE"),
.DELAY_SRC("IDATAIN"),
.HIGH_PERFORMANCE_MODE("TRUE"),
.IDELAY_TYPE("VARIABLE"),
.IDELAY_VALUE(1'd0),
.PIPE_SEL("FALSE"),
.REFCLK_FREQUENCY(200.0),
.SIGNAL_PATTERN("DATA")
) IDELAYE2_9 (
.C(sys_clk),
.CE((main_a7ddrphy_dly_sel_storage[1] & main_a7ddrphy_rdly_dq_inc_re)),
.IDATAIN(main_a7ddrphy_dq_i_nodelay9),
.INC(1'd1),
.LD((main_a7ddrphy_dly_sel_storage[1] & main_a7ddrphy_rdly_dq_rst_re)),
.LDPIPEEN(1'd0),
.DATAOUT(main_a7ddrphy_dq_i_delayed9)
);
IOBUF IOBUF_9(
.I(main_a7ddrphy_dq_o_nodelay9),
.T(main_a7ddrphy_dq_t9),
.IO(ddram_dq[9]),
.O(main_a7ddrphy_dq_i_nodelay9)
);
OSERDESE2 #(
.DATA_RATE_OQ("DDR"),
.DATA_RATE_TQ("BUF"),
.DATA_WIDTH(4'd8),
.SERDES_MODE("MASTER"),
.TRISTATE_WIDTH(1'd1)
) OSERDESE2_39 (
.CLK(sys4x_clk),
.CLKDIV(sys_clk),
.D1(main_a7ddrphy_dfi_p0_wrdata[10]),
.D2(main_a7ddrphy_dfi_p0_wrdata[26]),
.D3(main_a7ddrphy_dfi_p1_wrdata[10]),
.D4(main_a7ddrphy_dfi_p1_wrdata[26]),
.D5(main_a7ddrphy_dfi_p2_wrdata[10]),
.D6(main_a7ddrphy_dfi_p2_wrdata[26]),
.D7(main_a7ddrphy_dfi_p3_wrdata[10]),
.D8(main_a7ddrphy_dfi_p3_wrdata[26]),
.OCE(1'd1),
.RST(sys_rst),
.T1((~main_a7ddrphy_oe_dq)),
.TCE(1'd1),
.OQ(main_a7ddrphy_dq_o_nodelay10),
.TQ(main_a7ddrphy_dq_t10)
);
ISERDESE2 #(
.DATA_RATE("DDR"),
.DATA_WIDTH(4'd8),
.INTERFACE_TYPE("NETWORKING"),
.IOBDELAY("IFD"),
.NUM_CE(1'd1),
.SERDES_MODE("MASTER")
) ISERDESE2_10 (
.BITSLIP(1'd0),
.CE1(1'd1),
.CLK(sys4x_clk),
.CLKB(sys4x_clkb),
.CLKDIV(sys_clk),
.DDLY(main_a7ddrphy_dq_i_delayed10),
.RST(sys_rst),
.Q1(main_a7ddrphy_dq_i_data10[7]),
.Q2(main_a7ddrphy_dq_i_data10[6]),
.Q3(main_a7ddrphy_dq_i_data10[5]),
.Q4(main_a7ddrphy_dq_i_data10[4]),
.Q5(main_a7ddrphy_dq_i_data10[3]),
.Q6(main_a7ddrphy_dq_i_data10[2]),
.Q7(main_a7ddrphy_dq_i_data10[1]),
.Q8(main_a7ddrphy_dq_i_data10[0])
);
IDELAYE2 #(
.CINVCTRL_SEL("FALSE"),
.DELAY_SRC("IDATAIN"),
.HIGH_PERFORMANCE_MODE("TRUE"),
.IDELAY_TYPE("VARIABLE"),
.IDELAY_VALUE(1'd0),
.PIPE_SEL("FALSE"),
.REFCLK_FREQUENCY(200.0),
.SIGNAL_PATTERN("DATA")
) IDELAYE2_10 (
.C(sys_clk),
.CE((main_a7ddrphy_dly_sel_storage[1] & main_a7ddrphy_rdly_dq_inc_re)),
.IDATAIN(main_a7ddrphy_dq_i_nodelay10),
.INC(1'd1),
.LD((main_a7ddrphy_dly_sel_storage[1] & main_a7ddrphy_rdly_dq_rst_re)),
.LDPIPEEN(1'd0),
.DATAOUT(main_a7ddrphy_dq_i_delayed10)
);
IOBUF IOBUF_10(
.I(main_a7ddrphy_dq_o_nodelay10),
.T(main_a7ddrphy_dq_t10),
.IO(ddram_dq[10]),
.O(main_a7ddrphy_dq_i_nodelay10)
);
OSERDESE2 #(
.DATA_RATE_OQ("DDR"),
.DATA_RATE_TQ("BUF"),
.DATA_WIDTH(4'd8),
.SERDES_MODE("MASTER"),
.TRISTATE_WIDTH(1'd1)
) OSERDESE2_40 (
.CLK(sys4x_clk),
.CLKDIV(sys_clk),
.D1(main_a7ddrphy_dfi_p0_wrdata[11]),
.D2(main_a7ddrphy_dfi_p0_wrdata[27]),
.D3(main_a7ddrphy_dfi_p1_wrdata[11]),
.D4(main_a7ddrphy_dfi_p1_wrdata[27]),
.D5(main_a7ddrphy_dfi_p2_wrdata[11]),
.D6(main_a7ddrphy_dfi_p2_wrdata[27]),
.D7(main_a7ddrphy_dfi_p3_wrdata[11]),
.D8(main_a7ddrphy_dfi_p3_wrdata[27]),
.OCE(1'd1),
.RST(sys_rst),
.T1((~main_a7ddrphy_oe_dq)),
.TCE(1'd1),
.OQ(main_a7ddrphy_dq_o_nodelay11),
.TQ(main_a7ddrphy_dq_t11)
);
ISERDESE2 #(
.DATA_RATE("DDR"),
.DATA_WIDTH(4'd8),
.INTERFACE_TYPE("NETWORKING"),
.IOBDELAY("IFD"),
.NUM_CE(1'd1),
.SERDES_MODE("MASTER")
) ISERDESE2_11 (
.BITSLIP(1'd0),
.CE1(1'd1),
.CLK(sys4x_clk),
.CLKB(sys4x_clkb),
.CLKDIV(sys_clk),
.DDLY(main_a7ddrphy_dq_i_delayed11),
.RST(sys_rst),
.Q1(main_a7ddrphy_dq_i_data11[7]),
.Q2(main_a7ddrphy_dq_i_data11[6]),
.Q3(main_a7ddrphy_dq_i_data11[5]),
.Q4(main_a7ddrphy_dq_i_data11[4]),
.Q5(main_a7ddrphy_dq_i_data11[3]),
.Q6(main_a7ddrphy_dq_i_data11[2]),
.Q7(main_a7ddrphy_dq_i_data11[1]),
.Q8(main_a7ddrphy_dq_i_data11[0])
);
IDELAYE2 #(
.CINVCTRL_SEL("FALSE"),
.DELAY_SRC("IDATAIN"),
.HIGH_PERFORMANCE_MODE("TRUE"),
.IDELAY_TYPE("VARIABLE"),
.IDELAY_VALUE(1'd0),
.PIPE_SEL("FALSE"),
.REFCLK_FREQUENCY(200.0),
.SIGNAL_PATTERN("DATA")
) IDELAYE2_11 (
.C(sys_clk),
.CE((main_a7ddrphy_dly_sel_storage[1] & main_a7ddrphy_rdly_dq_inc_re)),
.IDATAIN(main_a7ddrphy_dq_i_nodelay11),
.INC(1'd1),
.LD((main_a7ddrphy_dly_sel_storage[1] & main_a7ddrphy_rdly_dq_rst_re)),
.LDPIPEEN(1'd0),
.DATAOUT(main_a7ddrphy_dq_i_delayed11)
);
IOBUF IOBUF_11(
.I(main_a7ddrphy_dq_o_nodelay11),
.T(main_a7ddrphy_dq_t11),
.IO(ddram_dq[11]),
.O(main_a7ddrphy_dq_i_nodelay11)
);
OSERDESE2 #(
.DATA_RATE_OQ("DDR"),
.DATA_RATE_TQ("BUF"),
.DATA_WIDTH(4'd8),
.SERDES_MODE("MASTER"),
.TRISTATE_WIDTH(1'd1)
) OSERDESE2_41 (
.CLK(sys4x_clk),
.CLKDIV(sys_clk),
.D1(main_a7ddrphy_dfi_p0_wrdata[12]),
.D2(main_a7ddrphy_dfi_p0_wrdata[28]),
.D3(main_a7ddrphy_dfi_p1_wrdata[12]),
.D4(main_a7ddrphy_dfi_p1_wrdata[28]),
.D5(main_a7ddrphy_dfi_p2_wrdata[12]),
.D6(main_a7ddrphy_dfi_p2_wrdata[28]),
.D7(main_a7ddrphy_dfi_p3_wrdata[12]),
.D8(main_a7ddrphy_dfi_p3_wrdata[28]),
.OCE(1'd1),
.RST(sys_rst),
.T1((~main_a7ddrphy_oe_dq)),
.TCE(1'd1),
.OQ(main_a7ddrphy_dq_o_nodelay12),
.TQ(main_a7ddrphy_dq_t12)
);
ISERDESE2 #(
.DATA_RATE("DDR"),
.DATA_WIDTH(4'd8),
.INTERFACE_TYPE("NETWORKING"),
.IOBDELAY("IFD"),
.NUM_CE(1'd1),
.SERDES_MODE("MASTER")
) ISERDESE2_12 (
.BITSLIP(1'd0),
.CE1(1'd1),
.CLK(sys4x_clk),
.CLKB(sys4x_clkb),
.CLKDIV(sys_clk),
.DDLY(main_a7ddrphy_dq_i_delayed12),
.RST(sys_rst),
.Q1(main_a7ddrphy_dq_i_data12[7]),
.Q2(main_a7ddrphy_dq_i_data12[6]),
.Q3(main_a7ddrphy_dq_i_data12[5]),
.Q4(main_a7ddrphy_dq_i_data12[4]),
.Q5(main_a7ddrphy_dq_i_data12[3]),
.Q6(main_a7ddrphy_dq_i_data12[2]),
.Q7(main_a7ddrphy_dq_i_data12[1]),
.Q8(main_a7ddrphy_dq_i_data12[0])
);
IDELAYE2 #(
.CINVCTRL_SEL("FALSE"),
.DELAY_SRC("IDATAIN"),
.HIGH_PERFORMANCE_MODE("TRUE"),
.IDELAY_TYPE("VARIABLE"),
.IDELAY_VALUE(1'd0),
.PIPE_SEL("FALSE"),
.REFCLK_FREQUENCY(200.0),
.SIGNAL_PATTERN("DATA")
) IDELAYE2_12 (
.C(sys_clk),
.CE((main_a7ddrphy_dly_sel_storage[1] & main_a7ddrphy_rdly_dq_inc_re)),
.IDATAIN(main_a7ddrphy_dq_i_nodelay12),
.INC(1'd1),
.LD((main_a7ddrphy_dly_sel_storage[1] & main_a7ddrphy_rdly_dq_rst_re)),
.LDPIPEEN(1'd0),
.DATAOUT(main_a7ddrphy_dq_i_delayed12)
);
IOBUF IOBUF_12(
.I(main_a7ddrphy_dq_o_nodelay12),
.T(main_a7ddrphy_dq_t12),
.IO(ddram_dq[12]),
.O(main_a7ddrphy_dq_i_nodelay12)
);
OSERDESE2 #(
.DATA_RATE_OQ("DDR"),
.DATA_RATE_TQ("BUF"),
.DATA_WIDTH(4'd8),
.SERDES_MODE("MASTER"),
.TRISTATE_WIDTH(1'd1)
) OSERDESE2_42 (
.CLK(sys4x_clk),
.CLKDIV(sys_clk),
.D1(main_a7ddrphy_dfi_p0_wrdata[13]),
.D2(main_a7ddrphy_dfi_p0_wrdata[29]),
.D3(main_a7ddrphy_dfi_p1_wrdata[13]),
.D4(main_a7ddrphy_dfi_p1_wrdata[29]),
.D5(main_a7ddrphy_dfi_p2_wrdata[13]),
.D6(main_a7ddrphy_dfi_p2_wrdata[29]),
.D7(main_a7ddrphy_dfi_p3_wrdata[13]),
.D8(main_a7ddrphy_dfi_p3_wrdata[29]),
.OCE(1'd1),
.RST(sys_rst),
.T1((~main_a7ddrphy_oe_dq)),
.TCE(1'd1),
.OQ(main_a7ddrphy_dq_o_nodelay13),
.TQ(main_a7ddrphy_dq_t13)
);
ISERDESE2 #(
.DATA_RATE("DDR"),
.DATA_WIDTH(4'd8),
.INTERFACE_TYPE("NETWORKING"),
.IOBDELAY("IFD"),
.NUM_CE(1'd1),
.SERDES_MODE("MASTER")
) ISERDESE2_13 (
.BITSLIP(1'd0),
.CE1(1'd1),
.CLK(sys4x_clk),
.CLKB(sys4x_clkb),
.CLKDIV(sys_clk),
.DDLY(main_a7ddrphy_dq_i_delayed13),
.RST(sys_rst),
.Q1(main_a7ddrphy_dq_i_data13[7]),
.Q2(main_a7ddrphy_dq_i_data13[6]),
.Q3(main_a7ddrphy_dq_i_data13[5]),
.Q4(main_a7ddrphy_dq_i_data13[4]),
.Q5(main_a7ddrphy_dq_i_data13[3]),
.Q6(main_a7ddrphy_dq_i_data13[2]),
.Q7(main_a7ddrphy_dq_i_data13[1]),
.Q8(main_a7ddrphy_dq_i_data13[0])
);
IDELAYE2 #(
.CINVCTRL_SEL("FALSE"),
.DELAY_SRC("IDATAIN"),
.HIGH_PERFORMANCE_MODE("TRUE"),
.IDELAY_TYPE("VARIABLE"),
.IDELAY_VALUE(1'd0),
.PIPE_SEL("FALSE"),
.REFCLK_FREQUENCY(200.0),
.SIGNAL_PATTERN("DATA")
) IDELAYE2_13 (
.C(sys_clk),
.CE((main_a7ddrphy_dly_sel_storage[1] & main_a7ddrphy_rdly_dq_inc_re)),
.IDATAIN(main_a7ddrphy_dq_i_nodelay13),
.INC(1'd1),
.LD((main_a7ddrphy_dly_sel_storage[1] & main_a7ddrphy_rdly_dq_rst_re)),
.LDPIPEEN(1'd0),
.DATAOUT(main_a7ddrphy_dq_i_delayed13)
);
IOBUF IOBUF_13(
.I(main_a7ddrphy_dq_o_nodelay13),
.T(main_a7ddrphy_dq_t13),
.IO(ddram_dq[13]),
.O(main_a7ddrphy_dq_i_nodelay13)
);
OSERDESE2 #(
.DATA_RATE_OQ("DDR"),
.DATA_RATE_TQ("BUF"),
.DATA_WIDTH(4'd8),
.SERDES_MODE("MASTER"),
.TRISTATE_WIDTH(1'd1)
) OSERDESE2_43 (
.CLK(sys4x_clk),
.CLKDIV(sys_clk),
.D1(main_a7ddrphy_dfi_p0_wrdata[14]),
.D2(main_a7ddrphy_dfi_p0_wrdata[30]),
.D3(main_a7ddrphy_dfi_p1_wrdata[14]),
.D4(main_a7ddrphy_dfi_p1_wrdata[30]),
.D5(main_a7ddrphy_dfi_p2_wrdata[14]),
.D6(main_a7ddrphy_dfi_p2_wrdata[30]),
.D7(main_a7ddrphy_dfi_p3_wrdata[14]),
.D8(main_a7ddrphy_dfi_p3_wrdata[30]),
.OCE(1'd1),
.RST(sys_rst),
.T1((~main_a7ddrphy_oe_dq)),
.TCE(1'd1),
.OQ(main_a7ddrphy_dq_o_nodelay14),
.TQ(main_a7ddrphy_dq_t14)
);
ISERDESE2 #(
.DATA_RATE("DDR"),
.DATA_WIDTH(4'd8),
.INTERFACE_TYPE("NETWORKING"),
.IOBDELAY("IFD"),
.NUM_CE(1'd1),
.SERDES_MODE("MASTER")
) ISERDESE2_14 (
.BITSLIP(1'd0),
.CE1(1'd1),
.CLK(sys4x_clk),
.CLKB(sys4x_clkb),
.CLKDIV(sys_clk),
.DDLY(main_a7ddrphy_dq_i_delayed14),
.RST(sys_rst),
.Q1(main_a7ddrphy_dq_i_data14[7]),
.Q2(main_a7ddrphy_dq_i_data14[6]),
.Q3(main_a7ddrphy_dq_i_data14[5]),
.Q4(main_a7ddrphy_dq_i_data14[4]),
.Q5(main_a7ddrphy_dq_i_data14[3]),
.Q6(main_a7ddrphy_dq_i_data14[2]),
.Q7(main_a7ddrphy_dq_i_data14[1]),
.Q8(main_a7ddrphy_dq_i_data14[0])
);
IDELAYE2 #(
.CINVCTRL_SEL("FALSE"),
.DELAY_SRC("IDATAIN"),
.HIGH_PERFORMANCE_MODE("TRUE"),
.IDELAY_TYPE("VARIABLE"),
.IDELAY_VALUE(1'd0),
.PIPE_SEL("FALSE"),
.REFCLK_FREQUENCY(200.0),
.SIGNAL_PATTERN("DATA")
) IDELAYE2_14 (
.C(sys_clk),
.CE((main_a7ddrphy_dly_sel_storage[1] & main_a7ddrphy_rdly_dq_inc_re)),
.IDATAIN(main_a7ddrphy_dq_i_nodelay14),
.INC(1'd1),
.LD((main_a7ddrphy_dly_sel_storage[1] & main_a7ddrphy_rdly_dq_rst_re)),
.LDPIPEEN(1'd0),
.DATAOUT(main_a7ddrphy_dq_i_delayed14)
);
IOBUF IOBUF_14(
.I(main_a7ddrphy_dq_o_nodelay14),
.T(main_a7ddrphy_dq_t14),
.IO(ddram_dq[14]),
.O(main_a7ddrphy_dq_i_nodelay14)
);
OSERDESE2 #(
.DATA_RATE_OQ("DDR"),
.DATA_RATE_TQ("BUF"),
.DATA_WIDTH(4'd8),
.SERDES_MODE("MASTER"),
.TRISTATE_WIDTH(1'd1)
) OSERDESE2_44 (
.CLK(sys4x_clk),
.CLKDIV(sys_clk),
.D1(main_a7ddrphy_dfi_p0_wrdata[15]),
.D2(main_a7ddrphy_dfi_p0_wrdata[31]),
.D3(main_a7ddrphy_dfi_p1_wrdata[15]),
.D4(main_a7ddrphy_dfi_p1_wrdata[31]),
.D5(main_a7ddrphy_dfi_p2_wrdata[15]),
.D6(main_a7ddrphy_dfi_p2_wrdata[31]),
.D7(main_a7ddrphy_dfi_p3_wrdata[15]),
.D8(main_a7ddrphy_dfi_p3_wrdata[31]),
.OCE(1'd1),
.RST(sys_rst),
.T1((~main_a7ddrphy_oe_dq)),
.TCE(1'd1),
.OQ(main_a7ddrphy_dq_o_nodelay15),
.TQ(main_a7ddrphy_dq_t15)
);
ISERDESE2 #(
.DATA_RATE("DDR"),
.DATA_WIDTH(4'd8),
.INTERFACE_TYPE("NETWORKING"),
.IOBDELAY("IFD"),
.NUM_CE(1'd1),
.SERDES_MODE("MASTER")
) ISERDESE2_15 (
.BITSLIP(1'd0),
.CE1(1'd1),
.CLK(sys4x_clk),
.CLKB(sys4x_clkb),
.CLKDIV(sys_clk),
.DDLY(main_a7ddrphy_dq_i_delayed15),
.RST(sys_rst),
.Q1(main_a7ddrphy_dq_i_data15[7]),
.Q2(main_a7ddrphy_dq_i_data15[6]),
.Q3(main_a7ddrphy_dq_i_data15[5]),
.Q4(main_a7ddrphy_dq_i_data15[4]),
.Q5(main_a7ddrphy_dq_i_data15[3]),
.Q6(main_a7ddrphy_dq_i_data15[2]),
.Q7(main_a7ddrphy_dq_i_data15[1]),
.Q8(main_a7ddrphy_dq_i_data15[0])
);
IDELAYE2 #(
.CINVCTRL_SEL("FALSE"),
.DELAY_SRC("IDATAIN"),
.HIGH_PERFORMANCE_MODE("TRUE"),
.IDELAY_TYPE("VARIABLE"),
.IDELAY_VALUE(1'd0),
.PIPE_SEL("FALSE"),
.REFCLK_FREQUENCY(200.0),
.SIGNAL_PATTERN("DATA")
) IDELAYE2_15 (
.C(sys_clk),
.CE((main_a7ddrphy_dly_sel_storage[1] & main_a7ddrphy_rdly_dq_inc_re)),
.IDATAIN(main_a7ddrphy_dq_i_nodelay15),
.INC(1'd1),
.LD((main_a7ddrphy_dly_sel_storage[1] & main_a7ddrphy_rdly_dq_rst_re)),
.LDPIPEEN(1'd0),
.DATAOUT(main_a7ddrphy_dq_i_delayed15)
);
IOBUF IOBUF_15(
.I(main_a7ddrphy_dq_o_nodelay15),
.T(main_a7ddrphy_dq_t15),
.IO(ddram_dq[15]),
.O(main_a7ddrphy_dq_i_nodelay15)
);
reg [23:0] storage[0:7];
reg [23:0] memdat;
always @(posedge sys_clk) begin
if (main_sdram_bankmachine0_cmd_buffer_lookahead_wrport_we)
storage[main_sdram_bankmachine0_cmd_buffer_lookahead_wrport_adr] <= main_sdram_bankmachine0_cmd_buffer_lookahead_wrport_dat_w;
memdat <= storage[main_sdram_bankmachine0_cmd_buffer_lookahead_wrport_adr];
end
always @(posedge sys_clk) begin
end
assign main_sdram_bankmachine0_cmd_buffer_lookahead_wrport_dat_r = memdat;
assign main_sdram_bankmachine0_cmd_buffer_lookahead_rdport_dat_r = storage[main_sdram_bankmachine0_cmd_buffer_lookahead_rdport_adr];
reg [23:0] storage_1[0:7];
reg [23:0] memdat_1;
always @(posedge sys_clk) begin
if (main_sdram_bankmachine1_cmd_buffer_lookahead_wrport_we)
storage_1[main_sdram_bankmachine1_cmd_buffer_lookahead_wrport_adr] <= main_sdram_bankmachine1_cmd_buffer_lookahead_wrport_dat_w;
memdat_1 <= storage_1[main_sdram_bankmachine1_cmd_buffer_lookahead_wrport_adr];
end
always @(posedge sys_clk) begin
end
assign main_sdram_bankmachine1_cmd_buffer_lookahead_wrport_dat_r = memdat_1;
assign main_sdram_bankmachine1_cmd_buffer_lookahead_rdport_dat_r = storage_1[main_sdram_bankmachine1_cmd_buffer_lookahead_rdport_adr];
reg [23:0] storage_2[0:7];
reg [23:0] memdat_2;
always @(posedge sys_clk) begin
if (main_sdram_bankmachine2_cmd_buffer_lookahead_wrport_we)
storage_2[main_sdram_bankmachine2_cmd_buffer_lookahead_wrport_adr] <= main_sdram_bankmachine2_cmd_buffer_lookahead_wrport_dat_w;
memdat_2 <= storage_2[main_sdram_bankmachine2_cmd_buffer_lookahead_wrport_adr];
end
always @(posedge sys_clk) begin
end
assign main_sdram_bankmachine2_cmd_buffer_lookahead_wrport_dat_r = memdat_2;
assign main_sdram_bankmachine2_cmd_buffer_lookahead_rdport_dat_r = storage_2[main_sdram_bankmachine2_cmd_buffer_lookahead_rdport_adr];
reg [23:0] storage_3[0:7];
reg [23:0] memdat_3;
always @(posedge sys_clk) begin
if (main_sdram_bankmachine3_cmd_buffer_lookahead_wrport_we)
storage_3[main_sdram_bankmachine3_cmd_buffer_lookahead_wrport_adr] <= main_sdram_bankmachine3_cmd_buffer_lookahead_wrport_dat_w;
memdat_3 <= storage_3[main_sdram_bankmachine3_cmd_buffer_lookahead_wrport_adr];
end
always @(posedge sys_clk) begin
end
assign main_sdram_bankmachine3_cmd_buffer_lookahead_wrport_dat_r = memdat_3;
assign main_sdram_bankmachine3_cmd_buffer_lookahead_rdport_dat_r = storage_3[main_sdram_bankmachine3_cmd_buffer_lookahead_rdport_adr];
reg [23:0] storage_4[0:7];
reg [23:0] memdat_4;
always @(posedge sys_clk) begin
if (main_sdram_bankmachine4_cmd_buffer_lookahead_wrport_we)
storage_4[main_sdram_bankmachine4_cmd_buffer_lookahead_wrport_adr] <= main_sdram_bankmachine4_cmd_buffer_lookahead_wrport_dat_w;
memdat_4 <= storage_4[main_sdram_bankmachine4_cmd_buffer_lookahead_wrport_adr];
end
always @(posedge sys_clk) begin
end
assign main_sdram_bankmachine4_cmd_buffer_lookahead_wrport_dat_r = memdat_4;
assign main_sdram_bankmachine4_cmd_buffer_lookahead_rdport_dat_r = storage_4[main_sdram_bankmachine4_cmd_buffer_lookahead_rdport_adr];
reg [23:0] storage_5[0:7];
reg [23:0] memdat_5;
always @(posedge sys_clk) begin
if (main_sdram_bankmachine5_cmd_buffer_lookahead_wrport_we)
storage_5[main_sdram_bankmachine5_cmd_buffer_lookahead_wrport_adr] <= main_sdram_bankmachine5_cmd_buffer_lookahead_wrport_dat_w;
memdat_5 <= storage_5[main_sdram_bankmachine5_cmd_buffer_lookahead_wrport_adr];
end
always @(posedge sys_clk) begin
end
assign main_sdram_bankmachine5_cmd_buffer_lookahead_wrport_dat_r = memdat_5;
assign main_sdram_bankmachine5_cmd_buffer_lookahead_rdport_dat_r = storage_5[main_sdram_bankmachine5_cmd_buffer_lookahead_rdport_adr];
reg [23:0] storage_6[0:7];
reg [23:0] memdat_6;
always @(posedge sys_clk) begin
if (main_sdram_bankmachine6_cmd_buffer_lookahead_wrport_we)
storage_6[main_sdram_bankmachine6_cmd_buffer_lookahead_wrport_adr] <= main_sdram_bankmachine6_cmd_buffer_lookahead_wrport_dat_w;
memdat_6 <= storage_6[main_sdram_bankmachine6_cmd_buffer_lookahead_wrport_adr];
end
always @(posedge sys_clk) begin
end
assign main_sdram_bankmachine6_cmd_buffer_lookahead_wrport_dat_r = memdat_6;
assign main_sdram_bankmachine6_cmd_buffer_lookahead_rdport_dat_r = storage_6[main_sdram_bankmachine6_cmd_buffer_lookahead_rdport_adr];
reg [23:0] storage_7[0:7];
reg [23:0] memdat_7;
always @(posedge sys_clk) begin
if (main_sdram_bankmachine7_cmd_buffer_lookahead_wrport_we)
storage_7[main_sdram_bankmachine7_cmd_buffer_lookahead_wrport_adr] <= main_sdram_bankmachine7_cmd_buffer_lookahead_wrport_dat_w;
memdat_7 <= storage_7[main_sdram_bankmachine7_cmd_buffer_lookahead_wrport_adr];
end
always @(posedge sys_clk) begin
end
assign main_sdram_bankmachine7_cmd_buffer_lookahead_wrport_dat_r = memdat_7;
assign main_sdram_bankmachine7_cmd_buffer_lookahead_rdport_dat_r = storage_7[main_sdram_bankmachine7_cmd_buffer_lookahead_rdport_adr];
reg [31:0] tag_mem[0:1];
reg [0:0] memadr_2;
always @(posedge sys_clk) begin
if (main_tag_port_we)
tag_mem[main_tag_port_adr] <= main_tag_port_dat_w;
memadr_2 <= main_tag_port_adr;
end
assign main_tag_port_dat_r = tag_mem[memadr_2];
(* LOC="PLLE2_ADV_X1Y0" *)
PLLE2_ADV #(
.CLKFBOUT_MULT(5'd16),
.CLKIN1_PERIOD(10.0),
.CLKOUT0_DIVIDE(6'd32),
.CLKOUT0_PHASE(1'd0),
.CLKOUT1_DIVIDE(4'd8),
.CLKOUT1_PHASE(1'd0),
.CLKOUT2_DIVIDE(4'd8),
.CLKOUT2_PHASE(7'd90),
.CLKOUT3_DIVIDE(4'd8),
.CLKOUT3_PHASE(1'd0),
.DIVCLK_DIVIDE(1'd1),
.REF_JITTER1(0.01),
.STARTUP_WAIT("FALSE")
) PLLE2_ADV (
.CLKFBIN(builder_pll_fb),
.CLKIN1(main_pll_clkin),
.RST(main_reset),
.CLKFBOUT(builder_pll_fb),
.CLKOUT0(main_clkout0),
.CLKOUT1(main_clkout1),
.CLKOUT2(main_clkout2),
.CLKOUT3(main_clkout3),
.LOCKED(main_locked)
);
reg [7:0] data_mem_grain0[0:1];
reg [0:0] memadr_3;
always @(posedge sys_clk) begin
if (main_data_port_we[0])
data_mem_grain0[main_data_port_adr] <= main_data_port_dat_w[7:0];
memadr_3 <= main_data_port_adr;
end
assign main_data_port_dat_r[7:0] = data_mem_grain0[memadr_3];
reg [7:0] data_mem_grain1[0:1];
reg [0:0] memadr_4;
always @(posedge sys_clk) begin
if (main_data_port_we[1])
data_mem_grain1[main_data_port_adr] <= main_data_port_dat_w[15:8];
memadr_4 <= main_data_port_adr;
end
assign main_data_port_dat_r[15:8] = data_mem_grain1[memadr_4];
reg [7:0] data_mem_grain2[0:1];
reg [0:0] memadr_5;
always @(posedge sys_clk) begin
if (main_data_port_we[2])
data_mem_grain2[main_data_port_adr] <= main_data_port_dat_w[23:16];
memadr_5 <= main_data_port_adr;
end
assign main_data_port_dat_r[23:16] = data_mem_grain2[memadr_5];
reg [7:0] data_mem_grain3[0:1];
reg [0:0] memadr_6;
always @(posedge sys_clk) begin
if (main_data_port_we[3])
data_mem_grain3[main_data_port_adr] <= main_data_port_dat_w[31:24];
memadr_6 <= main_data_port_adr;
end
assign main_data_port_dat_r[31:24] = data_mem_grain3[memadr_6];
reg [7:0] data_mem_grain4[0:1];
reg [0:0] memadr_7;
always @(posedge sys_clk) begin
if (main_data_port_we[4])
data_mem_grain4[main_data_port_adr] <= main_data_port_dat_w[39:32];
memadr_7 <= main_data_port_adr;
end
assign main_data_port_dat_r[39:32] = data_mem_grain4[memadr_7];
reg [7:0] data_mem_grain5[0:1];
reg [0:0] memadr_8;
always @(posedge sys_clk) begin
if (main_data_port_we[5])
data_mem_grain5[main_data_port_adr] <= main_data_port_dat_w[47:40];
memadr_8 <= main_data_port_adr;
end
assign main_data_port_dat_r[47:40] = data_mem_grain5[memadr_8];
reg [7:0] data_mem_grain6[0:1];
reg [0:0] memadr_9;
always @(posedge sys_clk) begin
if (main_data_port_we[6])
data_mem_grain6[main_data_port_adr] <= main_data_port_dat_w[55:48];
memadr_9 <= main_data_port_adr;
end
assign main_data_port_dat_r[55:48] = data_mem_grain6[memadr_9];
reg [7:0] data_mem_grain7[0:1];
reg [0:0] memadr_10;
always @(posedge sys_clk) begin
if (main_data_port_we[7])
data_mem_grain7[main_data_port_adr] <= main_data_port_dat_w[63:56];
memadr_10 <= main_data_port_adr;
end
assign main_data_port_dat_r[63:56] = data_mem_grain7[memadr_10];
reg [7:0] data_mem_grain8[0:1];
reg [0:0] memadr_11;
always @(posedge sys_clk) begin
if (main_data_port_we[8])
data_mem_grain8[main_data_port_adr] <= main_data_port_dat_w[71:64];
memadr_11 <= main_data_port_adr;
end
assign main_data_port_dat_r[71:64] = data_mem_grain8[memadr_11];
reg [7:0] data_mem_grain9[0:1];
reg [0:0] memadr_12;
always @(posedge sys_clk) begin
if (main_data_port_we[9])
data_mem_grain9[main_data_port_adr] <= main_data_port_dat_w[79:72];
memadr_12 <= main_data_port_adr;
end
assign main_data_port_dat_r[79:72] = data_mem_grain9[memadr_12];
reg [7:0] data_mem_grain10[0:1];
reg [0:0] memadr_13;
always @(posedge sys_clk) begin
if (main_data_port_we[10])
data_mem_grain10[main_data_port_adr] <= main_data_port_dat_w[87:80];
memadr_13 <= main_data_port_adr;
end
assign main_data_port_dat_r[87:80] = data_mem_grain10[memadr_13];
reg [7:0] data_mem_grain11[0:1];
reg [0:0] memadr_14;
always @(posedge sys_clk) begin
if (main_data_port_we[11])
data_mem_grain11[main_data_port_adr] <= main_data_port_dat_w[95:88];
memadr_14 <= main_data_port_adr;
end
assign main_data_port_dat_r[95:88] = data_mem_grain11[memadr_14];
reg [7:0] data_mem_grain12[0:1];
reg [0:0] memadr_15;
always @(posedge sys_clk) begin
if (main_data_port_we[12])
data_mem_grain12[main_data_port_adr] <= main_data_port_dat_w[103:96];
memadr_15 <= main_data_port_adr;
end
assign main_data_port_dat_r[103:96] = data_mem_grain12[memadr_15];
reg [7:0] data_mem_grain13[0:1];
reg [0:0] memadr_16;
always @(posedge sys_clk) begin
if (main_data_port_we[13])
data_mem_grain13[main_data_port_adr] <= main_data_port_dat_w[111:104];
memadr_16 <= main_data_port_adr;
end
assign main_data_port_dat_r[111:104] = data_mem_grain13[memadr_16];
reg [7:0] data_mem_grain14[0:1];
reg [0:0] memadr_17;
always @(posedge sys_clk) begin
if (main_data_port_we[14])
data_mem_grain14[main_data_port_adr] <= main_data_port_dat_w[119:112];
memadr_17 <= main_data_port_adr;
end
assign main_data_port_dat_r[119:112] = data_mem_grain14[memadr_17];
reg [7:0] data_mem_grain15[0:1];
reg [0:0] memadr_18;
always @(posedge sys_clk) begin
if (main_data_port_we[15])
data_mem_grain15[main_data_port_adr] <= main_data_port_dat_w[127:120];
memadr_18 <= main_data_port_adr;
end
assign main_data_port_dat_r[127:120] = data_mem_grain15[memadr_18];
(* ars_ff1 = "true", async_reg = "true" *) FDPE #(
.INIT(1'd1)
) FDPE (
.C(sys_clk),
.CE(1'd1),
.D(1'd0),
.PRE(builder_xilinxasyncresetsynchronizerimpl0),
.Q(builder_xilinxasyncresetsynchronizerimpl0_rst_meta)
);
(* ars_ff2 = "true", async_reg = "true" *) FDPE #(
.INIT(1'd1)
) FDPE_1 (
.C(sys_clk),
.CE(1'd1),
.D(builder_xilinxasyncresetsynchronizerimpl0_rst_meta),
.PRE(builder_xilinxasyncresetsynchronizerimpl0),
.Q(sys_rst)
);
(* ars_ff1 = "true", async_reg = "true" *) FDPE #(
.INIT(1'd1)
) FDPE_2 (
.C(sys4x_clk),
.CE(1'd1),
.D(1'd0),
.PRE(builder_xilinxasyncresetsynchronizerimpl1),
.Q(builder_xilinxasyncresetsynchronizerimpl1_rst_meta)
);
(* ars_ff2 = "true", async_reg = "true" *) FDPE #(
.INIT(1'd1)
) FDPE_3 (
.C(sys4x_clk),
.CE(1'd1),
.D(builder_xilinxasyncresetsynchronizerimpl1_rst_meta),
.PRE(builder_xilinxasyncresetsynchronizerimpl1),
.Q(builder_xilinxasyncresetsynchronizerimpl1_expr)
);
(* ars_ff1 = "true", async_reg = "true" *) FDPE #(
.INIT(1'd1)
) FDPE_4 (
.C(sys4x_dqs_clk),
.CE(1'd1),
.D(1'd0),
.PRE(builder_xilinxasyncresetsynchronizerimpl2),
.Q(builder_xilinxasyncresetsynchronizerimpl2_rst_meta)
);
(* ars_ff2 = "true", async_reg = "true" *) FDPE #(
.INIT(1'd1)
) FDPE_5 (
.C(sys4x_dqs_clk),
.CE(1'd1),
.D(builder_xilinxasyncresetsynchronizerimpl2_rst_meta),
.PRE(builder_xilinxasyncresetsynchronizerimpl2),
.Q(builder_xilinxasyncresetsynchronizerimpl2_expr)
);
(* ars_ff1 = "true", async_reg = "true" *) FDPE #(
.INIT(1'd1)
) FDPE_6 (
.C(clk200_clk),
.CE(1'd1),
.D(1'd0),
.PRE(builder_xilinxasyncresetsynchronizerimpl3),
.Q(builder_xilinxasyncresetsynchronizerimpl3_rst_meta)
);
(* ars_ff2 = "true", async_reg = "true" *) FDPE #(
.INIT(1'd1)
) FDPE_7 (
.C(clk200_clk),
.CE(1'd1),
.D(builder_xilinxasyncresetsynchronizerimpl3_rst_meta),
.PRE(builder_xilinxasyncresetsynchronizerimpl3),
.Q(clk200_rst)
);
endmodule
|
module mu80(
input wire clock_100,
/* 4 x LED */
output wire [3:0] led,
/* VGA PINOUTS */
output wire [4:0] r,
output wire [5:0] g,
output wire [4:0] b,
output wire hs,
output wire vs
);
assign led = {cpu_led, locked, clock_cpu};
// Îáúÿâëåíèå êîíñòàíò
// --------------------------------------------------------------------------------
wire [3:0] cpu_led;
wire [15:0] address; // Àäðåñóåìàÿ ÂÑß ïàìÿòü
wire locked;
wire clock_25; // Äåëåííàÿ íà 4 ñêîðîñòü ïðîöåññîðà (25 ìãö)
wire clock_12;
wire clock_6;
wire clock_cpu = clock_25; // CPU clock
// Çàïèñü äàííûõ â ïàìÿòè
reg wren_100mhz = 1'b0;
// --------------------------------------------------------------------------------
// ÃÅÍÅÐÀÒÎÐ PLL. Ãåíåðèðóåò èìïóëüñû èç 100 ìãö â 25 ìãö (îñíîâíàÿ ÷àñòîòà).
pll PLL(.inclk0(clock_100), .c0(clock_25), .c1(clock_12), .c2(clock_6), .locked(locked));
// --------------------------------------------------------------------------------
// ÏÀÌßÒÜ ROM (16 êèëîáàéò) $0000-$3FFF
// ÏÀÌßÒÜ RAM (16 êèëîáàéò) $4000-$7FFF
// Óêàçàòåëü íà âèäåîïàìÿòü
wire [13:0] address_vm;
// Data Memory IO
wire [7:0] data8_rom;
wire [7:0] data8_ram;
wire [7:0] data8_vid;
wire [7:0] data8_w;
reg [7:0] data8;
// Write Enabled
reg wren_ram;
reg wren_distr;
wire wren;
// Ïîðòû
wire port_clock;
wire [15:0] port_addr;
wire [7:0] port_data;
wire [7:0] port_in;
wire [2:0] vga_border;
// Çàïèñü â ïàìÿòü íà CLOCK = 0
always @(posedge clock_100) begin
// Ïðè âûñîêîì ñèãíàëå CLK25 îñòàíàâëèâàòü çàïèñü
if (clock_cpu) begin
wren_100mhz <= 1'b0;
wren_distr <= 1'b0;
end
// Ïðè ïåðâîì íèçêîì ñèãíàëå çàïèñàòü òîëüêî 1 ðàç
else if (!clock_cpu && !wren_100mhz) begin
wren_100mhz <= 1'b1;
wren_distr <= wren;
end
end
// $0000-$3FFF 16 êá ROM
// ------------------------------------
rom ROM(
.clock (clock_100),
.addr_rd (address[13:0]),
.q (data8_rom)
);
// $4000-$7FFF 16 êá RAM
// ------------------------------------
ram RAM(
.clock (clock_100),
// Read/Write
.addr_rw (address[13:0]),
.data (data8_w),
.wren (wren_ram & wren_distr),
.q_rw (data8_ram),
// Video Adapter
.addr_rd (address_vm[13:0]),
.q (data8_vid)
);
// --------------------------------------------------------------------------------
// Ìàïïåð äàííûõ èç ðàçíûõ èñòî÷íèêîâ
// Ðàñïðåäåëåíèå, îòêóäà áðàòü äàííûå (ROM, RAM, SDRAM)
always @* begin
// ROM 16K
if (address < 16'h4000) begin data8[7:0] = data8_rom[7:0]; wren_ram = 1'b0; end
// RAM 16K
else if (address < 16'h8000) begin data8[7:0] = data8_ram[7:0]; wren_ram = 1'b1; end
end
// --------------------------------------------------------------------------------
// Öåíòðàëüíûé ïðîöåññîð. ßäðî âñåé ñèñòåìû.
cpu CPU(
.clock (clock_cpu & locked),
.din (data8),
.dout (data8_w),
.address (address),
.wren (wren),
.led (cpu_led),
// Ïîðòû, ñâÿçü ñ ìèðîì
.port_clock (port_clock), // Ñòðîá äëÿ çàïèñè â ïîðò
.port_addr (port_addr),
.port_in (port_in), // PORT <-- CPU
.port_data (port_data), // CPU --> PORT
);
// --------------------------------------------------------------------------------
// Êîíòðîëëåð ïîðòîâ ââîäà-âûâîäà
port PORT(
.clock (port_clock),
.addr (port_addr),
.data_in (port_data),
.data_out (port_in),
.vga_border (vga_border),
);
// --------------------------------------------------------------------------------
// Âèäåîàäàïòåð [ïîêà ÷òî disabled]
video VID(
.clock (clock_25),
// Äàííûå
.d8_chr (data8_vid),
.addr (address_vm),
// Âèäåîâûõîä
.r(r),
.g(g),
.b(b),
.hs(hs),
.vs(vs)
);
endmodule |
/**
* Copyright 2020 The SkyWater PDK Authors
*
* Licensed under the Apache License, Version 2.0 (the "License");
* you may not use this file except in compliance with the License.
* You may obtain a copy of the License at
*
* https://www.apache.org/licenses/LICENSE-2.0
*
* Unless required by applicable law or agreed to in writing, software
* distributed under the License is distributed on an "AS IS" BASIS,
* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
* See the License for the specific language governing permissions and
* limitations under the License.
*
* SPDX-License-Identifier: Apache-2.0
*/
`ifndef SKY130_FD_SC_HD__AND3_PP_BLACKBOX_V
`define SKY130_FD_SC_HD__AND3_PP_BLACKBOX_V
/**
* and3: 3-input AND.
*
* Verilog stub definition (black box with power pins).
*
* WARNING: This file is autogenerated, do not modify directly!
*/
`timescale 1ns / 1ps
`default_nettype none
(* blackbox *)
module sky130_fd_sc_hd__and3 (
X ,
A ,
B ,
C ,
VPWR,
VGND,
VPB ,
VNB
);
output X ;
input A ;
input B ;
input C ;
input VPWR;
input VGND;
input VPB ;
input VNB ;
endmodule
`default_nettype wire
`endif // SKY130_FD_SC_HD__AND3_PP_BLACKBOX_V
|
///////////////////////////////////////////////////////////////////////////////
//
// Copyright (C) 2014 Francis Bruno, All Rights Reserved
//
// This program is free software; you can redistribute it and/or modify it
// under the terms of the GNU General Public License as published by the Free
// Software Foundation; either version 3 of the License, or (at your option)
// any later version.
//
// This program is distributed in the hope that it will be useful, but
// WITHOUT ANY WARRANTY; without even the implied warranty of MERCHANTABILITY
// or FITNESS FOR A PARTICULAR PURPOSE.
// See the GNU General Public License for more details.
//
// You should have received a copy of the GNU General Public License along with
// this program; if not, see <http://www.gnu.org/licenses>.
//
// This code is available under licenses for commercial use. Please contact
// Francis Bruno for more information.
//
// http://www.gplgpu.com
// http://www.asicsolutions.com
//
//////////////////////////////////////////////////////////////////////////////
//
// Description :
// Brief Description: Contains the capture registers for data back from the
// RAM as well as the FIFO used by the drawing engine data path for
// read-modify-write
//
//////////////////////////////////////////////////////////////////////////////
//
// Modules Instantiated:
//
///////////////////////////////////////////////////////////////////////////////
//
// Modification History:
//
// $Log: mc_mff_key.v.rca $
//
// Revision: 1.3 Thu Aug 6 22:11:31 2009 linstale
// Update with 072709 drop version.
//
///////////////////////////////////////////////////////////////////////////////
///////////////////////////////////////////////////////////////////////////////
///////////////////////////////////////////////////////////////////////////////
`timescale 1 ns / 10 ps
module mc_mff_key
#(parameter BYTES = 4)
(
input [(BYTES*8)-1:0] data_in,
input [31:0] key_in,
input [1:0] pix,
input [2:0] kcnt,
input [BYTES-1:0] mask_in,
output reg [BYTES-1:0] key_mask
);
reg [BYTES-1:0] key_mask_tmp1;
reg [BYTES-1:0] key_mask_tmp2;
// Color keying support logic.
// This logic compares source or destination data (depending on key control)
// to a key color, and masks the write if there is a match.
// Interpertation of kcnt:
// 0xx = no masking
// 100 = source masking, mask keyed color
// 101 = destination masking, mask keyed color
// 110 = source masking, mask NOT keyed color
// 111 = destination masking, mask NOT keyed color
always @* begin
// Each of the 16 bytes or 8 words or 4 longwords are compared according
// to pixel format
casex(pix)
2'b00:
begin
key_mask_tmp1[0] = (data_in[7:0] == key_in[7:0]);
key_mask_tmp1[1] = (data_in[15:8] == key_in[7:0]);
key_mask_tmp1[2] = (data_in[23:16] == key_in[7:0]);
key_mask_tmp1[3] = (data_in[31:24] == key_in[7:0]);
if((BYTES == 16) || (BYTES == 8)) begin
key_mask_tmp1[4] = (data_in[39:32] == key_in[7:0]);
key_mask_tmp1[5] = (data_in[47:40] == key_in[7:0]);
key_mask_tmp1[6] = (data_in[55:48] == key_in[7:0]);
key_mask_tmp1[7] = (data_in[63:56] == key_in[7:0]);
end
if(BYTES == 16) begin
key_mask_tmp1[8] = (data_in[71:64] == key_in[7:0]);
key_mask_tmp1[9] = (data_in[79:72] == key_in[7:0]);
key_mask_tmp1[10] = (data_in[87:80] == key_in[7:0]);
key_mask_tmp1[11] = (data_in[95:88] == key_in[7:0]);
key_mask_tmp1[12] = (data_in[103:96] == key_in[7:0]);
key_mask_tmp1[13] = (data_in[111:104] == key_in[7:0]);
key_mask_tmp1[14] = (data_in[119:112] == key_in[7:0]);
key_mask_tmp1[15] = (data_in[127:120] == key_in[7:0]);
end
end
2'bx1: begin
key_mask_tmp1[1:0] = (data_in[15:0] == key_in[15:0]) ? 2'b11 : 2'b00;
key_mask_tmp1[3:2] = (data_in[31:16] == key_in[15:0]) ? 2'b11 : 2'b00;
if((BYTES == 16) || (BYTES == 8)) begin
key_mask_tmp1[5:4] = (data_in[47:32] == key_in[15:0]) ? 2'b11 : 2'b00;
key_mask_tmp1[7:6] = (data_in[63:48] == key_in[15:0]) ? 2'b11 : 2'b00;
end
if(BYTES == 16) begin
key_mask_tmp1[9:8] = (data_in[79:64] == key_in[15:0]) ? 2'b11 : 2'b00;
key_mask_tmp1[11:10] = (data_in[95:80] == key_in[15:0]) ? 2'b11 : 2'b00;
key_mask_tmp1[13:12] = (data_in[111:96] == key_in[15:0]) ? 2'b11 : 2'b00;
key_mask_tmp1[15:14] = (data_in[127:112] == key_in[15:0]) ? 2'b11 : 2'b00;
end
end
2'b10: begin
key_mask_tmp1[3:0] = (data_in[23:0] == key_in[23:0]) ? 4'b1111 : 4'b0000;
if((BYTES == 16) || (BYTES == 8)) begin
key_mask_tmp1[7:4] = (data_in[55:32] == key_in[23:0]) ? 4'b1111 : 4'b0000;
end
if(BYTES == 16) begin
key_mask_tmp1[11:8] = (data_in[87:64] == key_in[23:0]) ? 4'b1111 : 4'b0000;
key_mask_tmp1[15:12] = (data_in[119:96] == key_in[23:0]) ? 4'b1111 : 4'b0000;
end
end
default: begin
key_mask_tmp1[3:0] = 4'b0000;
if((BYTES == 16) || (BYTES == 8)) key_mask_tmp1[7:4] = 4'b0000;
if(BYTES == 16) key_mask_tmp1[15:8] = 8'b00000000;
end
endcase // casex(pix)
// The final mask is inverted if necessary, depending on key control
key_mask_tmp2 = !kcnt[1] ? key_mask_tmp1 : ~key_mask_tmp1;
// The mask is ignored and set to zero if 2d color keying is disabled
key_mask[3:0] = mask_in[3:0] | {4{(kcnt[2] & kcnt[0])}} & key_mask_tmp2[3:0];
if((BYTES == 16) || (BYTES == 8)) key_mask[7:4] = mask_in[7:4] | {4{(kcnt[2] & kcnt[0])}} & key_mask_tmp2[7:4];
if(BYTES == 16) key_mask[15:8] = mask_in[15:8] | {8{(kcnt[2] & kcnt[0])}} & key_mask_tmp2[15:8];
end // always @ *
endmodule // mc_mff_key
|
`timescale 1ns / 1ps
module ceespu_tb();
wire [3:0]O_dmemWe;
wire O_int_ack;
wire [15:0]O_dmemAddress;
wire [31:0]I_dmemData;
wire [15:0]O_imemAddress;
reg I_int = 0;
wire [2:0]I_int_vector;
reg clk, rst;
wire O_dmemE, imemReset, imemEnable;
wire [31:0]O_dmemWData;
wire I_dmemBusy;
reg [31:0]I_imemData;
reg [31:0] Icache [0:16383];
//assign O_dmemWe=
//assign O_int_ack=
//assign O_dmemAddress=
assign I_dmemData = 2;
assign I_int_vector = 2;
//assign clk=
//assign I_rst=
//assign O_dmemE=
//assign O_dmemWData=
assign I_dmemBusy = 0;
//assign I_imemData=
ceespu ceespu_test(
.O_imemAddress(O_imemAddress[15:0]),
.O_imemEnable(imemEnable),
.O_imemReset(imemReset),
.O_int_ack(O_int_ack),
.O_dmemAddress(O_dmemAddress[15:0]),
.O_dmemWData(O_dmemWData[31:0]),
.O_dmemE(O_dmemE),
.O_dmemWe(O_dmemWe[3:0]),
.I_clk(clk),
.I_rst(rst),
.I_int_req(I_int),
.I_int_vector(2),
.I_imemData(I_imemData[31:0]),
.I_dmemData(I_dmemData[31:0]),
.I_dmemBusy(I_dmemBusy));
initial begin
clk = 0;
rst = 1;
#12 rst = 0;
end
always begin
forever #5 clk = !clk;
end
// Do this in your test bench
initial
begin
$dumpfile("test.lxt");
$dumpvars(0,ceespu_test);
end
reg [31:0] cached_addr;
always @(posedge clk) begin
if (rst || imemReset) begin
#2 I_imemData <= 0;
end
else if (imemEnable) begin
cached_addr = (O_imemAddress / 4) + 1;
// $display("---------------------------");
// $display("reading addr %h", O_imemAddress);
#2 I_imemData <= Icache[cached_addr];
// $display("yielded %h", I_imemData);
// $display("---------------------------");
end
end
initial begin
#150
I_int = 1;
# 15
I_int = 0;
#500
$finish;
end
integer file, num;
initial begin
$display("Loading rom");
file = $fopen("output.bin", "rb");
if (file == 0) begin
$display("Can't open rom, quiting...");
$finish;
end
num = $fread(Icache, file);
$display("Loaded %0d entries \n", num);
end
endmodule
|
/*
Copyright (c) 2017 Alex Forencich
Permission is hereby granted, free of charge, to any person obtaining a copy
of this software and associated documentation files (the "Software"), to deal
in the Software without restriction, including without limitation the rights
to use, copy, modify, merge, publish, distribute, sublicense, and/or sell
copies of the Software, and to permit persons to whom the Software is
furnished to do so, subject to the following conditions:
The above copyright notice and this permission notice shall be included in
all copies or substantial portions of the Software.
THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR
IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY
FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE
AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER
LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING FROM,
OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN
THE SOFTWARE.
*/
// Language: Verilog 2001
`timescale 1ns / 1ps
/*
* XFCP DRP module
*/
module xfcp_mod_drp #
(
parameter XFCP_ID_TYPE = 16'h0001,
parameter XFCP_ID_STR = "DRP",
parameter XFCP_EXT_ID = 0,
parameter XFCP_EXT_ID_STR = "",
parameter ADDR_WIDTH = 10
)
(
input wire clk,
input wire rst,
/*
* XFCP upstream interface
*/
input wire [7:0] up_xfcp_in_tdata,
input wire up_xfcp_in_tvalid,
output wire up_xfcp_in_tready,
input wire up_xfcp_in_tlast,
input wire up_xfcp_in_tuser,
output wire [7:0] up_xfcp_out_tdata,
output wire up_xfcp_out_tvalid,
input wire up_xfcp_out_tready,
output wire up_xfcp_out_tlast,
output wire up_xfcp_out_tuser,
/*
* DRP interface
*/
output wire [ADDR_WIDTH-1:0] drp_addr,
output wire [15:0] drp_do,
input wire [15:0] drp_di,
output wire drp_en,
output wire drp_we,
input wire drp_rdy
);
wire [ADDR_WIDTH-1:0] wb_adr;
wire [15:0] wb_dat_m;
wire [15:0] wb_dat_drp;
wire wb_we;
wire wb_stb;
wire wb_ack_drp;
wire wb_cyc;
xfcp_mod_wb #(
.XFCP_ID_TYPE(XFCP_ID_TYPE),
.XFCP_ID_STR(XFCP_ID_STR),
.XFCP_EXT_ID(XFCP_EXT_ID),
.XFCP_EXT_ID_STR(XFCP_EXT_ID_STR),
.COUNT_SIZE(16),
.WB_DATA_WIDTH(16),
.WB_ADDR_WIDTH(ADDR_WIDTH),
.WB_SELECT_WIDTH(1)
)
xfcp_mod_wb_inst (
.clk(clk),
.rst(rst),
.up_xfcp_in_tdata(up_xfcp_in_tdata),
.up_xfcp_in_tvalid(up_xfcp_in_tvalid),
.up_xfcp_in_tready(up_xfcp_in_tready),
.up_xfcp_in_tlast(up_xfcp_in_tlast),
.up_xfcp_in_tuser(up_xfcp_in_tuser),
.up_xfcp_out_tdata(up_xfcp_out_tdata),
.up_xfcp_out_tvalid(up_xfcp_out_tvalid),
.up_xfcp_out_tready(up_xfcp_out_tready),
.up_xfcp_out_tlast(up_xfcp_out_tlast),
.up_xfcp_out_tuser(up_xfcp_out_tuser),
.wb_adr_o(wb_adr),
.wb_dat_i(wb_dat_drp),
.wb_dat_o(wb_dat_m),
.wb_we_o(wb_we),
.wb_sel_o(),
.wb_stb_o(wb_stb),
.wb_ack_i(wb_ack_drp),
.wb_err_i(1'b0),
.wb_cyc_o(wb_cyc)
);
wb_drp #(
.ADDR_WIDTH(ADDR_WIDTH)
)
wb_drp_inst (
.clk(clk),
.rst(rst),
.wb_adr_i(wb_adr[ADDR_WIDTH-1:0]),
.wb_dat_i(wb_dat_m),
.wb_dat_o(wb_dat_drp),
.wb_we_i(wb_we),
.wb_stb_i(wb_stb),
.wb_ack_o(wb_ack_drp),
.wb_cyc_i(wb_cyc),
.drp_addr(drp_addr),
.drp_do(drp_do),
.drp_di(drp_di),
.drp_en(drp_en),
.drp_we(drp_we),
.drp_rdy(drp_rdy)
);
endmodule
|
///////////////////////////////////////////////////////////////////////////////
// Copyright (c) 1995/2017 Xilinx, Inc.
//
// Licensed under the Apache License, Version 2.0 (the "License");
// you may not use this file except in compliance with the License.
// You may obtain a copy of the License at
//
// http://www.apache.org/licenses/LICENSE-2.0
//
// Unless required by applicable law or agreed to in writing, software
// distributed under the License is distributed on an "AS IS" BASIS,
// WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
// See the License for the specific language governing permissions and
// limitations under the License.
///////////////////////////////////////////////////////////////////////////////
// ____ ____
// / /\/ /
// /___/ \ / Vendor : Xilinx
// \ \ \/ Version : 2018.1
// \ \ Description : Xilinx Unified Simulation Library Component
// / / Bi-Directional IO
// /___/ /\ Filename : BIBUF.v
// \ \ / \
// \___\/\___\
//
///////////////////////////////////////////////////////////////////////////////
// Revision:
//
// End Revision:
///////////////////////////////////////////////////////////////////////////////
`timescale 1 ps / 1 ps
`celldefine
module BIBUF
`ifdef XIL_TIMING
#(
parameter LOC = "UNPLACED"
)
`endif
(
inout IO,
inout PAD
);
// define constants
localparam MODULE_NAME = "BIBUF";
tri0 glblGSR = glbl.GSR;
// begin behavioral model
wire PAD_io;
wire IO_io;
assign #10 PAD_io = PAD;
assign #10 IO_io = IO;
assign (weak1, weak0) IO = PAD_io;
assign (weak1, weak0) PAD = IO_io;
// end behavioral model
endmodule
`endcelldefine
|
//*****************************************************************************
// (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved.
//
// This file contains confidential and proprietary information
// of Xilinx, Inc. and is protected under U.S. and
// international copyright and other intellectual property
// laws.
//
// DISCLAIMER
// This disclaimer is not a license and does not grant any
// rights to the materials distributed herewith. Except as
// otherwise provided in a valid license issued to you by
// Xilinx, and to the maximum extent permitted by applicable
// law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
// WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
// AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
// BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
// INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
// (2) Xilinx shall not be liable (whether in contract or tort,
// including negligence, or under any other theory of
// liability) for any loss or damage of any kind or nature
// related to, arising under or in connection with these
// materials, including for any direct, or any indirect,
// special, incidental, or consequential loss or damage
// (including loss of data, profits, goodwill, or any type of
// loss or damage suffered as a result of any action brought
// by a third party) even if such damage or loss was
// reasonably foreseeable or Xilinx had been advised of the
// possibility of the same.
//
// CRITICAL APPLICATIONS
// Xilinx products are not designed or intended to be fail-
// safe, or for use in any application requiring fail-safe
// performance, such as life-support or safety devices or
// systems, Class III medical devices, nuclear facilities,
// applications related to the deployment of airbags, or any
// other applications that could lead to death, personal
// injury, or severe property or environmental damage
// (individually and collectively, "Critical
// Applications"). Customer assumes the sole risk and
// liability of any use of Xilinx products in Critical
// Applications, subject only to applicable laws and
// regulations governing limitations on product liability.
//
// THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
// PART OF THIS FILE AT ALL TIMES.
//
//*****************************************************************************
// ____ ____
// / /\/ /
// /___/ \ / Vendor : Xilinx
// \ \ \/ Version : 3.4
// \ \ Application : MIG
// / / Filename : glbl.v
// /___/ /\ Date Last Modified : $Date: 2010/02/26 09:12:08 $
// \ \ / \ Date Created : Mon Jun 23 2008
// \___\/\___\
//
// Device : Virtex-6
// Design Name : DDR3 SDRAM
// Purpose :
// Reference :
// Revision History :
//*****************************************************************************
`timescale 1 ps / 1 ps
module glbl ();
parameter ROC_WIDTH = 100000;
parameter TOC_WIDTH = 0;
wire GSR;
wire GTS;
wire GWE;
wire PRLD;
tri1 p_up_tmp;
tri (weak1, strong0) PLL_LOCKG = p_up_tmp;
reg GSR_int;
reg GTS_int;
reg PRLD_int;
//-------- JTAG Globals --------------
wire JTAG_TDO_GLBL;
wire JTAG_TCK_GLBL;
wire JTAG_TDI_GLBL;
wire JTAG_TMS_GLBL;
wire JTAG_TRST_GLBL;
reg JTAG_CAPTURE_GLBL;
reg JTAG_RESET_GLBL;
reg JTAG_SHIFT_GLBL;
reg JTAG_UPDATE_GLBL;
reg JTAG_RUNTEST_GLBL;
reg JTAG_SEL1_GLBL = 0;
reg JTAG_SEL2_GLBL = 0 ;
reg JTAG_SEL3_GLBL = 0;
reg JTAG_SEL4_GLBL = 0;
reg JTAG_USER_TDO1_GLBL = 1'bz;
reg JTAG_USER_TDO2_GLBL = 1'bz;
reg JTAG_USER_TDO3_GLBL = 1'bz;
reg JTAG_USER_TDO4_GLBL = 1'bz;
assign (weak1, weak0) GSR = GSR_int;
assign (weak1, weak0) GTS = GTS_int;
assign (weak1, weak0) PRLD = PRLD_int;
initial begin
GSR_int = 1'b1;
PRLD_int = 1'b1;
#(ROC_WIDTH)
GSR_int = 1'b0;
PRLD_int = 1'b0;
end
initial begin
GTS_int = 1'b1;
#(TOC_WIDTH)
GTS_int = 1'b0;
end
endmodule
|
(***********************************************************************)
(* v * The Coq Proof Assistant / The Coq Development Team *)
(* <O___,, * INRIA-Rocquencourt & LRI-CNRS-Orsay *)
(* \VV/ *************************************************************)
(* // * This file is distributed under the terms of the *)
(* * GNU Lesser General Public License Version 2.1 *)
(***********************************************************************)
(* $Id: FSetDecide.v 13171 2010-06-18 21:45:40Z letouzey $ *)
(**************************************************************)
(* FSetDecide.v *)
(* *)
(* Author: Aaron Bohannon *)
(**************************************************************)
(** This file implements a decision procedure for a certain
class of propositions involving finite sets. *)
Require Import Decidable DecidableTypeEx FSetFacts.
(** First, a version for Weak Sets in functorial presentation *)
Module WDecide_fun (E : DecidableType)(Import M : WSfun E).
Module F := FSetFacts.WFacts_fun E M.
(** * Overview
This functor defines the tactic [fsetdec], which will
solve any valid goal of the form
<<
forall s1 ... sn,
forall x1 ... xm,
P1 -> ... -> Pk -> P
>>
where [P]'s are defined by the grammar:
<<
P ::=
| Q
| Empty F
| Subset F F'
| Equal F F'
Q ::=
| E.eq X X'
| In X F
| Q /\ Q'
| Q \/ Q'
| Q -> Q'
| Q <-> Q'
| ~ Q
| True
| False
F ::=
| S
| empty
| singleton X
| add X F
| remove X F
| union F F'
| inter F F'
| diff F F'
X ::= x1 | ... | xm
S ::= s1 | ... | sn
>>
The tactic will also work on some goals that vary slightly from
the above form:
- The variables and hypotheses may be mixed in any order and may
have already been introduced into the context. Moreover,
there may be additional, unrelated hypotheses mixed in (these
will be ignored).
- A conjunction of hypotheses will be handled as easily as
separate hypotheses, i.e., [P1 /\ P2 -> P] can be solved iff
[P1 -> P2 -> P] can be solved.
- [fsetdec] should solve any goal if the FSet-related hypotheses
are contradictory.
- [fsetdec] will first perform any necessary zeta and beta
reductions and will invoke [subst] to eliminate any Coq
equalities between finite sets or their elements.
- If [E.eq] is convertible with Coq's equality, it will not
matter which one is used in the hypotheses or conclusion.
- The tactic can solve goals where the finite sets or set
elements are expressed by Coq terms that are more complicated
than variables. However, non-local definitions are not
expanded, and Coq equalities between non-variable terms are
not used. For example, this goal will be solved:
<<
forall (f : t -> t),
forall (g : elt -> elt),
forall (s1 s2 : t),
forall (x1 x2 : elt),
Equal s1 (f s2) ->
E.eq x1 (g (g x2)) ->
In x1 s1 ->
In (g (g x2)) (f s2)
>>
This one will not be solved:
<<
forall (f : t -> t),
forall (g : elt -> elt),
forall (s1 s2 : t),
forall (x1 x2 : elt),
Equal s1 (f s2) ->
E.eq x1 (g x2) ->
In x1 s1 ->
g x2 = g (g x2) ->
In (g (g x2)) (f s2)
>>
*)
(** * Facts and Tactics for Propositional Logic
These lemmas and tactics are in a module so that they do
not affect the namespace if you import the enclosing
module [Decide]. *)
Module FSetLogicalFacts.
Require Export Decidable.
Require Export Setoid.
(** ** Lemmas and Tactics About Decidable Propositions *)
(** ** Propositional Equivalences Involving Negation
These are all written with the unfolded form of
negation, since I am not sure if setoid rewriting will
always perform conversion. *)
(** ** Tactics for Negations *)
Tactic Notation "fold" "any" "not" :=
repeat (
match goal with
| H: context [?P -> False] |- _ =>
fold (~ P) in H
| |- context [?P -> False] =>
fold (~ P)
end).
(** [push not using db] will pushes all negations to the
leaves of propositions in the goal, using the lemmas in
[db] to assist in checking the decidability of the
propositions involved. If [using db] is omitted, then
[core] will be used. Additional versions are provided
to manipulate the hypotheses or the hypotheses and goal
together.
XXX: This tactic and the similar subsequent ones should
have been defined using [autorewrite]. However, dealing
with multiples rewrite sites and side-conditions is
done more cleverly with the following explicit
analysis of goals. *)
Ltac or_not_l_iff P Q tac :=
(rewrite (or_not_l_iff_1 P Q) by tac) ||
(rewrite (or_not_l_iff_2 P Q) by tac).
Ltac or_not_r_iff P Q tac :=
(rewrite (or_not_r_iff_1 P Q) by tac) ||
(rewrite (or_not_r_iff_2 P Q) by tac).
Ltac or_not_l_iff_in P Q H tac :=
(rewrite (or_not_l_iff_1 P Q) in H by tac) ||
(rewrite (or_not_l_iff_2 P Q) in H by tac).
Ltac or_not_r_iff_in P Q H tac :=
(rewrite (or_not_r_iff_1 P Q) in H by tac) ||
(rewrite (or_not_r_iff_2 P Q) in H by tac).
Tactic Notation "push" "not" "using" ident(db) :=
let dec := solve_decidable using db in
unfold not, iff;
repeat (
match goal with
| |- context [True -> False] => rewrite not_true_iff
| |- context [False -> False] => rewrite not_false_iff
| |- context [(?P -> False) -> False] => rewrite (not_not_iff P) by dec
| |- context [(?P -> False) -> (?Q -> False)] =>
rewrite (contrapositive P Q) by dec
| |- context [(?P -> False) \/ ?Q] => or_not_l_iff P Q dec
| |- context [?P \/ (?Q -> False)] => or_not_r_iff P Q dec
| |- context [(?P -> False) -> ?Q] => rewrite (imp_not_l P Q) by dec
| |- context [?P \/ ?Q -> False] => rewrite (not_or_iff P Q)
| |- context [?P /\ ?Q -> False] => rewrite (not_and_iff P Q)
| |- context [(?P -> ?Q) -> False] => rewrite (not_imp_iff P Q) by dec
end);
fold any not.
Tactic Notation "push" "not" :=
push not using core.
Tactic Notation
"push" "not" "in" "*" "|-" "using" ident(db) :=
let dec := solve_decidable using db in
unfold not, iff in * |-;
repeat (
match goal with
| H: context [True -> False] |- _ => rewrite not_true_iff in H
| H: context [False -> False] |- _ => rewrite not_false_iff in H
| H: context [(?P -> False) -> False] |- _ =>
rewrite (not_not_iff P) in H by dec
| H: context [(?P -> False) -> (?Q -> False)] |- _ =>
rewrite (contrapositive P Q) in H by dec
| H: context [(?P -> False) \/ ?Q] |- _ => or_not_l_iff_in P Q H dec
| H: context [?P \/ (?Q -> False)] |- _ => or_not_r_iff_in P Q H dec
| H: context [(?P -> False) -> ?Q] |- _ =>
rewrite (imp_not_l P Q) in H by dec
| H: context [?P \/ ?Q -> False] |- _ => rewrite (not_or_iff P Q) in H
| H: context [?P /\ ?Q -> False] |- _ => rewrite (not_and_iff P Q) in H
| H: context [(?P -> ?Q) -> False] |- _ =>
rewrite (not_imp_iff P Q) in H by dec
end);
fold any not.
Tactic Notation "push" "not" "in" "*" "|-" :=
push not in * |- using core.
Tactic Notation "push" "not" "in" "*" "using" ident(db) :=
push not using db; push not in * |- using db.
Tactic Notation "push" "not" "in" "*" :=
push not in * using core.
(** A simple test case to see how this works. *)
Lemma test_push : forall P Q R : Prop,
decidable P ->
decidable Q ->
(~ True) ->
(~ False) ->
(~ ~ P) ->
(~ (P /\ Q) -> ~ R) ->
((P /\ Q) \/ ~ R) ->
(~ (P /\ Q) \/ R) ->
(R \/ ~ (P /\ Q)) ->
(~ R \/ (P /\ Q)) ->
(~ P -> R) ->
(~ ((R -> P) \/ (Q -> R))) ->
(~ (P /\ R)) ->
(~ (P -> R)) ->
True.
Proof.
intros. push not in *.
(* note that ~(R->P) remains (since R isnt decidable) *)
tauto.
Qed.
(** [pull not using db] will pull as many negations as
possible toward the top of the propositions in the goal,
using the lemmas in [db] to assist in checking the
decidability of the propositions involved. If [using
db] is omitted, then [core] will be used. Additional
versions are provided to manipulate the hypotheses or
the hypotheses and goal together. *)
Tactic Notation "pull" "not" "using" ident(db) :=
let dec := solve_decidable using db in
unfold not, iff;
repeat (
match goal with
| |- context [True -> False] => rewrite not_true_iff
| |- context [False -> False] => rewrite not_false_iff
| |- context [(?P -> False) -> False] => rewrite (not_not_iff P) by dec
| |- context [(?P -> False) -> (?Q -> False)] =>
rewrite (contrapositive P Q) by dec
| |- context [(?P -> False) \/ ?Q] => or_not_l_iff P Q dec
| |- context [?P \/ (?Q -> False)] => or_not_r_iff P Q dec
| |- context [(?P -> False) -> ?Q] => rewrite (imp_not_l P Q) by dec
| |- context [(?P -> False) /\ (?Q -> False)] =>
rewrite <- (not_or_iff P Q)
| |- context [?P -> ?Q -> False] => rewrite <- (not_and_iff P Q)
| |- context [?P /\ (?Q -> False)] => rewrite <- (not_imp_iff P Q) by dec
| |- context [(?Q -> False) /\ ?P] =>
rewrite <- (not_imp_rev_iff P Q) by dec
end);
fold any not.
Tactic Notation "pull" "not" :=
pull not using core.
Tactic Notation
"pull" "not" "in" "*" "|-" "using" ident(db) :=
let dec := solve_decidable using db in
unfold not, iff in * |-;
repeat (
match goal with
| H: context [True -> False] |- _ => rewrite not_true_iff in H
| H: context [False -> False] |- _ => rewrite not_false_iff in H
| H: context [(?P -> False) -> False] |- _ =>
rewrite (not_not_iff P) in H by dec
| H: context [(?P -> False) -> (?Q -> False)] |- _ =>
rewrite (contrapositive P Q) in H by dec
| H: context [(?P -> False) \/ ?Q] |- _ => or_not_l_iff_in P Q H dec
| H: context [?P \/ (?Q -> False)] |- _ => or_not_r_iff_in P Q H dec
| H: context [(?P -> False) -> ?Q] |- _ =>
rewrite (imp_not_l P Q) in H by dec
| H: context [(?P -> False) /\ (?Q -> False)] |- _ =>
rewrite <- (not_or_iff P Q) in H
| H: context [?P -> ?Q -> False] |- _ =>
rewrite <- (not_and_iff P Q) in H
| H: context [?P /\ (?Q -> False)] |- _ =>
rewrite <- (not_imp_iff P Q) in H by dec
| H: context [(?Q -> False) /\ ?P] |- _ =>
rewrite <- (not_imp_rev_iff P Q) in H by dec
end);
fold any not.
Tactic Notation "pull" "not" "in" "*" "|-" :=
pull not in * |- using core.
Tactic Notation "pull" "not" "in" "*" "using" ident(db) :=
pull not using db; pull not in * |- using db.
Tactic Notation "pull" "not" "in" "*" :=
pull not in * using core.
(** A simple test case to see how this works. *)
Lemma test_pull : forall P Q R : Prop,
decidable P ->
decidable Q ->
(~ True) ->
(~ False) ->
(~ ~ P) ->
(~ (P /\ Q) -> ~ R) ->
((P /\ Q) \/ ~ R) ->
(~ (P /\ Q) \/ R) ->
(R \/ ~ (P /\ Q)) ->
(~ R \/ (P /\ Q)) ->
(~ P -> R) ->
(~ (R -> P) /\ ~ (Q -> R)) ->
(~ P \/ ~ R) ->
(P /\ ~ R) ->
(~ R /\ P) ->
True.
Proof.
intros. pull not in *. tauto.
Qed.
End FSetLogicalFacts.
Import FSetLogicalFacts.
(** * Auxiliary Tactics
Again, these lemmas and tactics are in a module so that
they do not affect the namespace if you import the
enclosing module [Decide]. *)
Module FSetDecideAuxiliary.
(** ** Generic Tactics
We begin by defining a few generic, useful tactics. *)
(** remove logical hypothesis inter-dependencies (fix #2136). *)
Ltac no_logical_interdep :=
match goal with
| H : ?P |- _ =>
match type of P with
| Prop =>
match goal with H' : context [ H ] |- _ => clear dependent H' end
| _ => fail
end; no_logical_interdep
| _ => idtac
end.
(** [if t then t1 else t2] executes [t] and, if it does not
fail, then [t1] will be applied to all subgoals
produced. If [t] fails, then [t2] is executed. *)
Tactic Notation
"if" tactic(t)
"then" tactic(t1)
"else" tactic(t2) :=
first [ t; first [ t1 | fail 2 ] | t2 ].
(** [prop P holds by t] succeeds (but does not modify the
goal or context) if the proposition [P] can be proved by
[t] in the current context. Otherwise, the tactic
fails. *)
Tactic Notation "prop" constr(P) "holds" "by" tactic(t) :=
let H := fresh in
assert P as H by t;
clear H.
(** This tactic acts just like [assert ... by ...] but will
fail if the context already contains the proposition. *)
Tactic Notation "assert" "new" constr(e) "by" tactic(t) :=
match goal with
| H: e |- _ => fail 1
| _ => assert e by t
end.
(** [subst++] is similar to [subst] except that
- it never fails (as [subst] does on recursive
equations),
- it substitutes locally defined variable for their
definitions,
- it performs beta reductions everywhere, which may
arise after substituting a locally defined function
for its definition.
*)
Tactic Notation "subst" "++" :=
repeat (
match goal with
| x : _ |- _ => subst x
end);
cbv zeta beta in *.
(** [decompose records] calls [decompose record H] on every
relevant hypothesis [H]. *)
Tactic Notation "decompose" "records" :=
repeat (
match goal with
| H: _ |- _ => progress (decompose record H); clear H
end).
(** ** Discarding Irrelevant Hypotheses
We will want to clear the context of any
non-FSet-related hypotheses in order to increase the
speed of the tactic. To do this, we will need to be
able to decide which are relevant. We do this by making
a simple inductive definition classifying the
propositions of interest. *)
Inductive FSet_elt_Prop : Prop -> Prop :=
| eq_Prop : forall (S : Type) (x y : S),
FSet_elt_Prop (x = y)
| eq_elt_prop : forall x y,
FSet_elt_Prop (E.eq x y)
| In_elt_prop : forall x s,
FSet_elt_Prop (In x s)
| True_elt_prop :
FSet_elt_Prop True
| False_elt_prop :
FSet_elt_Prop False
| conj_elt_prop : forall P Q,
FSet_elt_Prop P ->
FSet_elt_Prop Q ->
FSet_elt_Prop (P /\ Q)
| disj_elt_prop : forall P Q,
FSet_elt_Prop P ->
FSet_elt_Prop Q ->
FSet_elt_Prop (P \/ Q)
| impl_elt_prop : forall P Q,
FSet_elt_Prop P ->
FSet_elt_Prop Q ->
FSet_elt_Prop (P -> Q)
| not_elt_prop : forall P,
FSet_elt_Prop P ->
FSet_elt_Prop (~ P).
Inductive FSet_Prop : Prop -> Prop :=
| elt_FSet_Prop : forall P,
FSet_elt_Prop P ->
FSet_Prop P
| Empty_FSet_Prop : forall s,
FSet_Prop (Empty s)
| Subset_FSet_Prop : forall s1 s2,
FSet_Prop (Subset s1 s2)
| Equal_FSet_Prop : forall s1 s2,
FSet_Prop (Equal s1 s2).
(** Here is the tactic that will throw away hypotheses that
are not useful (for the intended scope of the [fsetdec]
tactic). *)
Hint Constructors FSet_elt_Prop FSet_Prop : FSet_Prop.
Ltac discard_nonFSet :=
decompose records;
repeat (
match goal with
| H : ?P |- _ =>
if prop (FSet_Prop P) holds by
(auto 100 with FSet_Prop)
then fail
else clear H
end).
(** ** Turning Set Operators into Propositional Connectives
The lemmas from [FSetFacts] will be used to break down
set operations into propositional formulas built over
the predicates [In] and [E.eq] applied only to
variables. We are going to use them with [autorewrite].
*)
Hint Rewrite
F.empty_iff F.singleton_iff F.add_iff F.remove_iff
F.union_iff F.inter_iff F.diff_iff
: set_simpl.
(** ** Decidability of FSet Propositions *)
(** [In] is decidable. *)
Lemma dec_In : forall x s,
decidable (In x s).
Proof.
red; intros; generalize (F.mem_iff s x); case (mem x s); intuition.
Qed.
(** [E.eq] is decidable. *)
Lemma dec_eq : forall (x y : E.t),
decidable (E.eq x y).
Proof.
red; intros x y; destruct (E.eq_dec x y); auto.
Qed.
(** The hint database [FSet_decidability] will be given to
the [push_neg] tactic from the module [Negation]. *)
Hint Resolve dec_In dec_eq : FSet_decidability.
(** ** Normalizing Propositions About Equality
We have to deal with the fact that [E.eq] may be
convertible with Coq's equality. Thus, we will find the
following tactics useful to replace one form with the
other everywhere. *)
(** The next tactic, [Logic_eq_to_E_eq], mentions the term
[E.t]; thus, we must ensure that [E.t] is used in favor
of any other convertible but syntactically distinct
term. *)
Ltac change_to_E_t :=
repeat (
match goal with
| H : ?T |- _ =>
progress (change T with E.t in H);
repeat (
match goal with
| J : _ |- _ => progress (change T with E.t in J)
| |- _ => progress (change T with E.t)
end )
| H : forall x : ?T, _ |- _ =>
progress (change T with E.t in H);
repeat (
match goal with
| J : _ |- _ => progress (change T with E.t in J)
| |- _ => progress (change T with E.t)
end )
end).
(** These two tactics take us from Coq's built-in equality
to [E.eq] (and vice versa) when possible. *)
Ltac Logic_eq_to_E_eq :=
repeat (
match goal with
| H: _ |- _ =>
progress (change (@Logic.eq E.t) with E.eq in H)
| |- _ =>
progress (change (@Logic.eq E.t) with E.eq)
end).
Ltac E_eq_to_Logic_eq :=
repeat (
match goal with
| H: _ |- _ =>
progress (change E.eq with (@Logic.eq E.t) in H)
| |- _ =>
progress (change E.eq with (@Logic.eq E.t))
end).
(** This tactic works like the built-in tactic [subst], but
at the level of set element equality (which may not be
the convertible with Coq's equality). *)
Ltac substFSet :=
repeat (
match goal with
| H: E.eq ?x ?y |- _ => rewrite H in *; clear H
end).
(** ** Considering Decidability of Base Propositions
This tactic adds assertions about the decidability of
[E.eq] and [In] to the context. This is necessary for
the completeness of the [fsetdec] tactic. However, in
order to minimize the cost of proof search, we should be
careful to not add more than we need. Once negations
have been pushed to the leaves of the propositions, we
only need to worry about decidability for those base
propositions that appear in a negated form. *)
Ltac assert_decidability :=
(** We actually don't want these rules to fire if the
syntactic context in the patterns below is trivially
empty, but we'll just do some clean-up at the
afterward. *)
repeat (
match goal with
| H: context [~ E.eq ?x ?y] |- _ =>
assert new (E.eq x y \/ ~ E.eq x y) by (apply dec_eq)
| H: context [~ In ?x ?s] |- _ =>
assert new (In x s \/ ~ In x s) by (apply dec_In)
| |- context [~ E.eq ?x ?y] =>
assert new (E.eq x y \/ ~ E.eq x y) by (apply dec_eq)
| |- context [~ In ?x ?s] =>
assert new (In x s \/ ~ In x s) by (apply dec_In)
end);
(** Now we eliminate the useless facts we added (because
they would likely be very harmful to performance). *)
repeat (
match goal with
| _: ~ ?P, H : ?P \/ ~ ?P |- _ => clear H
end).
(** ** Handling [Empty], [Subset], and [Equal]
This tactic instantiates universally quantified
hypotheses (which arise from the unfolding of [Empty],
[Subset], and [Equal]) for each of the set element
expressions that is involved in some membership or
equality fact. Then it throws away those hypotheses,
which should no longer be needed. *)
Ltac inst_FSet_hypotheses :=
repeat (
match goal with
| H : forall a : E.t, _,
_ : context [ In ?x _ ] |- _ =>
let P := type of (H x) in
assert new P by (exact (H x))
| H : forall a : E.t, _
|- context [ In ?x _ ] =>
let P := type of (H x) in
assert new P by (exact (H x))
| H : forall a : E.t, _,
_ : context [ E.eq ?x _ ] |- _ =>
let P := type of (H x) in
assert new P by (exact (H x))
| H : forall a : E.t, _
|- context [ E.eq ?x _ ] =>
let P := type of (H x) in
assert new P by (exact (H x))
| H : forall a : E.t, _,
_ : context [ E.eq _ ?x ] |- _ =>
let P := type of (H x) in
assert new P by (exact (H x))
| H : forall a : E.t, _
|- context [ E.eq _ ?x ] =>
let P := type of (H x) in
assert new P by (exact (H x))
end);
repeat (
match goal with
| H : forall a : E.t, _ |- _ =>
clear H
end).
(** ** The Core [fsetdec] Auxiliary Tactics *)
(** Here is the crux of the proof search. Recursion through
[intuition]! (This will terminate if I correctly
understand the behavior of [intuition].) *)
Ltac fsetdec_rec :=
try (match goal with
| H: E.eq ?x ?x -> False |- _ => destruct H
end);
(reflexivity ||
contradiction ||
(progress substFSet; intuition fsetdec_rec)).
(** If we add [unfold Empty, Subset, Equal in *; intros;] to
the beginning of this tactic, it will satisfy the same
specification as the [fsetdec] tactic; however, it will
be much slower than necessary without the pre-processing
done by the wrapper tactic [fsetdec]. *)
Ltac fsetdec_body :=
inst_FSet_hypotheses;
autorewrite with set_simpl in *;
push not in * using FSet_decidability;
substFSet;
assert_decidability;
auto using E.eq_refl;
(intuition fsetdec_rec) ||
fail 1
"because the goal is beyond the scope of this tactic".
End FSetDecideAuxiliary.
Import FSetDecideAuxiliary.
(** * The [fsetdec] Tactic
Here is the top-level tactic (the only one intended for
clients of this library). It's specification is given at
the top of the file. *)
Ltac fsetdec :=
(** We first unfold any occurrences of [iff]. *)
unfold iff in *;
(** We fold occurrences of [not] because it is better for
[intros] to leave us with a goal of [~ P] than a goal of
[False]. *)
fold any not; intros;
(** We remove dependencies to logical hypothesis. This way,
later "clear" will work nicely (see bug #2136) *)
no_logical_interdep;
(** Now we decompose conjunctions, which will allow the
[discard_nonFSet] and [assert_decidability] tactics to
do a much better job. *)
decompose records;
discard_nonFSet;
(** We unfold these defined propositions on finite sets. If
our goal was one of them, then have one more item to
introduce now. *)
unfold Empty, Subset, Equal in *; intros;
(** We now want to get rid of all uses of [=] in favor of
[E.eq]. However, the best way to eliminate a [=] is in
the context is with [subst], so we will try that first.
In fact, we may as well convert uses of [E.eq] into [=]
when possible before we do [subst] so that we can even
more mileage out of it. Then we will convert all
remaining uses of [=] back to [E.eq] when possible. We
use [change_to_E_t] to ensure that we have a canonical
name for set elements, so that [Logic_eq_to_E_eq] will
work properly. *)
change_to_E_t; E_eq_to_Logic_eq; subst++; Logic_eq_to_E_eq;
(** The next optimization is to swap a negated goal with a
negated hypothesis when possible. Any swap will improve
performance by eliminating the total number of
negations, but we will get the maximum benefit if we
swap the goal with a hypotheses mentioning the same set
element, so we try that first. If we reach the fourth
branch below, we attempt any swap. However, to maintain
completeness of this tactic, we can only perform such a
swap with a decidable proposition; hence, we first test
whether the hypothesis is an [FSet_elt_Prop], noting
that any [FSet_elt_Prop] is decidable. *)
pull not using FSet_decidability;
unfold not in *;
match goal with
| H: (In ?x ?r) -> False |- (In ?x ?s) -> False =>
contradict H; fsetdec_body
| H: (In ?x ?r) -> False |- (E.eq ?x ?y) -> False =>
contradict H; fsetdec_body
| H: (In ?x ?r) -> False |- (E.eq ?y ?x) -> False =>
contradict H; fsetdec_body
| H: ?P -> False |- ?Q -> False =>
if prop (FSet_elt_Prop P) holds by
(auto 100 with FSet_Prop)
then (contradict H; fsetdec_body)
else fsetdec_body
| |- _ =>
fsetdec_body
end.
(** * Examples *)
Module FSetDecideTestCases.
Lemma test_eq_trans_1 : forall x y z s,
E.eq x y ->
~ ~ E.eq z y ->
In x s ->
In z s.
Proof. fsetdec. Qed.
Lemma test_eq_trans_2 : forall x y z r s,
In x (singleton y) ->
~ In z r ->
~ ~ In z (add y r) ->
In x s ->
In z s.
Proof. fsetdec. Qed.
Lemma test_eq_neq_trans_1 : forall w x y z s,
E.eq x w ->
~ ~ E.eq x y ->
~ E.eq y z ->
In w s ->
In w (remove z s).
Proof. fsetdec. Qed.
Lemma test_eq_neq_trans_2 : forall w x y z r1 r2 s,
In x (singleton w) ->
~ In x r1 ->
In x (add y r1) ->
In y r2 ->
In y (remove z r2) ->
In w s ->
In w (remove z s).
Proof. fsetdec. Qed.
Lemma test_In_singleton : forall x,
In x (singleton x).
Proof. fsetdec. Qed.
Lemma test_add_In : forall x y s,
In x (add y s) ->
~ E.eq x y ->
In x s.
Proof. fsetdec. Qed.
Lemma test_Subset_add_remove : forall x s,
s [<=] (add x (remove x s)).
Proof. fsetdec. Qed.
Lemma test_eq_disjunction : forall w x y z,
In w (add x (add y (singleton z))) ->
E.eq w x \/ E.eq w y \/ E.eq w z.
Proof. fsetdec. Qed.
Lemma test_not_In_disj : forall x y s1 s2 s3 s4,
~ In x (union s1 (union s2 (union s3 (add y s4)))) ->
~ (In x s1 \/ In x s4 \/ E.eq y x).
Proof. fsetdec. Qed.
Lemma test_not_In_conj : forall x y s1 s2 s3 s4,
~ In x (union s1 (union s2 (union s3 (add y s4)))) ->
~ In x s1 /\ ~ In x s4 /\ ~ E.eq y x.
Proof. fsetdec. Qed.
Lemma test_iff_conj : forall a x s s',
(In a s' <-> E.eq x a \/ In a s) ->
(In a s' <-> In a (add x s)).
Proof. fsetdec. Qed.
Lemma test_set_ops_1 : forall x q r s,
(singleton x) [<=] s ->
Empty (union q r) ->
Empty (inter (diff s q) (diff s r)) ->
~ In x s.
Proof. fsetdec. Qed.
Lemma eq_chain_test : forall x1 x2 x3 x4 s1 s2 s3 s4,
Empty s1 ->
In x2 (add x1 s1) ->
In x3 s2 ->
~ In x3 (remove x2 s2) ->
~ In x4 s3 ->
In x4 (add x3 s3) ->
In x1 s4 ->
Subset (add x4 s4) s4.
Proof. fsetdec. Qed.
Lemma test_too_complex : forall x y z r s,
E.eq x y ->
(In x (singleton y) -> r [<=] s) ->
In z r ->
In z s.
Proof.
(** [fsetdec] is not intended to solve this directly. *)
intros until s; intros Heq H Hr; lapply H; fsetdec.
Qed.
Lemma function_test_1 :
forall (f : t -> t),
forall (g : elt -> elt),
forall (s1 s2 : t),
forall (x1 x2 : elt),
Equal s1 (f s2) ->
E.eq x1 (g (g x2)) ->
In x1 s1 ->
In (g (g x2)) (f s2).
Proof. fsetdec. Qed.
Lemma function_test_2 :
forall (f : t -> t),
forall (g : elt -> elt),
forall (s1 s2 : t),
forall (x1 x2 : elt),
Equal s1 (f s2) ->
E.eq x1 (g x2) ->
In x1 s1 ->
g x2 = g (g x2) ->
In (g (g x2)) (f s2).
Proof.
(** [fsetdec] is not intended to solve this directly. *)
intros until 3. intros g_eq. rewrite <- g_eq. fsetdec.
Qed.
Lemma test_baydemir :
forall (f : t -> t),
forall (s : t),
forall (x y : elt),
In x (add y (f s)) ->
~ E.eq x y ->
In x (f s).
Proof.
fsetdec.
Qed.
End FSetDecideTestCases.
End WDecide_fun.
Require Import FSetInterface.
(** Now comes variants for self-contained weak sets and for full sets.
For these variants, only one argument is necessary. Thanks to
the subtyping [WS<=S], the [Decide] functor which is meant to be
used on modules [(M:S)] can simply be an alias of [WDecide]. *)
Module WDecide (M:WS) := WDecide_fun M.E M.
Module Decide := WDecide.
|
`timescale 1ns/10ps
module soc_system_pll_stream(
// interface 'refclk'
input wire refclk,
// interface 'reset'
input wire rst,
// interface 'outclk0'
output wire outclk_0,
// interface 'outclk1'
output wire outclk_1,
// interface 'locked'
output wire locked
);
altera_pll #(
.fractional_vco_multiplier("true"),
.reference_clock_frequency("50.0 MHz"),
.operation_mode("normal"),
.number_of_clocks(2),
.output_clock_frequency0("65.000000 MHz"),
.phase_shift0("0 ps"),
.duty_cycle0(50),
.output_clock_frequency1("130.000000 MHz"),
.phase_shift1("0 ps"),
.duty_cycle1(50),
.output_clock_frequency2("0 MHz"),
.phase_shift2("0 ps"),
.duty_cycle2(50),
.output_clock_frequency3("0 MHz"),
.phase_shift3("0 ps"),
.duty_cycle3(50),
.output_clock_frequency4("0 MHz"),
.phase_shift4("0 ps"),
.duty_cycle4(50),
.output_clock_frequency5("0 MHz"),
.phase_shift5("0 ps"),
.duty_cycle5(50),
.output_clock_frequency6("0 MHz"),
.phase_shift6("0 ps"),
.duty_cycle6(50),
.output_clock_frequency7("0 MHz"),
.phase_shift7("0 ps"),
.duty_cycle7(50),
.output_clock_frequency8("0 MHz"),
.phase_shift8("0 ps"),
.duty_cycle8(50),
.output_clock_frequency9("0 MHz"),
.phase_shift9("0 ps"),
.duty_cycle9(50),
.output_clock_frequency10("0 MHz"),
.phase_shift10("0 ps"),
.duty_cycle10(50),
.output_clock_frequency11("0 MHz"),
.phase_shift11("0 ps"),
.duty_cycle11(50),
.output_clock_frequency12("0 MHz"),
.phase_shift12("0 ps"),
.duty_cycle12(50),
.output_clock_frequency13("0 MHz"),
.phase_shift13("0 ps"),
.duty_cycle13(50),
.output_clock_frequency14("0 MHz"),
.phase_shift14("0 ps"),
.duty_cycle14(50),
.output_clock_frequency15("0 MHz"),
.phase_shift15("0 ps"),
.duty_cycle15(50),
.output_clock_frequency16("0 MHz"),
.phase_shift16("0 ps"),
.duty_cycle16(50),
.output_clock_frequency17("0 MHz"),
.phase_shift17("0 ps"),
.duty_cycle17(50),
.pll_type("General"),
.pll_subtype("General")
) altera_pll_i (
.rst (rst),
.outclk ({outclk_1, outclk_0}),
.locked (locked),
.fboutclk ( ),
.fbclk (1'b0),
.refclk (refclk)
);
endmodule
|
//--------------------------------------------------------------------------------
//-- Filename: BAR1.v
//--
//-- Description: BAR1 Module
//--
//-- The module contains all the registers which can control the DMA
//-- ENGINE.
//--------------------------------------------------------------------------------
`timescale 1ns/1ns
module BAR1# (
parameter INTERFACE_TYPE = 4'b0010,
parameter FPGA_FAMILY = 8'h14
)
(
clk, // I
rst_n, // I
en,
cfg_cap_max_lnk_width, // I [5:0]
cfg_neg_max_lnk_width, // I [5:0]
cfg_cap_max_lnk_speed,
cfg_neg_max_lnk_speed,
cfg_cap_max_payload_size, // I [2:0]
cfg_prg_max_payload_size, // I [2:0]
cfg_max_rd_req_size, // I [2:0]
a_i, // I [8:0]
wr_en_i, // I
rd_d_o, // O [31:0]
wr_d_i, // I [31:0]
init_rst_o, // O
mrd_start_o, // O
mrd_done_i, // I
mrd_addr_o, // O [31:0]
mrd_len_o, // O [31:0]
mrd_tlp_tc_o, // O [2:0]
mrd_64b_en_o, // O
mrd_phant_func_dis1_o, // O
mrd_up_addr_o, // O [7:0]
mrd_size_o, // O [31:0]
mrd_relaxed_order_o, // O
mrd_nosnoop_o, // O
mrd_wrr_cnt_o, // O [7:0]
mrd_done_clr,
mwr_start_o, // O
mwr_done_i, // I
mwr_addr_o, // O [31:0]
mwr_len_o, // O [31:0]
mwr_tlp_tc_o, // O [2:0]
mwr_64b_en_o, // O
mwr_phant_func_dis1_o, // O
mwr_up_addr_o, // O [7:0]
mwr_size_o, // O [31:0]
mwr_relaxed_order_o, // O
mwr_nosnoop_o, // O
mwr_wrr_cnt_o, // O [7:0]
mwr_done_clr,
cpl_ur_found_i, // I [7:0]
cpl_ur_tag_i, // I [7:0]
cpld_found_i, // I [31:0]
cpld_data_size_i, // I [31:0]
cpld_malformed_i, // I
cpl_streaming_o, // O
rd_metering_o, // O
cfg_interrupt_di, // O
cfg_interrupt_do, // I
cfg_interrupt_mmenable, // I
cfg_interrupt_msienable, // I
cfg_interrupt_legacyclr, // O
`ifdef PCIE2_0
pl_directed_link_change,
pl_ltssm_state,
pl_directed_link_width,
pl_directed_link_speed,
pl_directed_link_auton,
pl_upstream_preemph_src,
pl_sel_link_width,
pl_sel_link_rate,
pl_link_gen2_capable,
pl_link_partner_gen2_supported,
pl_initial_link_width,
pl_link_upcfg_capable,
pl_lane_reversal_mode,
pl_width_change_err_i,
pl_speed_change_err_i,
clr_pl_width_change_err,
clr_pl_speed_change_err,
clear_directed_speed_change_i,
`endif
trn_rnp_ok_n_o,
trn_tstr_n_o
);
input clk;
input rst_n;
input en;
input [5:0] cfg_cap_max_lnk_width;
input [5:0] cfg_neg_max_lnk_width;
input [3:0] cfg_cap_max_lnk_speed;
input [3:0] cfg_neg_max_lnk_speed;
input [2:0] cfg_cap_max_payload_size;
input [2:0] cfg_prg_max_payload_size;
input [2:0] cfg_max_rd_req_size;
input [6:0] a_i;
input wr_en_i;
output [31:0] rd_d_o;
input [31:0] wr_d_i;
// CSR bits
output init_rst_o;
output mrd_start_o;
input mrd_done_i;
output [31:0] mrd_addr_o;
output [15:0] mrd_len_o;
output [2:0] mrd_tlp_tc_o;
output mrd_64b_en_o;
output mrd_phant_func_dis1_o;
output [7:0] mrd_up_addr_o;
output [31:0] mrd_size_o;
output mrd_relaxed_order_o;
output mrd_nosnoop_o;
output [7:0] mrd_wrr_cnt_o;
output mrd_done_clr;
output mwr_start_o;
input mwr_done_i;
output [31:0] mwr_addr_o;
output [15:0] mwr_len_o;
output [2:0] mwr_tlp_tc_o;
output mwr_64b_en_o;
output mwr_phant_func_dis1_o;
output [7:0] mwr_up_addr_o;
output [31:0] mwr_size_o;
output mwr_relaxed_order_o;
output mwr_nosnoop_o;
output [7:0] mwr_wrr_cnt_o;
output mwr_done_clr;
input [7:0] cpl_ur_found_i;
input [7:0] cpl_ur_tag_i;
input [31:0] cpld_found_i;
input [31:0] cpld_data_size_i;
input cpld_malformed_i;
output cpl_streaming_o;
output rd_metering_o;
output trn_rnp_ok_n_o;
output trn_tstr_n_o;
output [7:0] cfg_interrupt_di;
input [7:0] cfg_interrupt_do;
input [2:0] cfg_interrupt_mmenable;
input cfg_interrupt_msienable;
output cfg_interrupt_legacyclr;
`ifdef PCIE2_0
output [1:0] pl_directed_link_change;
input [5:0] pl_ltssm_state;
output [1:0] pl_directed_link_width;
output pl_directed_link_speed;
output pl_directed_link_auton;
output pl_upstream_preemph_src;
input [1:0] pl_sel_link_width;
input pl_sel_link_rate;
input pl_link_gen2_capable;
input pl_link_partner_gen2_supported;
input [2:0] pl_initial_link_width;
input pl_link_upcfg_capable;
input [1:0] pl_lane_reversal_mode;
input pl_width_change_err_i;
input pl_speed_change_err_i;
output clr_pl_width_change_err;
output clr_pl_speed_change_err;
input clear_directed_speed_change_i;
`endif
// Local Regs
reg init_rst_o;
reg [31:0] rd_d_o /* synthesis syn_direct_enable = 0 */;
reg mrd_start_o;
reg [31:0] mrd_addr_o;
reg [15:0] mrd_len_o;
reg [31:0] mrd_size_o;
reg [2:0] mrd_tlp_tc_o;
reg mrd_64b_en_o;
reg mrd_phant_func_dis1_o;
reg [7:0] mrd_up_addr_o;
reg mrd_relaxed_order_o;
reg mrd_nosnoop_o;
reg [7:0] mrd_wrr_cnt_o;
reg mwr_start_o;
reg [31:0] mwr_addr_o;
reg [15:0] mwr_len_o;
reg [31:0] mwr_size_o;
reg [2:0] mwr_tlp_tc_o;
reg mwr_64b_en_o;
reg mwr_phant_func_dis1_o;
reg [7:0] mwr_up_addr_o;
reg mwr_relaxed_order_o;
reg mwr_nosnoop_o;
reg [7:0] mwr_wrr_cnt_o;
reg [31:0] mrd_perf;
reg [31:0] mwr_perf;
//reg mrd_done_o;
//reg [20:0] expect_cpld_data_size; // 2 GB max
//reg [20:0] cpld_data_size; // 2 GB max
//reg cpld_done;
reg cpl_streaming_o;
reg rd_metering_o;
reg trn_rnp_ok_n_o;
reg trn_tstr_n_o;
reg [7:0] INTDI;
reg LEGACYCLR;
reg [13:0] max_payload_size;
reg mrd_start_prev;
reg mwr_start_prev;
reg mwr_done_clr;
reg mrd_done_clr;
`ifdef PCIE2_0
reg [1:0] pl_directed_link_change;
reg [1:0] pl_directed_link_width;
wire pl_directed_link_speed;
reg [1:0] pl_directed_link_speed_binary;
reg pl_directed_link_auton;
reg pl_upstream_preemph_src;
reg pl_width_change_err;
reg pl_speed_change_err;
reg clr_pl_width_change_err;
reg clr_pl_speed_change_err;
wire [1:0] pl_sel_link_rate_binary;
`endif
wire [7:0] fpga_family;
wire [3:0] interface_type;
wire [7:0] version_number;
assign version_number = 8'h16;
assign interface_type = INTERFACE_TYPE;
assign fpga_family = FPGA_FAMILY;
/*`ifdef BMD_64
assign interface_type = 4'b0010;
`else // BMD_32
assign interface_type = 4'b0001;
`endif // BMD_64
`ifdef VIRTEX2P
assign fpga_family = 8'h11;
`endif // VIRTEX2P
`ifdef VIRTEX4FX
assign fpga_family = 8'h12;
`endif // VIRTEX4FX
`ifdef PCIEBLK
assign fpga_family = 8'h13;
`endif // PCIEBLK
`ifdef SPARTAN3
assign fpga_family = 8'h18;
`endif // SPARTAN3
`ifdef SPARTAN3E
assign fpga_family = 8'h28;
`endif // SPARTAN3E
`ifdef SPARTAN3A
assign fpga_family = 8'h38;
`endif // SPARTAN3A
*/
assign cfg_interrupt_di[7:0] = INTDI[7:0];
assign cfg_interrupt_legacyclr = LEGACYCLR;
//assign cfg_interrupt_di = 8'haa;
`ifdef PCIE2_0
assign pl_sel_link_rate_binary = (pl_sel_link_rate == 0) ? 2'b01 : 2'b10;
assign pl_directed_link_speed = (pl_directed_link_speed_binary == 2'b01) ?
0 : 1;
`endif
always @ ( rst_n or cfg_prg_max_payload_size ) begin
if( !rst_n )
max_payload_size = 13'b0;
else
begin
case ( cfg_prg_max_payload_size )
3'b000: max_payload_size = 1 << 7;
3'b001: max_payload_size = 1 << 8;
3'b010: max_payload_size = 1 << 9;
3'b011: max_payload_size = 1 << 10;
3'b100: max_payload_size = 1 << 11;
3'b101: max_payload_size = 1 << 12;
default: max_payload_size = 1 << 7;
endcase
end
end
always @(posedge clk ) begin
if ( !rst_n ) begin
init_rst_o <= 1'b0;
mrd_start_o <= 1'b0;
mrd_addr_o <= 32'b0;
mrd_len_o <= 16'b0;
mrd_size_o <= 32'b0;
mrd_tlp_tc_o <= 3'b0;
mrd_64b_en_o <= 1'b0;
mrd_up_addr_o <= 8'b0;
mrd_relaxed_order_o <= 1'b0;
mrd_nosnoop_o <= 1'b0;
mrd_phant_func_dis1_o <= 1'b0;
mwr_phant_func_dis1_o <= 1'b0;
mwr_start_o <= 1'b0;
mwr_addr_o <= 32'b0;
mwr_len_o <= 16'b0;
mwr_size_o <= 32'b0;
mwr_tlp_tc_o <= 3'b0;
mwr_64b_en_o <= 1'b0;
mwr_up_addr_o <= 8'b0;
mwr_relaxed_order_o <= 1'b0;
mwr_nosnoop_o <= 1'b0;
cpl_streaming_o <= 1'b1;
rd_metering_o <= 1'b1;
trn_rnp_ok_n_o <= 1'b0;
trn_tstr_n_o <= 1'b0;
mwr_wrr_cnt_o <= 8'h08;
mrd_wrr_cnt_o <= 8'h08;
mrd_start_prev <= 1'b0;
mwr_start_prev <= 1'b0;
mrd_done_clr <= 1'b0;
mwr_done_clr <= 1'b0;
`ifdef PCIE2_0
clr_pl_width_change_err <= 1'b0;
clr_pl_speed_change_err <= 1'b0;
pl_directed_link_change <= 2'h0;
pl_directed_link_width <= 2'h0;
pl_directed_link_speed_binary <= 2'b0;
pl_directed_link_auton <= 1'b0;
pl_upstream_preemph_src <= 1'b0;
pl_width_change_err <= 0;
pl_speed_change_err <= 0;
`endif
INTDI <= 8'h00;
LEGACYCLR <= 1'b0;
end
else begin
`ifdef PCIE2_0
if (a_i[6:0] != 7'b010011) begin // Reg#19
pl_width_change_err <= pl_width_change_err_i;
pl_speed_change_err <= pl_speed_change_err_i;
pl_directed_link_change <=
clear_directed_speed_change_i ? 0 : // 1
pl_directed_link_change; // 0
end
`endif
init_rst_o <= !en;
mwr_len_o <= max_payload_size >> 2; // DW
mrd_len_o <= 1 << 5; //32 DW
mrd_start_prev <= mrd_start_o;
mwr_start_prev <= mwr_start_o;
if( mwr_start_prev && !mwr_start_o )
mwr_done_clr <= 1'b1;
else
mwr_done_clr <= 1'b0;
if( mrd_start_prev && !mrd_start_o )
mrd_done_clr <= 1'b1;
else
mrd_done_clr <= 1'b0;
case (a_i[6:0])
// 00-03H : Reg # 0
// Byte0[0]: Initiator Reset (RW) 0= no reset 1=reset.
// Byte2[19:16]: Data Path Width
// Byte3[31:24]: FPGA Family
7'b0000000: begin
rd_d_o <= { fpga_family , { 4'b0 } , interface_type , version_number , { 7'b0 } , init_rst_o };
end
// 04-07H : Reg # 1
// Byte0[0]: Memory Write Start (RW) 0=no start, 1=start
// Byte1[0]: Memory Write Done (RO) 0=not done, 1=done
// Byte2[0]: Memory Read Start (RW) 0=no start, 1=start
// Byte3[0]: Memory Read Done (RO) 0=not done, 1=done
7'b0000001: begin
if (wr_en_i) begin
mwr_start_o <= wr_d_i[0];
mwr_relaxed_order_o <= wr_d_i[5];
mwr_nosnoop_o <= wr_d_i[6];
mrd_start_o <= wr_d_i[16];
mrd_relaxed_order_o <= wr_d_i[21];
mrd_nosnoop_o <= wr_d_i[22];
end
rd_d_o <= {7'b0, mrd_done_i,
1'b0, mrd_nosnoop_o, mrd_relaxed_order_o, 4'b0, mrd_start_o,
7'b0, mwr_done_i,
1'b0, mwr_nosnoop_o, mwr_relaxed_order_o, 4'b0, mwr_start_o};
end
// 08-0BH : Reg # 2
// Resvd
7'b0000010: begin
rd_d_o <= 32'b0;
end
// 0C-0FH : Reg # 3
// Memory Write length and Read length in DWORDs (RO)
7'b0000011: begin
rd_d_o <= { mrd_len_o , mwr_len_o };
end
// 10-13H : Reg # 4
// DMA Read Size in bytes (RW)
7'b0000100: begin
if( wr_en_i )
mrd_size_o <= wr_d_i;
rd_d_o <= mrd_size_o;
end
// 14-17H : Reg # 5
// DMA Write Size in bytes (RW)
7'b000101: begin
if( wr_en_i )
mwr_size_o <= wr_d_i;
rd_d_o <= mwr_size_o;
end
// 18-1BH : Reg # 6
// DMA Read Lower Address (RW)
7'b000110: begin
if( wr_en_i )
mrd_addr_o <= wr_d_i;
rd_d_o <= mrd_addr_o;
end
// 1C-1FH : Reg # 7
// DMA Write Lower Address (RW)
7'b000111: begin
if( wr_en_i )
mwr_addr_o <= wr_d_i;
rd_d_o <= mwr_addr_o;
end
// 20-23H : Reg # 8
// DMA Read Up Address (RW)
7'b001000: begin
if (wr_en_i) begin
mrd_tlp_tc_o <= wr_d_i[18:16];
mrd_64b_en_o <= wr_d_i[19];
mrd_phant_func_dis1_o <= wr_d_i[20];
mrd_up_addr_o <= wr_d_i[31:24];
end
rd_d_o <= {mrd_up_addr_o,
3'b0, mrd_phant_func_dis1_o, mrd_64b_en_o, mrd_tlp_tc_o,
16'b0};
end
// 20-23H : Reg # 9
// DMA Write Up Address (RW)
7'b001001: begin
if (wr_en_i) begin
mwr_tlp_tc_o <= wr_d_i[18:16];
mwr_64b_en_o <= wr_d_i[19];
mwr_phant_func_dis1_o <= wr_d_i[20];
mwr_up_addr_o <= wr_d_i[31:24];
end
rd_d_o <= {mwr_up_addr_o,
3'b0, mwr_phant_func_dis1_o, mwr_64b_en_o, mwr_tlp_tc_o,
16'b0};
end
// 28-2BH : Reg # 10
// Memory Read Performance (RO)
7'b001010: begin
rd_d_o <= mrd_perf;
end
// 2C-2FH : Reg # 11
// Memory Write Performance (RO)
7'b001011: begin
rd_d_o <= mwr_perf;
end
// 30-33H : Reg # 12
// Memory Read Completion Status (RO)
7'b001100: begin
rd_d_o <= {{15'b0}, cpld_malformed_i, cpl_ur_tag_i, cpl_ur_found_i};
end
// 34-37H : Reg # 13
// Memory Read Completion with Data Detected (RO)
7'b001101: begin
rd_d_o <= {cpld_found_i};
end
// 38-3BH : Reg # 14
// Memory Read Completion with Data Size (RO)
7'b001110: begin
rd_d_o <= {cpld_data_size_i};
end
// 3C-3FH : Reg # 15
// Link Width (RO)
7'b001111: begin
rd_d_o <= {4'b0, cfg_neg_max_lnk_speed,
4'b0, cfg_cap_max_lnk_speed,
2'b0, cfg_neg_max_lnk_width,
2'b0, cfg_cap_max_lnk_width};
end
// 40-43H : Reg # 16
// Link Payload (RO)
7'b010000: begin
rd_d_o <= {8'b0,
5'b0, cfg_max_rd_req_size,
5'b0, cfg_prg_max_payload_size,
5'b0, cfg_cap_max_payload_size};
end
// 44-47H : Reg # 17
// WRR MWr
// WRR MRd
// Rx NP TLP Control
// Completion Streaming Control (RW)
// Read Metering Control (RW)
7'b010001: begin
if (wr_en_i) begin
cpl_streaming_o <= wr_d_i[0];
rd_metering_o <= wr_d_i[1];
trn_rnp_ok_n_o <= wr_d_i[8];
trn_tstr_n_o <= wr_d_i[9];
mwr_wrr_cnt_o <= wr_d_i[23:16];
mrd_wrr_cnt_o <= wr_d_i[31:24];
end
rd_d_o <= {mrd_wrr_cnt_o,
mwr_wrr_cnt_o,
6'b0, trn_tstr_n_o, trn_rnp_ok_n_o,
6'b0, rd_metering_o, cpl_streaming_o};
end
// 48-4BH : Reg # 18
// INTDI (RW)
// INTDO
// MMEN
// MSIEN
7'b010010: begin
if (wr_en_i) begin
INTDI[7:0] <= wr_d_i[7:0];
LEGACYCLR <= wr_d_i[8];
end
rd_d_o <= {4'h0,
cfg_interrupt_msienable,
cfg_interrupt_mmenable[2:0],
cfg_interrupt_do[7:0],
7'h0,
LEGACYCLR,
INTDI[7:0]};
end
`ifdef PCIE2_0
// 4C-4FH : Reg # 19
// CHG(RW), LTS, TW(RW), TS(RW), A(RW), P(RW), CW, CS, G2S, PG2S,
// LILW, LUC, SCE, WCE, LR
7'b010011: begin
if (wr_en_i) begin
clr_pl_width_change_err <= wr_d_i[29];
clr_pl_speed_change_err <= wr_d_i[28];
pl_upstream_preemph_src <= wr_d_i[15]; // P
pl_directed_link_auton <= wr_d_i[14]; // A
pl_directed_link_speed_binary <= wr_d_i[13:12]; // TS
pl_directed_link_width <= wr_d_i[9:8]; // TW
pl_directed_link_change <= wr_d_i[1:0]; // CHG
end else
begin
clr_pl_width_change_err <= 1'b0;
clr_pl_speed_change_err <= 1'b0;
pl_directed_link_change <= clear_directed_speed_change_i ?
0 : pl_directed_link_change;
end
rd_d_o <= {
pl_lane_reversal_mode[1:0], //LR 31:30
pl_width_change_err, //WCE 29
pl_speed_change_err, //SCE 28
pl_link_upcfg_capable, //LUC 27
pl_initial_link_width[2:0], //LILW 26:24
pl_link_partner_gen2_supported, //PG2S 23
pl_link_gen2_capable, //G2S 22
pl_sel_link_rate_binary[1:0], //CS 21:20
2'b0, //R1 19:18
pl_sel_link_width[1:0], // CW 17:16
pl_upstream_preemph_src, //P 15
pl_directed_link_auton, //A 14
pl_directed_link_speed_binary[1:0], //TS 13:12
2'b0, //R0 11:10
pl_directed_link_width[1:0], //TW 9: 8
pl_ltssm_state[5:0], //LTS 7: 2
pl_directed_link_change[1:0] //CHG 1: 0
};
end
`endif
// 50-7FH : Reserved
default: begin
rd_d_o <= 32'b0;
end
endcase
end
end
/*
* Memory Write Performance Instrumentation
*/
always @(posedge clk ) begin
if ( !rst_n ) begin
mwr_perf <= 32'b0;
end else begin
if ( init_rst_o || mwr_done_clr )
mwr_perf <= 32'b0;
else if (mwr_start_o && !mwr_done_i)
mwr_perf <= mwr_perf + 1'b1;
end
end
/*
* Memory Read Performance Instrumentation
*/
always @(posedge clk ) begin
if ( !rst_n ) begin
mrd_perf <= 32'b0;
end else begin
if ( init_rst_o || mrd_done_clr )
mrd_perf <= 32'b0;
else if (mrd_start_o && !mrd_done_i)
mrd_perf <= mrd_perf + 1'b1;
end
end
endmodule |
/*
* Copyright 2020 The SkyWater PDK Authors
*
* Licensed under the Apache License, Version 2.0 (the "License");
* you may not use this file except in compliance with the License.
* You may obtain a copy of the License at
*
* https://www.apache.org/licenses/LICENSE-2.0
*
* Unless required by applicable law or agreed to in writing, software
* distributed under the License is distributed on an "AS IS" BASIS,
* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
* See the License for the specific language governing permissions and
* limitations under the License.
*
* SPDX-License-Identifier: Apache-2.0
*/
`ifndef SKY130_FD_SC_HS__DLYMETAL6S4S_FUNCTIONAL_V
`define SKY130_FD_SC_HS__DLYMETAL6S4S_FUNCTIONAL_V
/**
* dlymetal6s4s: 6-inverter delay with output from 4th inverter on
* horizontal route.
*
* Verilog simulation functional model.
*/
`timescale 1ns / 1ps
`default_nettype none
// Import sub cells.
`include "../u_vpwr_vgnd/sky130_fd_sc_hs__u_vpwr_vgnd.v"
`celldefine
module sky130_fd_sc_hs__dlymetal6s4s (
VPWR,
VGND,
X ,
A
);
// Module ports
input VPWR;
input VGND;
output X ;
input A ;
// Local signals
wire buf0_out_X ;
wire u_vpwr_vgnd0_out_X;
// Name Output Other arguments
buf buf0 (buf0_out_X , A );
sky130_fd_sc_hs__u_vpwr_vgnd u_vpwr_vgnd0 (u_vpwr_vgnd0_out_X, buf0_out_X, VPWR, VGND);
buf buf1 (X , u_vpwr_vgnd0_out_X );
endmodule
`endcelldefine
`default_nettype wire
`endif // SKY130_FD_SC_HS__DLYMETAL6S4S_FUNCTIONAL_V |
module Wait (reset, clk, clk_2, check, PossibleStart, WriteChar);
input clk;
input PossibleStart;
input WriteChar;
input reset;
output reg clk_2;
output reg check;
parameter period = 10416;
parameter n =14;
parameter halfPeriod = period/2;
reg [n:0] counter;
parameter period1 = 2;
parameter n1 =1;
parameter halfPeriod1 = period1/2;
reg [n1:0] counter1;
reg [1:0] current_state;
reg [1:0] next_state;
parameter IDLE = 2'b00;
parameter POSSIBLESTART = 2'b01;
parameter READ = 2'b10;
parameter PARITY = 2'b11;
always @(posedge clk or posedge reset) begin
if (reset == 1'b1) begin
counter = 0;
counter1 = 0;
clk_2=0;
check = 0;
next_state <= 2'b00;
end
else begin
case (current_state)
IDLE: begin
if (PossibleStart==0)
next_state<=IDLE;
else begin
next_state<=POSSIBLESTART;
clk_2=0;
end
if (WriteChar==1)
next_state<=READ;
check=0;
counter=0;
if (counter1 == period1-1) begin
counter1 = 0;
clk_2 = 1;
end
else counter1 = counter1 +1'b1;
if (counter1 == halfPeriod1) clk_2 =0;
end // case: IDLE
POSSIBLESTART: begin
if (WriteChar==1) begin
next_state<=READ;
clk_2=1;
counter = 0;
end
if (WriteChar==0 && check==1)
next_state<=IDLE;
if (WriteChar==0 && check==0)
next_state<=POSSIBLESTART;
if (counter == halfPeriod-1) begin
counter=0;
check=1;
clk_2=1;
end
else
counter = counter +1'b1;
if (counter1 == period1-1) begin
counter1 = 0;
clk_2 = 1;
end
else counter1 = counter1 +1'b1;
if (counter1 == halfPeriod1) clk_2 =0;
end // case: POSSIBLESTART
READ: begin
if (WriteChar==1)
next_state<=READ;
else begin
next_state<=PARITY;
clk_2 = 0;
end
check=0;
if (counter == period-1) begin
counter = 0;
clk_2 = 1;
end
else counter = counter +1'b1;
if (counter == halfPeriod) clk_2 =0;
end // case: READ
PARITY: begin
if (clk_2==1)
next_state<= IDLE;
else
next_state<=PARITY;
if (counter == period-1) begin
counter = 0;
clk_2 = 1;
end
else counter = counter +1'b1;
if (counter == halfPeriod) clk_2 =0;
end // case: PARITY
default: begin
next_state<=IDLE;
check=0;
clk_2=0;
end
endcase // case (current_state)
end // else: !if(reset == 1'b1)
end // always @ (posedge clk)
always @(negedge clk or posedge reset) begin
if (reset == 1'b1)
current_state<=IDLE;
else
current_state<=next_state;
end
endmodule
|
/*******************************************************************************
* This file is owned and controlled by Xilinx and must be used solely *
* for design, simulation, implementation and creation of design files *
* limited to Xilinx devices or technologies. Use with non-Xilinx *
* devices or technologies is expressly prohibited and immediately *
* terminates your license. *
* *
* XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" SOLELY *
* FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR XILINX DEVICES. BY *
* PROVIDING THIS DESIGN, CODE, OR INFORMATION AS ONE POSSIBLE *
* IMPLEMENTATION OF THIS FEATURE, APPLICATION OR STANDARD, XILINX IS *
* MAKING NO REPRESENTATION THAT THIS IMPLEMENTATION IS FREE FROM ANY *
* CLAIMS OF INFRINGEMENT, AND YOU ARE RESPONSIBLE FOR OBTAINING ANY *
* RIGHTS YOU MAY REQUIRE FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY *
* DISCLAIMS ANY WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE *
* IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR *
* REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF *
* INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A *
* PARTICULAR PURPOSE. *
* *
* Xilinx products are not intended for use in life support appliances, *
* devices, or systems. Use in such applications are expressly *
* prohibited. *
* *
* (c) Copyright 1995-2017 Xilinx, Inc. *
* All rights reserved. *
*******************************************************************************/
// You must compile the wrapper file gsu_cache.v when simulating
// the core, gsu_cache. When compiling the wrapper file, be sure to
// reference the XilinxCoreLib Verilog simulation library. For detailed
// instructions, please refer to the "CORE Generator Help".
// The synthesis directives "translate_off/translate_on" specified below are
// supported by Xilinx, Mentor Graphics and Synplicity synthesis
// tools. Ensure they are correct for your synthesis tool(s).
`timescale 1ns/1ps
module gsu_cache(
clka,
wea,
addra,
dina,
douta
);
input clka;
input [0 : 0] wea;
input [8 : 0] addra;
input [15 : 0] dina;
output [15 : 0] douta;
// synthesis translate_off
BLK_MEM_GEN_V7_3 #(
.C_ADDRA_WIDTH(9),
.C_ADDRB_WIDTH(9),
.C_ALGORITHM(1),
.C_AXI_ID_WIDTH(4),
.C_AXI_SLAVE_TYPE(0),
.C_AXI_TYPE(1),
.C_BYTE_SIZE(9),
.C_COMMON_CLK(0),
.C_DEFAULT_DATA("0"),
.C_DISABLE_WARN_BHV_COLL(0),
.C_DISABLE_WARN_BHV_RANGE(0),
.C_ENABLE_32BIT_ADDRESS(0),
.C_FAMILY("spartan3"),
.C_HAS_AXI_ID(0),
.C_HAS_ENA(0),
.C_HAS_ENB(0),
.C_HAS_INJECTERR(0),
.C_HAS_MEM_OUTPUT_REGS_A(0),
.C_HAS_MEM_OUTPUT_REGS_B(0),
.C_HAS_MUX_OUTPUT_REGS_A(0),
.C_HAS_MUX_OUTPUT_REGS_B(0),
.C_HAS_REGCEA(0),
.C_HAS_REGCEB(0),
.C_HAS_RSTA(0),
.C_HAS_RSTB(0),
.C_HAS_SOFTECC_INPUT_REGS_A(0),
.C_HAS_SOFTECC_OUTPUT_REGS_B(0),
.C_INIT_FILE("BlankString"),
.C_INIT_FILE_NAME("no_coe_file_loaded"),
.C_INITA_VAL("0"),
.C_INITB_VAL("0"),
.C_INTERFACE_TYPE(0),
.C_LOAD_INIT_FILE(0),
.C_MEM_TYPE(0),
.C_MUX_PIPELINE_STAGES(0),
.C_PRIM_TYPE(1),
.C_READ_DEPTH_A(512),
.C_READ_DEPTH_B(512),
.C_READ_WIDTH_A(16),
.C_READ_WIDTH_B(16),
.C_RST_PRIORITY_A("CE"),
.C_RST_PRIORITY_B("CE"),
.C_RST_TYPE("SYNC"),
.C_RSTRAM_A(0),
.C_RSTRAM_B(0),
.C_SIM_COLLISION_CHECK("ALL"),
.C_USE_BRAM_BLOCK(0),
.C_USE_BYTE_WEA(0),
.C_USE_BYTE_WEB(0),
.C_USE_DEFAULT_DATA(0),
.C_USE_ECC(0),
.C_USE_SOFTECC(0),
.C_WEA_WIDTH(1),
.C_WEB_WIDTH(1),
.C_WRITE_DEPTH_A(512),
.C_WRITE_DEPTH_B(512),
.C_WRITE_MODE_A("WRITE_FIRST"),
.C_WRITE_MODE_B("WRITE_FIRST"),
.C_WRITE_WIDTH_A(16),
.C_WRITE_WIDTH_B(16),
.C_XDEVICEFAMILY("spartan3")
)
inst (
.CLKA(clka),
.WEA(wea),
.ADDRA(addra),
.DINA(dina),
.DOUTA(douta),
.RSTA(),
.ENA(),
.REGCEA(),
.CLKB(),
.RSTB(),
.ENB(),
.REGCEB(),
.WEB(),
.ADDRB(),
.DINB(),
.DOUTB(),
.INJECTSBITERR(),
.INJECTDBITERR(),
.SBITERR(),
.DBITERR(),
.RDADDRECC(),
.S_ACLK(),
.S_ARESETN(),
.S_AXI_AWID(),
.S_AXI_AWADDR(),
.S_AXI_AWLEN(),
.S_AXI_AWSIZE(),
.S_AXI_AWBURST(),
.S_AXI_AWVALID(),
.S_AXI_AWREADY(),
.S_AXI_WDATA(),
.S_AXI_WSTRB(),
.S_AXI_WLAST(),
.S_AXI_WVALID(),
.S_AXI_WREADY(),
.S_AXI_BID(),
.S_AXI_BRESP(),
.S_AXI_BVALID(),
.S_AXI_BREADY(),
.S_AXI_ARID(),
.S_AXI_ARADDR(),
.S_AXI_ARLEN(),
.S_AXI_ARSIZE(),
.S_AXI_ARBURST(),
.S_AXI_ARVALID(),
.S_AXI_ARREADY(),
.S_AXI_RID(),
.S_AXI_RDATA(),
.S_AXI_RRESP(),
.S_AXI_RLAST(),
.S_AXI_RVALID(),
.S_AXI_RREADY(),
.S_AXI_INJECTSBITERR(),
.S_AXI_INJECTDBITERR(),
.S_AXI_SBITERR(),
.S_AXI_DBITERR(),
.S_AXI_RDADDRECC()
);
// synthesis translate_on
endmodule
|
/******************************************************************************
* License Agreement *
* *
* Copyright (c) 1991-2013 Altera Corporation, San Jose, California, USA. *
* All rights reserved. *
* *
* Any megafunction design, and related net list (encrypted or decrypted), *
* support information, device programming or simulation file, and any other *
* associated documentation or information provided by Altera or a partner *
* under Altera's Megafunction Partnership Program may be used only to *
* program PLD devices (but not masked PLD devices) from Altera. Any other *
* use of such megafunction design, net list, support information, device *
* programming or simulation file, or any other related documentation or *
* information is prohibited for any other purpose, including, but not *
* limited to modification, reverse engineering, de-compiling, or use with *
* any other silicon devices, unless such use is explicitly licensed under *
* a separate agreement with Altera or a megafunction partner. Title to *
* the intellectual property, including patents, copyrights, trademarks, *
* trade secrets, or maskworks, embodied in any such megafunction design, *
* net list, support information, device programming or simulation file, or *
* any other related documentation or information provided by Altera or a *
* megafunction partner, remains with Altera, the megafunction partner, or *
* their respective licensors. No other licenses, including any licenses *
* needed under any third party's intellectual property, are provided herein.*
* Copying or modifying any file, or portion thereof, to which this notice *
* is attached violates this copyright. *
* *
* THIS FILE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR *
* IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, *
* FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL *
* THE AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER *
* LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING *
* FROM, OUT OF OR IN CONNECTION WITH THIS FILE OR THE USE OR OTHER DEALINGS *
* IN THIS FILE. *
* *
* This agreement shall be governed in all respects by the laws of the State *
* of California and by the laws of the United States of America. *
* *
******************************************************************************/
/******************************************************************************
* *
* This module is a rom for auto initializing the TRDB DC2 digital camera. *
* *
******************************************************************************/
module altera_up_av_config_auto_init_dc2 (
// Inputs
rom_address,
// Bidirectionals
// Outputs
rom_data
);
/*****************************************************************************
* Parameter Declarations *
*****************************************************************************/
parameter DC_ROW_START = 16'h000C;
parameter DC_COLUMN_START = 16'h001E;
parameter DC_ROW_WIDTH = 16'h0400;
parameter DC_COLUMN_WIDTH = 16'h0500;
parameter DC_H_BLANK_B = 16'h0088; // 16'h018C;
parameter DC_V_BLANK_B = 16'h0019; // 16'h0032;
parameter DC_H_BLANK_A = 16'h00C6;
parameter DC_V_BLANK_A = 16'h0019;
parameter DC_SHUTTER_WIDTH = 16'h0432;
parameter DC_ROW_SPEED = 16'h0011;
parameter DC_EXTRA_DELAY = 16'h0000;
parameter DC_SHUTTER_DELAY = 16'h0000;
parameter DC_RESET = 16'h0008;
parameter DC_FRAME_VALID = 16'h0000;
parameter DC_READ_MODE_B = 16'h0001;
parameter DC_READ_MODE_A = 16'h040C;
parameter DC_DARK_COL_ROW = 16'h0129;
parameter DC_FLASH = 16'h0608;
parameter DC_GREEN_GAIN_1 = 16'h00B0;
parameter DC_BLUE_GAIN = 16'h00CF;
parameter DC_RED_GAIN = 16'h00CF;
parameter DC_GREEN_GAIN_2 = 16'h00B0;
//parameter DC_GLOBAL_GAIN = 16'h0120;
parameter DC_CONTEXT_CTRL = 16'h000B;
/*****************************************************************************
* Port Declarations *
*****************************************************************************/
// Inputs
input [ 4: 0] rom_address;
// Bidirectionals
// Outputs
output [35: 0] rom_data;
/*****************************************************************************
* Constant Declarations *
*****************************************************************************/
// States
/*****************************************************************************
* Internal Wires and Registers Declarations *
*****************************************************************************/
// Internal Wires
reg [31: 0] data;
// Internal Registers
// State Machine Registers
/*****************************************************************************
* Finite State Machine(s) *
*****************************************************************************/
/*****************************************************************************
* Sequential Logic *
*****************************************************************************/
// Output Registers
// Internal Registers
/*****************************************************************************
* Combinational Logic *
*****************************************************************************/
// Output Assignments
assign rom_data = {data[31:24], 1'b0,
data[23:16], 1'b0,
data[15: 8], 1'b0,
data[ 7: 0], 1'b0};
// Internal Assignments
always @(*)
begin
case (rom_address)
0 : data <= {8'hBA, 8'h01, DC_ROW_START};
1 : data <= {8'hBA, 8'h02, DC_COLUMN_START};
2 : data <= {8'hBA, 8'h03, DC_ROW_WIDTH};
3 : data <= {8'hBA, 8'h04, DC_COLUMN_WIDTH};
4 : data <= {8'hBA, 8'h05, DC_H_BLANK_B};
5 : data <= {8'hBA, 8'h06, DC_V_BLANK_B};
6 : data <= {8'hBA, 8'h07, DC_H_BLANK_A};
7 : data <= {8'hBA, 8'h08, DC_V_BLANK_A};
8 : data <= {8'hBA, 8'h09, DC_SHUTTER_WIDTH};
9 : data <= {8'hBA, 8'h0A, DC_ROW_SPEED};
10 : data <= {8'hBA, 8'h0B, DC_EXTRA_DELAY};
11 : data <= {8'hBA, 8'h0C, DC_SHUTTER_DELAY};
12 : data <= {8'hBA, 8'h0D, DC_RESET};
13 : data <= {8'hBA, 8'h1F, DC_FRAME_VALID};
14 : data <= {8'hBA, 8'h20, DC_READ_MODE_B};
15 : data <= {8'hBA, 8'h21, DC_READ_MODE_A};
16 : data <= {8'hBA, 8'h22, DC_DARK_COL_ROW};
17 : data <= {8'hBA, 8'h23, DC_FLASH};
18 : data <= {8'hBA, 8'h2B, DC_GREEN_GAIN_1};
19 : data <= {8'hBA, 8'h2C, DC_BLUE_GAIN};
20 : data <= {8'hBA, 8'h2D, DC_RED_GAIN};
21 : data <= {8'hBA, 8'h2E, DC_GREEN_GAIN_2};
22 : data <= {8'hBA, 8'hC8, DC_CONTEXT_CTRL};
default : data <= 32'h00000000;
endcase
end
/*****************************************************************************
* Internal Modules *
*****************************************************************************/
endmodule
|
module system (
clk_50_clk,
kernel_clk_clk,
memory_mem_a,
memory_mem_ba,
memory_mem_ck,
memory_mem_ck_n,
memory_mem_cke,
memory_mem_cs_n,
memory_mem_ras_n,
memory_mem_cas_n,
memory_mem_we_n,
memory_mem_reset_n,
memory_mem_dq,
memory_mem_dqs,
memory_mem_dqs_n,
memory_mem_odt,
memory_mem_dm,
memory_oct_rzqin,
peripheral_hps_io_emac1_inst_TX_CLK,
peripheral_hps_io_emac1_inst_TXD0,
peripheral_hps_io_emac1_inst_TXD1,
peripheral_hps_io_emac1_inst_TXD2,
peripheral_hps_io_emac1_inst_TXD3,
peripheral_hps_io_emac1_inst_RXD0,
peripheral_hps_io_emac1_inst_MDIO,
peripheral_hps_io_emac1_inst_MDC,
peripheral_hps_io_emac1_inst_RX_CTL,
peripheral_hps_io_emac1_inst_TX_CTL,
peripheral_hps_io_emac1_inst_RX_CLK,
peripheral_hps_io_emac1_inst_RXD1,
peripheral_hps_io_emac1_inst_RXD2,
peripheral_hps_io_emac1_inst_RXD3,
peripheral_hps_io_sdio_inst_CMD,
peripheral_hps_io_sdio_inst_D0,
peripheral_hps_io_sdio_inst_D1,
peripheral_hps_io_sdio_inst_CLK,
peripheral_hps_io_sdio_inst_D2,
peripheral_hps_io_sdio_inst_D3,
peripheral_hps_io_usb1_inst_D0,
peripheral_hps_io_usb1_inst_D1,
peripheral_hps_io_usb1_inst_D2,
peripheral_hps_io_usb1_inst_D3,
peripheral_hps_io_usb1_inst_D4,
peripheral_hps_io_usb1_inst_D5,
peripheral_hps_io_usb1_inst_D6,
peripheral_hps_io_usb1_inst_D7,
peripheral_hps_io_usb1_inst_CLK,
peripheral_hps_io_usb1_inst_STP,
peripheral_hps_io_usb1_inst_DIR,
peripheral_hps_io_usb1_inst_NXT,
peripheral_hps_io_uart0_inst_RX,
peripheral_hps_io_uart0_inst_TX,
peripheral_hps_io_i2c1_inst_SDA,
peripheral_hps_io_i2c1_inst_SCL,
peripheral_hps_io_gpio_inst_GPIO53,
reset_50_reset_n,
acl_iface_clock_130_clk,
acl_iface_alt_vip_itc_0_clocked_video_vid_clk,
acl_iface_alt_vip_itc_0_clocked_video_vid_data,
acl_iface_alt_vip_itc_0_clocked_video_underflow,
acl_iface_alt_vip_itc_0_clocked_video_vid_datavalid,
acl_iface_alt_vip_itc_0_clocked_video_vid_v_sync,
acl_iface_alt_vip_itc_0_clocked_video_vid_h_sync,
acl_iface_alt_vip_itc_0_clocked_video_vid_f,
acl_iface_alt_vip_itc_0_clocked_video_vid_h,
acl_iface_alt_vip_itc_0_clocked_video_vid_v);
input clk_50_clk;
output kernel_clk_clk;
output [14:0] memory_mem_a;
output [2:0] memory_mem_ba;
output memory_mem_ck;
output memory_mem_ck_n;
output memory_mem_cke;
output memory_mem_cs_n;
output memory_mem_ras_n;
output memory_mem_cas_n;
output memory_mem_we_n;
output memory_mem_reset_n;
inout [31:0] memory_mem_dq;
inout [3:0] memory_mem_dqs;
inout [3:0] memory_mem_dqs_n;
output memory_mem_odt;
output [3:0] memory_mem_dm;
input memory_oct_rzqin;
output peripheral_hps_io_emac1_inst_TX_CLK;
output peripheral_hps_io_emac1_inst_TXD0;
output peripheral_hps_io_emac1_inst_TXD1;
output peripheral_hps_io_emac1_inst_TXD2;
output peripheral_hps_io_emac1_inst_TXD3;
input peripheral_hps_io_emac1_inst_RXD0;
inout peripheral_hps_io_emac1_inst_MDIO;
output peripheral_hps_io_emac1_inst_MDC;
input peripheral_hps_io_emac1_inst_RX_CTL;
output peripheral_hps_io_emac1_inst_TX_CTL;
input peripheral_hps_io_emac1_inst_RX_CLK;
input peripheral_hps_io_emac1_inst_RXD1;
input peripheral_hps_io_emac1_inst_RXD2;
input peripheral_hps_io_emac1_inst_RXD3;
inout peripheral_hps_io_sdio_inst_CMD;
inout peripheral_hps_io_sdio_inst_D0;
inout peripheral_hps_io_sdio_inst_D1;
output peripheral_hps_io_sdio_inst_CLK;
inout peripheral_hps_io_sdio_inst_D2;
inout peripheral_hps_io_sdio_inst_D3;
inout peripheral_hps_io_usb1_inst_D0;
inout peripheral_hps_io_usb1_inst_D1;
inout peripheral_hps_io_usb1_inst_D2;
inout peripheral_hps_io_usb1_inst_D3;
inout peripheral_hps_io_usb1_inst_D4;
inout peripheral_hps_io_usb1_inst_D5;
inout peripheral_hps_io_usb1_inst_D6;
inout peripheral_hps_io_usb1_inst_D7;
input peripheral_hps_io_usb1_inst_CLK;
output peripheral_hps_io_usb1_inst_STP;
input peripheral_hps_io_usb1_inst_DIR;
input peripheral_hps_io_usb1_inst_NXT;
input peripheral_hps_io_uart0_inst_RX;
output peripheral_hps_io_uart0_inst_TX;
inout peripheral_hps_io_i2c1_inst_SDA;
inout peripheral_hps_io_i2c1_inst_SCL;
inout peripheral_hps_io_gpio_inst_GPIO53;
input reset_50_reset_n;
input acl_iface_clock_130_clk;
input acl_iface_alt_vip_itc_0_clocked_video_vid_clk;
output [31:0] acl_iface_alt_vip_itc_0_clocked_video_vid_data;
output acl_iface_alt_vip_itc_0_clocked_video_underflow;
output acl_iface_alt_vip_itc_0_clocked_video_vid_datavalid;
output acl_iface_alt_vip_itc_0_clocked_video_vid_v_sync;
output acl_iface_alt_vip_itc_0_clocked_video_vid_h_sync;
output acl_iface_alt_vip_itc_0_clocked_video_vid_f;
output acl_iface_alt_vip_itc_0_clocked_video_vid_h;
output acl_iface_alt_vip_itc_0_clocked_video_vid_v;
endmodule
|
// ***************************************************************************
// ***************************************************************************
// Copyright 2011(c) Analog Devices, Inc.
//
// All rights reserved.
//
// Redistribution and use in source and binary forms, with or without modification,
// are permitted provided that the following conditions are met:
// - Redistributions of source code must retain the above copyright
// notice, this list of conditions and the following disclaimer.
// - Redistributions in binary form must reproduce the above copyright
// notice, this list of conditions and the following disclaimer in
// the documentation and/or other materials provided with the
// distribution.
// - Neither the name of Analog Devices, Inc. nor the names of its
// contributors may be used to endorse or promote products derived
// from this software without specific prior written permission.
// - The use of this software may or may not infringe the patent rights
// of one or more patent holders. This license does not release you
// from the requirement that you obtain separate licenses from these
// patent holders to use this software.
// - Use of the software either in source or binary form, must be run
// on or directly connected to an Analog Devices Inc. component.
//
// THIS SOFTWARE IS PROVIDED BY ANALOG DEVICES "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES,
// INCLUDING, BUT NOT LIMITED TO, NON-INFRINGEMENT, MERCHANTABILITY AND FITNESS FOR A
// PARTICULAR PURPOSE ARE DISCLAIMED.
//
// IN NO EVENT SHALL ANALOG DEVICES BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL,
// EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, INTELLECTUAL PROPERTY
// RIGHTS, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR
// BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT,
// STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF
// THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
// ***************************************************************************
// ***************************************************************************
// ***************************************************************************
// ***************************************************************************
// Input must be RGB or CrYCb in that order, output is CrY/CbY
module ad_ss_422to444 (
// 422 inputs
clk,
s422_de,
s422_sync,
s422_data,
// 444 outputs
s444_sync,
s444_data);
// parameters
parameter CR_CB_N = 0;
parameter DELAY_DATA_WIDTH = 16;
localparam DW = DELAY_DATA_WIDTH - 1;
// 422 inputs
input clk;
input s422_de;
input [DW:0] s422_sync;
input [15:0] s422_data;
// 444 inputs
output [DW:0] s444_sync;
output [23:0] s444_data;
// internal registers
reg cr_cb_sel = 'd0;
reg s422_de_d = 'd0;
reg [DW:0] s422_sync_d = 'd0;
reg s422_de_2d = 'd0;
reg [7:0] s422_Y_d;
reg [7:0] s422_CbCr_d;
reg [7:0] s422_CbCr_2d;
reg [DW:0] s444_sync = 'd0;
reg [23:0] s444_data = 'd0;
reg [ 8:0] s422_CbCr_avg;
// internal wires
wire [ 7:0] s422_Y;
wire [ 7:0] s422_CbCr;
// Input format is
// [15:8] Cb/Cr
// [ 7:0] Y
//
// Output format is
// [23:15] Cr
// [16: 8] Y
// [ 7: 0] Cb
assign s422_Y = s422_data[7:0];
assign s422_CbCr = s422_data[15:8];
// first data on de assertion is cb (0x0), then cr (0x1).
// previous data is held when not current
always @(posedge clk) begin
if (s422_de_d == 1'b1) begin
cr_cb_sel <= ~cr_cb_sel;
end else begin
cr_cb_sel <= CR_CB_N;
end
end
// pipe line stages
always @(posedge clk) begin
s422_de_d <= s422_de;
s422_sync_d <= s422_sync;
s422_de_2d <= s422_de_d;
s422_Y_d <= s422_Y;
s422_CbCr_d <= s422_CbCr;
s422_CbCr_2d <= s422_CbCr_d;
end
// If both the left and the right sample are valid do the average, otherwise
// use the only valid.
always @(s422_de_2d, s422_de, s422_CbCr, s422_CbCr_2d)
begin
if (s422_de == 1'b1 && s422_de_2d)
s422_CbCr_avg <= s422_CbCr + s422_CbCr_2d;
else if (s422_de == 1'b1)
s422_CbCr_avg <= {s422_CbCr, 1'b0};
else
s422_CbCr_avg <= {s422_CbCr_2d, 1'b0};
end
// 444 outputs
always @(posedge clk) begin
s444_sync <= s422_sync_d;
s444_data[15:8] <= s422_Y_d;
if (cr_cb_sel) begin
s444_data[23:16] <= s422_CbCr_d;
s444_data[ 7: 0] <= s422_CbCr_avg[8:1];
end else begin
s444_data[23:16] <= s422_CbCr_avg[8:1];
s444_data[ 7: 0] <= s422_CbCr_d;
end
end
endmodule
// ***************************************************************************
// ***************************************************************************
|
`timescale 1ns / 1ps
// Documented Verilog UART
// Copyright (C) 2010 Timothy Goddard ([email protected])
// Distributed under the MIT licence.
//
// Permission is hereby granted, free of charge, to any person obtaining a copy
// of this software and associated documentation files (the "Software"), to deal
// in the Software without restriction, including without limitation the rights
// to use, copy, modify, merge, publish, distribute, sublicense, and/or sell
// copies of the Software, and to permit persons to whom the Software is
// furnished to do so, subject to the following conditions:
//
// The above copyright notice and this permission notice shall be included in
// all copies or substantial portions of the Software.
//
// THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR
// IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY,
// FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE
// AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER
// LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING FROM,
// OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN
// THE SOFTWARE.
//
module uart(
input clk_uart_x4, // The master clock for this module
input rst, // Synchronous reset.
input rx, // Incoming serial line
output tx, // Outgoing serial line
input transmit, // Signal to transmit
input [7:0] tx_byte, // Byte to transmit
output received, // Indicated that a byte has been received.
output [7:0] rx_byte, // Byte received
output is_receiving, // Low when receive line is idle.
output is_transmitting, // Low when transmit line is idle.
output recv_error // Indicates error in receiving packet.
);
wire clk;
assign clk = clk_uart_x4;
// States for the receiving state machine.
// These are just constants, not parameters to override.
parameter RX_IDLE = 0;
parameter RX_CHECK_START = 1;
parameter RX_READ_BITS = 2;
parameter RX_CHECK_STOP = 3;
parameter RX_DELAY_RESTART = 4;
parameter RX_ERROR = 5;
parameter RX_RECEIVED = 6;
// States for the transmitting state machine.
// Constants - do not override.
parameter TX_IDLE = 0;
parameter TX_SENDING = 1;
parameter TX_DELAY_RESTART = 2;
reg [2:0] recv_state = RX_IDLE;
reg [3:0] rx_countdown;
reg [3:0] rx_bits_remaining;
reg [7:0] rx_data;
reg tx_out = 1'b1;
reg [1:0] tx_state = TX_IDLE;
reg [3:0] tx_countdown;
reg [3:0] tx_bits_remaining;
reg [7:0] tx_data;
assign received = recv_state == RX_RECEIVED;
assign recv_error = recv_state == RX_ERROR;
assign is_receiving = recv_state != RX_IDLE;
assign rx_byte = rx_data;
assign tx = tx_out;
assign is_transmitting = tx_state != TX_IDLE;
always @(posedge clk) begin //or posedge rst
if (rst) begin
recv_state = RX_IDLE;
tx_state = TX_IDLE;
end
rx_countdown = rx_countdown - 1;
tx_countdown = tx_countdown - 1;
// Receive state machine
case (recv_state)
RX_IDLE: begin
// A low pulse on the receive line indicates the
// start of data.
if (!rx) begin
// Wait half the period - should resume in the
// middle of this first pulse.
rx_countdown = 2;
recv_state = RX_CHECK_START;
end
end
RX_CHECK_START: begin
if (!rx_countdown) begin
// Check the pulse is still there
if (!rx) begin
// Pulse still there - good
// Wait the bit period to resume half-way
// through the first bit.
rx_countdown = 4;
rx_bits_remaining = 8;
recv_state = RX_READ_BITS;
end else begin
// Pulse lasted less than half the period -
// not a valid transmission.
recv_state = RX_ERROR;
end
end
end
RX_READ_BITS: begin
if (!rx_countdown) begin
// Should be half-way through a bit pulse here.
// Read this bit in, wait for the next if we
// have more to get.
rx_data = {rx, rx_data[7:1]};
rx_countdown = 4;
rx_bits_remaining = rx_bits_remaining - 1;
recv_state = rx_bits_remaining ? RX_READ_BITS : RX_CHECK_STOP;
end
end
RX_CHECK_STOP: begin
if (!rx_countdown) begin
// Should resume half-way through the stop bit
// This should be high - if not, reject the
// transmission and signal an error.
recv_state = rx ? RX_RECEIVED : RX_ERROR;
end
end
RX_DELAY_RESTART: begin
// Waits a set number of cycles before accepting
// another transmission.
recv_state = rx_countdown ? RX_DELAY_RESTART : RX_IDLE;
end
RX_ERROR: begin
// There was an error receiving.
// Raises the recv_error flag for one clock
// cycle while in this state and then waits
// 2 bit periods before accepting another
// transmission.
rx_countdown = 8;
recv_state = RX_DELAY_RESTART;
end
RX_RECEIVED: begin
// Successfully received a byte.
// Raises the received flag for one clock
// cycle while in this state.
recv_state = RX_IDLE;
end
endcase
// Transmit state machine
case (tx_state)
TX_IDLE: begin
if (transmit) begin
// If the transmit flag is raised in the idle
// state, start transmitting the current content
// of the tx_byte input.
tx_data = tx_byte;
// Send the initial, low pulse of 1 bit period
// to signal the start, followed by the data
tx_countdown = 4;
tx_out = 0;
tx_bits_remaining = 8;
tx_state = TX_SENDING;
end
end
TX_SENDING: begin
if (!tx_countdown) begin
if (tx_bits_remaining) begin
tx_bits_remaining = tx_bits_remaining - 1;
tx_out = tx_data[0];
tx_data = {1'b0, tx_data[7:1]};
tx_countdown = 4;
tx_state = TX_SENDING;
end else begin
// Set delay to send out 2 stop bits.
tx_out = 1;
tx_countdown = 8;
tx_state = TX_DELAY_RESTART;
end
end
end
TX_DELAY_RESTART: begin
// Wait until tx_countdown reaches the end before
// we send another transmission. This covers the
// "stop bit" delay.
tx_state = tx_countdown ? TX_DELAY_RESTART : TX_IDLE;
end
endcase
end
endmodule
|
/*
* Copyright 2020 The SkyWater PDK Authors
*
* Licensed under the Apache License, Version 2.0 (the "License");
* you may not use this file except in compliance with the License.
* You may obtain a copy of the License at
*
* https://www.apache.org/licenses/LICENSE-2.0
*
* Unless required by applicable law or agreed to in writing, software
* distributed under the License is distributed on an "AS IS" BASIS,
* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
* See the License for the specific language governing permissions and
* limitations under the License.
*
* SPDX-License-Identifier: Apache-2.0
*/
`ifndef SKY130_FD_SC_LP__DFSTP_BEHAVIORAL_PP_V
`define SKY130_FD_SC_LP__DFSTP_BEHAVIORAL_PP_V
/**
* dfstp: Delay flop, inverted set, single output.
*
* Verilog simulation functional model.
*/
`timescale 1ns / 1ps
`default_nettype none
// Import user defined primitives.
`include "../../models/udp_dff_ps_pp_pg_n/sky130_fd_sc_lp__udp_dff_ps_pp_pg_n.v"
`celldefine
module sky130_fd_sc_lp__dfstp (
Q ,
CLK ,
D ,
SET_B,
VPWR ,
VGND ,
VPB ,
VNB
);
// Module ports
output Q ;
input CLK ;
input D ;
input SET_B;
input VPWR ;
input VGND ;
input VPB ;
input VNB ;
// Local signals
wire buf_Q ;
wire SET ;
reg notifier ;
wire D_delayed ;
wire SET_B_delayed;
wire CLK_delayed ;
wire awake ;
wire cond0 ;
wire cond1 ;
// Name Output Other arguments
not not0 (SET , SET_B_delayed );
sky130_fd_sc_lp__udp_dff$PS_pp$PG$N dff0 (buf_Q , D_delayed, CLK_delayed, SET, notifier, VPWR, VGND);
assign awake = ( VPWR === 1'b1 );
assign cond0 = ( SET_B_delayed === 1'b1 );
assign cond1 = ( SET_B === 1'b1 );
buf buf0 (Q , buf_Q );
endmodule
`endcelldefine
`default_nettype wire
`endif // SKY130_FD_SC_LP__DFSTP_BEHAVIORAL_PP_V |
///////////////////////////////////////////////////////////////////////////////
//
// Copyright 2010-2012 by Michael A. Morris, dba M. A. Morris & Associates
//
// All rights reserved. The source code contained herein is publicly released
// under the terms and conditions of the GNU Lesser Public License. No part of
// this source code may be reproduced or transmitted in any form or by any
// means, electronic or mechanical, including photocopying, recording, or any
// information storage and retrieval system in violation of the license under
// which the source code is released.
//
// The souce code contained herein is free; it may be redistributed and/or
// modified in accordance with the terms of the GNU Lesser General Public
// License as published by the Free Software Foundation; either version 2.1 of
// the GNU Lesser General Public License, or any later version.
//
// The souce code contained herein is freely released WITHOUT ANY WARRANTY;
// without even the implied warranty of MERCHANTABILITY or FITNESS FOR A
// PARTICULAR PURPOSE. (Refer to the GNU Lesser General Public License for
// more details.)
//
// A copy of the GNU Lesser General Public License should have been received
// along with the source code contained herein; if not, a copy can be obtained
// by writing to:
//
// Free Software Foundation, Inc.
// 51 Franklin Street, Fifth Floor
// Boston, MA 02110-1301 USA
//
// Further, no use of this source code is permitted in any form or means
// without inclusion of this banner prominently in any derived works.
//
// Michael A. Morris
// Huntsville, AL
//
///////////////////////////////////////////////////////////////////////////////
`timescale 1ns / 1ps
///////////////////////////////////////////////////////////////////////////////
// Company: M. A. Morris & Associates
// Engineer: Michael A. Morris
//
// Create Date: 21:48:39 07/10/2010
// Design Name: Booth_Multiplier
// Module Name: C:/XProjects/ISE10.1i/F9408/tb_Booth_Multiplier.v
// Project Name: Booth_Multiplier
// Target Devices: Spartan-3AN
// Tool versions: Xilinx ISE 10.1 SP3
//
// Description:
//
// Verilog Test Fixture created by ISE for module: Booth_Multiplier
//
// Dependencies:
//
// Revision:
//
// 0.01 10G10 MAM File Created
//
// Additional Comments:
//
///////////////////////////////////////////////////////////////////////////////
module tb_Booth_Multiplier;
parameter N = 2;
// UUT Signals
reg Rst;
reg Clk;
reg Ld;
reg [(2**N - 1):0] M;
reg [(2**N - 1):0] R;
wire Valid;
wire [(2**(N+1) - 1):0] P;
// Simulation Variables
reg [2**(N+1):0] i;
// Instantiate the Unit Under Test (UUT)
Booth_Multiplier #(
.pN(N)
) uut (
.Rst(Rst),
.Clk(Clk),
.Ld(Ld),
.M(M),
.R(R),
.Valid(Valid),
.P(P)
);
initial begin
// Initialize Inputs
Rst = 1;
Clk = 1;
Ld = 0;
M = 0;
R = 0;
i = 0;
// Wait 100 ns for global reset to finish
#101 Rst = 0;
// Add stimulus here
for(i = 0; i < (2**(2**(N+1))); i = i + 1) begin
@(posedge Clk) #1 Ld = 1;
M = i[(2**(N+1) - 1):2**N];
R = i[(2**N - 1):0];
@(posedge Clk) #1 Ld = 0;
@(posedge Valid);
end
end
///////////////////////////////////////////////////////////////////////////////
always #5 Clk = ~Clk;
///////////////////////////////////////////////////////////////////////////////
endmodule
|
// megafunction wizard: %ROM: 1-PORT%
// GENERATION: STANDARD
// VERSION: WM1.0
// MODULE: altsyncram
// ============================================================
// File Name: prn5ccc.v
// Megafunction Name(s):
// altsyncram
//
// Simulation Library Files(s):
// altera_mf
// ============================================================
// ************************************************************
// THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE!
//
// 13.0.1 Build 232 06/12/2013 SP 1 SJ Web Edition
// ************************************************************
//Copyright (C) 1991-2013 Altera Corporation
//Your use of Altera Corporation's design tools, logic functions
//and other software and tools, and its AMPP partner logic
//functions, and any output files from any of the foregoing
//(including device programming or simulation files), and any
//associated documentation or information are expressly subject
//to the terms and conditions of the Altera Program License
//Subscription Agreement, Altera MegaCore Function License
//Agreement, or other applicable license agreement, including,
//without limitation, that your use is for the sole purpose of
//programming logic devices manufactured by Altera and sold by
//Altera or its authorized distributors. Please refer to the
//applicable agreement for further details.
// synopsys translate_off
`timescale 1 ps / 1 ps
// synopsys translate_on
module prn5ccc (
address,
clock,
q);
input [9:0] address;
input clock;
output [7:0] q;
`ifndef ALTERA_RESERVED_QIS
// synopsys translate_off
`endif
tri1 clock;
`ifndef ALTERA_RESERVED_QIS
// synopsys translate_on
`endif
wire [7:0] sub_wire0;
wire [7:0] q = sub_wire0[7:0];
altsyncram altsyncram_component (
.address_a (address),
.clock0 (clock),
.q_a (sub_wire0),
.aclr0 (1'b0),
.aclr1 (1'b0),
.address_b (1'b1),
.addressstall_a (1'b0),
.addressstall_b (1'b0),
.byteena_a (1'b1),
.byteena_b (1'b1),
.clock1 (1'b1),
.clocken0 (1'b1),
.clocken1 (1'b1),
.clocken2 (1'b1),
.clocken3 (1'b1),
.data_a ({8{1'b1}}),
.data_b (1'b1),
.eccstatus (),
.q_b (),
.rden_a (1'b1),
.rden_b (1'b1),
.wren_a (1'b0),
.wren_b (1'b0));
defparam
altsyncram_component.clock_enable_input_a = "BYPASS",
altsyncram_component.clock_enable_output_a = "BYPASS",
altsyncram_component.init_file = "prn5.mif",
altsyncram_component.intended_device_family = "Cyclone II",
altsyncram_component.lpm_hint = "ENABLE_RUNTIME_MOD=NO",
altsyncram_component.lpm_type = "altsyncram",
altsyncram_component.numwords_a = 1023,
altsyncram_component.operation_mode = "ROM",
altsyncram_component.outdata_aclr_a = "NONE",
altsyncram_component.outdata_reg_a = "CLOCK0",
altsyncram_component.widthad_a = 10,
altsyncram_component.width_a = 8,
altsyncram_component.width_byteena_a = 1;
endmodule
// ============================================================
// CNX file retrieval info
// ============================================================
// Retrieval info: PRIVATE: ADDRESSSTALL_A NUMERIC "0"
// Retrieval info: PRIVATE: AclrAddr NUMERIC "0"
// Retrieval info: PRIVATE: AclrByte NUMERIC "0"
// Retrieval info: PRIVATE: AclrOutput NUMERIC "0"
// Retrieval info: PRIVATE: BYTE_ENABLE NUMERIC "0"
// Retrieval info: PRIVATE: BYTE_SIZE NUMERIC "8"
// Retrieval info: PRIVATE: BlankMemory NUMERIC "0"
// Retrieval info: PRIVATE: CLOCK_ENABLE_INPUT_A NUMERIC "0"
// Retrieval info: PRIVATE: CLOCK_ENABLE_OUTPUT_A NUMERIC "0"
// Retrieval info: PRIVATE: Clken NUMERIC "0"
// Retrieval info: PRIVATE: IMPLEMENT_IN_LES NUMERIC "0"
// Retrieval info: PRIVATE: INIT_FILE_LAYOUT STRING "PORT_A"
// Retrieval info: PRIVATE: INIT_TO_SIM_X NUMERIC "0"
// Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "Cyclone II"
// Retrieval info: PRIVATE: JTAG_ENABLED NUMERIC "0"
// Retrieval info: PRIVATE: JTAG_ID STRING "NONE"
// Retrieval info: PRIVATE: MAXIMUM_DEPTH NUMERIC "0"
// Retrieval info: PRIVATE: MIFfilename STRING "prn5.mif"
// Retrieval info: PRIVATE: NUMWORDS_A NUMERIC "1023"
// Retrieval info: PRIVATE: RAM_BLOCK_TYPE NUMERIC "0"
// Retrieval info: PRIVATE: RegAddr NUMERIC "1"
// Retrieval info: PRIVATE: RegOutput NUMERIC "1"
// Retrieval info: PRIVATE: SYNTH_WRAPPER_GEN_POSTFIX STRING "0"
// Retrieval info: PRIVATE: SingleClock NUMERIC "1"
// Retrieval info: PRIVATE: UseDQRAM NUMERIC "0"
// Retrieval info: PRIVATE: WidthAddr NUMERIC "10"
// Retrieval info: PRIVATE: WidthData NUMERIC "8"
// Retrieval info: PRIVATE: rden NUMERIC "0"
// Retrieval info: LIBRARY: altera_mf altera_mf.altera_mf_components.all
// Retrieval info: CONSTANT: CLOCK_ENABLE_INPUT_A STRING "BYPASS"
// Retrieval info: CONSTANT: CLOCK_ENABLE_OUTPUT_A STRING "BYPASS"
// Retrieval info: CONSTANT: INIT_FILE STRING "prn5.mif"
// Retrieval info: CONSTANT: INTENDED_DEVICE_FAMILY STRING "Cyclone II"
// Retrieval info: CONSTANT: LPM_HINT STRING "ENABLE_RUNTIME_MOD=NO"
// Retrieval info: CONSTANT: LPM_TYPE STRING "altsyncram"
// Retrieval info: CONSTANT: NUMWORDS_A NUMERIC "1023"
// Retrieval info: CONSTANT: OPERATION_MODE STRING "ROM"
// Retrieval info: CONSTANT: OUTDATA_ACLR_A STRING "NONE"
// Retrieval info: CONSTANT: OUTDATA_REG_A STRING "CLOCK0"
// Retrieval info: CONSTANT: WIDTHAD_A NUMERIC "10"
// Retrieval info: CONSTANT: WIDTH_A NUMERIC "8"
// Retrieval info: CONSTANT: WIDTH_BYTEENA_A NUMERIC "1"
// Retrieval info: USED_PORT: address 0 0 10 0 INPUT NODEFVAL "address[9..0]"
// Retrieval info: USED_PORT: clock 0 0 0 0 INPUT VCC "clock"
// Retrieval info: USED_PORT: q 0 0 8 0 OUTPUT NODEFVAL "q[7..0]"
// Retrieval info: CONNECT: @address_a 0 0 10 0 address 0 0 10 0
// Retrieval info: CONNECT: @clock0 0 0 0 0 clock 0 0 0 0
// Retrieval info: CONNECT: q 0 0 8 0 @q_a 0 0 8 0
// Retrieval info: GEN_FILE: TYPE_NORMAL prn5ccc.v TRUE
// Retrieval info: GEN_FILE: TYPE_NORMAL prn5ccc.inc FALSE
// Retrieval info: GEN_FILE: TYPE_NORMAL prn5ccc.cmp FALSE
// Retrieval info: GEN_FILE: TYPE_NORMAL prn5ccc.bsf TRUE
// Retrieval info: GEN_FILE: TYPE_NORMAL prn5ccc_inst.v FALSE
// Retrieval info: GEN_FILE: TYPE_NORMAL prn5ccc_bb.v TRUE
// Retrieval info: LIB_FILE: altera_mf
|
/**
* Copyright 2020 The SkyWater PDK Authors
*
* Licensed under the Apache License, Version 2.0 (the "License");
* you may not use this file except in compliance with the License.
* You may obtain a copy of the License at
*
* https://www.apache.org/licenses/LICENSE-2.0
*
* Unless required by applicable law or agreed to in writing, software
* distributed under the License is distributed on an "AS IS" BASIS,
* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
* See the License for the specific language governing permissions and
* limitations under the License.
*
* SPDX-License-Identifier: Apache-2.0
*/
`ifndef SKY130_FD_SC_HDLL__MUX2_8_V
`define SKY130_FD_SC_HDLL__MUX2_8_V
/**
* mux2: 2-input multiplexer.
*
* Verilog wrapper for mux2 with size of 8 units.
*
* WARNING: This file is autogenerated, do not modify directly!
*/
`timescale 1ns / 1ps
`default_nettype none
`include "sky130_fd_sc_hdll__mux2.v"
`ifdef USE_POWER_PINS
/*********************************************************/
`celldefine
module sky130_fd_sc_hdll__mux2_8 (
X ,
A0 ,
A1 ,
S ,
VPWR,
VGND,
VPB ,
VNB
);
output X ;
input A0 ;
input A1 ;
input S ;
input VPWR;
input VGND;
input VPB ;
input VNB ;
sky130_fd_sc_hdll__mux2 base (
.X(X),
.A0(A0),
.A1(A1),
.S(S),
.VPWR(VPWR),
.VGND(VGND),
.VPB(VPB),
.VNB(VNB)
);
endmodule
`endcelldefine
/*********************************************************/
`else // If not USE_POWER_PINS
/*********************************************************/
`celldefine
module sky130_fd_sc_hdll__mux2_8 (
X ,
A0,
A1,
S
);
output X ;
input A0;
input A1;
input S ;
// Voltage supply signals
supply1 VPWR;
supply0 VGND;
supply1 VPB ;
supply0 VNB ;
sky130_fd_sc_hdll__mux2 base (
.X(X),
.A0(A0),
.A1(A1),
.S(S)
);
endmodule
`endcelldefine
/*********************************************************/
`endif // USE_POWER_PINS
`default_nettype wire
`endif // SKY130_FD_SC_HDLL__MUX2_8_V
|
// -------------------------------------------------------------
//
// Generated Architecture Declaration for rtl of inst_edb_e
//
// Generated
// by: wig
// on: Mon Apr 10 13:27:22 2006
// cmd: /cygdrive/h/work/eclipse/MIX/mix_0.pl -nodelta ../../bitsplice.xls
//
// !!! Do not edit this file! Autogenerated by MIX !!!
// $Author: wig $
// $Id: inst_edb_e.v,v 1.1 2006/04/10 15:42:08 wig Exp $
// $Date: 2006/04/10 15:42:08 $
// $Log: inst_edb_e.v,v $
// Revision 1.1 2006/04/10 15:42:08 wig
// Updated testcase (__TOP__)
//
//
// Based on Mix Verilog Architecture Template built into RCSfile: MixWriter.pm,v
// Id: MixWriter.pm,v 1.79 2006/03/17 09:18:31 wig Exp
//
// Generator: mix_0.pl Revision: 1.44 , [email protected]
// (C) 2003,2005 Micronas GmbH
//
// --------------------------------------------------------------
`timescale 1ns/10ps
//
//
// Start of Generated Module rtl of inst_edb_e
//
// No user `defines in this module
module inst_edb_e
//
// Generated module inst_edb
//
(
);
// End of generated module header
// Internal signals
//
// Generated Signal List
//
//
// End of Generated Signal List
//
// %COMPILER_OPTS%
// Generated Signal Assignments
//
// Generated Instances
// wiring ...
// Generated Instances and Port Mappings
endmodule
//
// End of Generated Module rtl of inst_edb_e
//
//
//!End of Module/s
// --------------------------------------------------------------
|
/**
* Copyright 2020 The SkyWater PDK Authors
*
* Licensed under the Apache License, Version 2.0 (the "License");
* you may not use this file except in compliance with the License.
* You may obtain a copy of the License at
*
* https://www.apache.org/licenses/LICENSE-2.0
*
* Unless required by applicable law or agreed to in writing, software
* distributed under the License is distributed on an "AS IS" BASIS,
* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
* See the License for the specific language governing permissions and
* limitations under the License.
*
* SPDX-License-Identifier: Apache-2.0
*/
`ifndef SKY130_FD_SC_HD__NAND2B_TB_V
`define SKY130_FD_SC_HD__NAND2B_TB_V
/**
* nand2b: 2-input NAND, first input inverted.
*
* Autogenerated test bench.
*
* WARNING: This file is autogenerated, do not modify directly!
*/
`timescale 1ns / 1ps
`default_nettype none
`include "sky130_fd_sc_hd__nand2b.v"
module top();
// Inputs are registered
reg A_N;
reg B;
reg VPWR;
reg VGND;
reg VPB;
reg VNB;
// Outputs are wires
wire Y;
initial
begin
// Initial state is x for all inputs.
A_N = 1'bX;
B = 1'bX;
VGND = 1'bX;
VNB = 1'bX;
VPB = 1'bX;
VPWR = 1'bX;
#20 A_N = 1'b0;
#40 B = 1'b0;
#60 VGND = 1'b0;
#80 VNB = 1'b0;
#100 VPB = 1'b0;
#120 VPWR = 1'b0;
#140 A_N = 1'b1;
#160 B = 1'b1;
#180 VGND = 1'b1;
#200 VNB = 1'b1;
#220 VPB = 1'b1;
#240 VPWR = 1'b1;
#260 A_N = 1'b0;
#280 B = 1'b0;
#300 VGND = 1'b0;
#320 VNB = 1'b0;
#340 VPB = 1'b0;
#360 VPWR = 1'b0;
#380 VPWR = 1'b1;
#400 VPB = 1'b1;
#420 VNB = 1'b1;
#440 VGND = 1'b1;
#460 B = 1'b1;
#480 A_N = 1'b1;
#500 VPWR = 1'bx;
#520 VPB = 1'bx;
#540 VNB = 1'bx;
#560 VGND = 1'bx;
#580 B = 1'bx;
#600 A_N = 1'bx;
end
sky130_fd_sc_hd__nand2b dut (.A_N(A_N), .B(B), .VPWR(VPWR), .VGND(VGND), .VPB(VPB), .VNB(VNB), .Y(Y));
endmodule
`default_nettype wire
`endif // SKY130_FD_SC_HD__NAND2B_TB_V
|
// file: pll_tb.v
//
// (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved.
//
// This file contains confidential and proprietary information
// of Xilinx, Inc. and is protected under U.S. and
// international copyright and other intellectual property
// laws.
//
// DISCLAIMER
// This disclaimer is not a license and does not grant any
// rights to the materials distributed herewith. Except as
// otherwise provided in a valid license issued to you by
// Xilinx, and to the maximum extent permitted by applicable
// law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
// WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
// AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
// BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
// INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
// (2) Xilinx shall not be liable (whether in contract or tort,
// including negligence, or under any other theory of
// liability) for any loss or damage of any kind or nature
// related to, arising under or in connection with these
// materials, including for any direct, or any indirect,
// special, incidental, or consequential loss or damage
// (including loss of data, profits, goodwill, or any type of
// loss or damage suffered as a result of any action brought
// by a third party) even if such damage or loss was
// reasonably foreseeable or Xilinx had been advised of the
// possibility of the same.
//
// CRITICAL APPLICATIONS
// Xilinx products are not designed or intended to be fail-
// safe, or for use in any application requiring fail-safe
// performance, such as life-support or safety devices or
// systems, Class III medical devices, nuclear facilities,
// applications related to the deployment of airbags, or any
// other applications that could lead to death, personal
// injury, or severe property or environmental damage
// (individually and collectively, "Critical
// Applications"). Customer assumes the sole risk and
// liability of any use of Xilinx products in Critical
// Applications, subject only to applicable laws and
// regulations governing limitations on product liability.
//
// THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
// PART OF THIS FILE AT ALL TIMES.
//
//----------------------------------------------------------------------------
// Clocking wizard demonstration testbench
//----------------------------------------------------------------------------
// This demonstration testbench instantiates the example design for the
// clocking wizard. Input clocks are toggled, which cause the clocking
// network to lock and the counters to increment.
//----------------------------------------------------------------------------
`timescale 1ps/1ps
`define wait_lock @(posedge LOCKED)
module pll_tb ();
// Clock to Q delay of 100ps
localparam TCQ = 100;
// timescale is 1ps/1ps
localparam ONE_NS = 1000;
localparam PHASE_ERR_MARGIN = 100; // 100ps
// how many cycles to run
localparam COUNT_PHASE = 1024;
// we'll be using the period in many locations
localparam time PER1 = 20.0*ONE_NS;
localparam time PER1_1 = PER1/2;
localparam time PER1_2 = PER1 - PER1/2;
// Declare the input clock signals
reg CLK_IN1 = 1;
// The high bits of the sampling counters
wire [4:1] COUNT;
// Status and control signals
reg RESET = 0;
wire LOCKED;
reg COUNTER_RESET = 0;
wire [4:1] CLK_OUT;
//Freq Check using the M & D values setting and actual Frequency generated
real period1;
real ref_period1;
localparam ref_period1_clkin1 = (20.0*1*10*1000/16);
time prev_rise1;
real period2;
real ref_period2;
localparam ref_period2_clkin1 = (20.0*1*10*1000/16);
time prev_rise2;
real period3;
real ref_period3;
localparam ref_period3_clkin1 = (20.0*1*10*1000/16);
time prev_rise3;
real period4;
real ref_period4;
localparam ref_period4_clkin1 = (20.0*1*16*1000/16);
time prev_rise4;
reg [13:0] timeout_counter = 14'b00000000000000;
// Input clock generation
//------------------------------------
always begin
CLK_IN1 = #PER1_1 ~CLK_IN1;
CLK_IN1 = #PER1_2 ~CLK_IN1;
end
// Test sequence
reg [15*8-1:0] test_phase = "";
initial begin
// Set up any display statements using time to be readable
$timeformat(-12, 2, "ps", 10);
$display ("Timing checks are not valid");
COUNTER_RESET = 0;
test_phase = "reset";
RESET = 1;
#(PER1*6);
RESET = 0;
test_phase = "wait lock";
`wait_lock;
#(PER1*6);
COUNTER_RESET = 1;
#(PER1*19.5)
COUNTER_RESET = 0;
#(PER1*1)
$display ("Timing checks are valid");
test_phase = "counting";
#(PER1*COUNT_PHASE);
if ((period1 -ref_period1_clkin1) <= 100 && (period1 -ref_period1_clkin1) >= -100) begin
$display("Freq of CLK_OUT[1] ( in MHz ) : %0f\n", 1000000/period1);
end else
$display("ERROR: Freq of CLK_OUT[1] is not correct");
if ((period2 -ref_period2_clkin1) <= 100 && (period2 -ref_period2_clkin1) >= -100) begin
$display("Freq of CLK_OUT[2] ( in MHz ) : %0f\n", 1000000/period2);
end else
$display("ERROR: Freq of CLK_OUT[2] is not correct");
if ((period3 -ref_period3_clkin1) <= 100 && (period3 -ref_period3_clkin1) >= -100) begin
$display("Freq of CLK_OUT[3] ( in MHz ) : %0f\n", 1000000/period3);
end else
$display("ERROR: Freq of CLK_OUT[3] is not correct");
if ((period4 -ref_period4_clkin1) <= 100 && (period4 -ref_period4_clkin1) >= -100) begin
$display("Freq of CLK_OUT[4] ( in MHz ) : %0f\n", 1000000/period4);
end else
$display("ERROR: Freq of CLK_OUT[4] is not correct");
$display("SIMULATION PASSED");
$display("SYSTEM_CLOCK_COUNTER : %0d\n",$time/PER1);
$finish;
end
always@(posedge CLK_IN1) begin
timeout_counter <= timeout_counter + 1'b1;
if (timeout_counter == 14'b10000000000000) begin
if (LOCKED != 1'b1) begin
$display("ERROR : NO LOCK signal");
$display("SYSTEM_CLOCK_COUNTER : %0d\n",$time/PER1);
$finish;
end
end
end
// Instantiation of the example design containing the clock
// network and sampling counters
//---------------------------------------------------------
pll_exdes
dut
(// Clock in ports
.CLK_IN1 (CLK_IN1),
// Reset for logic in example design
.COUNTER_RESET (COUNTER_RESET),
.CLK_OUT (CLK_OUT),
// High bits of the counters
.COUNT (COUNT),
// Status and control signals
.RESET (RESET),
.LOCKED (LOCKED));
// Freq Check
initial
prev_rise1 = 0;
always @(posedge CLK_OUT[1])
begin
if (prev_rise1 != 0)
period1 = $time - prev_rise1;
prev_rise1 = $time;
end
initial
prev_rise2 = 0;
always @(posedge CLK_OUT[2])
begin
if (prev_rise2 != 0)
period2 = $time - prev_rise2;
prev_rise2 = $time;
end
initial
prev_rise3 = 0;
always @(posedge CLK_OUT[3])
begin
if (prev_rise3 != 0)
period3 = $time - prev_rise3;
prev_rise3 = $time;
end
initial
prev_rise4 = 0;
always @(posedge CLK_OUT[4])
begin
if (prev_rise4 != 0)
period4 = $time - prev_rise4;
prev_rise4 = $time;
end
endmodule
|
`include "DC_define.v"
`include "logfunc.h"
`include "scmemc.vh"
module DC_top_bank_tag
//#(parameter Width=36, Size =256, Forward=0, REQ_BITS=7)
//tag 10+counter 2+states 3 =15//29 bits virtual adress
(
input clk
,input reset
,input req_valid
,input write
,input ack_retry
,input [35:0] req_data
,input [14:0] req_tag
,input[4:0] index
,input[2:0] bank_sel
,input row_even_odd
,output ack_valid
,output req_retry_from_top
,output [35:0] ack_data_from_top
//****************************************,output [2:0] ack_req_to_L2 //3 bit ack req to L2
,output miss
,output hit
,output[2:0] way
,output [14:0] output_data_tag
,output tag_retry
,input coretodc_ld_valid
,output coretodc_ld_retry
,input [4:0] coretodc_ld_req
//---------------------------
// 7 bit store Req,atomic,checkpoint
,input coretodc_std_valid
,output coretodc_std_retry
,input [6:0] coretodc_std
//3 bit DC->L2 Req
,output l1tol2_req_valid
,input l1tol2_req_retry
,output [2:0] l1tol2_req
//5 bit L2 -> DC ACK
,input l2tol1_snack_valid
,input [4:0] l2tol1_snack
// 3 bit Displacement
,output l1tol2_disp_valid
,output [2:0] l1tol2_disp //command out displacement
);
logic [2:0] way_no, way_from_tag;
logic hit_tag, miss_tag,ack_valid;
logic write_musk_reset,req_retry_to_1_tagcheck,req_retry;
logic [35:0] ack_data;
logic [14:0] ack_data_to_1_tagcheck;
DC_1_tagcheck
#(.Width(15), .Size(256))
tagcheck0 (
.clk (clk)
,.reset (reset)
,.req_valid (req_valid)
,.write (write)//we=0 for read
,.ack_retry (ack_retry)
,.req_tag (req_tag)
,.index (index)
,.ack_valid (ack_valid)
,. req_retry_to_1_tagcheck (req_retry_to_1_tagcheck)
,.ack_data_to_1_tagcheck( ack_data_to_1_tagcheck)
,.miss( miss_tag)
,.hit(hit_tag)
,.way (way_from_tag)
,.coretodc_ld_valid (coretodc_ld_valid)
,.coretodc_ld_retry (coretodc_ld_retry)
,.coretodc_ld_req (coretodc_ld_req)
,.coretodc_std_valid (coretodc_std_valid)
,.coretodc_std_retry (coretodc_std_retry)
,.coretodc_std (coretodc_std)
,.l1tol2_req_valid(l1tol2_req_valid)
,.l1tol2_req_retry(l1tol2_req_retry)
,.l1tol2_req(l1tol2_req)
,.l2tol1_snack_valid (l2tol1_snack_valid)
,.l2tol1_snack (l2tol1_snack)
,.l1tol2_disp_valid (l1tol2_disp_valid)
,.l1tol2_disp (l1tol2_disp)
);
DC_8_databanks #(.Width(36),.Size(512))
databanks_8
(
.clk(clk)
,.reset(reset)
,.req_valid(req_valid)
,.write(write)
,.bank_sel(bank_sel)
,.ack_retry(ack_retry)
,.way(way_no)
,.row_even_odd(row_even_odd)
,.req_Index(index)
,.req_data(req_data)//32 bit data+4 bit valid bit
,.Load_req(coretodc_ld_req)
,.Load_req_valid(coretodc_ld_valid)
,.Load_req_retry(coretodc_ld_retry)
,.STD_req(coretodc_std)
,.STD_req_valid(coretodc_std_valid)
,.STD_req_retry(coretodc_std_retry)
,.write_musk_reset(write_musk_reset) //when Invalidate
,.ack_valid(ack_valid)
,.req_retry(req_retry)
,.ack_data(ack_data) //36 bit
);
always_comb begin
if(hit_tag) begin
way_no=way_from_tag;
hit=hit_tag;
way=way_no;
ack_data_from_top=ack_data;
req_retry_from_top=req_retry;
output_data_tag=ack_data_to_1_tagcheck;
end
end
always_comb begin
if(miss_tag) begin
miss=miss_tag;
end
end
always_comb begin
if(reset) begin
write_musk_reset=0;
end
end
always_comb begin
tag_retry=req_retry_to_1_tagcheck;
end
endmodule
|
/*
* Copyright 2020 The SkyWater PDK Authors
*
* Licensed under the Apache License, Version 2.0 (the "License");
* you may not use this file except in compliance with the License.
* You may obtain a copy of the License at
*
* https://www.apache.org/licenses/LICENSE-2.0
*
* Unless required by applicable law or agreed to in writing, software
* distributed under the License is distributed on an "AS IS" BASIS,
* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
* See the License for the specific language governing permissions and
* limitations under the License.
*
* SPDX-License-Identifier: Apache-2.0
*/
`ifndef SKY130_FD_SC_LP__SRDLRTP_FUNCTIONAL_PP_V
`define SKY130_FD_SC_LP__SRDLRTP_FUNCTIONAL_PP_V
/**
* srdlrtp: ????.
*
* Verilog simulation functional model.
*/
`timescale 1ns / 1ps
`default_nettype none
// Import user defined primitives.
`include "../../models/udp_dlatch_pr_pp_pkg_s/sky130_fd_sc_lp__udp_dlatch_pr_pp_pkg_s.v"
`celldefine
module sky130_fd_sc_lp__srdlrtp (
Q ,
RESET_B,
D ,
GATE ,
SLEEP_B,
KAPWR ,
VPWR ,
VGND ,
VPB ,
VNB
);
// Module ports
output Q ;
input RESET_B;
input D ;
input GATE ;
input SLEEP_B;
input KAPWR ;
input VPWR ;
input VGND ;
input VPB ;
input VNB ;
// Local signals
wire buf_Q;
wire RESET;
// Delay Name Output Other arguments
not not0 (RESET , RESET_B );
sky130_fd_sc_lp__udp_dlatch$PR_pp$PKG$s `UNIT_DELAY dlatch0 (buf_Q , D, GATE, RESET, SLEEP_B, KAPWR, VGND, VPWR);
bufif1 bufif10 (Q , buf_Q, VPWR );
endmodule
`endcelldefine
`default_nettype wire
`endif // SKY130_FD_SC_LP__SRDLRTP_FUNCTIONAL_PP_V |
`timescale 1ns / 1ps
//`define DATA_PATTERN_0 16'hA635
//`define DATA_PATTERN_1 16'h59CA // inverse of DATA_PATTERN_0
`define DATA_PATTERN_0 16'h35A9
`define DATA_PATTERN_1 16'hCA56 // inverse of DATA_PATTERN_0
`define MRAM_LATENCY 10
module MRAM_RW(
input CLKM,
input RST,
input start,
output done_wire,
input inverse_pattern,
input [1:0] pOPER,
input [17:0] pADDR,
output [31:0] latency,
output [15:0] badbits,
output [7:0] Led,
//MRAM wires
output e_n,
output w_n,
output g_n,
output ub_n,
output lb_n,
output [17:0] addr,
inout [15:0] dq
);
//debug led
assign Led[7:0] = {start,done_wire,CLKM,NSTAT[4:0]};
// FSM
parameter
INIT = 30, OPER_CHECK = 29,
DATA_IN_0 = 1, DATA_IN_1 = 2, DATA_IN_2 = 3, DATA_IN_3 = 4, //WRITE
DATA_OUT_0 = 5, DATA_OUT_1 = 6, DATA_OUT_2 = 7, DATA_OUT_3 = 8, DATA_OUT_4 = 9, DATA_OUT_5 = 10, //READ
RESET_0 = 11, RESET_1 = 12,
END_0 = 13,
STOP = 00;
parameter
oWR = 0,
oRD = 1,
oER = 2,
oRS = 3;
assign e_n = e_n_reg;
assign w_n = w_n_reg;
assign g_n = g_n_reg;
assign ub_n = ub_n_reg;
assign lb_n = lb_n_reg;
assign addr[17:0] = addr_reg[17:0];
assign dq[15:0] = (DQ_input_en)? 16'bzzzz_zzzz_zzzz_zzzz : dq_reg[15:0];
assign badbits[15:0] = cnt_badbits[15:0];
assign latency[31:0] = cnt_latency[31:0];
reg e_n_reg;
reg w_n_reg;
reg g_n_reg;
reg ub_n_reg;
reg lb_n_reg;
reg [17:0] addr_reg;
reg [15:0] dq_reg;
reg [15:0] dq_comp;
reg DQ_input_en;
reg [7:0] cnt_wait;
reg [31:0] cnt_latency;
reg [15:0] cnt_badbits;
reg [4:0] NSTAT;
assign done_wire = (NSTAT == STOP) ? 1'b1 : 1'b0;
always@(posedge CLKM)
begin
if(RST)
begin
//CE <= 0;
NSTAT <= INIT;
end
else
begin
case (NSTAT)
INIT:
begin
cnt_wait <= 0;
cnt_latency <= 32'h0;
cnt_badbits <= 16'h0;
DQ_input_en <= 0;
e_n_reg <= 1;
w_n_reg <= 1;
g_n_reg <= 1;
ub_n_reg <= 1;
lb_n_reg <= 1;
addr_reg <= pADDR[17:0];
if (inverse_pattern)
dq_reg <= `DATA_PATTERN_1;
else
dq_reg <= `DATA_PATTERN_0;
if( start == 0 )
NSTAT <= INIT;
else
NSTAT <= OPER_CHECK;
end
OPER_CHECK:
begin
if(pOPER == oRS)
NSTAT <= RESET_0;
else if(pOPER == oWR)
NSTAT <= DATA_IN_0;
else
NSTAT <= DATA_OUT_0;
end
// FSM: RESET ==========================================
RESET_0:
begin
cnt_latency <= 0;
NSTAT <= RESET_1;
end
RESET_1:
begin
if ( cnt_latency != 32'd200_000) //2ms => 2,000,000 ns => (10ns/cycle) 200_000 cycle
begin
cnt_latency <= cnt_latency + 1'b1;
NSTAT <= RESET_1;
end
else
begin
cnt_latency <= 0;
NSTAT <= END_0;
end
end
// FSM: WRITE ==========================================
DATA_IN_0: // WR_START
begin
DQ_input_en <= 0;
e_n_reg <= 0;
w_n_reg <= 0;
g_n_reg <= 1;
ub_n_reg <= 0;
lb_n_reg <= 0;
addr_reg <= pADDR[17:0];
if (inverse_pattern)
dq_reg <= `DATA_PATTERN_1;
else
dq_reg <= `DATA_PATTERN_0;
NSTAT <= DATA_IN_1;
end
DATA_IN_1: // WR_WAIT
begin
if(cnt_wait<`MRAM_LATENCY)
begin
cnt_wait <= cnt_wait + 1'b1;
NSTAT <= DATA_IN_1;
end
else
begin
cnt_wait <= 0;
NSTAT <= DATA_IN_2;
end
end
DATA_IN_2: // WR_END
begin
//dbg
cnt_badbits <= dq_reg[15:0];
cnt_wait <= 0;
e_n_reg <= 0;
w_n_reg <= 1;
g_n_reg <= 0;
ub_n_reg <= 0;
lb_n_reg <= 0;
//addr_reg <= data_host[39:24];
//data_reg <=data_reg;
//NSTAT <= DATA_IN_3;
if(addr_reg[0]==0) addr_reg[0] <=1;
else addr_reg[0] <=0;
NSTAT <= DATA_IN_0; //force power-test -write
end
DATA_IN_3:
begin
if(cnt_wait<5)
begin
cnt_wait <= cnt_wait + 1'b1;
NSTAT <= DATA_IN_3;
end
else
begin
cnt_wait <= 0;
NSTAT <= END_0;
end
end
// FSM: READ ==========================================
DATA_OUT_0:
begin // RD_START
DQ_input_en <= 1;
cnt_badbits <= 0;
e_n_reg <= 0;
w_n_reg <= 1;
g_n_reg <= 0;
ub_n_reg <= 0;
lb_n_reg <= 0;
if (inverse_pattern)
dq_comp <= `DATA_PATTERN_1;
else
dq_comp <= `DATA_PATTERN_0;
addr_reg <= pADDR[15:0];
//data_reg <= data_host[23:8];
NSTAT <= DATA_OUT_1;
end
DATA_OUT_1: // RD_WAIT
begin
if(cnt_wait<`MRAM_LATENCY)
begin
cnt_wait <= cnt_wait + 1'b1;
NSTAT <= DATA_OUT_1;
end
else
begin
NSTAT <= DATA_OUT_2;
cnt_wait <= 0;
end
end
DATA_OUT_2: // RD_END
begin
e_n_reg <= 0;
w_n_reg <= 1;
g_n_reg <= 0;
ub_n_reg <= 0;
lb_n_reg <= 0;
//addr_reg <= data_host[39:24];
//data_reg <= data_host[23:8];
//NSTAT <= DATA_OUT_3;
if(addr_reg[0]==0) addr_reg[0] <=1;
else addr_reg[0] <=0;
NSTAT <= DATA_OUT_0; //force - power - test
end
DATA_OUT_3: //RD_VERIFY
begin
if(cnt_wait<5)
begin
cnt_wait <= cnt_wait + 1'b1;
NSTAT <= DATA_OUT_3;
end
else
begin
cnt_wait <= 0;
NSTAT <= DATA_OUT_4;
end
end
DATA_OUT_4:
begin
//dbg
cnt_latency <= { dq_comp[15:0], dq[15:0] };
cnt_badbits <= ( dq[15] ^ dq_comp[15] ) + ( dq[14] ^ dq_comp[14] ) +
( dq[13] ^ dq_comp[13] ) + ( dq[12] ^ dq_comp[12] ) +
( dq[11] ^ dq_comp[11] ) + ( dq[10] ^ dq_comp[10] ) +
( dq[ 9] ^ dq_comp[ 9] ) + ( dq[ 8] ^ dq_comp[ 8] ) +
( dq[ 7] ^ dq_comp[ 7] ) + ( dq[ 6] ^ dq_comp[ 6] ) +
( dq[ 5] ^ dq_comp[ 5] ) + ( dq[ 4] ^ dq_comp[ 4] ) +
( dq[ 3] ^ dq_comp[ 3] ) + ( dq[ 2] ^ dq_comp[ 2] ) +
( dq[ 1] ^ dq_comp[ 1] ) + ( dq[ 0] ^ dq_comp[ 0] );
NSTAT <= DATA_OUT_5;
end
DATA_OUT_5:
begin
NSTAT <= END_0;
end
// FSM: RW_END ==========================================
END_0:
begin
DQ_input_en <= 0;
e_n_reg <= 1;
w_n_reg <= 1;
g_n_reg <= 1;
ub_n_reg <= 1;
lb_n_reg <= 1;
//addr_reg <= addr_reg;
//data_reg <= data_reg;
NSTAT <= STOP;
end
STOP:
begin
if( start==1 )
NSTAT <= STOP;
else
NSTAT <= INIT;
end
default:
begin
NSTAT <= INIT;
end
endcase
end
end
endmodule
|
/**
* Copyright 2020 The SkyWater PDK Authors
*
* Licensed under the Apache License, Version 2.0 (the "License");
* you may not use this file except in compliance with the License.
* You may obtain a copy of the License at
*
* https://www.apache.org/licenses/LICENSE-2.0
*
* Unless required by applicable law or agreed to in writing, software
* distributed under the License is distributed on an "AS IS" BASIS,
* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
* See the License for the specific language governing permissions and
* limitations under the License.
*
* SPDX-License-Identifier: Apache-2.0
*/
`ifndef SKY130_FD_SC_HS__TAPVGND2_PP_SYMBOL_V
`define SKY130_FD_SC_HS__TAPVGND2_PP_SYMBOL_V
/**
* tapvgnd2: Tap cell with tap to ground, isolated power connection
* 2 rows down.
*
* Verilog stub (with power pins) for graphical symbol definition
* generation.
*
* WARNING: This file is autogenerated, do not modify directly!
*/
`timescale 1ns / 1ps
`default_nettype none
(* blackbox *)
module sky130_fd_sc_hs__tapvgnd2 (
//# {{power|Power}}
input VPWR,
input VGND
);
endmodule
`default_nettype wire
`endif // SKY130_FD_SC_HS__TAPVGND2_PP_SYMBOL_V
|
/*============================================================================
This Verilog source file is part of the Berkeley HardFloat IEEE Floating-Point
Arithmetic Package, Release 1, by John R. Hauser.
Copyright 2019 The Regents of the University of California. All rights
reserved.
Redistribution and use in source and binary forms, with or without
modification, are permitted provided that the following conditions are met:
1. Redistributions of source code must retain the above copyright notice,
this list of conditions, and the following disclaimer.
2. Redistributions in binary form must reproduce the above copyright notice,
this list of conditions, and the following disclaimer in the documentation
and/or other materials provided with the distribution.
3. Neither the name of the University nor the names of its contributors may
be used to endorse or promote products derived from this software without
specific prior written permission.
THIS SOFTWARE IS PROVIDED BY THE REGENTS AND CONTRIBUTORS "AS IS", AND ANY
EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED
WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE, ARE
DISCLAIMED. IN NO EVENT SHALL THE REGENTS OR CONTRIBUTORS BE LIABLE FOR ANY
DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES
(INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES;
LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND
ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT
(INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS
SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
=============================================================================*/
`include "HardFloat_consts.vi"
`include "HardFloat_specialize.vi"
/*----------------------------------------------------------------------------
*----------------------------------------------------------------------------*/
module test_mulAddRecFN_mul#(parameter expWidth = 3, parameter sigWidth = 3);
/*------------------------------------------------------------------------
*------------------------------------------------------------------------*/
parameter maxNumErrors = 20;
/*------------------------------------------------------------------------
*------------------------------------------------------------------------*/
localparam formatWidth = expWidth + sigWidth;
/*------------------------------------------------------------------------
*------------------------------------------------------------------------*/
reg [(`floatControlWidth - 1):0] control;
reg [2:0] roundingMode;
reg [(formatWidth - 1):0] a, b, expectOut;
reg [4:0] expectExceptionFlags;
/*------------------------------------------------------------------------
*------------------------------------------------------------------------*/
wire [formatWidth:0] recA, recB, recExpectOut;
fNToRecFN#(expWidth, sigWidth) fNToRecFN_a(a, recA);
fNToRecFN#(expWidth, sigWidth) fNToRecFN_b(b, recB);
fNToRecFN#(expWidth, sigWidth)
fNToRecFN_expectOut(expectOut, recExpectOut);
/*------------------------------------------------------------------------
*------------------------------------------------------------------------*/
wire [formatWidth:0] recOut;
wire [4:0] exceptionFlags;
mulAddRecFN#(expWidth, sigWidth)
mulAddRecFN_mul(
control,
2'b0,
recA,
recB,
{recA[expWidth + sigWidth] ^ recB[expWidth + sigWidth],
{(expWidth + sigWidth){1'b0}}},
roundingMode,
recOut,
exceptionFlags
);
/*------------------------------------------------------------------------
*------------------------------------------------------------------------*/
wire sameOut;
sameRecFN#(expWidth, sigWidth) sameRecFN(recOut, recExpectOut, sameOut);
/*------------------------------------------------------------------------
*------------------------------------------------------------------------*/
integer errorCount, count, partialCount;
initial begin
/*--------------------------------------------------------------------
*--------------------------------------------------------------------*/
$fwrite('h80000002, "Testing 'mulAddRecF%0d_mul'", formatWidth);
if ($fscanf('h80000000, "%h %h", control, roundingMode) < 2) begin
$fdisplay('h80000002, ".\n--> Invalid test-cases input.");
`finish_fail;
end
$fdisplay(
'h80000002,
", control %H, rounding mode %0d:",
control,
roundingMode
);
/*--------------------------------------------------------------------
*--------------------------------------------------------------------*/
errorCount = 0;
count = 0;
partialCount = 0;
begin :TestLoop
while (
$fscanf(
'h80000000,
"%h %h %h %h",
a,
b,
expectOut,
expectExceptionFlags
) == 4
) begin
#1;
partialCount = partialCount + 1;
if (partialCount == 10000) begin
count = count + 10000;
$fdisplay('h80000002, "%0d...", count);
partialCount = 0;
end
if (
!sameOut || (exceptionFlags !== expectExceptionFlags)
) begin
if (errorCount == 0) begin
$display(
"Errors found in 'mulAddRecF%0d_mul', control %H, rounding mode %0d:",
formatWidth,
control,
roundingMode
);
end
$write("%H %H", recA, recB);
if (formatWidth > 64) begin
$write("\n\t");
end else begin
$write(" ");
end
$write("=> %H %H", recOut, exceptionFlags);
if (formatWidth > 32) begin
$write("\n\t");
end else begin
$write(" ");
end
$display(
"expected %H %H", recExpectOut, expectExceptionFlags);
errorCount = errorCount + 1;
if (errorCount == maxNumErrors) disable TestLoop;
end
#1;
end
end
count = count + partialCount;
/*--------------------------------------------------------------------
*--------------------------------------------------------------------*/
if (errorCount) begin
$fdisplay(
'h80000002,
"--> In %0d tests, %0d errors found.",
count,
errorCount
);
`finish_fail;
end else if (count == 0) begin
$fdisplay('h80000002, "--> Invalid test-cases input.");
`finish_fail;
end else begin
$display(
"In %0d tests, no errors found in 'mulAddRecF%0d_mul', control %H, rounding mode %0d.",
count,
formatWidth,
control,
roundingMode
);
end
/*--------------------------------------------------------------------
*--------------------------------------------------------------------*/
$finish;
end
endmodule
/*----------------------------------------------------------------------------
*----------------------------------------------------------------------------*/
module test_mulAddRecF16_mul;
test_mulAddRecFN_mul#(5, 11) test_mulAddRecF16_mul();
endmodule
module test_mulAddRecF32_mul;
test_mulAddRecFN_mul#(8, 24) test_mulAddRecF32_mul();
endmodule
module test_mulAddRecF64_mul;
test_mulAddRecFN_mul#(11, 53) test_mulAddRecF64_mul();
endmodule
module test_mulAddRecF128_mul;
test_mulAddRecFN_mul#(15, 113) test_mulAddRecF128_mul();
endmodule
|
module functionGen_tb ();
parameter ARCH = "GENERIC";
parameter BIT_COMPRESS_PHASE = 1;
parameter BIT_COMPRESS_OUTPUT = 1;
parameter OUT_WIDTH = 8;
parameter FREQ_WIDTH = 16;
parameter INCLUDE_CLAMP = 1;
reg clk;
reg rst;
reg en;
reg [1:0] waveType;
reg [FREQ_WIDTH-1:0] freq;
reg [FREQ_WIDTH-1:0] phaseOffset;
reg signed [OUT_WIDTH-1:0] offset;
reg [OUT_WIDTH-1:0] amplitude;
wire signed [OUT_WIDTH-1:0] outSignal;
integer i;
always #1 clk = ~clk;
initial begin
clk = 1'b0;
rst = 1'b1;
en = 1'b1;
waveType = 2'd0;
freq = 'd0;
phaseOffset = 'd0;
offset = 'd0;
amplitude = 'd0;
#10
rst = 1'b0;
for (i=0; i<4; i=i+1) begin
waveType = i;
freq = 1 << (FREQ_WIDTH-12);
offset = 'd0;
amplitude = ~0;
#20000
waveType = i;
end
waveType = 'd0;
offset = {2'b01, {OUT_WIDTH-2{1'b0}}}; // 1/2 max positive offset
#20000
waveType = 'd0;
offset = {1'b0, {OUT_WIDTH-1{1'b1}}}; // max positive offset
#20000
offset = {1'b1, {OUT_WIDTH-1{1'b0}}}; // max negative offset
#20000
offset = 'd0;
#20000
$stop(2);
end
functionGen #(
.ARCH(ARCH), ///< System architecture
.BIT_COMPRESS_PHASE(BIT_COMPRESS_PHASE), ///< 1 for bit compression, 0 for truncation
.BIT_COMPRESS_OUTPUT(BIT_COMPRESS_OUTPUT), ///< 1 for bit compression, 0 for truncation
.OUT_WIDTH(OUT_WIDTH), ///< Output word width
.FREQ_WIDTH(FREQ_WIDTH), ///< Input frequency word width
.INCLUDE_CLAMP(INCLUDE_CLAMP) ///< Clamp the output to prevent wraparound
)
uut (
// Inputs
.clk(clk), ///< System clock
.rst(rst), ///< Synchronous reset, active high
.en(en), ///< Output next sample when high
.waveType(waveType), ///< [1:0] Waveform type (see top description)
.freq(freq), ///< [FREQ_WIDTH-1:0] Frequency
.phaseOffset(phaseOffset), ///< [FREQ_WIDTH-1;0] Phase offset
.offset(offset), ///< [OUT_WIDTH-1:0] Offset value
.amplitude(amplitude), ///< [OUT_WIDTH-1:0] Amplitude of waveform
// Outputs
.outSignal(outSignal) ///< [OUT_WIDTH-1:0]
);
endmodule
|
/////////////////////////////////////////////////////////////
// Created by: Synopsys DC Ultra(TM) in wire load mode
// Version : L-2016.03-SP3
// Date : Sat Nov 19 19:06:56 2016
/////////////////////////////////////////////////////////////
module FPU_PIPELINED_FPADDSUB_W32_EW8_SW23_SWR26_EWR5 ( clk, rst, beg_OP,
Data_X, Data_Y, add_subt, busy, overflow_flag, underflow_flag,
zero_flag, ready, final_result_ieee );
input [31:0] Data_X;
input [31:0] Data_Y;
output [31:0] final_result_ieee;
input clk, rst, beg_OP, add_subt;
output busy, overflow_flag, underflow_flag, zero_flag, ready;
wire Shift_reg_FLAGS_7_6, intAS, SIGN_FLAG_EXP, OP_FLAG_EXP, ZERO_FLAG_EXP,
SIGN_FLAG_SHT1, OP_FLAG_SHT1, ZERO_FLAG_SHT1, left_right_SHT2,
SIGN_FLAG_SHT2, OP_FLAG_SHT2, ZERO_FLAG_SHT2, SIGN_FLAG_SHT1SHT2,
ZERO_FLAG_SHT1SHT2, SIGN_FLAG_NRM, ZERO_FLAG_NRM, SIGN_FLAG_SFG,
OP_FLAG_SFG, ZERO_FLAG_SFG, inst_FSM_INPUT_ENABLE_state_next_1_, n544,
n545, n546, n547, n548, n549, n550, n551, n552, n553, n554, n555,
n556, n557, n558, n559, n560, n561, n562, n563, n564, n565, n566,
n567, n568, n569, n570, n571, n572, n573, n574, n575, n576, n577,
n578, n579, n580, n581, n582, n583, n584, n585, n586, n587, n588,
n589, n590, n591, n592, n593, n594, n595, n596, n597, n598, n599,
n600, n601, n602, n603, n604, n605, n606, n607, n608, n609, n610,
n611, n612, n613, n614, n615, n616, n617, n618, n619, n620, n621,
n622, n623, n624, n625, n626, n627, n628, n629, n630, n631, n632,
n633, n634, n635, n636, n637, n638, n639, n640, n641, n642, n643,
n644, n645, n646, n647, n648, n649, n650, n651, n652, n653, n654,
n655, n656, n657, n658, n659, n660, n661, n662, n663, n664, n665,
n666, n667, n668, n669, n670, n671, n672, n673, n674, n675, n676,
n677, n678, n679, n680, n681, n682, n683, n684, n685, n686, n687,
n688, n689, n690, n691, n692, n693, n694, n695, n696, n697, n698,
n699, n700, n701, n702, n703, n704, n705, n706, n707, n708, n709,
n710, n711, n712, n713, n714, n715, n716, n717, n718, n719, n720,
n721, n722, n723, n724, n725, n726, n727, n728, n729, n730, n731,
n732, n733, n734, n735, n736, n737, n738, n739, n740, n741, n742,
n743, n744, n745, n746, n747, n748, n749, n750, n751, n752, n753,
n754, n755, n756, n757, n758, n759, n760, n761, n762, n763, n764,
n765, n766, n767, n768, n769, n770, n771, n772, n773, n774, n775,
n776, n777, n778, n779, n780, n781, n782, n783, n784, n785, n786,
n787, n788, n789, n790, n791, n792, n793, n794, n795, n796, n797,
n798, n799, n800, n801, n802, n803, n804, n805, n806, n807, n808,
n809, n810, n811, n812, n813, n814, n815, n816, n817, n818, n819,
n820, n821, n822, n823, n824, n825, n826, n827, n828, n829, n830,
n831, n832, n833, n834, n835, n836, n837, n838, n839, n840, n841,
n842, n843, n844, n845, n846, n847, n848, n850, n851, n852, n853,
n854, n855, n856, n857, n858, n859, n860, n861, n862, n863, n864,
n865, n866, n867, n868, n869, n870, n871, n872, n873, n874, n875,
n876, n877, n878, n879, n880, n881, n882, n883, n884, n885, n886,
n887, n888, n889, n890, n891, n892, n893, n894, n895, n896, n897,
n898, n899, n900, n901, n902, n903, n904, n905, n906, n907, n908,
n909, n910, n911, n912, n913, n914, n915, n916, n917, n918, n919,
n920, n921, n922, n923, n924, n925, n926, n927, n928, n929, n930,
n931, n932, n933, n934, n935, n936, n937, n938, n939, n940, n941,
n942, n943, n944, n945, n946, n947, n948, n949, n950, n951, n952,
DP_OP_15J8_123_2691_n8, DP_OP_15J8_123_2691_n7,
DP_OP_15J8_123_2691_n6, DP_OP_15J8_123_2691_n5,
DP_OP_15J8_123_2691_n4, n953, n954, n955, n956, n957, n958, n959,
n960, n961, n962, n963, n964, n965, n966, n967, n968, n969, n970,
n971, n972, n973, n974, n975, n976, n977, n978, n979, n980, n981,
n982, n983, n984, n985, n986, n987, n988, n989, n990, n991, n992,
n993, n994, n995, n996, n997, n998, n999, n1000, n1001, n1002, n1003,
n1004, n1005, n1006, n1007, n1008, n1009, n1010, n1011, n1012, n1013,
n1014, n1015, n1016, n1017, n1018, n1019, n1020, n1021, n1022, n1023,
n1024, n1025, n1026, n1027, n1028, n1029, n1030, n1031, n1032, n1033,
n1034, n1035, n1036, n1037, n1038, n1039, n1040, n1041, n1042, n1043,
n1044, n1045, n1046, n1047, n1048, n1049, n1050, n1051, n1052, n1053,
n1054, n1055, n1056, n1057, n1058, n1059, n1060, n1061, n1062, n1063,
n1064, n1065, n1066, n1067, n1068, n1069, n1070, n1071, n1072, n1073,
n1074, n1075, n1076, n1077, n1078, n1079, n1080, n1081, n1082, n1083,
n1084, n1085, n1086, n1087, n1088, n1089, n1090, n1091, n1092, n1093,
n1094, n1095, n1096, n1097, n1098, n1099, n1100, n1101, n1102, n1103,
n1104, n1105, n1106, n1107, n1108, n1109, n1110, n1111, n1112, n1113,
n1114, n1115, n1116, n1117, n1118, n1119, n1120, n1121, n1122, n1123,
n1124, n1125, n1126, n1127, n1128, n1129, n1130, n1131, n1132, n1133,
n1134, n1135, n1136, n1137, n1138, n1139, n1140, n1141, n1142, n1143,
n1144, n1145, n1146, n1147, n1148, n1149, n1150, n1151, n1152, n1153,
n1154, n1155, n1156, n1157, n1158, n1159, n1160, n1161, n1162, n1163,
n1164, n1165, n1166, n1167, n1168, n1169, n1170, n1171, n1172, n1173,
n1174, n1175, n1176, n1177, n1178, n1179, n1180, n1181, n1182, n1183,
n1184, n1185, n1186, n1187, n1188, n1189, n1190, n1191, n1192, n1193,
n1194, n1195, n1196, n1197, n1198, n1199, n1200, n1201, n1202, n1203,
n1204, n1205, n1206, n1207, n1208, n1209, n1210, n1211, n1212, n1213,
n1214, n1215, n1216, n1217, n1218, n1219, n1220, n1221, n1222, n1223,
n1224, n1225, n1226, n1227, n1228, n1229, n1230, n1231, n1232, n1233,
n1234, n1235, n1236, n1237, n1238, n1239, n1240, n1241, n1242, n1243,
n1244, n1245, n1246, n1247, n1248, n1249, n1250, n1251, n1252, n1253,
n1254, n1255, n1256, n1257, n1258, n1259, n1260, n1261, n1262, n1263,
n1264, n1265, n1266, n1267, n1268, n1269, n1270, n1271, n1272, n1273,
n1274, n1275, n1276, n1277, n1278, n1279, n1280, n1281, n1282, n1283,
n1284, n1285, n1286, n1287, n1288, n1289, n1290, n1291, n1292, n1293,
n1294, n1295, n1296, n1297, n1298, n1299, n1300, n1301, n1302, n1303,
n1304, n1305, n1306, n1307, n1308, n1309, n1310, n1311, n1312, n1313,
n1314, n1315, n1316, n1317, n1318, n1319, n1320, n1321, n1322, n1323,
n1324, n1325, n1326, n1327, n1328, n1329, n1330, n1331, n1332, n1333,
n1334, n1335, n1336, n1337, n1338, n1339, n1340, n1341, n1342, n1343,
n1344, n1345, n1346, n1347, n1348, n1349, n1350, n1351, n1352, n1353,
n1354, n1355, n1356, n1357, n1358, n1359, n1360, n1361, n1362, n1363,
n1364, n1365, n1366, n1367, n1368, n1369, n1370, n1371, n1372, n1373,
n1374, n1375, n1376, n1377, n1378, n1379, n1380, n1381, n1382, n1383,
n1384, n1385, n1386, n1387, n1388, n1389, n1390, n1391, n1392, n1393,
n1394, n1395, n1396, n1397, n1398, n1399, n1400, n1401, n1402, n1403,
n1404, n1405, n1406, n1407, n1408, n1409, n1410, n1411, n1412, n1413,
n1414, n1415, n1416, n1417, n1418, n1419, n1420, n1421, n1422, n1423,
n1424, n1425, n1426, n1427, n1428, n1429, n1430, n1431, n1432, n1433,
n1434, n1435, n1436, n1437, n1438, n1439, n1440, n1441, n1442, n1443,
n1444, n1445, n1446, n1447, n1448, n1449, n1450, n1451, n1452, n1453,
n1454, n1455, n1456, n1457, n1458, n1459, n1460, n1461, n1462, n1463,
n1464, n1465, n1466, n1467, n1468, n1469, n1470, n1471, n1472, n1473,
n1474, n1475, n1476, n1477, n1478, n1479, n1480, n1481, n1482, n1483,
n1484, n1485, n1486, n1487, n1488, n1489, n1490, n1491, n1492, n1493,
n1494, n1495, n1496, n1497, n1498, n1499, n1500, n1501, n1502, n1503,
n1504, n1505, n1506, n1507, n1508, n1509, n1510, n1511, n1512, n1513,
n1514, n1515, n1516, n1517, n1518, n1519, n1520, n1521, n1522, n1523,
n1524, n1525, n1526, n1527, n1528, n1529, n1530, n1531, n1532, n1533,
n1534, n1535, n1536, n1537, n1538, n1539, n1540, n1541, n1542, n1543,
n1544, n1545, n1546, n1547, n1548, n1549, n1550, n1551, n1552, n1553,
n1554, n1555, n1556, n1557, n1558, n1559, n1560, n1561, n1562, n1563,
n1564, n1565, n1566, n1567, n1568, n1569, n1570, n1571, n1572, n1573,
n1574, n1575, n1576, n1577, n1578, n1579, n1580, n1581, n1582, n1583,
n1584, n1585, n1586, n1587, n1588, n1589, n1590, n1591, n1592, n1593,
n1594, n1595, n1596, n1597, n1598, n1599, n1600, n1601, n1602, n1603,
n1604, n1605, n1606, n1607, n1608, n1609, n1610, n1611, n1612, n1613,
n1614, n1615, n1616, n1617, n1618, n1619, n1620, n1621, n1622, n1623,
n1624, n1625, n1626, n1627, n1628, n1629, n1630, n1631, n1632, n1633,
n1634, n1635, n1636, n1637, n1638, n1639, n1640, n1641, n1642, n1643,
n1644, n1645, n1646, n1647, n1648, n1649, n1650, n1651, n1652, n1653,
n1654, n1655, n1656, n1657, n1658, n1659, n1660, n1661, n1662, n1663,
n1664, n1665, n1666, n1667, n1668, n1669, n1670, n1671, n1672, n1673,
n1674, n1675, n1676, n1677, n1678, n1679, n1680, n1681, n1682, n1683,
n1684, n1685, n1686, n1687, n1688, n1689, n1690, n1691, n1692, n1693,
n1694, n1695, n1696, n1697, n1698, n1699, n1700, n1701, n1702, n1703,
n1704, n1705, n1706, n1707, n1708, n1709, n1710, n1711, n1712, n1713,
n1714, n1715, n1716, n1717, n1718, n1719, n1720, n1721, n1722, n1723,
n1724, n1725, n1726, n1727, n1728, n1729, n1730, n1731, n1732, n1733,
n1734, n1735, n1736, n1737, n1738, n1739, n1740, n1741, n1742, n1743,
n1744, n1745, n1746, n1747, n1748, n1749, n1750, n1751, n1752, n1753,
n1754, n1755, n1756, n1757, n1758, n1759, n1760, n1761, n1762, n1763,
n1764, n1765, n1766, n1767, n1768, n1769, n1770, n1771, n1772, n1773,
n1774, n1775, n1776, n1777, n1778, n1779, n1780, n1781, n1782, n1783,
n1784, n1785, n1786, n1787, n1788, n1789, n1790, n1791, n1792, n1793,
n1794, n1795, n1796, n1797, n1798, n1799, n1800, n1801, n1802, n1803,
n1804, n1805, n1806, n1807, n1808, n1809, n1810, n1811, n1812, n1813,
n1814, n1815, n1816, n1817, n1818, n1819, n1820;
wire [3:0] Shift_reg_FLAGS_7;
wire [31:0] intDX_EWSW;
wire [31:0] intDY_EWSW;
wire [30:0] DMP_EXP_EWSW;
wire [27:0] DmP_EXP_EWSW;
wire [30:0] DMP_SHT1_EWSW;
wire [22:0] DmP_mant_SHT1_SW;
wire [4:0] Shift_amount_SHT1_EWR;
wire [25:0] Raw_mant_NRM_SWR;
wire [25:0] Data_array_SWR;
wire [30:0] DMP_SHT2_EWSW;
wire [4:2] shift_value_SHT2_EWR;
wire [7:0] DMP_exp_NRM2_EW;
wire [7:0] DMP_exp_NRM_EW;
wire [4:0] LZD_output_NRM2_EW;
wire [4:1] exp_rslt_NRM2_EW1;
wire [30:0] DMP_SFG;
wire [25:0] DmP_mant_SFG_SWR;
wire [2:0] inst_FSM_INPUT_ENABLE_state_reg;
DFFRXLTS inst_ShiftRegister_Q_reg_6_ ( .D(n950), .CK(clk), .RN(n1776), .Q(
Shift_reg_FLAGS_7_6), .QN(n1019) );
DFFRXLTS inst_ShiftRegister_Q_reg_1_ ( .D(n945), .CK(clk), .RN(n1776), .Q(
Shift_reg_FLAGS_7[1]), .QN(n953) );
DFFRXLTS inst_ShiftRegister_Q_reg_0_ ( .D(n944), .CK(clk), .RN(n1776), .Q(
Shift_reg_FLAGS_7[0]), .QN(n1694) );
DFFRXLTS INPUT_STAGE_FLAGS_Q_reg_0_ ( .D(n911), .CK(clk), .RN(n1780), .Q(
intAS) );
DFFRXLTS SHT2_STAGE_SHFTVARS2_Q_reg_1_ ( .D(n910), .CK(clk), .RN(n1780), .Q(
left_right_SHT2), .QN(n955) );
DFFRXLTS SHT1_STAGE_sft_amount_Q_reg_2_ ( .D(n845), .CK(clk), .RN(n1786),
.Q(Shift_amount_SHT1_EWR[2]) );
DFFRXLTS SHT1_STAGE_sft_amount_Q_reg_3_ ( .D(n844), .CK(clk), .RN(n1786),
.Q(Shift_amount_SHT1_EWR[3]) );
DFFRXLTS SHT1_STAGE_sft_amount_Q_reg_4_ ( .D(n843), .CK(clk), .RN(n1789),
.Q(Shift_amount_SHT1_EWR[4]) );
DFFRXLTS EXP_STAGE_DMP_Q_reg_0_ ( .D(n834), .CK(clk), .RN(n1792), .Q(
DMP_EXP_EWSW[0]) );
DFFRXLTS EXP_STAGE_DMP_Q_reg_1_ ( .D(n833), .CK(clk), .RN(n1176), .Q(
DMP_EXP_EWSW[1]) );
DFFRXLTS EXP_STAGE_DMP_Q_reg_2_ ( .D(n832), .CK(clk), .RN(n1177), .Q(
DMP_EXP_EWSW[2]) );
DFFRXLTS EXP_STAGE_DMP_Q_reg_3_ ( .D(n831), .CK(clk), .RN(n1791), .Q(
DMP_EXP_EWSW[3]) );
DFFRXLTS EXP_STAGE_DMP_Q_reg_4_ ( .D(n830), .CK(clk), .RN(n1788), .Q(
DMP_EXP_EWSW[4]) );
DFFRXLTS EXP_STAGE_DMP_Q_reg_5_ ( .D(n829), .CK(clk), .RN(n1787), .Q(
DMP_EXP_EWSW[5]) );
DFFRXLTS EXP_STAGE_DMP_Q_reg_6_ ( .D(n828), .CK(clk), .RN(n1178), .Q(
DMP_EXP_EWSW[6]) );
DFFRXLTS EXP_STAGE_DMP_Q_reg_7_ ( .D(n827), .CK(clk), .RN(n1174), .Q(
DMP_EXP_EWSW[7]) );
DFFRXLTS EXP_STAGE_DMP_Q_reg_8_ ( .D(n826), .CK(clk), .RN(n1177), .Q(
DMP_EXP_EWSW[8]) );
DFFRXLTS EXP_STAGE_DMP_Q_reg_9_ ( .D(n825), .CK(clk), .RN(n1792), .Q(
DMP_EXP_EWSW[9]) );
DFFRXLTS EXP_STAGE_DMP_Q_reg_10_ ( .D(n824), .CK(clk), .RN(n1789), .Q(
DMP_EXP_EWSW[10]) );
DFFRXLTS EXP_STAGE_DMP_Q_reg_11_ ( .D(n823), .CK(clk), .RN(n1176), .Q(
DMP_EXP_EWSW[11]) );
DFFRXLTS EXP_STAGE_DMP_Q_reg_12_ ( .D(n822), .CK(clk), .RN(n1175), .Q(
DMP_EXP_EWSW[12]) );
DFFRXLTS EXP_STAGE_DMP_Q_reg_13_ ( .D(n821), .CK(clk), .RN(n1791), .Q(
DMP_EXP_EWSW[13]) );
DFFRXLTS EXP_STAGE_DMP_Q_reg_14_ ( .D(n820), .CK(clk), .RN(n1788), .Q(
DMP_EXP_EWSW[14]) );
DFFRXLTS EXP_STAGE_DMP_Q_reg_15_ ( .D(n819), .CK(clk), .RN(n1787), .Q(
DMP_EXP_EWSW[15]) );
DFFRXLTS EXP_STAGE_DMP_Q_reg_16_ ( .D(n818), .CK(clk), .RN(n1178), .Q(
DMP_EXP_EWSW[16]) );
DFFRXLTS EXP_STAGE_DMP_Q_reg_17_ ( .D(n817), .CK(clk), .RN(n1174), .Q(
DMP_EXP_EWSW[17]) );
DFFRXLTS EXP_STAGE_DMP_Q_reg_18_ ( .D(n816), .CK(clk), .RN(n1177), .Q(
DMP_EXP_EWSW[18]) );
DFFRXLTS EXP_STAGE_DMP_Q_reg_19_ ( .D(n815), .CK(clk), .RN(n1178), .Q(
DMP_EXP_EWSW[19]) );
DFFRXLTS EXP_STAGE_DMP_Q_reg_20_ ( .D(n814), .CK(clk), .RN(n1174), .Q(
DMP_EXP_EWSW[20]) );
DFFRXLTS EXP_STAGE_DMP_Q_reg_21_ ( .D(n813), .CK(clk), .RN(n1177), .Q(
DMP_EXP_EWSW[21]) );
DFFRXLTS EXP_STAGE_DMP_Q_reg_22_ ( .D(n812), .CK(clk), .RN(n1792), .Q(
DMP_EXP_EWSW[22]) );
DFFRXLTS EXP_STAGE_DMP_Q_reg_28_ ( .D(n806), .CK(clk), .RN(n1789), .Q(
DMP_EXP_EWSW[28]) );
DFFRXLTS EXP_STAGE_DMP_Q_reg_29_ ( .D(n805), .CK(clk), .RN(n1790), .Q(
DMP_EXP_EWSW[29]) );
DFFRXLTS EXP_STAGE_DMP_Q_reg_30_ ( .D(n804), .CK(clk), .RN(n1790), .Q(
DMP_EXP_EWSW[30]) );
DFFRXLTS EXP_STAGE_FLAGS_Q_reg_1_ ( .D(n803), .CK(clk), .RN(n1790), .Q(
OP_FLAG_EXP) );
DFFRXLTS EXP_STAGE_FLAGS_Q_reg_0_ ( .D(n802), .CK(clk), .RN(n1790), .Q(
ZERO_FLAG_EXP) );
DFFRXLTS EXP_STAGE_FLAGS_Q_reg_2_ ( .D(n801), .CK(clk), .RN(n1790), .Q(
SIGN_FLAG_EXP) );
DFFRXLTS SHT1_STAGE_DMP_Q_reg_0_ ( .D(n800), .CK(clk), .RN(n1790), .Q(
DMP_SHT1_EWSW[0]) );
DFFRXLTS SHT2_STAGE_DMP_Q_reg_0_ ( .D(n799), .CK(clk), .RN(n1790), .Q(
DMP_SHT2_EWSW[0]) );
DFFRXLTS SHT1_STAGE_DMP_Q_reg_1_ ( .D(n797), .CK(clk), .RN(n1790), .Q(
DMP_SHT1_EWSW[1]) );
DFFRXLTS SHT2_STAGE_DMP_Q_reg_1_ ( .D(n796), .CK(clk), .RN(n1790), .Q(
DMP_SHT2_EWSW[1]) );
DFFRXLTS SHT1_STAGE_DMP_Q_reg_2_ ( .D(n794), .CK(clk), .RN(n1791), .Q(
DMP_SHT1_EWSW[2]) );
DFFRXLTS SHT2_STAGE_DMP_Q_reg_2_ ( .D(n793), .CK(clk), .RN(n1788), .Q(
DMP_SHT2_EWSW[2]) );
DFFRXLTS SHT1_STAGE_DMP_Q_reg_3_ ( .D(n791), .CK(clk), .RN(n1787), .Q(
DMP_SHT1_EWSW[3]) );
DFFRXLTS SHT2_STAGE_DMP_Q_reg_3_ ( .D(n790), .CK(clk), .RN(n1178), .Q(
DMP_SHT2_EWSW[3]) );
DFFRXLTS SHT1_STAGE_DMP_Q_reg_4_ ( .D(n788), .CK(clk), .RN(n1174), .Q(
DMP_SHT1_EWSW[4]) );
DFFRXLTS SHT2_STAGE_DMP_Q_reg_4_ ( .D(n787), .CK(clk), .RN(n1177), .Q(
DMP_SHT2_EWSW[4]) );
DFFRXLTS SHT1_STAGE_DMP_Q_reg_5_ ( .D(n785), .CK(clk), .RN(n1792), .Q(
DMP_SHT1_EWSW[5]) );
DFFRXLTS SHT2_STAGE_DMP_Q_reg_5_ ( .D(n784), .CK(clk), .RN(n1789), .Q(
DMP_SHT2_EWSW[5]) );
DFFRXLTS SHT1_STAGE_DMP_Q_reg_6_ ( .D(n782), .CK(clk), .RN(n1176), .Q(
DMP_SHT1_EWSW[6]) );
DFFRXLTS SHT2_STAGE_DMP_Q_reg_6_ ( .D(n781), .CK(clk), .RN(n1174), .Q(
DMP_SHT2_EWSW[6]) );
DFFRXLTS SHT1_STAGE_DMP_Q_reg_7_ ( .D(n779), .CK(clk), .RN(n1791), .Q(
DMP_SHT1_EWSW[7]) );
DFFRXLTS SHT2_STAGE_DMP_Q_reg_7_ ( .D(n778), .CK(clk), .RN(n1788), .Q(
DMP_SHT2_EWSW[7]) );
DFFRXLTS SHT1_STAGE_DMP_Q_reg_8_ ( .D(n776), .CK(clk), .RN(n1787), .Q(
DMP_SHT1_EWSW[8]) );
DFFRXLTS SHT2_STAGE_DMP_Q_reg_8_ ( .D(n775), .CK(clk), .RN(n1793), .Q(
DMP_SHT2_EWSW[8]) );
DFFRXLTS SHT1_STAGE_DMP_Q_reg_9_ ( .D(n773), .CK(clk), .RN(n1793), .Q(
DMP_SHT1_EWSW[9]) );
DFFRXLTS SHT2_STAGE_DMP_Q_reg_9_ ( .D(n772), .CK(clk), .RN(n1793), .Q(
DMP_SHT2_EWSW[9]) );
DFFRXLTS SHT1_STAGE_DMP_Q_reg_10_ ( .D(n770), .CK(clk), .RN(n1793), .Q(
DMP_SHT1_EWSW[10]) );
DFFRXLTS SHT2_STAGE_DMP_Q_reg_10_ ( .D(n769), .CK(clk), .RN(n1793), .Q(
DMP_SHT2_EWSW[10]) );
DFFRXLTS SHT1_STAGE_DMP_Q_reg_11_ ( .D(n767), .CK(clk), .RN(n1793), .Q(
DMP_SHT1_EWSW[11]) );
DFFRXLTS SHT2_STAGE_DMP_Q_reg_11_ ( .D(n766), .CK(clk), .RN(n1793), .Q(
DMP_SHT2_EWSW[11]) );
DFFRXLTS SHT1_STAGE_DMP_Q_reg_12_ ( .D(n764), .CK(clk), .RN(n1794), .Q(
DMP_SHT1_EWSW[12]) );
DFFRXLTS SHT2_STAGE_DMP_Q_reg_12_ ( .D(n763), .CK(clk), .RN(n1794), .Q(
DMP_SHT2_EWSW[12]) );
DFFRXLTS SHT1_STAGE_DMP_Q_reg_13_ ( .D(n761), .CK(clk), .RN(n1794), .Q(
DMP_SHT1_EWSW[13]) );
DFFRXLTS SHT2_STAGE_DMP_Q_reg_13_ ( .D(n760), .CK(clk), .RN(n1794), .Q(
DMP_SHT2_EWSW[13]) );
DFFRXLTS SHT1_STAGE_DMP_Q_reg_14_ ( .D(n758), .CK(clk), .RN(n1794), .Q(
DMP_SHT1_EWSW[14]) );
DFFRXLTS SHT2_STAGE_DMP_Q_reg_14_ ( .D(n757), .CK(clk), .RN(n1794), .Q(
DMP_SHT2_EWSW[14]) );
DFFRXLTS SHT1_STAGE_DMP_Q_reg_15_ ( .D(n755), .CK(clk), .RN(n1795), .Q(
DMP_SHT1_EWSW[15]) );
DFFRXLTS SHT2_STAGE_DMP_Q_reg_15_ ( .D(n754), .CK(clk), .RN(n1795), .Q(
DMP_SHT2_EWSW[15]) );
DFFRXLTS SHT1_STAGE_DMP_Q_reg_16_ ( .D(n752), .CK(clk), .RN(n1795), .Q(
DMP_SHT1_EWSW[16]) );
DFFRXLTS SHT2_STAGE_DMP_Q_reg_16_ ( .D(n751), .CK(clk), .RN(n1795), .Q(
DMP_SHT2_EWSW[16]) );
DFFRXLTS SHT1_STAGE_DMP_Q_reg_17_ ( .D(n749), .CK(clk), .RN(n1795), .Q(
DMP_SHT1_EWSW[17]) );
DFFRXLTS SHT2_STAGE_DMP_Q_reg_17_ ( .D(n748), .CK(clk), .RN(n1795), .Q(
DMP_SHT2_EWSW[17]) );
DFFRXLTS SHT1_STAGE_DMP_Q_reg_18_ ( .D(n746), .CK(clk), .RN(n1795), .Q(
DMP_SHT1_EWSW[18]) );
DFFRXLTS SHT2_STAGE_DMP_Q_reg_18_ ( .D(n745), .CK(clk), .RN(n1796), .Q(
DMP_SHT2_EWSW[18]) );
DFFRXLTS SHT1_STAGE_DMP_Q_reg_19_ ( .D(n743), .CK(clk), .RN(n1796), .Q(
DMP_SHT1_EWSW[19]) );
DFFRXLTS SHT2_STAGE_DMP_Q_reg_19_ ( .D(n742), .CK(clk), .RN(n1796), .Q(
DMP_SHT2_EWSW[19]) );
DFFRXLTS SHT1_STAGE_DMP_Q_reg_20_ ( .D(n740), .CK(clk), .RN(n1796), .Q(
DMP_SHT1_EWSW[20]) );
DFFRXLTS SHT2_STAGE_DMP_Q_reg_20_ ( .D(n739), .CK(clk), .RN(n1796), .Q(
DMP_SHT2_EWSW[20]) );
DFFRXLTS SHT1_STAGE_DMP_Q_reg_21_ ( .D(n737), .CK(clk), .RN(n1796), .Q(
DMP_SHT1_EWSW[21]) );
DFFRXLTS SHT2_STAGE_DMP_Q_reg_21_ ( .D(n736), .CK(clk), .RN(n1796), .Q(
DMP_SHT2_EWSW[21]) );
DFFRXLTS SHT1_STAGE_DMP_Q_reg_22_ ( .D(n734), .CK(clk), .RN(n1797), .Q(
DMP_SHT1_EWSW[22]) );
DFFRXLTS SHT2_STAGE_DMP_Q_reg_22_ ( .D(n733), .CK(clk), .RN(n1797), .Q(
DMP_SHT2_EWSW[22]) );
DFFRXLTS SHT1_STAGE_DMP_Q_reg_23_ ( .D(n731), .CK(clk), .RN(n1797), .Q(
DMP_SHT1_EWSW[23]) );
DFFRXLTS SHT2_STAGE_DMP_Q_reg_23_ ( .D(n730), .CK(clk), .RN(n1797), .Q(
DMP_SHT2_EWSW[23]) );
DFFRXLTS SGF_STAGE_DMP_Q_reg_23_ ( .D(n729), .CK(clk), .RN(n1797), .Q(
DMP_SFG[23]) );
DFFRXLTS NRM_STAGE_DMP_exp_Q_reg_0_ ( .D(n728), .CK(clk), .RN(n1797), .Q(
DMP_exp_NRM_EW[0]) );
DFFRXLTS SHT1_STAGE_DMP_Q_reg_24_ ( .D(n726), .CK(clk), .RN(n1797), .Q(
DMP_SHT1_EWSW[24]) );
DFFRXLTS SHT2_STAGE_DMP_Q_reg_24_ ( .D(n725), .CK(clk), .RN(n1797), .Q(
DMP_SHT2_EWSW[24]) );
DFFRXLTS SGF_STAGE_DMP_Q_reg_24_ ( .D(n724), .CK(clk), .RN(n1798), .Q(
DMP_SFG[24]) );
DFFRXLTS NRM_STAGE_DMP_exp_Q_reg_1_ ( .D(n723), .CK(clk), .RN(n1798), .Q(
DMP_exp_NRM_EW[1]) );
DFFRXLTS SHT1_STAGE_DMP_Q_reg_25_ ( .D(n721), .CK(clk), .RN(n1798), .Q(
DMP_SHT1_EWSW[25]) );
DFFRXLTS SHT2_STAGE_DMP_Q_reg_25_ ( .D(n720), .CK(clk), .RN(n1798), .Q(
DMP_SHT2_EWSW[25]) );
DFFRXLTS SGF_STAGE_DMP_Q_reg_25_ ( .D(n719), .CK(clk), .RN(n1798), .Q(
DMP_SFG[25]) );
DFFRXLTS NRM_STAGE_DMP_exp_Q_reg_2_ ( .D(n718), .CK(clk), .RN(n1798), .Q(
DMP_exp_NRM_EW[2]) );
DFFRXLTS SHT1_STAGE_DMP_Q_reg_26_ ( .D(n716), .CK(clk), .RN(n1798), .Q(
DMP_SHT1_EWSW[26]) );
DFFRXLTS SHT2_STAGE_DMP_Q_reg_26_ ( .D(n715), .CK(clk), .RN(n1798), .Q(
DMP_SHT2_EWSW[26]) );
DFFRXLTS SGF_STAGE_DMP_Q_reg_26_ ( .D(n714), .CK(clk), .RN(n1798), .Q(
DMP_SFG[26]) );
DFFRXLTS NRM_STAGE_DMP_exp_Q_reg_3_ ( .D(n713), .CK(clk), .RN(n1798), .Q(
DMP_exp_NRM_EW[3]) );
DFFRXLTS SHT1_STAGE_DMP_Q_reg_27_ ( .D(n711), .CK(clk), .RN(n1799), .Q(
DMP_SHT1_EWSW[27]) );
DFFRXLTS SHT2_STAGE_DMP_Q_reg_27_ ( .D(n710), .CK(clk), .RN(n1799), .Q(
DMP_SHT2_EWSW[27]) );
DFFRXLTS SGF_STAGE_DMP_Q_reg_27_ ( .D(n709), .CK(clk), .RN(n1799), .Q(
DMP_SFG[27]) );
DFFRXLTS NRM_STAGE_DMP_exp_Q_reg_4_ ( .D(n708), .CK(clk), .RN(n1799), .Q(
DMP_exp_NRM_EW[4]) );
DFFRXLTS SHT1_STAGE_DMP_Q_reg_28_ ( .D(n706), .CK(clk), .RN(n1799), .Q(
DMP_SHT1_EWSW[28]) );
DFFRXLTS SHT2_STAGE_DMP_Q_reg_28_ ( .D(n705), .CK(clk), .RN(n1799), .Q(
DMP_SHT2_EWSW[28]) );
DFFRXLTS SGF_STAGE_DMP_Q_reg_28_ ( .D(n704), .CK(clk), .RN(n1799), .Q(
DMP_SFG[28]) );
DFFRXLTS NRM_STAGE_DMP_exp_Q_reg_5_ ( .D(n703), .CK(clk), .RN(n1799), .Q(
DMP_exp_NRM_EW[5]) );
DFFRXLTS SHT1_STAGE_DMP_Q_reg_29_ ( .D(n701), .CK(clk), .RN(n1799), .Q(
DMP_SHT1_EWSW[29]) );
DFFRXLTS SHT2_STAGE_DMP_Q_reg_29_ ( .D(n700), .CK(clk), .RN(n1799), .Q(
DMP_SHT2_EWSW[29]) );
DFFRXLTS SGF_STAGE_DMP_Q_reg_29_ ( .D(n699), .CK(clk), .RN(n1800), .Q(
DMP_SFG[29]) );
DFFRXLTS NRM_STAGE_DMP_exp_Q_reg_6_ ( .D(n698), .CK(clk), .RN(n1800), .Q(
DMP_exp_NRM_EW[6]) );
DFFRXLTS SHT1_STAGE_DMP_Q_reg_30_ ( .D(n696), .CK(clk), .RN(n1800), .Q(
DMP_SHT1_EWSW[30]) );
DFFRXLTS SHT2_STAGE_DMP_Q_reg_30_ ( .D(n695), .CK(clk), .RN(n1800), .Q(
DMP_SHT2_EWSW[30]) );
DFFRXLTS SGF_STAGE_DMP_Q_reg_30_ ( .D(n694), .CK(clk), .RN(n1800), .Q(
DMP_SFG[30]) );
DFFRXLTS NRM_STAGE_DMP_exp_Q_reg_7_ ( .D(n693), .CK(clk), .RN(n1800), .Q(
DMP_exp_NRM_EW[7]) );
DFFRXLTS EXP_STAGE_DmP_Q_reg_0_ ( .D(n691), .CK(clk), .RN(n1800), .Q(
DmP_EXP_EWSW[0]) );
DFFRXLTS EXP_STAGE_DmP_Q_reg_1_ ( .D(n689), .CK(clk), .RN(n1800), .Q(
DmP_EXP_EWSW[1]) );
DFFRXLTS EXP_STAGE_DmP_Q_reg_2_ ( .D(n687), .CK(clk), .RN(n1801), .Q(
DmP_EXP_EWSW[2]) );
DFFRXLTS EXP_STAGE_DmP_Q_reg_3_ ( .D(n685), .CK(clk), .RN(n1801), .Q(
DmP_EXP_EWSW[3]) );
DFFRXLTS EXP_STAGE_DmP_Q_reg_4_ ( .D(n683), .CK(clk), .RN(n1801), .Q(
DmP_EXP_EWSW[4]) );
DFFRXLTS EXP_STAGE_DmP_Q_reg_5_ ( .D(n681), .CK(clk), .RN(n1801), .Q(
DmP_EXP_EWSW[5]) );
DFFRXLTS EXP_STAGE_DmP_Q_reg_6_ ( .D(n679), .CK(clk), .RN(n1801), .Q(
DmP_EXP_EWSW[6]) );
DFFRXLTS EXP_STAGE_DmP_Q_reg_7_ ( .D(n677), .CK(clk), .RN(n1802), .Q(
DmP_EXP_EWSW[7]) );
DFFRXLTS EXP_STAGE_DmP_Q_reg_8_ ( .D(n675), .CK(clk), .RN(n1802), .Q(
DmP_EXP_EWSW[8]) );
DFFRXLTS EXP_STAGE_DmP_Q_reg_9_ ( .D(n673), .CK(clk), .RN(n1802), .Q(
DmP_EXP_EWSW[9]) );
DFFRXLTS EXP_STAGE_DmP_Q_reg_10_ ( .D(n671), .CK(clk), .RN(n1802), .Q(
DmP_EXP_EWSW[10]) );
DFFRXLTS EXP_STAGE_DmP_Q_reg_11_ ( .D(n669), .CK(clk), .RN(n1802), .Q(
DmP_EXP_EWSW[11]) );
DFFRXLTS EXP_STAGE_DmP_Q_reg_12_ ( .D(n667), .CK(clk), .RN(n1803), .Q(
DmP_EXP_EWSW[12]) );
DFFRXLTS EXP_STAGE_DmP_Q_reg_13_ ( .D(n665), .CK(clk), .RN(n1803), .Q(
DmP_EXP_EWSW[13]) );
DFFRXLTS EXP_STAGE_DmP_Q_reg_14_ ( .D(n663), .CK(clk), .RN(n1803), .Q(
DmP_EXP_EWSW[14]) );
DFFRXLTS EXP_STAGE_DmP_Q_reg_15_ ( .D(n661), .CK(clk), .RN(n1803), .Q(
DmP_EXP_EWSW[15]) );
DFFRXLTS EXP_STAGE_DmP_Q_reg_16_ ( .D(n659), .CK(clk), .RN(n1803), .Q(
DmP_EXP_EWSW[16]) );
DFFRXLTS EXP_STAGE_DmP_Q_reg_17_ ( .D(n657), .CK(clk), .RN(n1804), .Q(
DmP_EXP_EWSW[17]) );
DFFRXLTS EXP_STAGE_DmP_Q_reg_18_ ( .D(n655), .CK(clk), .RN(n1804), .Q(
DmP_EXP_EWSW[18]) );
DFFRXLTS EXP_STAGE_DmP_Q_reg_19_ ( .D(n653), .CK(clk), .RN(n1804), .Q(
DmP_EXP_EWSW[19]) );
DFFRXLTS EXP_STAGE_DmP_Q_reg_20_ ( .D(n651), .CK(clk), .RN(n1804), .Q(
DmP_EXP_EWSW[20]) );
DFFRXLTS EXP_STAGE_DmP_Q_reg_21_ ( .D(n649), .CK(clk), .RN(n1804), .Q(
DmP_EXP_EWSW[21]) );
DFFRXLTS EXP_STAGE_DmP_Q_reg_22_ ( .D(n647), .CK(clk), .RN(n1805), .Q(
DmP_EXP_EWSW[22]) );
DFFRXLTS SHT1_STAGE_FLAGS_Q_reg_0_ ( .D(n638), .CK(clk), .RN(n1805), .Q(
ZERO_FLAG_SHT1) );
DFFRXLTS SHT2_STAGE_FLAGS_Q_reg_0_ ( .D(n637), .CK(clk), .RN(n1805), .Q(
ZERO_FLAG_SHT2) );
DFFRXLTS SGF_STAGE_FLAGS_Q_reg_0_ ( .D(n636), .CK(clk), .RN(n1806), .Q(
ZERO_FLAG_SFG) );
DFFRXLTS NRM_STAGE_FLAGS_Q_reg_0_ ( .D(n635), .CK(clk), .RN(n1806), .Q(
ZERO_FLAG_NRM) );
DFFRXLTS SFT2FRMT_STAGE_FLAGS_Q_reg_0_ ( .D(n634), .CK(clk), .RN(n1806), .Q(
ZERO_FLAG_SHT1SHT2) );
DFFRXLTS SHT1_STAGE_FLAGS_Q_reg_1_ ( .D(n632), .CK(clk), .RN(n1806), .Q(
OP_FLAG_SHT1) );
DFFRXLTS SHT2_STAGE_FLAGS_Q_reg_1_ ( .D(n631), .CK(clk), .RN(n1806), .Q(
OP_FLAG_SHT2) );
DFFRX4TS SGF_STAGE_FLAGS_Q_reg_1_ ( .D(n630), .CK(clk), .RN(n1806), .Q(
OP_FLAG_SFG), .QN(n1736) );
DFFRXLTS SHT1_STAGE_FLAGS_Q_reg_2_ ( .D(n629), .CK(clk), .RN(n1806), .Q(
SIGN_FLAG_SHT1) );
DFFRXLTS SHT2_STAGE_FLAGS_Q_reg_2_ ( .D(n628), .CK(clk), .RN(n1806), .Q(
SIGN_FLAG_SHT2) );
DFFRXLTS SGF_STAGE_FLAGS_Q_reg_2_ ( .D(n627), .CK(clk), .RN(n1806), .Q(
SIGN_FLAG_SFG) );
DFFRXLTS NRM_STAGE_FLAGS_Q_reg_1_ ( .D(n626), .CK(clk), .RN(n1807), .Q(
SIGN_FLAG_NRM) );
DFFRXLTS SFT2FRMT_STAGE_FLAGS_Q_reg_1_ ( .D(n625), .CK(clk), .RN(n1807), .Q(
SIGN_FLAG_SHT1SHT2) );
DFFRX4TS NRM_STAGE_Raw_mant_Q_reg_22_ ( .D(n616), .CK(clk), .RN(n1807), .Q(
Raw_mant_NRM_SWR[22]) );
DFFRX4TS NRM_STAGE_Raw_mant_Q_reg_23_ ( .D(n615), .CK(clk), .RN(n1808), .Q(
Raw_mant_NRM_SWR[23]), .QN(n1709) );
DFFRX4TS NRM_STAGE_Raw_mant_Q_reg_24_ ( .D(n614), .CK(clk), .RN(n1808), .Q(
Raw_mant_NRM_SWR[24]), .QN(n1761) );
DFFRX4TS NRM_STAGE_Raw_mant_Q_reg_25_ ( .D(n613), .CK(clk), .RN(n1808), .Q(
Raw_mant_NRM_SWR[25]) );
DFFRXLTS SFT2FRMT_STAGE_VARS_Q_reg_12_ ( .D(n610), .CK(clk), .RN(n1816), .Q(
LZD_output_NRM2_EW[4]), .QN(n1733) );
DFFRXLTS SGF_STAGE_DmP_mant_Q_reg_1_ ( .D(n609), .CK(clk), .RN(n1808), .Q(
DmP_mant_SFG_SWR[1]), .QN(n1013) );
DFFRXLTS SFT2FRMT_STAGE_VARS_Q_reg_10_ ( .D(n607), .CK(clk), .RN(n1815), .Q(
LZD_output_NRM2_EW[2]), .QN(n1735) );
DFFRXLTS SFT2FRMT_STAGE_VARS_Q_reg_9_ ( .D(n604), .CK(clk), .RN(n1815), .Q(
LZD_output_NRM2_EW[1]), .QN(n1721) );
DFFRXLTS SGF_STAGE_DmP_mant_Q_reg_0_ ( .D(n603), .CK(clk), .RN(n1808), .Q(
DmP_mant_SFG_SWR[0]), .QN(n1015) );
DFFRXLTS SFT2FRMT_STAGE_VARS_Q_reg_11_ ( .D(n598), .CK(clk), .RN(n1816), .Q(
LZD_output_NRM2_EW[3]), .QN(n1734) );
DFFRXLTS SGF_STAGE_DmP_mant_Q_reg_5_ ( .D(n590), .CK(clk), .RN(n1810), .Q(
DmP_mant_SFG_SWR[5]), .QN(n999) );
DFFRXLTS SGF_STAGE_DmP_mant_Q_reg_20_ ( .D(n549), .CK(clk), .RN(n1814), .Q(
DmP_mant_SFG_SWR[20]), .QN(n1008) );
DFFRXLTS SGF_STAGE_DmP_mant_Q_reg_21_ ( .D(n548), .CK(clk), .RN(n1814), .Q(
DmP_mant_SFG_SWR[21]), .QN(n1009) );
DFFRXLTS SGF_STAGE_DmP_mant_Q_reg_24_ ( .D(n545), .CK(clk), .RN(n1814), .Q(
DmP_mant_SFG_SWR[24]), .QN(n1010) );
DFFRXLTS SGF_STAGE_DmP_mant_Q_reg_25_ ( .D(n544), .CK(clk), .RN(n1814), .Q(
DmP_mant_SFG_SWR[25]), .QN(n1012) );
DFFRXLTS Ready_reg_Q_reg_0_ ( .D(Shift_reg_FLAGS_7[0]), .CK(clk), .RN(n1780),
.Q(ready) );
DFFRXLTS FRMT_STAGE_FLAGS_Q_reg_1_ ( .D(n640), .CK(clk), .RN(n1805), .Q(
underflow_flag) );
DFFRXLTS FRMT_STAGE_DATAOUT_Q_reg_30_ ( .D(n835), .CK(clk), .RN(n1814), .Q(
final_result_ieee[30]) );
DFFRXLTS FRMT_STAGE_FLAGS_Q_reg_0_ ( .D(n633), .CK(clk), .RN(n1806), .Q(
zero_flag) );
DFFRXLTS FRMT_STAGE_DATAOUT_Q_reg_8_ ( .D(n577), .CK(clk), .RN(n1811), .Q(
final_result_ieee[8]) );
DFFRXLTS FRMT_STAGE_DATAOUT_Q_reg_13_ ( .D(n576), .CK(clk), .RN(n1811), .Q(
final_result_ieee[13]) );
DFFRXLTS FRMT_STAGE_DATAOUT_Q_reg_22_ ( .D(n555), .CK(clk), .RN(n1813), .Q(
final_result_ieee[22]) );
DFFRXLTS FRMT_STAGE_FLAGS_Q_reg_2_ ( .D(n639), .CK(clk), .RN(n1814), .Q(
overflow_flag) );
DFFRXLTS FRMT_STAGE_DATAOUT_Q_reg_23_ ( .D(n842), .CK(clk), .RN(n1815), .Q(
final_result_ieee[23]) );
DFFRXLTS FRMT_STAGE_DATAOUT_Q_reg_24_ ( .D(n841), .CK(clk), .RN(n1815), .Q(
final_result_ieee[24]) );
DFFRXLTS FRMT_STAGE_DATAOUT_Q_reg_25_ ( .D(n840), .CK(clk), .RN(n1815), .Q(
final_result_ieee[25]) );
DFFRXLTS FRMT_STAGE_DATAOUT_Q_reg_26_ ( .D(n839), .CK(clk), .RN(n1815), .Q(
final_result_ieee[26]) );
DFFRXLTS FRMT_STAGE_DATAOUT_Q_reg_27_ ( .D(n838), .CK(clk), .RN(n1815), .Q(
final_result_ieee[27]) );
DFFRXLTS FRMT_STAGE_DATAOUT_Q_reg_28_ ( .D(n837), .CK(clk), .RN(n1815), .Q(
final_result_ieee[28]) );
DFFRXLTS FRMT_STAGE_DATAOUT_Q_reg_29_ ( .D(n836), .CK(clk), .RN(n1815), .Q(
final_result_ieee[29]) );
DFFRX2TS inst_ShiftRegister_Q_reg_2_ ( .D(n946), .CK(clk), .RN(n1776), .Q(
n1817), .QN(n1773) );
DFFRX2TS inst_FSM_INPUT_ENABLE_state_reg_reg_1_ ( .D(
inst_FSM_INPUT_ENABLE_state_next_1_), .CK(clk), .RN(n1776), .Q(
inst_FSM_INPUT_ENABLE_state_reg[1]), .QN(n1700) );
DFFRX2TS NRM_STAGE_Raw_mant_Q_reg_3_ ( .D(n599), .CK(clk), .RN(n1809), .Q(
Raw_mant_NRM_SWR[3]), .QN(n1765) );
DFFRX2TS NRM_STAGE_Raw_mant_Q_reg_11_ ( .D(n575), .CK(clk), .RN(n1811), .Q(
Raw_mant_NRM_SWR[11]), .QN(n1716) );
DFFRX2TS NRM_STAGE_Raw_mant_Q_reg_9_ ( .D(n587), .CK(clk), .RN(n1810), .Q(
Raw_mant_NRM_SWR[9]), .QN(n1725) );
DFFRX2TS inst_ShiftRegister_Q_reg_5_ ( .D(n949), .CK(clk), .RN(n1776), .Q(
n1695), .QN(n1774) );
DFFRX2TS NRM_STAGE_Raw_mant_Q_reg_6_ ( .D(n594), .CK(clk), .RN(n1809), .Q(
Raw_mant_NRM_SWR[6]), .QN(n1723) );
DFFRX2TS NRM_STAGE_Raw_mant_Q_reg_14_ ( .D(n611), .CK(clk), .RN(n1808), .Q(
Raw_mant_NRM_SWR[14]), .QN(n1717) );
DFFRX2TS INPUT_STAGE_OPERANDX_Q_reg_28_ ( .D(n915), .CK(clk), .RN(n1779),
.Q(intDX_EWSW[28]), .QN(n1758) );
DFFRX2TS INPUT_STAGE_OPERANDX_Q_reg_24_ ( .D(n919), .CK(clk), .RN(n1779),
.Q(intDX_EWSW[24]), .QN(n1769) );
DFFRX2TS INPUT_STAGE_OPERANDX_Q_reg_26_ ( .D(n917), .CK(clk), .RN(n1779),
.Q(intDX_EWSW[26]), .QN(n1713) );
DFFRX2TS INPUT_STAGE_OPERANDX_Q_reg_25_ ( .D(n918), .CK(clk), .RN(n1779),
.Q(intDX_EWSW[25]), .QN(n1712) );
DFFRX2TS INPUT_STAGE_OPERANDY_Q_reg_14_ ( .D(n895), .CK(clk), .RN(n1781),
.Q(intDY_EWSW[14]), .QN(n1752) );
DFFRX2TS INPUT_STAGE_OPERANDY_Q_reg_13_ ( .D(n896), .CK(clk), .RN(n1781),
.Q(intDY_EWSW[13]), .QN(n1746) );
DFFRX2TS INPUT_STAGE_OPERANDY_Q_reg_12_ ( .D(n897), .CK(clk), .RN(n1781),
.Q(intDY_EWSW[12]), .QN(n1751) );
DFFRX2TS INPUT_STAGE_OPERANDY_Q_reg_17_ ( .D(n892), .CK(clk), .RN(n1782),
.Q(intDY_EWSW[17]), .QN(n1744) );
DFFRX2TS INPUT_STAGE_OPERANDY_Q_reg_15_ ( .D(n894), .CK(clk), .RN(n1782),
.Q(intDY_EWSW[15]), .QN(n1704) );
DFFRX2TS INPUT_STAGE_OPERANDY_Q_reg_11_ ( .D(n898), .CK(clk), .RN(n1781),
.Q(intDY_EWSW[11]), .QN(n1731) );
DFFRX2TS INPUT_STAGE_OPERANDY_Q_reg_8_ ( .D(n901), .CK(clk), .RN(n1781), .Q(
intDY_EWSW[8]), .QN(n1748) );
DFFRX2TS INPUT_STAGE_OPERANDY_Q_reg_3_ ( .D(n906), .CK(clk), .RN(n1780), .Q(
intDY_EWSW[3]), .QN(n1743) );
DFFRX2TS INPUT_STAGE_OPERANDY_Q_reg_23_ ( .D(n886), .CK(clk), .RN(n1782),
.Q(intDY_EWSW[23]), .QN(n1757) );
DFFRX2TS INPUT_STAGE_OPERANDY_Q_reg_22_ ( .D(n887), .CK(clk), .RN(n1782),
.Q(intDY_EWSW[22]), .QN(n1705) );
DFFRX2TS INPUT_STAGE_OPERANDY_Q_reg_21_ ( .D(n888), .CK(clk), .RN(n1782),
.Q(intDY_EWSW[21]), .QN(n1747) );
DFFRX2TS INPUT_STAGE_OPERANDY_Q_reg_20_ ( .D(n889), .CK(clk), .RN(n1782),
.Q(intDY_EWSW[20]), .QN(n1754) );
DFFRX2TS INPUT_STAGE_OPERANDY_Q_reg_26_ ( .D(n883), .CK(clk), .RN(n1783),
.Q(intDY_EWSW[26]), .QN(n1741) );
DFFRX2TS INPUT_STAGE_OPERANDY_Q_reg_25_ ( .D(n884), .CK(clk), .RN(n1783),
.Q(intDY_EWSW[25]), .QN(n1742) );
DFFRX2TS INPUT_STAGE_OPERANDY_Q_reg_18_ ( .D(n891), .CK(clk), .RN(n1782),
.Q(intDY_EWSW[18]), .QN(n1759) );
DFFRX2TS INPUT_STAGE_OPERANDX_Q_reg_16_ ( .D(n927), .CK(clk), .RN(n1778),
.Q(intDX_EWSW[16]), .QN(n1726) );
DFFRX2TS INPUT_STAGE_OPERANDX_Q_reg_7_ ( .D(n936), .CK(clk), .RN(n1777), .Q(
intDX_EWSW[7]), .QN(n1719) );
DFFRX2TS INPUT_STAGE_OPERANDX_Q_reg_6_ ( .D(n937), .CK(clk), .RN(n1777), .Q(
intDX_EWSW[6]), .QN(n1698) );
DFFRX2TS INPUT_STAGE_OPERANDX_Q_reg_5_ ( .D(n938), .CK(clk), .RN(n1777), .Q(
intDX_EWSW[5]), .QN(n1718) );
DFFRX2TS INPUT_STAGE_OPERANDX_Q_reg_4_ ( .D(n939), .CK(clk), .RN(n1777), .Q(
intDX_EWSW[4]), .QN(n1696) );
DFFRX2TS NRM_STAGE_Raw_mant_Q_reg_13_ ( .D(n581), .CK(clk), .RN(n1810), .Q(
Raw_mant_NRM_SWR[13]) );
DFFRX2TS NRM_STAGE_Raw_mant_Q_reg_18_ ( .D(n620), .CK(clk), .RN(n1807), .Q(
Raw_mant_NRM_SWR[18]) );
DFFRX2TS SHT2_STAGE_SHFTVARS1_Q_reg_4_ ( .D(n848), .CK(clk), .RN(n1783), .Q(
shift_value_SHT2_EWR[4]), .QN(n1820) );
DFFRX2TS INPUT_STAGE_OPERANDX_Q_reg_3_ ( .D(n940), .CK(clk), .RN(n1777), .Q(
intDX_EWSW[3]) );
DFFRX2TS SGF_STAGE_DMP_Q_reg_11_ ( .D(n765), .CK(clk), .RN(n1794), .Q(
DMP_SFG[11]) );
DFFRX2TS NRM_STAGE_Raw_mant_Q_reg_2_ ( .D(n600), .CK(clk), .RN(n1809), .Q(
Raw_mant_NRM_SWR[2]), .QN(n1727) );
DFFRX2TS NRM_STAGE_Raw_mant_Q_reg_12_ ( .D(n579), .CK(clk), .RN(n1811), .Q(
Raw_mant_NRM_SWR[12]), .QN(n1714) );
DFFRX2TS NRM_STAGE_Raw_mant_Q_reg_7_ ( .D(n589), .CK(clk), .RN(n1810), .Q(
Raw_mant_NRM_SWR[7]), .QN(n1715) );
DFFRX2TS INPUT_STAGE_OPERANDY_Q_reg_1_ ( .D(n908), .CK(clk), .RN(n1780), .Q(
intDY_EWSW[1]), .QN(n1819) );
DFFRX2TS SHT2_SHIFT_DATA_Q_reg_23_ ( .D(n875), .CK(clk), .RN(n1784), .Q(
Data_array_SWR[23]), .QN(n1702) );
DFFRX2TS SHT2_SHIFT_DATA_Q_reg_22_ ( .D(n874), .CK(clk), .RN(n1784), .Q(
Data_array_SWR[22]), .QN(n1692) );
DFFRXLTS FRMT_STAGE_DATAOUT_Q_reg_2_ ( .D(n565), .CK(clk), .RN(n1812), .Q(
final_result_ieee[2]) );
DFFRXLTS FRMT_STAGE_DATAOUT_Q_reg_21_ ( .D(n556), .CK(clk), .RN(n1813), .Q(
final_result_ieee[21]) );
DFFRX1TS INPUT_STAGE_OPERANDY_Q_reg_30_ ( .D(n879), .CK(clk), .RN(n1783),
.Q(intDY_EWSW[30]), .QN(n1699) );
DFFRX1TS INPUT_STAGE_OPERANDY_Q_reg_27_ ( .D(n882), .CK(clk), .RN(n1783),
.Q(intDY_EWSW[27]), .QN(n1755) );
DFFRX2TS INPUT_STAGE_OPERANDX_Q_reg_15_ ( .D(n928), .CK(clk), .RN(n1778),
.Q(intDX_EWSW[15]) );
DFFRX2TS INPUT_STAGE_OPERANDX_Q_reg_23_ ( .D(n920), .CK(clk), .RN(n1779),
.Q(intDX_EWSW[23]) );
DFFRX2TS INPUT_STAGE_OPERANDX_Q_reg_21_ ( .D(n922), .CK(clk), .RN(n1779),
.Q(intDX_EWSW[21]) );
DFFRX2TS INPUT_STAGE_OPERANDX_Q_reg_13_ ( .D(n930), .CK(clk), .RN(n1778),
.Q(intDX_EWSW[13]) );
DFFRX2TS INPUT_STAGE_OPERANDX_Q_reg_17_ ( .D(n926), .CK(clk), .RN(n1778),
.Q(intDX_EWSW[17]) );
DFFRX2TS SHT2_SHIFT_DATA_Q_reg_24_ ( .D(n876), .CK(clk), .RN(n1784), .Q(
Data_array_SWR[24]) );
DFFRX2TS INPUT_STAGE_OPERANDX_Q_reg_8_ ( .D(n935), .CK(clk), .RN(n1777), .Q(
intDX_EWSW[8]) );
DFFRX2TS INPUT_STAGE_OPERANDX_Q_reg_11_ ( .D(n932), .CK(clk), .RN(n1778),
.Q(intDX_EWSW[11]) );
DFFRX2TS SHT2_SHIFT_DATA_Q_reg_25_ ( .D(n877), .CK(clk), .RN(n1784), .Q(
Data_array_SWR[25]) );
DFFRX2TS INPUT_STAGE_OPERANDX_Q_reg_9_ ( .D(n934), .CK(clk), .RN(n1777), .Q(
intDX_EWSW[9]) );
DFFRX2TS INPUT_STAGE_OPERANDX_Q_reg_27_ ( .D(n916), .CK(clk), .RN(n1779),
.Q(intDX_EWSW[27]) );
DFFRX2TS INPUT_STAGE_OPERANDX_Q_reg_2_ ( .D(n941), .CK(clk), .RN(n1777), .Q(
intDX_EWSW[2]) );
DFFRX2TS NRM_STAGE_Raw_mant_Q_reg_5_ ( .D(n591), .CK(clk), .RN(n1809), .Q(
Raw_mant_NRM_SWR[5]) );
DFFRX4TS inst_ShiftRegister_Q_reg_4_ ( .D(n948), .CK(clk), .RN(n1776), .Q(
busy), .QN(n1818) );
DFFRX2TS SHT2_SHIFT_DATA_Q_reg_13_ ( .D(n865), .CK(clk), .RN(n1785), .Q(
Data_array_SWR[13]) );
DFFRX2TS INPUT_STAGE_OPERANDX_Q_reg_18_ ( .D(n925), .CK(clk), .RN(n1778),
.Q(intDX_EWSW[18]) );
DFFRX2TS NRM_STAGE_Raw_mant_Q_reg_1_ ( .D(n608), .CK(clk), .RN(n1808), .Q(
Raw_mant_NRM_SWR[1]) );
DFFRX2TS INPUT_STAGE_OPERANDX_Q_reg_0_ ( .D(n943), .CK(clk), .RN(n1777), .Q(
intDX_EWSW[0]) );
DFFRX2TS INPUT_STAGE_OPERANDX_Q_reg_19_ ( .D(n924), .CK(clk), .RN(n1778),
.Q(intDX_EWSW[19]) );
DFFRX2TS inst_FSM_INPUT_ENABLE_state_reg_reg_2_ ( .D(n952), .CK(clk), .RN(
n1776), .Q(inst_FSM_INPUT_ENABLE_state_reg[2]) );
DFFRX2TS SHT2_SHIFT_DATA_Q_reg_8_ ( .D(n860), .CK(clk), .RN(n1784), .Q(
Data_array_SWR[8]) );
DFFRX2TS SHT2_SHIFT_DATA_Q_reg_16_ ( .D(n868), .CK(clk), .RN(n1785), .Q(
Data_array_SWR[16]) );
DFFRX2TS SGF_STAGE_DMP_Q_reg_10_ ( .D(n768), .CK(clk), .RN(n1793), .Q(
DMP_SFG[10]) );
DFFRX2TS SHT2_SHIFT_DATA_Q_reg_11_ ( .D(n863), .CK(clk), .RN(n1786), .Q(
Data_array_SWR[11]) );
DFFRX1TS SHT2_SHIFT_DATA_Q_reg_4_ ( .D(n856), .CK(clk), .RN(n1785), .Q(
Data_array_SWR[4]) );
DFFRX1TS SHT2_SHIFT_DATA_Q_reg_5_ ( .D(n857), .CK(clk), .RN(n1785), .Q(
Data_array_SWR[5]) );
DFFRX1TS SGF_STAGE_DMP_Q_reg_2_ ( .D(n792), .CK(clk), .RN(n1174), .Q(
DMP_SFG[2]) );
DFFRX1TS SHT2_SHIFT_DATA_Q_reg_6_ ( .D(n858), .CK(clk), .RN(n1785), .Q(
Data_array_SWR[6]) );
DFFRX1TS SHT2_SHIFT_DATA_Q_reg_7_ ( .D(n859), .CK(clk), .RN(n1784), .Q(
Data_array_SWR[7]) );
DFFRX1TS INPUT_STAGE_OPERANDX_Q_reg_31_ ( .D(n912), .CK(clk), .RN(n1780),
.Q(intDX_EWSW[31]) );
DFFRX1TS SGF_STAGE_DMP_Q_reg_12_ ( .D(n762), .CK(clk), .RN(n1794), .Q(
DMP_SFG[12]) );
DFFRX1TS SGF_STAGE_DMP_Q_reg_21_ ( .D(n735), .CK(clk), .RN(n1797), .Q(
DMP_SFG[21]) );
DFFRX1TS SGF_STAGE_DMP_Q_reg_19_ ( .D(n741), .CK(clk), .RN(n1796), .Q(
DMP_SFG[19]) );
DFFRX1TS SGF_STAGE_DMP_Q_reg_17_ ( .D(n747), .CK(clk), .RN(n1795), .Q(
DMP_SFG[17]) );
DFFRX1TS SGF_STAGE_DMP_Q_reg_16_ ( .D(n750), .CK(clk), .RN(n1795), .Q(
DMP_SFG[16]) );
DFFRX1TS SGF_STAGE_DMP_Q_reg_14_ ( .D(n756), .CK(clk), .RN(n1794), .Q(
DMP_SFG[14]) );
DFFRX1TS SGF_STAGE_DmP_mant_Q_reg_14_ ( .D(n612), .CK(clk), .RN(n1808), .Q(
DmP_mant_SFG_SWR[14]) );
DFFRX1TS SGF_STAGE_DmP_mant_Q_reg_13_ ( .D(n582), .CK(clk), .RN(n1810), .Q(
DmP_mant_SFG_SWR[13]) );
DFFRX1TS SHT2_SHIFT_DATA_Q_reg_19_ ( .D(n871), .CK(clk), .RN(n1785), .Q(
Data_array_SWR[19]), .QN(n1760) );
DFFRX1TS SGF_STAGE_DMP_Q_reg_8_ ( .D(n774), .CK(clk), .RN(n1793), .Q(
DMP_SFG[8]) );
DFFRX1TS SGF_STAGE_DMP_Q_reg_1_ ( .D(n795), .CK(clk), .RN(n1177), .Q(
DMP_SFG[1]) );
DFFRX1TS SHT2_SHIFT_DATA_Q_reg_18_ ( .D(n870), .CK(clk), .RN(n1784), .Q(
Data_array_SWR[18]), .QN(n1708) );
DFFRX1TS SHT1_STAGE_DmP_mant_Q_reg_22_ ( .D(n646), .CK(clk), .RN(n1805), .Q(
DmP_mant_SHT1_SW[22]) );
DFFRX1TS SGF_STAGE_DMP_Q_reg_9_ ( .D(n771), .CK(clk), .RN(n1793), .Q(
DMP_SFG[9]) );
DFFRX1TS SGF_STAGE_DmP_mant_Q_reg_22_ ( .D(n547), .CK(clk), .RN(n1814), .Q(
DmP_mant_SFG_SWR[22]) );
DFFRX1TS SGF_STAGE_DMP_Q_reg_7_ ( .D(n777), .CK(clk), .RN(n1176), .Q(
DMP_SFG[7]) );
DFFRX1TS SGF_STAGE_DMP_Q_reg_4_ ( .D(n786), .CK(clk), .RN(n1176), .Q(
DMP_SFG[4]) );
DFFRX1TS SGF_STAGE_DMP_Q_reg_3_ ( .D(n789), .CK(clk), .RN(n1177), .Q(
DMP_SFG[3]) );
DFFRX1TS SGF_STAGE_DMP_Q_reg_0_ ( .D(n798), .CK(clk), .RN(n1790), .Q(
DMP_SFG[0]) );
DFFRX1TS SGF_STAGE_DmP_mant_Q_reg_11_ ( .D(n580), .CK(clk), .RN(n1811), .Q(
DmP_mant_SFG_SWR[11]) );
DFFRX1TS SGF_STAGE_DmP_mant_Q_reg_23_ ( .D(n546), .CK(clk), .RN(n1814), .Q(
DmP_mant_SFG_SWR[23]) );
DFFRX1TS SGF_STAGE_DmP_mant_Q_reg_3_ ( .D(n597), .CK(clk), .RN(n1809), .Q(
DmP_mant_SFG_SWR[3]) );
DFFRX1TS SGF_STAGE_DmP_mant_Q_reg_2_ ( .D(n601), .CK(clk), .RN(n1809), .Q(
DmP_mant_SFG_SWR[2]) );
DFFRX1TS SGF_STAGE_DMP_Q_reg_6_ ( .D(n780), .CK(clk), .RN(n1177), .Q(
DMP_SFG[6]) );
DFFRX1TS SGF_STAGE_DMP_Q_reg_5_ ( .D(n783), .CK(clk), .RN(n1791), .Q(
DMP_SFG[5]) );
DFFRX1TS SGF_STAGE_DMP_Q_reg_20_ ( .D(n738), .CK(clk), .RN(n1796), .Q(
DMP_SFG[20]) );
DFFRX2TS SHT2_STAGE_SHFTVARS1_Q_reg_3_ ( .D(n850), .CK(clk), .RN(n1784), .Q(
shift_value_SHT2_EWR[3]), .QN(n1729) );
DFFRX2TS SHT2_STAGE_SHFTVARS1_Q_reg_2_ ( .D(n851), .CK(clk), .RN(n1783), .Q(
shift_value_SHT2_EWR[2]), .QN(n1728) );
DFFRX1TS SHT1_STAGE_sft_amount_Q_reg_1_ ( .D(n846), .CK(clk), .RN(n1786),
.Q(Shift_amount_SHT1_EWR[1]) );
DFFRX1TS SGF_STAGE_DMP_Q_reg_22_ ( .D(n732), .CK(clk), .RN(n1797), .Q(
DMP_SFG[22]) );
DFFRX1TS SHT2_SHIFT_DATA_Q_reg_3_ ( .D(n855), .CK(clk), .RN(n1786), .Q(
Data_array_SWR[3]) );
DFFRX1TS SFT2FRMT_STAGE_VARS_Q_reg_4_ ( .D(n707), .CK(clk), .RN(n1816), .Q(
DMP_exp_NRM2_EW[4]) );
DFFRX1TS SFT2FRMT_STAGE_VARS_Q_reg_3_ ( .D(n712), .CK(clk), .RN(n1816), .Q(
DMP_exp_NRM2_EW[3]) );
DFFRX1TS SFT2FRMT_STAGE_VARS_Q_reg_2_ ( .D(n717), .CK(clk), .RN(n1816), .Q(
DMP_exp_NRM2_EW[2]) );
DFFRX1TS SFT2FRMT_STAGE_VARS_Q_reg_1_ ( .D(n722), .CK(clk), .RN(n1816), .Q(
DMP_exp_NRM2_EW[1]) );
DFFRX1TS EXP_STAGE_DmP_Q_reg_26_ ( .D(n642), .CK(clk), .RN(n1805), .Q(
DmP_EXP_EWSW[26]), .QN(n962) );
DFFRX1TS SHT2_SHIFT_DATA_Q_reg_10_ ( .D(n862), .CK(clk), .RN(n1786), .Q(
Data_array_SWR[10]), .QN(n961) );
DFFRX2TS INPUT_STAGE_OPERANDY_Q_reg_28_ ( .D(n881), .CK(clk), .RN(n1783),
.Q(intDY_EWSW[28]) );
DFFRX1TS SHT2_SHIFT_DATA_Q_reg_2_ ( .D(n854), .CK(clk), .RN(n1786), .Q(
Data_array_SWR[2]) );
DFFRX1TS SGF_STAGE_DMP_Q_reg_15_ ( .D(n753), .CK(clk), .RN(n1795), .Q(
DMP_SFG[15]) );
DFFRX1TS SGF_STAGE_DMP_Q_reg_18_ ( .D(n744), .CK(clk), .RN(n1796), .Q(
DMP_SFG[18]) );
DFFRX1TS SGF_STAGE_DMP_Q_reg_13_ ( .D(n759), .CK(clk), .RN(n1794), .Q(
DMP_SFG[13]) );
DFFRX1TS INPUT_STAGE_OPERANDY_Q_reg_31_ ( .D(n878), .CK(clk), .RN(n1783),
.Q(intDY_EWSW[31]) );
DFFRX1TS EXP_STAGE_DmP_Q_reg_25_ ( .D(n643), .CK(clk), .RN(n1805), .Q(
DmP_EXP_EWSW[25]), .QN(n1771) );
DFFRX2TS INPUT_STAGE_OPERANDX_Q_reg_1_ ( .D(n942), .CK(clk), .RN(n1777), .Q(
intDX_EWSW[1]) );
DFFRX2TS INPUT_STAGE_OPERANDX_Q_reg_12_ ( .D(n931), .CK(clk), .RN(n1778),
.Q(intDX_EWSW[12]) );
DFFRX2TS INPUT_STAGE_OPERANDX_Q_reg_20_ ( .D(n923), .CK(clk), .RN(n1779),
.Q(intDX_EWSW[20]) );
DFFRX2TS INPUT_STAGE_OPERANDX_Q_reg_14_ ( .D(n929), .CK(clk), .RN(n1778),
.Q(intDX_EWSW[14]) );
DFFRX2TS INPUT_STAGE_OPERANDX_Q_reg_22_ ( .D(n921), .CK(clk), .RN(n1779),
.Q(intDX_EWSW[22]) );
DFFRX2TS INPUT_STAGE_OPERANDX_Q_reg_10_ ( .D(n933), .CK(clk), .RN(n1778),
.Q(intDX_EWSW[10]) );
DFFRX2TS SHT2_SHIFT_DATA_Q_reg_12_ ( .D(n864), .CK(clk), .RN(n1785), .Q(
Data_array_SWR[12]), .QN(n1768) );
DFFRX2TS SHT2_SHIFT_DATA_Q_reg_9_ ( .D(n861), .CK(clk), .RN(n1786), .Q(
Data_array_SWR[9]) );
DFFRX2TS SHT2_SHIFT_DATA_Q_reg_17_ ( .D(n869), .CK(clk), .RN(n1785), .Q(
Data_array_SWR[17]) );
DFFRX2TS SHT2_SHIFT_DATA_Q_reg_21_ ( .D(n873), .CK(clk), .RN(n1784), .Q(
Data_array_SWR[21]) );
DFFRX1TS NRM_STAGE_Raw_mant_Q_reg_0_ ( .D(n602), .CK(clk), .RN(n1809), .Q(
Raw_mant_NRM_SWR[0]) );
DFFRX1TS SHT2_SHIFT_DATA_Q_reg_20_ ( .D(n872), .CK(clk), .RN(n1784), .Q(
Data_array_SWR[20]), .QN(n1772) );
DFFRX1TS SHT2_SHIFT_DATA_Q_reg_15_ ( .D(n867), .CK(clk), .RN(n1785), .Q(
Data_array_SWR[15]), .QN(n1766) );
DFFRX2TS NRM_STAGE_Raw_mant_Q_reg_8_ ( .D(n605), .CK(clk), .RN(n1808), .Q(
Raw_mant_NRM_SWR[8]) );
DFFRX1TS SHT2_SHIFT_DATA_Q_reg_14_ ( .D(n866), .CK(clk), .RN(n1785), .Q(
Data_array_SWR[14]), .QN(n1764) );
DFFRX1TS SHT1_STAGE_DmP_mant_Q_reg_3_ ( .D(n684), .CK(clk), .RN(n1801), .Q(
DmP_mant_SHT1_SW[3]) );
DFFRX1TS SHT1_STAGE_DmP_mant_Q_reg_8_ ( .D(n674), .CK(clk), .RN(n1802), .Q(
DmP_mant_SHT1_SW[8]) );
DFFRX1TS SHT1_STAGE_DmP_mant_Q_reg_10_ ( .D(n670), .CK(clk), .RN(n1802), .Q(
DmP_mant_SHT1_SW[10]) );
DFFRX1TS SHT1_STAGE_DmP_mant_Q_reg_12_ ( .D(n666), .CK(clk), .RN(n1803), .Q(
DmP_mant_SHT1_SW[12]) );
DFFRX1TS SHT1_STAGE_DmP_mant_Q_reg_18_ ( .D(n654), .CK(clk), .RN(n1804), .Q(
DmP_mant_SHT1_SW[18]) );
DFFRX1TS SHT1_STAGE_DmP_mant_Q_reg_14_ ( .D(n662), .CK(clk), .RN(n1803), .Q(
DmP_mant_SHT1_SW[14]) );
DFFRX1TS EXP_STAGE_DMP_Q_reg_27_ ( .D(n807), .CK(clk), .RN(n1788), .Q(
DMP_EXP_EWSW[27]) );
DFFRX1TS SHT1_STAGE_DmP_mant_Q_reg_15_ ( .D(n660), .CK(clk), .RN(n1803), .Q(
DmP_mant_SHT1_SW[15]) );
DFFRX1TS EXP_STAGE_DMP_Q_reg_25_ ( .D(n809), .CK(clk), .RN(n1787), .Q(
DMP_EXP_EWSW[25]), .QN(n1018) );
DFFRX1TS SHT1_STAGE_DmP_mant_Q_reg_0_ ( .D(n690), .CK(clk), .RN(n1800), .Q(
DmP_mant_SHT1_SW[0]) );
DFFRX1TS SHT1_STAGE_DmP_mant_Q_reg_1_ ( .D(n688), .CK(clk), .RN(n1800), .Q(
DmP_mant_SHT1_SW[1]) );
DFFRX1TS SHT1_STAGE_DmP_mant_Q_reg_5_ ( .D(n680), .CK(clk), .RN(n1801), .Q(
DmP_mant_SHT1_SW[5]) );
DFFRX1TS EXP_STAGE_DMP_Q_reg_26_ ( .D(n808), .CK(clk), .RN(n1178), .Q(
DMP_EXP_EWSW[26]), .QN(n1770) );
DFFRX1TS SHT1_STAGE_DmP_mant_Q_reg_21_ ( .D(n648), .CK(clk), .RN(n1804), .Q(
DmP_mant_SHT1_SW[21]) );
DFFRX1TS SHT1_STAGE_DmP_mant_Q_reg_2_ ( .D(n686), .CK(clk), .RN(n1801), .Q(
DmP_mant_SHT1_SW[2]) );
DFFRX1TS SHT1_STAGE_DmP_mant_Q_reg_6_ ( .D(n678), .CK(clk), .RN(n1801), .Q(
DmP_mant_SHT1_SW[6]) );
DFFRX1TS SHT1_STAGE_DmP_mant_Q_reg_9_ ( .D(n672), .CK(clk), .RN(n1802), .Q(
DmP_mant_SHT1_SW[9]) );
DFFRX1TS SHT1_STAGE_DmP_mant_Q_reg_11_ ( .D(n668), .CK(clk), .RN(n1802), .Q(
DmP_mant_SHT1_SW[11]) );
DFFRX1TS SHT1_STAGE_DmP_mant_Q_reg_13_ ( .D(n664), .CK(clk), .RN(n1803), .Q(
DmP_mant_SHT1_SW[13]) );
DFFRX1TS SHT1_STAGE_DmP_mant_Q_reg_20_ ( .D(n650), .CK(clk), .RN(n1804), .Q(
DmP_mant_SHT1_SW[20]) );
DFFRX1TS SHT1_STAGE_DmP_mant_Q_reg_4_ ( .D(n682), .CK(clk), .RN(n1801), .Q(
DmP_mant_SHT1_SW[4]) );
DFFRX1TS SHT1_STAGE_DmP_mant_Q_reg_19_ ( .D(n652), .CK(clk), .RN(n1804), .Q(
DmP_mant_SHT1_SW[19]) );
DFFRX1TS SHT1_STAGE_DmP_mant_Q_reg_7_ ( .D(n676), .CK(clk), .RN(n1802), .Q(
DmP_mant_SHT1_SW[7]) );
DFFRX1TS SHT1_STAGE_DmP_mant_Q_reg_16_ ( .D(n658), .CK(clk), .RN(n1803), .Q(
DmP_mant_SHT1_SW[16]) );
DFFRX1TS SHT1_STAGE_DmP_mant_Q_reg_17_ ( .D(n656), .CK(clk), .RN(n1804), .Q(
DmP_mant_SHT1_SW[17]) );
DFFRX1TS SHT1_STAGE_sft_amount_Q_reg_0_ ( .D(n847), .CK(clk), .RN(n1786),
.Q(Shift_amount_SHT1_EWR[0]) );
DFFRX1TS inst_ShiftRegister_Q_reg_3_ ( .D(n947), .CK(clk), .RN(n1776), .Q(
Shift_reg_FLAGS_7[3]) );
DFFRX1TS SGF_STAGE_DmP_mant_Q_reg_4_ ( .D(n592), .CK(clk), .RN(n1809), .Q(
DmP_mant_SFG_SWR[4]), .QN(n1016) );
DFFRX1TS EXP_STAGE_DmP_Q_reg_23_ ( .D(n645), .CK(clk), .RN(n1805), .Q(
DmP_EXP_EWSW[23]), .QN(n1011) );
DFFRX1TS SHT2_SHIFT_DATA_Q_reg_0_ ( .D(n852), .CK(clk), .RN(n1783), .Q(
Data_array_SWR[0]) );
DFFRX1TS SHT2_SHIFT_DATA_Q_reg_1_ ( .D(n853), .CK(clk), .RN(n1786), .Q(
Data_array_SWR[1]) );
DFFRX1TS EXP_STAGE_DmP_Q_reg_27_ ( .D(n641), .CK(clk), .RN(n1805), .Q(
DmP_EXP_EWSW[27]) );
DFFRX1TS SGF_STAGE_DmP_mant_Q_reg_7_ ( .D(n588), .CK(clk), .RN(n1810), .Q(
DmP_mant_SFG_SWR[7]), .QN(n1000) );
DFFRX1TS SGF_STAGE_DmP_mant_Q_reg_8_ ( .D(n606), .CK(clk), .RN(n1808), .Q(
DmP_mant_SFG_SWR[8]), .QN(n1014) );
DFFRX1TS SGF_STAGE_DmP_mant_Q_reg_6_ ( .D(n595), .CK(clk), .RN(n1809), .Q(
DmP_mant_SFG_SWR[6]), .QN(n1017) );
DFFRX1TS SGF_STAGE_DmP_mant_Q_reg_9_ ( .D(n586), .CK(clk), .RN(n1810), .Q(
DmP_mant_SFG_SWR[9]), .QN(n1001) );
DFFRX1TS SGF_STAGE_DmP_mant_Q_reg_10_ ( .D(n578), .CK(clk), .RN(n1811), .Q(
DmP_mant_SFG_SWR[10]), .QN(n1002) );
DFFRX1TS SGF_STAGE_DmP_mant_Q_reg_15_ ( .D(n554), .CK(clk), .RN(n1813), .Q(
DmP_mant_SFG_SWR[15]), .QN(n1003) );
DFFRX1TS SGF_STAGE_DmP_mant_Q_reg_16_ ( .D(n553), .CK(clk), .RN(n1813), .Q(
DmP_mant_SFG_SWR[16]), .QN(n1004) );
DFFRX1TS SGF_STAGE_DmP_mant_Q_reg_17_ ( .D(n552), .CK(clk), .RN(n1813), .Q(
DmP_mant_SFG_SWR[17]), .QN(n1005) );
DFFRX1TS SGF_STAGE_DmP_mant_Q_reg_18_ ( .D(n551), .CK(clk), .RN(n1813), .Q(
DmP_mant_SFG_SWR[18]), .QN(n1006) );
DFFRX1TS SGF_STAGE_DmP_mant_Q_reg_19_ ( .D(n550), .CK(clk), .RN(n1814), .Q(
DmP_mant_SFG_SWR[19]), .QN(n1007) );
DFFRX2TS NRM_STAGE_Raw_mant_Q_reg_15_ ( .D(n623), .CK(clk), .RN(n1807), .Q(
Raw_mant_NRM_SWR[15]) );
DFFRX2TS NRM_STAGE_Raw_mant_Q_reg_16_ ( .D(n622), .CK(clk), .RN(n1807), .Q(
Raw_mant_NRM_SWR[16]) );
DFFRX2TS NRM_STAGE_Raw_mant_Q_reg_17_ ( .D(n621), .CK(clk), .RN(n1807), .Q(
Raw_mant_NRM_SWR[17]), .QN(n1762) );
DFFRX2TS NRM_STAGE_Raw_mant_Q_reg_19_ ( .D(n619), .CK(clk), .RN(n1807), .Q(
Raw_mant_NRM_SWR[19]) );
DFFRX2TS NRM_STAGE_Raw_mant_Q_reg_20_ ( .D(n618), .CK(clk), .RN(n1807), .Q(
Raw_mant_NRM_SWR[20]) );
DFFRX2TS NRM_STAGE_Raw_mant_Q_reg_21_ ( .D(n617), .CK(clk), .RN(n1807), .Q(
Raw_mant_NRM_SWR[21]), .QN(n1763) );
DFFRX1TS NRM_STAGE_Raw_mant_Q_reg_10_ ( .D(n585), .CK(clk), .RN(n1810), .Q(
Raw_mant_NRM_SWR[10]), .QN(n1724) );
DFFRXLTS FRMT_STAGE_DATAOUT_Q_reg_7_ ( .D(n571), .CK(clk), .RN(n1811), .Q(
final_result_ieee[7]) );
DFFRXLTS FRMT_STAGE_DATAOUT_Q_reg_14_ ( .D(n570), .CK(clk), .RN(n1812), .Q(
final_result_ieee[14]) );
DFFRXLTS FRMT_STAGE_DATAOUT_Q_reg_5_ ( .D(n569), .CK(clk), .RN(n1812), .Q(
final_result_ieee[5]) );
DFFRXLTS FRMT_STAGE_DATAOUT_Q_reg_16_ ( .D(n568), .CK(clk), .RN(n1812), .Q(
final_result_ieee[16]) );
DFFRXLTS FRMT_STAGE_DATAOUT_Q_reg_3_ ( .D(n567), .CK(clk), .RN(n1812), .Q(
final_result_ieee[3]) );
DFFRXLTS FRMT_STAGE_DATAOUT_Q_reg_18_ ( .D(n566), .CK(clk), .RN(n1812), .Q(
final_result_ieee[18]) );
DFFRXLTS FRMT_STAGE_DATAOUT_Q_reg_19_ ( .D(n564), .CK(clk), .RN(n1812), .Q(
final_result_ieee[19]) );
DFFRXLTS FRMT_STAGE_DATAOUT_Q_reg_4_ ( .D(n563), .CK(clk), .RN(n1812), .Q(
final_result_ieee[4]) );
DFFRXLTS FRMT_STAGE_DATAOUT_Q_reg_17_ ( .D(n562), .CK(clk), .RN(n1812), .Q(
final_result_ieee[17]) );
DFFRXLTS FRMT_STAGE_DATAOUT_Q_reg_6_ ( .D(n559), .CK(clk), .RN(n1813), .Q(
final_result_ieee[6]) );
DFFRXLTS FRMT_STAGE_DATAOUT_Q_reg_15_ ( .D(n558), .CK(clk), .RN(n1813), .Q(
final_result_ieee[15]) );
DFFRXLTS FRMT_STAGE_DATAOUT_Q_reg_10_ ( .D(n583), .CK(clk), .RN(n1810), .Q(
final_result_ieee[10]) );
DFFRXLTS FRMT_STAGE_DATAOUT_Q_reg_9_ ( .D(n574), .CK(clk), .RN(n1811), .Q(
final_result_ieee[9]) );
DFFRXLTS FRMT_STAGE_DATAOUT_Q_reg_12_ ( .D(n573), .CK(clk), .RN(n1811), .Q(
final_result_ieee[12]) );
DFFRXLTS FRMT_STAGE_DATAOUT_Q_reg_11_ ( .D(n572), .CK(clk), .RN(n1811), .Q(
final_result_ieee[11]) );
DFFRXLTS FRMT_STAGE_DATAOUT_Q_reg_1_ ( .D(n561), .CK(clk), .RN(n1812), .Q(
final_result_ieee[1]) );
DFFRXLTS FRMT_STAGE_DATAOUT_Q_reg_0_ ( .D(n560), .CK(clk), .RN(n1813), .Q(
final_result_ieee[0]) );
DFFRXLTS FRMT_STAGE_DATAOUT_Q_reg_20_ ( .D(n557), .CK(clk), .RN(n1813), .Q(
final_result_ieee[20]) );
DFFRXLTS FRMT_STAGE_DATAOUT_Q_reg_31_ ( .D(n624), .CK(clk), .RN(n1814), .Q(
final_result_ieee[31]) );
DFFRX1TS SGF_STAGE_DmP_mant_Q_reg_12_ ( .D(n584), .CK(clk), .RN(n1810), .Q(
DmP_mant_SFG_SWR[12]) );
DFFRX1TS SFT2FRMT_STAGE_VARS_Q_reg_0_ ( .D(n727), .CK(clk), .RN(n1816), .Q(
DMP_exp_NRM2_EW[0]), .QN(n1720) );
DFFRX1TS SFT2FRMT_STAGE_VARS_Q_reg_7_ ( .D(n692), .CK(clk), .RN(n1816), .Q(
DMP_exp_NRM2_EW[7]), .QN(n1767) );
DFFRX1TS SFT2FRMT_STAGE_VARS_Q_reg_6_ ( .D(n697), .CK(clk), .RN(n1816), .Q(
DMP_exp_NRM2_EW[6]), .QN(n1740) );
DFFRX1TS SFT2FRMT_STAGE_VARS_Q_reg_5_ ( .D(n702), .CK(clk), .RN(n1816), .Q(
DMP_exp_NRM2_EW[5]), .QN(n1732) );
DFFRX1TS inst_FSM_INPUT_ENABLE_state_reg_reg_0_ ( .D(n951), .CK(clk), .RN(
n1776), .Q(inst_FSM_INPUT_ENABLE_state_reg[0]), .QN(n1739) );
DFFRX1TS NRM_STAGE_Raw_mant_Q_reg_4_ ( .D(n596), .CK(clk), .RN(n1809), .Q(
Raw_mant_NRM_SWR[4]), .QN(n1697) );
DFFRX1TS INPUT_STAGE_OPERANDY_Q_reg_29_ ( .D(n880), .CK(clk), .RN(n1783),
.Q(intDY_EWSW[29]), .QN(n1730) );
DFFRX1TS INPUT_STAGE_OPERANDY_Q_reg_10_ ( .D(n899), .CK(clk), .RN(n1781),
.Q(intDY_EWSW[10]), .QN(n1722) );
DFFRX1TS INPUT_STAGE_OPERANDY_Q_reg_0_ ( .D(n909), .CK(clk), .RN(n1780), .Q(
intDY_EWSW[0]), .QN(n1703) );
DFFRX1TS INPUT_STAGE_OPERANDY_Q_reg_9_ ( .D(n900), .CK(clk), .RN(n1781), .Q(
intDY_EWSW[9]), .QN(n1745) );
DFFRX1TS INPUT_STAGE_OPERANDY_Q_reg_2_ ( .D(n907), .CK(clk), .RN(n1780), .Q(
intDY_EWSW[2]), .QN(n1749) );
DFFRX1TS INPUT_STAGE_OPERANDY_Q_reg_16_ ( .D(n893), .CK(clk), .RN(n1782),
.Q(intDY_EWSW[16]), .QN(n1753) );
DFFRX1TS INPUT_STAGE_OPERANDY_Q_reg_6_ ( .D(n903), .CK(clk), .RN(n1781), .Q(
intDY_EWSW[6]), .QN(n1737) );
DFFRX1TS INPUT_STAGE_OPERANDX_Q_reg_30_ ( .D(n913), .CK(clk), .RN(n1780),
.Q(intDX_EWSW[30]), .QN(n1706) );
DFFRX1TS INPUT_STAGE_OPERANDX_Q_reg_29_ ( .D(n914), .CK(clk), .RN(n1779),
.Q(intDX_EWSW[29]), .QN(n1756) );
DFFRX1TS INPUT_STAGE_OPERANDY_Q_reg_4_ ( .D(n905), .CK(clk), .RN(n1780), .Q(
intDY_EWSW[4]), .QN(n1750) );
DFFRX1TS INPUT_STAGE_OPERANDY_Q_reg_7_ ( .D(n902), .CK(clk), .RN(n1781), .Q(
intDY_EWSW[7]), .QN(n1738) );
DFFRX1TS INPUT_STAGE_OPERANDY_Q_reg_5_ ( .D(n904), .CK(clk), .RN(n1781), .Q(
intDY_EWSW[5]), .QN(n1701) );
DFFRX1TS INPUT_STAGE_OPERANDY_Q_reg_19_ ( .D(n890), .CK(clk), .RN(n1782),
.Q(intDY_EWSW[19]), .QN(n1707) );
DFFRX1TS INPUT_STAGE_OPERANDY_Q_reg_24_ ( .D(n885), .CK(clk), .RN(n1782),
.Q(intDY_EWSW[24]), .QN(n1693) );
DFFRX1TS EXP_STAGE_DMP_Q_reg_24_ ( .D(n810), .CK(clk), .RN(n1792), .Q(
DMP_EXP_EWSW[24]), .QN(n1711) );
DFFRX1TS EXP_STAGE_DmP_Q_reg_24_ ( .D(n644), .CK(clk), .RN(n1805), .Q(
DmP_EXP_EWSW[24]), .QN(n1710) );
DFFRX1TS EXP_STAGE_DMP_Q_reg_23_ ( .D(n811), .CK(clk), .RN(n1789), .Q(
DMP_EXP_EWSW[23]) );
DFFRXLTS SFT2FRMT_STAGE_VARS_Q_reg_8_ ( .D(n593), .CK(clk), .RN(n1815), .Q(
LZD_output_NRM2_EW[0]), .QN(n954) );
CMPR32X2TS DP_OP_15J8_123_2691_U8 ( .A(n1721), .B(DMP_exp_NRM2_EW[1]), .C(
DP_OP_15J8_123_2691_n8), .CO(DP_OP_15J8_123_2691_n7), .S(
exp_rslt_NRM2_EW1[1]) );
CMPR32X2TS DP_OP_15J8_123_2691_U7 ( .A(n1735), .B(DMP_exp_NRM2_EW[2]), .C(
DP_OP_15J8_123_2691_n7), .CO(DP_OP_15J8_123_2691_n6), .S(
exp_rslt_NRM2_EW1[2]) );
CMPR32X2TS DP_OP_15J8_123_2691_U6 ( .A(n1734), .B(DMP_exp_NRM2_EW[3]), .C(
DP_OP_15J8_123_2691_n6), .CO(DP_OP_15J8_123_2691_n5), .S(
exp_rslt_NRM2_EW1[3]) );
CMPR32X2TS DP_OP_15J8_123_2691_U5 ( .A(n1733), .B(DMP_exp_NRM2_EW[4]), .C(
DP_OP_15J8_123_2691_n5), .CO(DP_OP_15J8_123_2691_n4), .S(
exp_rslt_NRM2_EW1[4]) );
AOI222X1TS U964 ( .A0(n1660), .A1(n955), .B0(n969), .B1(Data_array_SWR[7]),
.C0(n1659), .C1(n1601), .Y(n1658) );
INVX2TS U965 ( .A(n1339), .Y(n978) );
BUFX3TS U966 ( .A(n1389), .Y(n1343) );
CMPR32X2TS U967 ( .A(DMP_SFG[1]), .B(n1243), .C(n1557), .CO(n1570), .S(n1179) );
AOI222X4TS U968 ( .A0(Raw_mant_NRM_SWR[7]), .A1(n1375), .B0(n995), .B1(
DmP_mant_SHT1_SW[16]), .C0(n1133), .C1(DmP_mant_SHT1_SW[17]), .Y(n1340) );
BUFX3TS U969 ( .A(n1492), .Y(n1587) );
ADDFHX1TS U970 ( .A(n1140), .B(DMP_SFG[21]), .CI(n1139), .CO(n1257), .S(
n1141) );
CMPR32X2TS U971 ( .A(n1255), .B(DMP_SFG[20]), .C(n1254), .CO(n1139), .S(
n1256) );
NAND2X1TS U972 ( .A(Shift_reg_FLAGS_7[3]), .B(n1676), .Y(n1492) );
CMPR32X2TS U973 ( .A(n1106), .B(DMP_SFG[19]), .C(n1105), .CO(n1254), .S(
n1107) );
INVX2TS U974 ( .A(n982), .Y(n983) );
INVX2TS U975 ( .A(n1228), .Y(n1676) );
NAND2X2TS U976 ( .A(n1090), .B(n1089), .Y(n1091) );
AOI21X1TS U977 ( .A0(Shift_amount_SHT1_EWR[1]), .A1(n986), .B0(n1425), .Y(
n1263) );
CMPR32X2TS U978 ( .A(n1103), .B(DMP_SFG[18]), .C(n1102), .CO(n1105), .S(
n1104) );
NAND2X1TS U979 ( .A(n1740), .B(n1165), .Y(n1170) );
INVX2TS U980 ( .A(n1507), .Y(n1305) );
CMPR32X2TS U981 ( .A(n1146), .B(DMP_SFG[17]), .C(n1145), .CO(n1102), .S(
n1147) );
BUFX3TS U982 ( .A(Shift_reg_FLAGS_7_6), .Y(n1507) );
INVX2TS U983 ( .A(n1477), .Y(n963) );
CMPR32X2TS U984 ( .A(n1143), .B(DMP_SFG[16]), .C(n1142), .CO(n1145), .S(
n1144) );
NAND2X1TS U985 ( .A(n1732), .B(n1158), .Y(n1164) );
OAI21X1TS U986 ( .A0(n1114), .A1(n1420), .B0(n1113), .Y(n1248) );
CMPR32X2TS U987 ( .A(n1156), .B(DMP_SFG[15]), .C(n1155), .CO(n1142), .S(
n1157) );
CMPR32X2TS U988 ( .A(n1153), .B(DMP_SFG[14]), .C(n1152), .CO(n1155), .S(
n1154) );
INVX2TS U989 ( .A(n1818), .Y(n972) );
CMPR32X2TS U990 ( .A(DMP_SFG[13]), .B(n1149), .C(n1148), .CO(n1152), .S(
n1150) );
INVX2TS U991 ( .A(n981), .Y(n1513) );
INVX6TS U992 ( .A(OP_FLAG_SFG), .Y(n1549) );
NOR3X1TS U993 ( .A(Raw_mant_NRM_SWR[17]), .B(Raw_mant_NRM_SWR[15]), .C(
Raw_mant_NRM_SWR[16]), .Y(n1410) );
OAI211XLTS U994 ( .A0(n1743), .A1(intDX_EWSW[3]), .B0(n1043), .C0(n1042),
.Y(n1046) );
OAI21XLTS U995 ( .A0(intDX_EWSW[23]), .A1(n1757), .B0(intDX_EWSW[22]), .Y(
n1065) );
NOR2XLTS U996 ( .A(n1426), .B(exp_rslt_NRM2_EW1[1]), .Y(n1161) );
NOR2XLTS U997 ( .A(Raw_mant_NRM_SWR[23]), .B(Raw_mant_NRM_SWR[22]), .Y(n1118) );
NAND2X4TS U998 ( .A(n1127), .B(n1716), .Y(n1111) );
INVX2TS U999 ( .A(n1416), .Y(n1418) );
AOI222X1TS U1000 ( .A0(Raw_mant_NRM_SWR[2]), .A1(n1455), .B0(n994), .B1(
DmP_mant_SHT1_SW[21]), .C0(n1292), .C1(DmP_mant_SHT1_SW[22]), .Y(n1317) );
OAI21XLTS U1001 ( .A0(n1717), .A1(n1468), .B0(n1373), .Y(n1374) );
CLKINVX3TS U1002 ( .A(n1339), .Y(n977) );
NOR2XLTS U1003 ( .A(n1647), .B(n1646), .Y(n1651) );
NOR2XLTS U1004 ( .A(n1509), .B(SIGN_FLAG_SHT1SHT2), .Y(n1173) );
AND2X2TS U1005 ( .A(beg_OP), .B(n1438), .Y(n1441) );
AOI31XLTS U1006 ( .A0(busy), .A1(Shift_amount_SHT1_EWR[4]), .A2(n986), .B0(
n1407), .Y(n1253) );
OAI21XLTS U1007 ( .A0(n1434), .A1(n1138), .B0(n1431), .Y(n951) );
OAI211XLTS U1008 ( .A0(n1303), .A1(n991), .B0(n1302), .C0(n1301), .Y(n853)
);
OAI21XLTS U1009 ( .A0(n1755), .A1(n1508), .B0(n1394), .Y(n807) );
OAI211XLTS U1010 ( .A0(n1327), .A1(n990), .B0(n1286), .C0(n1285), .Y(n873)
);
OAI211XLTS U1011 ( .A0(n1330), .A1(n990), .B0(n1329), .C0(n1328), .Y(n871)
);
OAI211XLTS U1012 ( .A0(n1355), .A1(n991), .B0(n1351), .C0(n1350), .Y(n857)
);
OAI21XLTS U1013 ( .A0(n1705), .A1(n1506), .B0(n1278), .Y(n647) );
OAI21XLTS U1014 ( .A0(n1745), .A1(n1332), .B0(n1331), .Y(n673) );
OAI21XLTS U1015 ( .A0(n1705), .A1(n1508), .B0(n1391), .Y(n812) );
OAI211X1TS U1016 ( .A0(n1295), .A1(n990), .B0(n1294), .C0(n1293), .Y(n869)
);
AOI21X1TS U1017 ( .A0(n1476), .A1(Data_array_SWR[8]), .B0(n1377), .Y(n1378)
);
OAI211X1TS U1018 ( .A0(n1287), .A1(n990), .B0(n1284), .C0(n1283), .Y(n865)
);
AOI2BB2X1TS U1019 ( .B0(Raw_mant_NRM_SWR[3]), .B1(n978), .A0N(n1317), .A1N(
n987), .Y(n1285) );
AOI2BB2X1TS U1020 ( .B0(Raw_mant_NRM_SWR[7]), .B1(n977), .A0N(n1330), .A1N(
n987), .Y(n1293) );
AOI2BB2X1TS U1021 ( .B0(Raw_mant_NRM_SWR[5]), .B1(n978), .A0N(n1327), .A1N(
n987), .Y(n1328) );
CLKINVX6TS U1022 ( .A(n1468), .Y(n1457) );
INVX4TS U1023 ( .A(n1360), .Y(n988) );
AO22X1TS U1024 ( .A0(n1224), .A1(n1223), .B0(n1676), .B1(
final_result_ieee[30]), .Y(n835) );
AO22X1TS U1025 ( .A0(n1652), .A1(Raw_mant_NRM_SWR[21]), .B0(n1654), .B1(
n1107), .Y(n617) );
INVX4TS U1026 ( .A(n958), .Y(n1506) );
AND2X2TS U1027 ( .A(n1767), .B(n1171), .Y(n1172) );
AO22X1TS U1028 ( .A0(n1574), .A1(n1147), .B0(n1519), .B1(
Raw_mant_NRM_SWR[19]), .Y(n619) );
INVX2TS U1029 ( .A(n1170), .Y(n1171) );
AO22X1TS U1030 ( .A0(n1492), .A1(DmP_mant_SFG_SWR[23]), .B0(n1516), .B1(
n1230), .Y(n546) );
NAND2X6TS U1031 ( .A(n1129), .B(n1714), .Y(n1245) );
AO22X1TS U1032 ( .A0(n1492), .A1(DmP_mant_SFG_SWR[22]), .B0(n1516), .B1(
n1231), .Y(n547) );
OAI21X1TS U1033 ( .A0(n1644), .A1(n1605), .B0(n1642), .Y(n1606) );
NOR2X1TS U1034 ( .A(n1591), .B(n1581), .Y(n1562) );
INVX4TS U1035 ( .A(n1525), .Y(n1691) );
NOR2X1TS U1036 ( .A(n1569), .B(n1568), .Y(n1573) );
OAI32X1TS U1037 ( .A0(n1050), .A1(n1049), .A2(n1048), .B0(n1047), .B1(n1049),
.Y(n1051) );
NAND2X4TS U1038 ( .A(n1408), .B(n1717), .Y(n1109) );
BUFX3TS U1039 ( .A(n1133), .Y(n1292) );
INVX4TS U1040 ( .A(n1549), .Y(n1602) );
NOR2X1TS U1041 ( .A(n1076), .B(intDY_EWSW[24]), .Y(n1077) );
INVX4TS U1042 ( .A(n1688), .Y(n982) );
NOR2X1TS U1043 ( .A(n1021), .B(intDY_EWSW[10]), .Y(n1022) );
OAI21X1TS U1044 ( .A0(intDX_EWSW[21]), .A1(n1747), .B0(intDX_EWSW[20]), .Y(
n1058) );
OAI21X1TS U1045 ( .A0(n1472), .A1(n988), .B0(n1378), .Y(n860) );
OAI211X1TS U1046 ( .A0(n1376), .A1(n988), .B0(n1271), .C0(n1270), .Y(n858)
);
OAI21X1TS U1047 ( .A0(n1454), .A1(n987), .B0(n1319), .Y(n875) );
OAI211X1TS U1048 ( .A0(n1366), .A1(n991), .B0(n1362), .C0(n1361), .Y(n856)
);
OAI21X1TS U1049 ( .A0(n1461), .A1(n988), .B0(n1342), .Y(n870) );
OAI21X1TS U1050 ( .A0(n1465), .A1(n990), .B0(n1266), .Y(n868) );
OAI211X1TS U1051 ( .A0(n1356), .A1(n991), .B0(n1289), .C0(n1288), .Y(n863)
);
OAI2BB2X1TS U1052 ( .B0(n1376), .B1(n989), .A0N(Raw_mant_NRM_SWR[16]), .A1N(
n977), .Y(n1377) );
AOI222X1TS U1053 ( .A0(Raw_mant_NRM_SWR[16]), .A1(n1375), .B0(n995), .B1(
DmP_mant_SHT1_SW[7]), .C0(n1292), .C1(DmP_mant_SHT1_SW[8]), .Y(n1370)
);
AOI222X1TS U1054 ( .A0(Raw_mant_NRM_SWR[21]), .A1(n1375), .B0(n996), .B1(
DmP_mant_SHT1_SW[2]), .C0(n1292), .C1(DmP_mant_SHT1_SW[3]), .Y(n1366)
);
OAI21X1TS U1055 ( .A0(n1765), .A1(n1261), .B0(n1458), .Y(n1459) );
AOI222X1TS U1056 ( .A0(Raw_mant_NRM_SWR[20]), .A1(n1375), .B0(n994), .B1(
DmP_mant_SHT1_SW[3]), .C0(n1292), .C1(DmP_mant_SHT1_SW[4]), .Y(n1355)
);
INVX6TS U1057 ( .A(n1261), .Y(n1375) );
INVX6TS U1058 ( .A(n1261), .Y(n1470) );
OAI21X1TS U1059 ( .A0(n1714), .A1(n1468), .B0(n1467), .Y(n1469) );
OAI21X1TS U1060 ( .A0(n1724), .A1(n1468), .B0(n1463), .Y(n1464) );
NAND2X4TS U1061 ( .A(n1264), .B(n981), .Y(n1468) );
OAI21X1TS U1062 ( .A0(n1477), .A1(n1820), .B0(n1253), .Y(n848) );
OAI211X2TS U1063 ( .A0(Raw_mant_NRM_SWR[1]), .A1(n1251), .B0(n1128), .C0(
n1413), .Y(n1423) );
XNOR2X2TS U1064 ( .A(n1100), .B(n1099), .Y(n1101) );
NOR2X2TS U1065 ( .A(n1132), .B(n985), .Y(n1425) );
AO22X1TS U1066 ( .A0(n1628), .A1(Raw_mant_NRM_SWR[23]), .B0(n1619), .B1(
n1141), .Y(n615) );
AO22X1TS U1067 ( .A0(n1634), .A1(n1231), .B0(final_result_ieee[20]), .B1(
n1511), .Y(n557) );
OAI21X1TS U1068 ( .A0(n1707), .A1(n1399), .B0(n1387), .Y(n815) );
AO22X1TS U1069 ( .A0(n1634), .A1(n1552), .B0(final_result_ieee[0]), .B1(
n1511), .Y(n560) );
OAI21X1TS U1070 ( .A0(n1759), .A1(n1399), .B0(n1388), .Y(n816) );
AO22X1TS U1071 ( .A0(n1634), .A1(n1553), .B0(final_result_ieee[1]), .B1(
n1511), .Y(n561) );
OAI21X1TS U1072 ( .A0(n1754), .A1(n1399), .B0(n1393), .Y(n814) );
OAI21X1TS U1073 ( .A0(n1744), .A1(n1399), .B0(n1392), .Y(n817) );
AO22X1TS U1074 ( .A0(n1634), .A1(n1610), .B0(final_result_ieee[11]), .B1(
n1511), .Y(n572) );
AO22X1TS U1075 ( .A0(n1634), .A1(n1520), .B0(final_result_ieee[12]), .B1(
n1511), .Y(n573) );
OAI21X1TS U1076 ( .A0(n1701), .A1(n1386), .B0(n1296), .Y(n829) );
OAI21X1TS U1077 ( .A0(n1751), .A1(n1386), .B0(n1385), .Y(n822) );
OAI21X1TS U1078 ( .A0(n1737), .A1(n1386), .B0(n1297), .Y(n828) );
AO22X1TS U1079 ( .A0(n1634), .A1(n1622), .B0(final_result_ieee[9]), .B1(
n1511), .Y(n574) );
AO22X1TS U1080 ( .A0(n1634), .A1(n1609), .B0(final_result_ieee[10]), .B1(
n1511), .Y(n583) );
OAI21X1TS U1081 ( .A0(n1819), .A1(n1274), .B0(n1273), .Y(n833) );
OAI21X1TS U1082 ( .A0(n1747), .A1(n1399), .B0(n1398), .Y(n813) );
OAI21X1TS U1083 ( .A0(n1754), .A1(n1506), .B0(n1279), .Y(n651) );
AO22X1TS U1084 ( .A0(n1634), .A1(n1230), .B0(final_result_ieee[21]), .B1(
n1511), .Y(n556) );
OAI21X1TS U1085 ( .A0(n1703), .A1(n1508), .B0(n1291), .Y(n834) );
OAI21X1TS U1086 ( .A0(n1743), .A1(n1386), .B0(n1290), .Y(n831) );
OAI21X1TS U1087 ( .A0(n1749), .A1(n1274), .B0(n1272), .Y(n832) );
OAI21X1TS U1088 ( .A0(n1758), .A1(n1506), .B0(n1275), .Y(n806) );
OAI21X1TS U1089 ( .A0(n1756), .A1(n1401), .B0(n1344), .Y(n805) );
OAI21X1TS U1090 ( .A0(n1747), .A1(n1506), .B0(n1277), .Y(n649) );
OAI21X1TS U1091 ( .A0(n1750), .A1(n1386), .B0(n1298), .Y(n830) );
INVX1TS U1092 ( .A(n1430), .Y(n1224) );
OAI21X1TS U1093 ( .A0(n1746), .A1(n1336), .B0(n1334), .Y(n665) );
OAI21X1TS U1094 ( .A0(n1753), .A1(n1336), .B0(n1321), .Y(n659) );
OAI21X1TS U1095 ( .A0(n1703), .A1(n1401), .B0(n1347), .Y(n691) );
OAI21X1TS U1096 ( .A0(n1752), .A1(n1336), .B0(n1323), .Y(n663) );
OAI21X1TS U1097 ( .A0(n1819), .A1(n1332), .B0(n1316), .Y(n689) );
OAI21X1TS U1098 ( .A0(n1731), .A1(n1336), .B0(n1324), .Y(n669) );
OAI21X1TS U1099 ( .A0(n1738), .A1(n1332), .B0(n1320), .Y(n677) );
OAI21X1TS U1100 ( .A0(n1722), .A1(n1332), .B0(n1322), .Y(n671) );
OAI21X1TS U1101 ( .A0(n1748), .A1(n1332), .B0(n1325), .Y(n675) );
OAI21X1TS U1102 ( .A0(n1751), .A1(n1336), .B0(n1326), .Y(n667) );
INVX2TS U1103 ( .A(n1307), .Y(n1336) );
INVX2TS U1104 ( .A(n1307), .Y(n1332) );
OAI21X1TS U1105 ( .A0(n1706), .A1(n1401), .B0(n1346), .Y(n804) );
BUFX4TS U1106 ( .A(n1389), .Y(n1311) );
OAI21X1TS U1107 ( .A0(n1405), .A1(n1491), .B0(n1401), .Y(n1403) );
AO22X1TS U1108 ( .A0(n1611), .A1(n1609), .B0(n1621), .B1(
DmP_mant_SFG_SWR[12]), .Y(n584) );
OR2X2TS U1109 ( .A(n1166), .B(n1223), .Y(n956) );
CLKAND2X2TS U1110 ( .A(n1223), .B(n1168), .Y(n1169) );
AO22X1TS U1111 ( .A0(n1686), .A1(n1622), .B0(n1621), .B1(
DmP_mant_SFG_SWR[11]), .Y(n580) );
AO22X1TS U1112 ( .A0(n1611), .A1(n1610), .B0(n1621), .B1(
DmP_mant_SFG_SWR[13]), .Y(n582) );
AO22X1TS U1113 ( .A0(n1611), .A1(n1520), .B0(n1621), .B1(
DmP_mant_SFG_SWR[14]), .Y(n612) );
AOI222X1TS U1114 ( .A0(n1673), .A1(n982), .B0(n969), .B1(Data_array_SWR[8]),
.C0(n1672), .C1(n1601), .Y(n1670) );
AOI222X1TS U1115 ( .A0(n1657), .A1(n982), .B0(n969), .B1(Data_array_SWR[9]),
.C0(n1656), .C1(n1601), .Y(n1655) );
NAND2BX1TS U1116 ( .AN(n1428), .B(n1163), .Y(n1166) );
AOI222X1TS U1117 ( .A0(n1666), .A1(n982), .B0(n969), .B1(Data_array_SWR[4]),
.C0(n1665), .C1(n1601), .Y(n1664) );
AOI222X1TS U1118 ( .A0(n1663), .A1(n955), .B0(n969), .B1(Data_array_SWR[5]),
.C0(n1662), .C1(n1601), .Y(n1661) );
AOI222X1TS U1119 ( .A0(n1669), .A1(n982), .B0(n969), .B1(Data_array_SWR[6]),
.C0(n1668), .C1(n1601), .Y(n1667) );
NOR2X1TS U1120 ( .A(n1162), .B(n1427), .Y(n1163) );
AOI222X1TS U1121 ( .A0(n1673), .A1(n983), .B0(Data_array_SWR[8]), .B1(n971),
.C0(n1672), .C1(n1671), .Y(n1680) );
OAI21X1TS U1122 ( .A0(n1649), .A1(n1625), .B0(n1648), .Y(n1626) );
AOI31X1TS U1123 ( .A0(n1124), .A1(Raw_mant_NRM_SWR[16]), .A2(n1762), .B0(
n1123), .Y(n1131) );
AOI222X1TS U1124 ( .A0(n1666), .A1(n983), .B0(Data_array_SWR[4]), .B1(n971),
.C0(n1665), .C1(n1671), .Y(n1684) );
AOI222X1TS U1125 ( .A0(n1663), .A1(n1688), .B0(Data_array_SWR[5]), .B1(n970),
.C0(n1662), .C1(n1671), .Y(n1683) );
AOI222X1TS U1126 ( .A0(n1669), .A1(n1688), .B0(Data_array_SWR[6]), .B1(n971),
.C0(n1668), .C1(n1671), .Y(n1682) );
OAI21X1TS U1127 ( .A0(n1057), .A1(n1056), .B0(n1055), .Y(n1071) );
AOI222X1TS U1128 ( .A0(n1660), .A1(n983), .B0(Data_array_SWR[7]), .B1(n971),
.C0(n1659), .C1(n1671), .Y(n1681) );
AOI31X1TS U1129 ( .A0(n1761), .A1(n1122), .A2(n1121), .B0(
Raw_mant_NRM_SWR[25]), .Y(n1123) );
AO22XLTS U1130 ( .A0(n1441), .A1(Data_X[14]), .B0(n1452), .B1(intDX_EWSW[14]), .Y(n929) );
AO22XLTS U1131 ( .A0(n1440), .A1(Data_X[15]), .B0(n1452), .B1(intDX_EWSW[15]), .Y(n928) );
NAND4BX1TS U1132 ( .AN(exp_rslt_NRM2_EW1[4]), .B(n1161), .C(n1160), .D(n1159), .Y(n1162) );
NOR2X1TS U1133 ( .A(n1647), .B(n1645), .Y(n1607) );
AO22XLTS U1134 ( .A0(n1449), .A1(add_subt), .B0(n1442), .B1(intAS), .Y(n911)
);
OAI2BB2X1TS U1135 ( .B0(n1098), .B1(n1097), .A0N(DMP_SFG[12]), .A1N(n1522),
.Y(n1148) );
INVX3TS U1136 ( .A(n1587), .Y(n1686) );
AND2X6TS U1137 ( .A(n1409), .B(n1410), .Y(n1408) );
OAI211X1TS U1138 ( .A0(intDX_EWSW[8]), .A1(n1748), .B0(n1036), .C0(n1035),
.Y(n1037) );
OR2X2TS U1139 ( .A(shift_value_SHT2_EWR[4]), .B(n1225), .Y(n960) );
NAND2X2TS U1140 ( .A(n1416), .B(n1419), .Y(n1110) );
OR2X2TS U1141 ( .A(n981), .B(Shift_amount_SHT1_EWR[0]), .Y(n959) );
NAND3X1TS U1142 ( .A(n1741), .B(n1078), .C(intDX_EWSW[26]), .Y(n1080) );
NOR2BX4TS U1143 ( .AN(Shift_amount_SHT1_EWR[0]), .B(n981), .Y(n1133) );
OAI211X2TS U1144 ( .A0(intDX_EWSW[12]), .A1(n1751), .B0(n1032), .C0(n1023),
.Y(n1034) );
OAI211X2TS U1145 ( .A0(intDX_EWSW[20]), .A1(n1754), .B0(n1069), .C0(n1053),
.Y(n1064) );
NAND2BX1TS U1146 ( .AN(intDX_EWSW[27]), .B(intDY_EWSW[27]), .Y(n1078) );
NOR2X1TS U1147 ( .A(inst_FSM_INPUT_ENABLE_state_reg[2]), .B(
inst_FSM_INPUT_ENABLE_state_reg[1]), .Y(n1433) );
NAND2BX1TS U1148 ( .AN(intDY_EWSW[27]), .B(intDX_EWSW[27]), .Y(n1079) );
OAI21X1TS U1149 ( .A0(intDX_EWSW[15]), .A1(n1704), .B0(intDX_EWSW[14]), .Y(
n1028) );
INVX4TS U1150 ( .A(n953), .Y(n981) );
NAND2BX1TS U1151 ( .AN(intDX_EWSW[9]), .B(intDY_EWSW[9]), .Y(n1036) );
OAI21X1TS U1152 ( .A0(Raw_mant_NRM_SWR[3]), .A1(n1727), .B0(n1697), .Y(n1126) );
NAND2BX1TS U1153 ( .AN(intDX_EWSW[21]), .B(intDY_EWSW[21]), .Y(n1053) );
NAND2BX1TS U1154 ( .AN(intDX_EWSW[19]), .B(intDY_EWSW[19]), .Y(n1061) );
NAND2BX1TS U1155 ( .AN(intDX_EWSW[13]), .B(intDY_EWSW[13]), .Y(n1023) );
NAND3X1TS U1156 ( .A(inst_FSM_INPUT_ENABLE_state_reg[2]), .B(n1700), .C(
n1739), .Y(n1431) );
NAND2BX1TS U1157 ( .AN(intDX_EWSW[24]), .B(intDY_EWSW[24]), .Y(n1072) );
AO22X4TS U1158 ( .A0(n1574), .A1(n1101), .B0(n1519), .B1(
Raw_mant_NRM_SWR[25]), .Y(n613) );
ADDFHX2TS U1159 ( .A(n1258), .B(DMP_SFG[22]), .CI(n1257), .CO(n1099), .S(
n1259) );
NOR2X2TS U1160 ( .A(DMP_SFG[12]), .B(n1522), .Y(n1097) );
AOI222X2TS U1161 ( .A0(n1613), .A1(DMP_SFG[11]), .B0(n1613), .B1(n1096),
.C0(DMP_SFG[11]), .C1(n1096), .Y(n1098) );
NOR3X8TS U1162 ( .A(Raw_mant_NRM_SWR[9]), .B(Raw_mant_NRM_SWR[8]), .C(n1245),
.Y(n1112) );
AOI222X4TS U1163 ( .A0(Raw_mant_NRM_SWR[17]), .A1(n1375), .B0(n996), .B1(
DmP_mant_SHT1_SW[6]), .C0(n1133), .C1(DmP_mant_SHT1_SW[7]), .Y(n1376)
);
NOR2X8TS U1164 ( .A(Raw_mant_NRM_SWR[10]), .B(n1111), .Y(n1129) );
NAND2X4TS U1165 ( .A(n1112), .B(n1715), .Y(n1244) );
AOI211X1TS U1166 ( .A0(intDY_EWSW[28]), .A1(n1758), .B0(n1085), .C0(n1083),
.Y(n1087) );
NOR3X1TS U1167 ( .A(Raw_mant_NRM_SWR[12]), .B(n1724), .C(n1111), .Y(n1415)
);
NAND2X1TS U1168 ( .A(Raw_mant_NRM_SWR[1]), .B(n1250), .Y(n1412) );
NAND2BXLTS U1169 ( .AN(intDY_EWSW[9]), .B(intDX_EWSW[9]), .Y(n1025) );
NAND2BXLTS U1170 ( .AN(intDX_EWSW[2]), .B(intDY_EWSW[2]), .Y(n1042) );
INVX2TS U1171 ( .A(n1033), .Y(n1057) );
NOR2BX1TS U1172 ( .AN(n1052), .B(n1051), .Y(n1056) );
NOR2BX1TS U1173 ( .AN(n1038), .B(n1037), .Y(n1052) );
NOR2BX1TS U1174 ( .AN(n1054), .B(n1059), .Y(n1055) );
NOR4BBX2TS U1175 ( .AN(n1121), .BN(n1120), .C(n1248), .D(n1119), .Y(n1132)
);
AOI31XLTS U1176 ( .A0(n1714), .A1(Raw_mant_NRM_SWR[11]), .A2(n1127), .B0(
n1125), .Y(n1120) );
AOI2BB2X1TS U1177 ( .B0(n1088), .B1(n1087), .A0N(n1086), .A1N(n1085), .Y(
n1089) );
NAND2X2TS U1178 ( .A(n1075), .B(n1074), .Y(n1090) );
OAI21XLTS U1179 ( .A0(n1581), .A1(n1558), .B0(n1579), .Y(n1538) );
CLKAND2X2TS U1180 ( .A(DMP_SFG[5]), .B(n1537), .Y(n1588) );
AOI2BB1XLTS U1181 ( .A0N(n1645), .A1N(n1642), .B0(n1647), .Y(n1625) );
AOI31X1TS U1182 ( .A0(n1571), .A1(DMP_SFG[2]), .A2(n1559), .B0(n1569), .Y(
n1580) );
OAI2BB1X2TS U1183 ( .A0N(n1169), .A1N(n1172), .B0(n1775), .Y(n1430) );
NOR2XLTS U1184 ( .A(Raw_mant_NRM_SWR[9]), .B(Raw_mant_NRM_SWR[8]), .Y(n1246)
);
NAND4XLTS U1185 ( .A(n1193), .B(n1192), .C(n1191), .D(n1190), .Y(n1221) );
NAND4XLTS U1186 ( .A(n1217), .B(n1216), .C(n1215), .D(n1214), .Y(n1218) );
NAND4XLTS U1187 ( .A(n1209), .B(n1208), .C(n1207), .D(n1206), .Y(n1219) );
BUFX3TS U1188 ( .A(n958), .Y(n1397) );
INVX2TS U1189 ( .A(n1343), .Y(n1399) );
BUFX3TS U1190 ( .A(n958), .Y(n1384) );
CLKBUFX2TS U1191 ( .A(n958), .Y(n1307) );
INVX2TS U1192 ( .A(n1343), .Y(n1386) );
AO22XLTS U1193 ( .A0(n1440), .A1(Data_X[10]), .B0(n1439), .B1(intDX_EWSW[10]), .Y(n933) );
AO22XLTS U1194 ( .A0(n1440), .A1(Data_X[22]), .B0(n1442), .B1(intDX_EWSW[22]), .Y(n921) );
AO22XLTS U1195 ( .A0(n1449), .A1(Data_X[20]), .B0(n1452), .B1(intDX_EWSW[20]), .Y(n923) );
AO22XLTS U1196 ( .A0(n1440), .A1(Data_X[12]), .B0(n1452), .B1(intDX_EWSW[12]), .Y(n931) );
AO22XLTS U1197 ( .A0(n1449), .A1(Data_X[31]), .B0(n1442), .B1(intDX_EWSW[31]), .Y(n912) );
AO22XLTS U1198 ( .A0(n1440), .A1(Data_X[19]), .B0(n1452), .B1(intDX_EWSW[19]), .Y(n924) );
AO22XLTS U1199 ( .A0(n1451), .A1(Data_X[18]), .B0(n1452), .B1(intDX_EWSW[18]), .Y(n925) );
AO22XLTS U1200 ( .A0(n1451), .A1(Data_X[2]), .B0(n1439), .B1(intDX_EWSW[2]),
.Y(n941) );
AO22XLTS U1201 ( .A0(n1449), .A1(Data_X[9]), .B0(n1439), .B1(intDX_EWSW[9]),
.Y(n934) );
AO22XLTS U1202 ( .A0(n1440), .A1(Data_X[11]), .B0(n1439), .B1(intDX_EWSW[11]), .Y(n932) );
AO22XLTS U1203 ( .A0(n1449), .A1(Data_X[8]), .B0(n1439), .B1(intDX_EWSW[8]),
.Y(n935) );
AO22XLTS U1204 ( .A0(n1440), .A1(Data_X[17]), .B0(n1452), .B1(intDX_EWSW[17]), .Y(n926) );
AO22XLTS U1205 ( .A0(n1440), .A1(Data_X[13]), .B0(n1452), .B1(intDX_EWSW[13]), .Y(n930) );
AO22XLTS U1206 ( .A0(n1449), .A1(Data_X[21]), .B0(n1442), .B1(intDX_EWSW[21]), .Y(n922) );
AO22XLTS U1207 ( .A0(n1448), .A1(intDY_EWSW[19]), .B0(n1445), .B1(Data_Y[19]), .Y(n890) );
AO22XLTS U1208 ( .A0(n1443), .A1(intDY_EWSW[5]), .B0(n1446), .B1(Data_Y[5]),
.Y(n904) );
AO22XLTS U1209 ( .A0(n1443), .A1(intDY_EWSW[7]), .B0(n1446), .B1(Data_Y[7]),
.Y(n902) );
AO22XLTS U1210 ( .A0(n1443), .A1(intDY_EWSW[4]), .B0(n1445), .B1(Data_Y[4]),
.Y(n905) );
AO22XLTS U1211 ( .A0(n1443), .A1(intDX_EWSW[29]), .B0(n1445), .B1(Data_X[29]), .Y(n914) );
AO22XLTS U1212 ( .A0(n1443), .A1(intDX_EWSW[30]), .B0(n1445), .B1(Data_X[30]), .Y(n913) );
AO22XLTS U1213 ( .A0(n1443), .A1(intDY_EWSW[6]), .B0(n1446), .B1(Data_Y[6]),
.Y(n903) );
AO22XLTS U1214 ( .A0(n1444), .A1(intDY_EWSW[16]), .B0(n1447), .B1(Data_Y[16]), .Y(n893) );
AO22XLTS U1215 ( .A0(n1443), .A1(intDY_EWSW[2]), .B0(n1445), .B1(Data_Y[2]),
.Y(n907) );
AO22XLTS U1216 ( .A0(n1444), .A1(intDY_EWSW[9]), .B0(n1445), .B1(Data_Y[9]),
.Y(n900) );
AO22XLTS U1217 ( .A0(n1443), .A1(intDY_EWSW[0]), .B0(n1445), .B1(Data_Y[0]),
.Y(n909) );
AO22XLTS U1218 ( .A0(n1443), .A1(intDY_EWSW[1]), .B0(n1445), .B1(Data_Y[1]),
.Y(n908) );
AO22XLTS U1219 ( .A0(n1444), .A1(intDY_EWSW[10]), .B0(n1447), .B1(Data_Y[10]), .Y(n899) );
AO22XLTS U1220 ( .A0(n1451), .A1(Data_X[3]), .B0(n1439), .B1(intDX_EWSW[3]),
.Y(n940) );
AO22XLTS U1221 ( .A0(n1451), .A1(Data_X[4]), .B0(n1439), .B1(intDX_EWSW[4]),
.Y(n939) );
AO22XLTS U1222 ( .A0(n1446), .A1(Data_X[5]), .B0(n1439), .B1(intDX_EWSW[5]),
.Y(n938) );
AO22XLTS U1223 ( .A0(n1446), .A1(Data_X[6]), .B0(n1439), .B1(intDX_EWSW[6]),
.Y(n937) );
AO22XLTS U1224 ( .A0(n1449), .A1(Data_X[7]), .B0(n1439), .B1(intDX_EWSW[7]),
.Y(n936) );
AO22XLTS U1225 ( .A0(n1440), .A1(Data_X[16]), .B0(n1452), .B1(intDX_EWSW[16]), .Y(n927) );
AO22XLTS U1226 ( .A0(n1448), .A1(intDY_EWSW[18]), .B0(n1445), .B1(Data_Y[18]), .Y(n891) );
AO22XLTS U1227 ( .A0(n1448), .A1(intDY_EWSW[20]), .B0(n1446), .B1(Data_Y[20]), .Y(n889) );
AO22XLTS U1228 ( .A0(n1448), .A1(intDY_EWSW[21]), .B0(n1453), .B1(Data_Y[21]), .Y(n888) );
AO22XLTS U1229 ( .A0(n1448), .A1(intDY_EWSW[22]), .B0(n1453), .B1(Data_Y[22]), .Y(n887) );
AO22XLTS U1230 ( .A0(n1443), .A1(intDY_EWSW[3]), .B0(n1445), .B1(Data_Y[3]),
.Y(n906) );
AO22XLTS U1231 ( .A0(n1444), .A1(intDY_EWSW[8]), .B0(n1446), .B1(Data_Y[8]),
.Y(n901) );
AO22XLTS U1232 ( .A0(n1444), .A1(intDY_EWSW[11]), .B0(n1447), .B1(Data_Y[11]), .Y(n898) );
AO22XLTS U1233 ( .A0(n1444), .A1(intDY_EWSW[15]), .B0(n1447), .B1(Data_Y[15]), .Y(n894) );
AO22XLTS U1234 ( .A0(n1444), .A1(intDY_EWSW[17]), .B0(n1447), .B1(Data_Y[17]), .Y(n892) );
AO22XLTS U1235 ( .A0(n1444), .A1(intDY_EWSW[12]), .B0(n1447), .B1(Data_Y[12]), .Y(n897) );
AO22XLTS U1236 ( .A0(n1444), .A1(intDY_EWSW[13]), .B0(n1447), .B1(Data_Y[13]), .Y(n896) );
AO22XLTS U1237 ( .A0(n1444), .A1(intDY_EWSW[14]), .B0(n1447), .B1(Data_Y[14]), .Y(n895) );
AO22XLTS U1238 ( .A0(n1442), .A1(intDX_EWSW[28]), .B0(n1446), .B1(Data_X[28]), .Y(n915) );
OAI2BB2XLTS U1239 ( .B0(n1027), .B1(n1034), .A0N(n1026), .A1N(n1035), .Y(
n1030) );
AOI222X1TS U1240 ( .A0(intDY_EWSW[4]), .A1(n1696), .B0(n1046), .B1(n1045),
.C0(intDY_EWSW[5]), .C1(n1718), .Y(n1048) );
AOI2BB2XLTS U1241 ( .B0(intDX_EWSW[3]), .B1(n1743), .A0N(intDY_EWSW[2]),
.A1N(n1044), .Y(n1045) );
INVX2TS U1242 ( .A(n1034), .Y(n1038) );
INVX2TS U1243 ( .A(n1110), .Y(n1124) );
NAND2X1TS U1244 ( .A(Raw_mant_NRM_SWR[14]), .B(n1408), .Y(n1121) );
NOR2XLTS U1245 ( .A(Raw_mant_NRM_SWR[17]), .B(Raw_mant_NRM_SWR[16]), .Y(
n1115) );
AO21X1TS U1246 ( .A0(n1124), .A1(Raw_mant_NRM_SWR[18]), .B0(n1415), .Y(n1125) );
NAND2X1TS U1247 ( .A(n1071), .B(n1070), .Y(n1075) );
INVX2TS U1248 ( .A(n1073), .Y(n1074) );
AO22XLTS U1249 ( .A0(n1659), .A1(shift_value_SHT2_EWR[4]), .B0(
Data_array_SWR[6]), .B1(n979), .Y(n1226) );
AOI211X1TS U1250 ( .A0(Data_array_SWR[3]), .A1(n1544), .B0(n1095), .C0(n1094), .Y(n1232) );
AO22XLTS U1251 ( .A0(Data_array_SWR[15]), .A1(n967), .B0(Data_array_SWR[11]),
.B1(n975), .Y(n1095) );
AO22XLTS U1252 ( .A0(n1668), .A1(shift_value_SHT2_EWR[4]), .B0(
Data_array_SWR[7]), .B1(n979), .Y(n1094) );
NAND2X1TS U1253 ( .A(n1532), .B(n1531), .Y(n1673) );
NAND2X1TS U1254 ( .A(n1555), .B(n1554), .Y(n1669) );
NAND2X1TS U1255 ( .A(n1586), .B(n1585), .Y(n1660) );
NAND2X1TS U1256 ( .A(n1599), .B(n1598), .Y(n1657) );
NOR2X4TS U1257 ( .A(n1530), .B(shift_value_SHT2_EWR[4]), .Y(n1544) );
AOI2BB2XLTS U1258 ( .B0(intDX_EWSW[7]), .B1(n1738), .A0N(n1738), .A1N(
intDX_EWSW[7]), .Y(n1190) );
NAND4XLTS U1259 ( .A(n1201), .B(n1200), .C(n1199), .D(n1198), .Y(n1220) );
NAND2X1TS U1260 ( .A(n1135), .B(n1134), .Y(n1363) );
CLKAND2X2TS U1261 ( .A(n1241), .B(DMP_SFG[0]), .Y(n1243) );
INVX2TS U1262 ( .A(n1434), .Y(n1432) );
NAND2X1TS U1263 ( .A(n1720), .B(LZD_output_NRM2_EW[0]), .Y(
DP_OP_15J8_123_2691_n8) );
NAND4XLTS U1264 ( .A(n1413), .B(n1417), .C(n1412), .D(n1411), .Y(n1414) );
OAI21XLTS U1265 ( .A0(n1419), .A1(n1418), .B0(n1417), .Y(n1424) );
AOI2BB2XLTS U1266 ( .B0(DmP_mant_SFG_SWR[22]), .B1(n1534), .A0N(OP_FLAG_SFG),
.A1N(DmP_mant_SFG_SWR[22]), .Y(n1255) );
AOI22X1TS U1267 ( .A0(n1602), .A1(DmP_mant_SFG_SWR[15]), .B0(n1003), .B1(
n992), .Y(n1149) );
AO22XLTS U1268 ( .A0(n1437), .A1(busy), .B0(n1436), .B1(Shift_reg_FLAGS_7[3]), .Y(n947) );
AOI2BB2XLTS U1269 ( .B0(n1515), .B1(n1480), .A0N(Shift_amount_SHT1_EWR[0]),
.A1N(n1489), .Y(n847) );
AO22XLTS U1270 ( .A0(n1515), .A1(DmP_EXP_EWSW[17]), .B0(n1505), .B1(
DmP_mant_SHT1_SW[17]), .Y(n656) );
AO22XLTS U1271 ( .A0(n1515), .A1(DmP_EXP_EWSW[16]), .B0(n1505), .B1(
DmP_mant_SHT1_SW[16]), .Y(n658) );
AO22XLTS U1272 ( .A0(n998), .A1(DmP_EXP_EWSW[7]), .B0(n1504), .B1(
DmP_mant_SHT1_SW[7]), .Y(n676) );
AO22XLTS U1273 ( .A0(n1515), .A1(DmP_EXP_EWSW[19]), .B0(n1512), .B1(
DmP_mant_SHT1_SW[19]), .Y(n652) );
AO22XLTS U1274 ( .A0(n1503), .A1(DmP_EXP_EWSW[4]), .B0(n1504), .B1(
DmP_mant_SHT1_SW[4]), .Y(n682) );
AO22XLTS U1275 ( .A0(n1515), .A1(DmP_EXP_EWSW[20]), .B0(n1512), .B1(
DmP_mant_SHT1_SW[20]), .Y(n650) );
AO22XLTS U1276 ( .A0(n998), .A1(DmP_EXP_EWSW[13]), .B0(n1505), .B1(
DmP_mant_SHT1_SW[13]), .Y(n664) );
AO22XLTS U1277 ( .A0(n998), .A1(DmP_EXP_EWSW[11]), .B0(n1505), .B1(
DmP_mant_SHT1_SW[11]), .Y(n668) );
AO22XLTS U1278 ( .A0(n998), .A1(DmP_EXP_EWSW[9]), .B0(n1505), .B1(
DmP_mant_SHT1_SW[9]), .Y(n672) );
AO22XLTS U1279 ( .A0(n1695), .A1(DmP_EXP_EWSW[6]), .B0(n1504), .B1(
DmP_mant_SHT1_SW[6]), .Y(n678) );
AO22XLTS U1280 ( .A0(n1503), .A1(DmP_EXP_EWSW[2]), .B0(n1504), .B1(
DmP_mant_SHT1_SW[2]), .Y(n686) );
AO22XLTS U1281 ( .A0(n1515), .A1(DmP_EXP_EWSW[21]), .B0(n1512), .B1(
DmP_mant_SHT1_SW[21]), .Y(n648) );
AO22XLTS U1282 ( .A0(n1503), .A1(DmP_EXP_EWSW[5]), .B0(n1504), .B1(
DmP_mant_SHT1_SW[5]), .Y(n680) );
AO22XLTS U1283 ( .A0(n1503), .A1(DmP_EXP_EWSW[1]), .B0(n1504), .B1(
DmP_mant_SHT1_SW[1]), .Y(n688) );
AO22XLTS U1284 ( .A0(n1503), .A1(DmP_EXP_EWSW[0]), .B0(n1504), .B1(
DmP_mant_SHT1_SW[0]), .Y(n690) );
AO22XLTS U1285 ( .A0(n998), .A1(DmP_EXP_EWSW[15]), .B0(n1505), .B1(
DmP_mant_SHT1_SW[15]), .Y(n660) );
AO22XLTS U1286 ( .A0(n998), .A1(DmP_EXP_EWSW[14]), .B0(n1505), .B1(
DmP_mant_SHT1_SW[14]), .Y(n662) );
AO22XLTS U1287 ( .A0(n1515), .A1(DmP_EXP_EWSW[18]), .B0(n1505), .B1(
DmP_mant_SHT1_SW[18]), .Y(n654) );
AO22XLTS U1288 ( .A0(n998), .A1(DmP_EXP_EWSW[12]), .B0(n1505), .B1(
DmP_mant_SHT1_SW[12]), .Y(n666) );
AO22XLTS U1289 ( .A0(n998), .A1(DmP_EXP_EWSW[10]), .B0(n1505), .B1(
DmP_mant_SHT1_SW[10]), .Y(n670) );
AO22XLTS U1290 ( .A0(n998), .A1(DmP_EXP_EWSW[8]), .B0(n1504), .B1(
DmP_mant_SHT1_SW[8]), .Y(n674) );
AO22XLTS U1291 ( .A0(n1503), .A1(DmP_EXP_EWSW[3]), .B0(n1504), .B1(
DmP_mant_SHT1_SW[3]), .Y(n684) );
AOI2BB2XLTS U1292 ( .B0(n1654), .B1(n1542), .A0N(Raw_mant_NRM_SWR[8]), .A1N(
n1619), .Y(n605) );
AOI2BB2XLTS U1293 ( .B0(n1619), .B1(n1550), .A0N(Raw_mant_NRM_SWR[0]), .A1N(
n1551), .Y(n602) );
AO22XLTS U1294 ( .A0(n1451), .A1(Data_X[1]), .B0(n1450), .B1(intDX_EWSW[1]),
.Y(n942) );
AO22XLTS U1295 ( .A0(n1453), .A1(Data_Y[31]), .B0(n1452), .B1(intDY_EWSW[31]), .Y(n878) );
AO22XLTS U1296 ( .A0(n1516), .A1(DMP_SHT2_EWSW[13]), .B0(n1496), .B1(
DMP_SFG[13]), .Y(n759) );
AO22XLTS U1297 ( .A0(n1516), .A1(DMP_SHT2_EWSW[18]), .B0(n1496), .B1(
DMP_SFG[18]), .Y(n744) );
AO22XLTS U1298 ( .A0(n1516), .A1(DMP_SHT2_EWSW[15]), .B0(n1496), .B1(
DMP_SFG[15]), .Y(n753) );
AO22XLTS U1299 ( .A0(n1449), .A1(Data_Y[28]), .B0(n1450), .B1(intDY_EWSW[28]), .Y(n881) );
MX2X1TS U1300 ( .A(DMP_exp_NRM2_EW[1]), .B(DMP_exp_NRM_EW[1]), .S0(
Shift_reg_FLAGS_7[1]), .Y(n722) );
MX2X1TS U1301 ( .A(DMP_exp_NRM2_EW[2]), .B(DMP_exp_NRM_EW[2]), .S0(n981),
.Y(n717) );
MX2X1TS U1302 ( .A(DMP_exp_NRM2_EW[3]), .B(DMP_exp_NRM_EW[3]), .S0(n981),
.Y(n712) );
MX2X1TS U1303 ( .A(DMP_exp_NRM2_EW[4]), .B(DMP_exp_NRM_EW[4]), .S0(n981),
.Y(n707) );
OAI21XLTS U1304 ( .A0(n1757), .A1(n1399), .B0(n1395), .Y(n811) );
AO22XLTS U1305 ( .A0(n1500), .A1(DMP_SHT2_EWSW[22]), .B0(n1501), .B1(
DMP_SFG[22]), .Y(n732) );
AO22XLTS U1306 ( .A0(n1489), .A1(n1484), .B0(n1514), .B1(
Shift_amount_SHT1_EWR[1]), .Y(n846) );
AO22XLTS U1307 ( .A0(n1500), .A1(DMP_SHT2_EWSW[20]), .B0(n1501), .B1(
DMP_SFG[20]), .Y(n738) );
AO22XLTS U1308 ( .A0(n1502), .A1(DMP_SHT2_EWSW[5]), .B0(n1525), .B1(
DMP_SFG[5]), .Y(n783) );
AO22XLTS U1309 ( .A0(n1502), .A1(DMP_SHT2_EWSW[6]), .B0(n1525), .B1(
DMP_SFG[6]), .Y(n780) );
AO22XLTS U1310 ( .A0(n1611), .A1(n1552), .B0(n1621), .B1(DmP_mant_SFG_SWR[2]), .Y(n601) );
AO22XLTS U1311 ( .A0(n1611), .A1(n1553), .B0(n1621), .B1(DmP_mant_SFG_SWR[3]), .Y(n597) );
AO22XLTS U1312 ( .A0(n1502), .A1(DMP_SHT2_EWSW[0]), .B0(n1633), .B1(
DMP_SFG[0]), .Y(n798) );
AO22XLTS U1313 ( .A0(n1502), .A1(DMP_SHT2_EWSW[3]), .B0(n1525), .B1(
DMP_SFG[3]), .Y(n789) );
AO22XLTS U1314 ( .A0(n1502), .A1(DMP_SHT2_EWSW[4]), .B0(n1525), .B1(
DMP_SFG[4]), .Y(n786) );
AO22XLTS U1315 ( .A0(n1502), .A1(DMP_SHT2_EWSW[7]), .B0(n1525), .B1(
DMP_SFG[7]), .Y(n777) );
AO22XLTS U1316 ( .A0(n1611), .A1(DMP_SHT2_EWSW[9]), .B0(n1496), .B1(
DMP_SFG[9]), .Y(n771) );
AO22XLTS U1317 ( .A0(n1515), .A1(DmP_EXP_EWSW[22]), .B0(n1512), .B1(
DmP_mant_SHT1_SW[22]), .Y(n646) );
AO22XLTS U1318 ( .A0(n1500), .A1(DMP_SHT2_EWSW[1]), .B0(n1525), .B1(
DMP_SFG[1]), .Y(n795) );
AO22XLTS U1319 ( .A0(n1502), .A1(DMP_SHT2_EWSW[8]), .B0(n1525), .B1(
DMP_SFG[8]), .Y(n774) );
AO22XLTS U1320 ( .A0(n1516), .A1(DMP_SHT2_EWSW[14]), .B0(n1496), .B1(
DMP_SFG[14]), .Y(n756) );
AO22XLTS U1321 ( .A0(n1502), .A1(DMP_SHT2_EWSW[16]), .B0(n1496), .B1(
DMP_SFG[16]), .Y(n750) );
AO22XLTS U1322 ( .A0(n1516), .A1(DMP_SHT2_EWSW[17]), .B0(n1496), .B1(
DMP_SFG[17]), .Y(n747) );
AO22XLTS U1323 ( .A0(n1611), .A1(DMP_SHT2_EWSW[19]), .B0(n1496), .B1(
DMP_SFG[19]), .Y(n741) );
AO22XLTS U1324 ( .A0(n1500), .A1(DMP_SHT2_EWSW[21]), .B0(n1501), .B1(
DMP_SFG[21]), .Y(n735) );
AO22XLTS U1325 ( .A0(n1516), .A1(DMP_SHT2_EWSW[12]), .B0(n1496), .B1(
DMP_SFG[12]), .Y(n762) );
OAI211XLTS U1326 ( .A0(n1370), .A1(n988), .B0(n1369), .C0(n1368), .Y(n859)
);
AO22XLTS U1327 ( .A0(n1502), .A1(DMP_SHT2_EWSW[2]), .B0(n1525), .B1(
DMP_SFG[2]), .Y(n792) );
AO22XLTS U1328 ( .A0(n1686), .A1(DMP_SHT2_EWSW[10]), .B0(n1621), .B1(
DMP_SFG[10]), .Y(n768) );
AO22XLTS U1329 ( .A0(n1451), .A1(Data_X[0]), .B0(n1450), .B1(intDX_EWSW[0]),
.Y(n943) );
AOI2BB2XLTS U1330 ( .B0(n1574), .B1(n1529), .A0N(Raw_mant_NRM_SWR[1]), .A1N(
n1654), .Y(n608) );
AOI2BB2XLTS U1331 ( .B0(n1551), .B1(n1575), .A0N(Raw_mant_NRM_SWR[5]), .A1N(
n1619), .Y(n591) );
AO22XLTS U1332 ( .A0(n1440), .A1(Data_X[27]), .B0(n1442), .B1(intDX_EWSW[27]), .Y(n916) );
AO22XLTS U1333 ( .A0(n1449), .A1(Data_X[23]), .B0(n1442), .B1(intDX_EWSW[23]), .Y(n920) );
AO22XLTS U1334 ( .A0(n1448), .A1(intDY_EWSW[27]), .B0(n1447), .B1(Data_Y[27]), .Y(n882) );
AO22XLTS U1335 ( .A0(n1451), .A1(Data_Y[30]), .B0(n1450), .B1(intDY_EWSW[30]), .Y(n879) );
AO22XLTS U1336 ( .A0(n1448), .A1(intDY_EWSW[24]), .B0(n1441), .B1(Data_Y[24]), .Y(n885) );
AO22XLTS U1337 ( .A0(n1449), .A1(Data_Y[29]), .B0(n1450), .B1(intDY_EWSW[29]), .Y(n880) );
AO22XLTS U1338 ( .A0(n1519), .A1(Raw_mant_NRM_SWR[4]), .B0(n1619), .B1(n1151), .Y(n596) );
AOI2BB2XLTS U1339 ( .B0(beg_OP), .B1(n1700), .A0N(n1700), .A1N(
inst_FSM_INPUT_ENABLE_state_reg[2]), .Y(n1138) );
MX2X1TS U1340 ( .A(DMP_exp_NRM2_EW[5]), .B(DMP_exp_NRM_EW[5]), .S0(n981),
.Y(n702) );
MX2X1TS U1341 ( .A(DMP_exp_NRM2_EW[6]), .B(DMP_exp_NRM_EW[6]), .S0(n984),
.Y(n697) );
MX2X1TS U1342 ( .A(DMP_exp_NRM2_EW[7]), .B(DMP_exp_NRM_EW[7]), .S0(n981),
.Y(n692) );
AO22XLTS U1343 ( .A0(n1516), .A1(DMP_SHT2_EWSW[11]), .B0(n1496), .B1(
DMP_SFG[11]), .Y(n765) );
AO22XLTS U1344 ( .A0(n1551), .A1(n1144), .B0(n1519), .B1(
Raw_mant_NRM_SWR[18]), .Y(n620) );
AOI2BB2XLTS U1345 ( .B0(n1619), .B1(n1620), .A0N(Raw_mant_NRM_SWR[13]),
.A1N(n1574), .Y(n581) );
AO22XLTS U1346 ( .A0(n1448), .A1(intDY_EWSW[25]), .B0(n1453), .B1(Data_Y[25]), .Y(n884) );
AO22XLTS U1347 ( .A0(n1448), .A1(intDY_EWSW[26]), .B0(n1453), .B1(Data_Y[26]), .Y(n883) );
AO22XLTS U1348 ( .A0(n1448), .A1(intDY_EWSW[23]), .B0(n1453), .B1(Data_Y[23]), .Y(n886) );
AO22XLTS U1349 ( .A0(n1442), .A1(intDX_EWSW[25]), .B0(n1446), .B1(Data_X[25]), .Y(n918) );
AO22XLTS U1350 ( .A0(n1442), .A1(intDX_EWSW[26]), .B0(n1446), .B1(Data_X[26]), .Y(n917) );
AO22XLTS U1351 ( .A0(n1442), .A1(intDX_EWSW[24]), .B0(n1447), .B1(Data_X[24]), .Y(n919) );
AOI2BB2XLTS U1352 ( .B0(DMP_SFG[11]), .B1(n1613), .A0N(n1615), .A1N(n1612),
.Y(n1521) );
OAI21XLTS U1353 ( .A0(n1568), .A1(n1560), .B0(n1580), .Y(n1561) );
AOI31XLTS U1354 ( .A0(n1591), .A1(n1590), .A2(n1589), .B0(n1604), .Y(n1594)
);
NAND2BXLTS U1355 ( .AN(n1649), .B(n1648), .Y(n1650) );
AO22XLTS U1356 ( .A0(n1652), .A1(Raw_mant_NRM_SWR[3]), .B0(n1654), .B1(n1179), .Y(n599) );
MX2X1TS U1357 ( .A(DMP_exp_NRM2_EW[0]), .B(DMP_exp_NRM_EW[0]), .S0(n984),
.Y(n727) );
AO22XLTS U1358 ( .A0(n1436), .A1(n1551), .B0(n1437), .B1(
Shift_reg_FLAGS_7[3]), .Y(n946) );
AO22XLTS U1359 ( .A0(n1775), .A1(ZERO_FLAG_SHT1SHT2), .B0(n1674), .B1(
zero_flag), .Y(n633) );
AO21XLTS U1360 ( .A0(LZD_output_NRM2_EW[1]), .A1(n986), .B0(n1425), .Y(n604)
);
AO21XLTS U1361 ( .A0(LZD_output_NRM2_EW[4]), .A1(n1513), .B0(n1407), .Y(n610) );
AO22X1TS U1362 ( .A0(n1652), .A1(Raw_mant_NRM_SWR[24]), .B0(n1817), .B1(
n1259), .Y(n614) );
AO22X1TS U1363 ( .A0(n1654), .A1(n1256), .B0(n1519), .B1(
Raw_mant_NRM_SWR[22]), .Y(n616) );
AO22X1TS U1364 ( .A0(n1574), .A1(n1104), .B0(n1519), .B1(
Raw_mant_NRM_SWR[20]), .Y(n618) );
AO22XLTS U1365 ( .A0(n1652), .A1(Raw_mant_NRM_SWR[17]), .B0(n1654), .B1(
n1157), .Y(n621) );
AO22XLTS U1366 ( .A0(n1628), .A1(Raw_mant_NRM_SWR[16]), .B0(n1551), .B1(
n1154), .Y(n622) );
AO22XLTS U1367 ( .A0(n1817), .A1(n1150), .B0(n1519), .B1(
Raw_mant_NRM_SWR[15]), .Y(n623) );
AO22XLTS U1368 ( .A0(Shift_reg_FLAGS_7[1]), .A1(SIGN_FLAG_NRM), .B0(n986),
.B1(SIGN_FLAG_SHT1SHT2), .Y(n625) );
AO22XLTS U1369 ( .A0(n1654), .A1(SIGN_FLAG_SFG), .B0(n1519), .B1(
SIGN_FLAG_NRM), .Y(n626) );
AO22XLTS U1370 ( .A0(n1611), .A1(SIGN_FLAG_SHT2), .B0(n1621), .B1(
SIGN_FLAG_SFG), .Y(n627) );
AO22XLTS U1371 ( .A0(busy), .A1(SIGN_FLAG_SHT1), .B0(n1518), .B1(
SIGN_FLAG_SHT2), .Y(n628) );
AO22XLTS U1372 ( .A0(n998), .A1(SIGN_FLAG_EXP), .B0(n1517), .B1(
SIGN_FLAG_SHT1), .Y(n629) );
AO22XLTS U1373 ( .A0(n1633), .A1(n1548), .B0(n1516), .B1(OP_FLAG_SHT2), .Y(
n630) );
AO22XLTS U1374 ( .A0(busy), .A1(OP_FLAG_SHT1), .B0(n1518), .B1(OP_FLAG_SHT2),
.Y(n631) );
AO22XLTS U1375 ( .A0(n1515), .A1(OP_FLAG_EXP), .B0(n1514), .B1(OP_FLAG_SHT1),
.Y(n632) );
AO22XLTS U1376 ( .A0(n984), .A1(ZERO_FLAG_NRM), .B0(n1513), .B1(
ZERO_FLAG_SHT1SHT2), .Y(n634) );
AO22XLTS U1377 ( .A0(n1817), .A1(ZERO_FLAG_SFG), .B0(n1519), .B1(
ZERO_FLAG_NRM), .Y(n635) );
AO22XLTS U1378 ( .A0(n1611), .A1(ZERO_FLAG_SHT2), .B0(n1621), .B1(
ZERO_FLAG_SFG), .Y(n636) );
AO22XLTS U1379 ( .A0(busy), .A1(ZERO_FLAG_SHT1), .B0(n1518), .B1(
ZERO_FLAG_SHT2), .Y(n637) );
AO22XLTS U1380 ( .A0(n1515), .A1(ZERO_FLAG_EXP), .B0(n1512), .B1(
ZERO_FLAG_SHT1), .Y(n638) );
OAI21XLTS U1381 ( .A0(n1707), .A1(n1336), .B0(n1312), .Y(n653) );
OAI21XLTS U1382 ( .A0(n1759), .A1(n1336), .B0(n1306), .Y(n655) );
OAI21XLTS U1383 ( .A0(n1744), .A1(n1336), .B0(n1304), .Y(n657) );
OAI21XLTS U1384 ( .A0(n1704), .A1(n1336), .B0(n1335), .Y(n661) );
OAI21XLTS U1385 ( .A0(n1737), .A1(n1332), .B0(n1310), .Y(n679) );
OAI21XLTS U1386 ( .A0(n1701), .A1(n1332), .B0(n1313), .Y(n681) );
OAI21XLTS U1387 ( .A0(n1750), .A1(n1332), .B0(n1314), .Y(n683) );
OAI21XLTS U1388 ( .A0(n1743), .A1(n1332), .B0(n1308), .Y(n685) );
OAI21XLTS U1389 ( .A0(n1749), .A1(n1332), .B0(n1309), .Y(n687) );
AO22XLTS U1390 ( .A0(n1574), .A1(DMP_SFG[30]), .B0(n1519), .B1(
DMP_exp_NRM_EW[7]), .Y(n693) );
AO22XLTS U1391 ( .A0(n1611), .A1(DMP_SHT2_EWSW[30]), .B0(n1621), .B1(
DMP_SFG[30]), .Y(n694) );
AO22XLTS U1392 ( .A0(busy), .A1(DMP_SHT1_EWSW[30]), .B0(n1518), .B1(
DMP_SHT2_EWSW[30]), .Y(n695) );
AO22XLTS U1393 ( .A0(n1503), .A1(DMP_EXP_EWSW[30]), .B0(n1504), .B1(
DMP_SHT1_EWSW[30]), .Y(n696) );
AO22XLTS U1394 ( .A0(n1817), .A1(DMP_SFG[29]), .B0(n1773), .B1(
DMP_exp_NRM_EW[6]), .Y(n698) );
AO22XLTS U1395 ( .A0(n1502), .A1(DMP_SHT2_EWSW[29]), .B0(n1501), .B1(
DMP_SFG[29]), .Y(n699) );
AO22XLTS U1396 ( .A0(busy), .A1(DMP_SHT1_EWSW[29]), .B0(n1518), .B1(
DMP_SHT2_EWSW[29]), .Y(n700) );
AO22XLTS U1397 ( .A0(n1503), .A1(DMP_EXP_EWSW[29]), .B0(n1517), .B1(
DMP_SHT1_EWSW[29]), .Y(n701) );
AO22XLTS U1398 ( .A0(n1817), .A1(DMP_SFG[28]), .B0(n1773), .B1(
DMP_exp_NRM_EW[5]), .Y(n703) );
AO22XLTS U1399 ( .A0(n1500), .A1(DMP_SHT2_EWSW[28]), .B0(n1501), .B1(
DMP_SFG[28]), .Y(n704) );
AO22XLTS U1400 ( .A0(busy), .A1(DMP_SHT1_EWSW[28]), .B0(n1518), .B1(
DMP_SHT2_EWSW[28]), .Y(n705) );
AO22XLTS U1401 ( .A0(n1503), .A1(DMP_EXP_EWSW[28]), .B0(n1517), .B1(
DMP_SHT1_EWSW[28]), .Y(n706) );
AO22XLTS U1402 ( .A0(n1817), .A1(DMP_SFG[27]), .B0(n1773), .B1(
DMP_exp_NRM_EW[4]), .Y(n708) );
AO22XLTS U1403 ( .A0(n1500), .A1(DMP_SHT2_EWSW[27]), .B0(n1501), .B1(
DMP_SFG[27]), .Y(n709) );
AO22XLTS U1404 ( .A0(busy), .A1(DMP_SHT1_EWSW[27]), .B0(n1518), .B1(
DMP_SHT2_EWSW[27]), .Y(n710) );
AO22XLTS U1405 ( .A0(n1503), .A1(DMP_EXP_EWSW[27]), .B0(n1517), .B1(
DMP_SHT1_EWSW[27]), .Y(n711) );
AO22XLTS U1406 ( .A0(n1817), .A1(DMP_SFG[26]), .B0(n1773), .B1(
DMP_exp_NRM_EW[3]), .Y(n713) );
AO22XLTS U1407 ( .A0(n1500), .A1(DMP_SHT2_EWSW[26]), .B0(n1501), .B1(
DMP_SFG[26]), .Y(n714) );
AO22XLTS U1408 ( .A0(n1499), .A1(DMP_SHT1_EWSW[26]), .B0(n1518), .B1(
DMP_SHT2_EWSW[26]), .Y(n715) );
AO22XLTS U1409 ( .A0(n1489), .A1(DMP_EXP_EWSW[26]), .B0(n1517), .B1(
DMP_SHT1_EWSW[26]), .Y(n716) );
AO22XLTS U1410 ( .A0(n1817), .A1(DMP_SFG[25]), .B0(n1773), .B1(
DMP_exp_NRM_EW[2]), .Y(n718) );
AO22XLTS U1411 ( .A0(n1500), .A1(DMP_SHT2_EWSW[25]), .B0(n1501), .B1(
DMP_SFG[25]), .Y(n719) );
AO22XLTS U1412 ( .A0(n997), .A1(DMP_SHT1_EWSW[25]), .B0(n1498), .B1(
DMP_SHT2_EWSW[25]), .Y(n720) );
AO22XLTS U1413 ( .A0(n1695), .A1(DMP_EXP_EWSW[25]), .B0(n1517), .B1(
DMP_SHT1_EWSW[25]), .Y(n721) );
AO22XLTS U1414 ( .A0(n1817), .A1(DMP_SFG[24]), .B0(n1773), .B1(
DMP_exp_NRM_EW[1]), .Y(n723) );
AO22XLTS U1415 ( .A0(n1500), .A1(DMP_SHT2_EWSW[24]), .B0(n1501), .B1(
DMP_SFG[24]), .Y(n724) );
AO22XLTS U1416 ( .A0(n1499), .A1(DMP_SHT1_EWSW[24]), .B0(n1498), .B1(
DMP_SHT2_EWSW[24]), .Y(n725) );
AO22XLTS U1417 ( .A0(n1695), .A1(DMP_EXP_EWSW[24]), .B0(n1517), .B1(
DMP_SHT1_EWSW[24]), .Y(n726) );
AO22XLTS U1418 ( .A0(n1817), .A1(DMP_SFG[23]), .B0(n1773), .B1(
DMP_exp_NRM_EW[0]), .Y(n728) );
AO22XLTS U1419 ( .A0(n1500), .A1(DMP_SHT2_EWSW[23]), .B0(n1501), .B1(
DMP_SFG[23]), .Y(n729) );
AO22XLTS U1420 ( .A0(n1499), .A1(DMP_SHT1_EWSW[23]), .B0(n1498), .B1(
DMP_SHT2_EWSW[23]), .Y(n730) );
AO22XLTS U1421 ( .A0(n1695), .A1(DMP_EXP_EWSW[23]), .B0(n1517), .B1(
DMP_SHT1_EWSW[23]), .Y(n731) );
AO22XLTS U1422 ( .A0(n1499), .A1(DMP_SHT1_EWSW[22]), .B0(n1498), .B1(
DMP_SHT2_EWSW[22]), .Y(n733) );
AO22XLTS U1423 ( .A0(n1695), .A1(DMP_EXP_EWSW[22]), .B0(n1517), .B1(
DMP_SHT1_EWSW[22]), .Y(n734) );
AO22XLTS U1424 ( .A0(n1499), .A1(DMP_SHT1_EWSW[21]), .B0(n1498), .B1(
DMP_SHT2_EWSW[21]), .Y(n736) );
AO22XLTS U1425 ( .A0(n1695), .A1(DMP_EXP_EWSW[21]), .B0(n1517), .B1(
DMP_SHT1_EWSW[21]), .Y(n737) );
AO22XLTS U1426 ( .A0(n1499), .A1(DMP_SHT1_EWSW[20]), .B0(n1498), .B1(
DMP_SHT2_EWSW[20]), .Y(n739) );
AO22XLTS U1427 ( .A0(n1695), .A1(DMP_EXP_EWSW[20]), .B0(n1497), .B1(
DMP_SHT1_EWSW[20]), .Y(n740) );
AO22XLTS U1428 ( .A0(n1499), .A1(DMP_SHT1_EWSW[19]), .B0(n1498), .B1(
DMP_SHT2_EWSW[19]), .Y(n742) );
AO22XLTS U1429 ( .A0(n1695), .A1(DMP_EXP_EWSW[19]), .B0(n1497), .B1(
DMP_SHT1_EWSW[19]), .Y(n743) );
AO22XLTS U1430 ( .A0(n1499), .A1(DMP_SHT1_EWSW[18]), .B0(n1498), .B1(
DMP_SHT2_EWSW[18]), .Y(n745) );
AO22XLTS U1431 ( .A0(n1695), .A1(DMP_EXP_EWSW[18]), .B0(n1497), .B1(
DMP_SHT1_EWSW[18]), .Y(n746) );
AO22XLTS U1432 ( .A0(n1499), .A1(DMP_SHT1_EWSW[17]), .B0(n1498), .B1(
DMP_SHT2_EWSW[17]), .Y(n748) );
AO22XLTS U1433 ( .A0(n1495), .A1(DMP_EXP_EWSW[17]), .B0(n1497), .B1(
DMP_SHT1_EWSW[17]), .Y(n749) );
AO22XLTS U1434 ( .A0(n1499), .A1(DMP_SHT1_EWSW[16]), .B0(n1498), .B1(
DMP_SHT2_EWSW[16]), .Y(n751) );
AO22XLTS U1435 ( .A0(n1495), .A1(DMP_EXP_EWSW[16]), .B0(n1497), .B1(
DMP_SHT1_EWSW[16]), .Y(n752) );
AO22XLTS U1436 ( .A0(n972), .A1(DMP_SHT1_EWSW[15]), .B0(n1818), .B1(
DMP_SHT2_EWSW[15]), .Y(n754) );
AO22XLTS U1437 ( .A0(n1495), .A1(DMP_EXP_EWSW[15]), .B0(n1497), .B1(
DMP_SHT1_EWSW[15]), .Y(n755) );
AO22XLTS U1438 ( .A0(n972), .A1(DMP_SHT1_EWSW[14]), .B0(n1818), .B1(
DMP_SHT2_EWSW[14]), .Y(n757) );
AO22XLTS U1439 ( .A0(n1495), .A1(DMP_EXP_EWSW[14]), .B0(n1497), .B1(
DMP_SHT1_EWSW[14]), .Y(n758) );
AO22XLTS U1440 ( .A0(n972), .A1(DMP_SHT1_EWSW[13]), .B0(n1818), .B1(
DMP_SHT2_EWSW[13]), .Y(n760) );
AO22XLTS U1441 ( .A0(n1495), .A1(DMP_EXP_EWSW[13]), .B0(n1497), .B1(
DMP_SHT1_EWSW[13]), .Y(n761) );
AO22XLTS U1442 ( .A0(n972), .A1(DMP_SHT1_EWSW[12]), .B0(n1818), .B1(
DMP_SHT2_EWSW[12]), .Y(n763) );
AO22XLTS U1443 ( .A0(n1495), .A1(DMP_EXP_EWSW[12]), .B0(n1497), .B1(
DMP_SHT1_EWSW[12]), .Y(n764) );
AO22XLTS U1444 ( .A0(n972), .A1(DMP_SHT1_EWSW[11]), .B0(n1818), .B1(
DMP_SHT2_EWSW[11]), .Y(n766) );
AO22XLTS U1445 ( .A0(n1495), .A1(DMP_EXP_EWSW[11]), .B0(n1497), .B1(
DMP_SHT1_EWSW[11]), .Y(n767) );
AO22XLTS U1446 ( .A0(n972), .A1(DMP_SHT1_EWSW[10]), .B0(n1818), .B1(
DMP_SHT2_EWSW[10]), .Y(n769) );
AO22XLTS U1447 ( .A0(n1495), .A1(DMP_EXP_EWSW[10]), .B0(n1494), .B1(
DMP_SHT1_EWSW[10]), .Y(n770) );
AO22XLTS U1448 ( .A0(n972), .A1(DMP_SHT1_EWSW[9]), .B0(n1818), .B1(
DMP_SHT2_EWSW[9]), .Y(n772) );
AO22XLTS U1449 ( .A0(n1495), .A1(DMP_EXP_EWSW[9]), .B0(n1494), .B1(
DMP_SHT1_EWSW[9]), .Y(n773) );
AO22XLTS U1450 ( .A0(n997), .A1(DMP_SHT1_EWSW[8]), .B0(n1818), .B1(
DMP_SHT2_EWSW[8]), .Y(n775) );
AO22XLTS U1451 ( .A0(n1495), .A1(DMP_EXP_EWSW[8]), .B0(n1494), .B1(
DMP_SHT1_EWSW[8]), .Y(n776) );
AO22XLTS U1452 ( .A0(n997), .A1(DMP_SHT1_EWSW[7]), .B0(n1518), .B1(
DMP_SHT2_EWSW[7]), .Y(n778) );
AO22XLTS U1453 ( .A0(n1493), .A1(DMP_EXP_EWSW[7]), .B0(n1494), .B1(
DMP_SHT1_EWSW[7]), .Y(n779) );
AO22XLTS U1454 ( .A0(n997), .A1(DMP_SHT1_EWSW[6]), .B0(n974), .B1(
DMP_SHT2_EWSW[6]), .Y(n781) );
AO22XLTS U1455 ( .A0(n1493), .A1(DMP_EXP_EWSW[6]), .B0(n1494), .B1(
DMP_SHT1_EWSW[6]), .Y(n782) );
AO22XLTS U1456 ( .A0(n997), .A1(DMP_SHT1_EWSW[5]), .B0(n974), .B1(
DMP_SHT2_EWSW[5]), .Y(n784) );
AO22XLTS U1457 ( .A0(n1493), .A1(DMP_EXP_EWSW[5]), .B0(n1494), .B1(
DMP_SHT1_EWSW[5]), .Y(n785) );
AO22XLTS U1458 ( .A0(n997), .A1(DMP_SHT1_EWSW[4]), .B0(n974), .B1(
DMP_SHT2_EWSW[4]), .Y(n787) );
AO22XLTS U1459 ( .A0(n1493), .A1(DMP_EXP_EWSW[4]), .B0(n1494), .B1(
DMP_SHT1_EWSW[4]), .Y(n788) );
AO22XLTS U1460 ( .A0(n997), .A1(DMP_SHT1_EWSW[3]), .B0(n974), .B1(
DMP_SHT2_EWSW[3]), .Y(n790) );
AO22XLTS U1461 ( .A0(n1493), .A1(DMP_EXP_EWSW[3]), .B0(n1494), .B1(
DMP_SHT1_EWSW[3]), .Y(n791) );
AO22XLTS U1462 ( .A0(n997), .A1(DMP_SHT1_EWSW[2]), .B0(n974), .B1(
DMP_SHT2_EWSW[2]), .Y(n793) );
AO22XLTS U1463 ( .A0(n1493), .A1(DMP_EXP_EWSW[2]), .B0(n1494), .B1(
DMP_SHT1_EWSW[2]), .Y(n794) );
AO22XLTS U1464 ( .A0(n997), .A1(DMP_SHT1_EWSW[1]), .B0(n974), .B1(
DMP_SHT2_EWSW[1]), .Y(n796) );
AO22XLTS U1465 ( .A0(n1493), .A1(DMP_EXP_EWSW[1]), .B0(n1494), .B1(
DMP_SHT1_EWSW[1]), .Y(n797) );
AO22XLTS U1466 ( .A0(n997), .A1(DMP_SHT1_EWSW[0]), .B0(n974), .B1(
DMP_SHT2_EWSW[0]), .Y(n799) );
AO22XLTS U1467 ( .A0(n1493), .A1(DMP_EXP_EWSW[0]), .B0(n1774), .B1(
DMP_SHT1_EWSW[0]), .Y(n800) );
AO22XLTS U1468 ( .A0(n1406), .A1(n1490), .B0(ZERO_FLAG_EXP), .B1(n1491), .Y(
n802) );
AO21XLTS U1469 ( .A0(OP_FLAG_EXP), .A1(n1491), .B0(n1490), .Y(n803) );
OAI21XLTS U1470 ( .A0(n1753), .A1(n1399), .B0(n1372), .Y(n818) );
OAI21XLTS U1471 ( .A0(n1704), .A1(n1399), .B0(n1093), .Y(n819) );
OAI21XLTS U1472 ( .A0(n1752), .A1(n1399), .B0(n1381), .Y(n820) );
OAI21XLTS U1473 ( .A0(n1746), .A1(n1399), .B0(n1092), .Y(n821) );
OAI21XLTS U1474 ( .A0(n1731), .A1(n1386), .B0(n1382), .Y(n823) );
OAI21XLTS U1475 ( .A0(n1722), .A1(n1386), .B0(n1379), .Y(n824) );
OAI21XLTS U1476 ( .A0(n1745), .A1(n1386), .B0(n1380), .Y(n825) );
OAI21XLTS U1477 ( .A0(n1738), .A1(n1386), .B0(n1371), .Y(n827) );
AO22XLTS U1478 ( .A0(n1493), .A1(n1186), .B0(n1774), .B1(
Shift_amount_SHT1_EWR[4]), .Y(n843) );
AO22XLTS U1479 ( .A0(n1493), .A1(n1181), .B0(n1774), .B1(
Shift_amount_SHT1_EWR[3]), .Y(n844) );
AO22XLTS U1480 ( .A0(n1489), .A1(n1488), .B0(n1774), .B1(
Shift_amount_SHT1_EWR[2]), .Y(n845) );
AO22XLTS U1481 ( .A0(n1436), .A1(n1507), .B0(n1437), .B1(n1438), .Y(n950) );
INVX2TS U1482 ( .A(n983), .Y(n1640) );
NAND2X1TS U1483 ( .A(n1425), .B(n1264), .Y(n1269) );
AND2X2TS U1484 ( .A(n1263), .B(n964), .Y(n957) );
AND2X4TS U1485 ( .A(n1507), .B(n1091), .Y(n958) );
BUFX3TS U1486 ( .A(n1108), .Y(n1476) );
INVX2TS U1487 ( .A(n1630), .Y(n1597) );
BUFX3TS U1488 ( .A(left_right_SHT2), .Y(n1688) );
INVX2TS U1489 ( .A(n972), .Y(n974) );
CLKINVX3TS U1490 ( .A(rst), .Y(n1175) );
INVX2TS U1491 ( .A(n963), .Y(n964) );
INVX2TS U1492 ( .A(n1269), .Y(n965) );
INVX2TS U1493 ( .A(n1269), .Y(n966) );
INVX2TS U1494 ( .A(n1630), .Y(n967) );
INVX2TS U1495 ( .A(n1636), .Y(n968) );
INVX2TS U1496 ( .A(n1636), .Y(n969) );
INVX2TS U1497 ( .A(n1637), .Y(n970) );
INVX2TS U1498 ( .A(n1637), .Y(n971) );
INVX2TS U1499 ( .A(n972), .Y(n973) );
INVX2TS U1500 ( .A(n1631), .Y(n975) );
INVX2TS U1501 ( .A(n1631), .Y(n976) );
INVX2TS U1502 ( .A(n960), .Y(n979) );
INVX2TS U1503 ( .A(n960), .Y(n980) );
INVX2TS U1504 ( .A(n1513), .Y(n984) );
INVX2TS U1505 ( .A(n984), .Y(n985) );
INVX2TS U1506 ( .A(n984), .Y(n986) );
INVX2TS U1507 ( .A(n1360), .Y(n987) );
INVX2TS U1508 ( .A(n957), .Y(n989) );
INVX2TS U1509 ( .A(n957), .Y(n990) );
INVX2TS U1510 ( .A(n957), .Y(n991) );
OAI21XLTS U1511 ( .A0(n1755), .A1(n1336), .B0(n1315), .Y(n641) );
NAND2X1TS U1512 ( .A(n1137), .B(n1136), .Y(n852) );
BUFX3TS U1513 ( .A(n1175), .Y(n1177) );
CLKBUFX3TS U1514 ( .A(n1175), .Y(n1174) );
AOI221X1TS U1515 ( .A0(n1755), .A1(intDX_EWSW[27]), .B0(intDY_EWSW[28]),
.B1(n1758), .C0(n1188), .Y(n1192) );
OAI22X2TS U1516 ( .A0(n1702), .A1(n1225), .B0(n1760), .B1(n1530), .Y(n1668)
);
OAI22X2TS U1517 ( .A0(n1692), .A1(n1225), .B0(n1708), .B1(n1530), .Y(n1659)
);
NOR2X4TS U1518 ( .A(shift_value_SHT2_EWR[4]), .B(n1688), .Y(n1671) );
AOI222X4TS U1519 ( .A0(Raw_mant_NRM_SWR[6]), .A1(n1455), .B0(n996), .B1(
DmP_mant_SHT1_SW[17]), .C0(n1292), .C1(DmP_mant_SHT1_SW[18]), .Y(n1330) );
AOI222X1TS U1520 ( .A0(Raw_mant_NRM_SWR[8]), .A1(n1375), .B0(n995), .B1(
DmP_mant_SHT1_SW[15]), .C0(n1292), .C1(DmP_mant_SHT1_SW[16]), .Y(n1295) );
AOI222X4TS U1521 ( .A0(Raw_mant_NRM_SWR[4]), .A1(n1455), .B0(
DmP_mant_SHT1_SW[20]), .B1(n1292), .C0(n994), .C1(DmP_mant_SHT1_SW[19]), .Y(n1327) );
AOI222X1TS U1522 ( .A0(Raw_mant_NRM_SWR[10]), .A1(n1375), .B0(n994), .B1(
DmP_mant_SHT1_SW[13]), .C0(n1292), .C1(DmP_mant_SHT1_SW[14]), .Y(n1282) );
AOI222X1TS U1523 ( .A0(Raw_mant_NRM_SWR[12]), .A1(n1375), .B0(n994), .B1(
DmP_mant_SHT1_SW[11]), .C0(n1292), .C1(DmP_mant_SHT1_SW[12]), .Y(n1287) );
AOI222X1TS U1524 ( .A0(Raw_mant_NRM_SWR[14]), .A1(n1375), .B0(n995), .B1(
DmP_mant_SHT1_SW[9]), .C0(n1133), .C1(DmP_mant_SHT1_SW[10]), .Y(n1356)
);
OAI211XLTS U1525 ( .A0(n1282), .A1(n990), .B0(n1281), .C0(n1280), .Y(n867)
);
NAND2X2TS U1526 ( .A(n1250), .B(Raw_mant_NRM_SWR[0]), .Y(n1251) );
AOI222X4TS U1527 ( .A0(Data_array_SWR[21]), .A1(n976), .B0(
Data_array_SWR[17]), .B1(n980), .C0(Data_array_SWR[25]), .C1(n1597),
.Y(n1236) );
AOI222X4TS U1528 ( .A0(Data_array_SWR[21]), .A1(n1567), .B0(
Data_array_SWR[17]), .B1(n1566), .C0(Data_array_SWR[25]), .C1(n1543),
.Y(n1533) );
AOI222X4TS U1529 ( .A0(n1657), .A1(left_right_SHT2), .B0(Data_array_SWR[9]),
.B1(n970), .C0(n1656), .C1(n1671), .Y(n1679) );
AOI221X1TS U1530 ( .A0(n1722), .A1(intDX_EWSW[10]), .B0(intDX_EWSW[11]),
.B1(n1731), .C0(n1203), .Y(n1208) );
AOI221X1TS U1531 ( .A0(n1705), .A1(intDX_EWSW[22]), .B0(intDX_EWSW[23]),
.B1(n1757), .C0(n1197), .Y(n1198) );
AOI221X1TS U1532 ( .A0(n1752), .A1(intDX_EWSW[14]), .B0(intDX_EWSW[15]),
.B1(n1704), .C0(n1205), .Y(n1206) );
AOI221X1TS U1533 ( .A0(n1754), .A1(intDX_EWSW[20]), .B0(intDX_EWSW[21]),
.B1(n1747), .C0(n1196), .Y(n1199) );
AOI221X1TS U1534 ( .A0(n1751), .A1(intDX_EWSW[12]), .B0(intDX_EWSW[13]),
.B1(n1746), .C0(n1204), .Y(n1207) );
OAI2BB2XLTS U1535 ( .B0(intDY_EWSW[0]), .B1(n1041), .A0N(intDX_EWSW[1]),
.A1N(n1819), .Y(n1043) );
AOI221X1TS U1536 ( .A0(n1819), .A1(intDX_EWSW[1]), .B0(intDX_EWSW[17]), .B1(
n1744), .C0(n1194), .Y(n1201) );
INVX2TS U1537 ( .A(n1548), .Y(n992) );
AOI22X2TS U1538 ( .A0(DmP_mant_SFG_SWR[4]), .A1(n1534), .B0(n1549), .B1(
n1016), .Y(n1571) );
INVX2TS U1539 ( .A(n1634), .Y(n993) );
OAI21XLTS U1540 ( .A0(DmP_EXP_EWSW[25]), .A1(n1018), .B0(n1485), .Y(n1486)
);
OAI31XLTS U1541 ( .A0(n1406), .A1(n1405), .A2(n1508), .B0(n1404), .Y(n801)
);
NOR2X2TS U1542 ( .A(n1011), .B(DMP_EXP_EWSW[23]), .Y(n1483) );
OAI211XLTS U1543 ( .A0(n1355), .A1(n987), .B0(n1354), .C0(n1353), .Y(n855)
);
NOR2X2TS U1544 ( .A(shift_value_SHT2_EWR[2]), .B(n1729), .Y(n1543) );
XNOR2X2TS U1545 ( .A(DMP_exp_NRM2_EW[6]), .B(n1164), .Y(n1428) );
XNOR2X2TS U1546 ( .A(DMP_exp_NRM2_EW[0]), .B(n954), .Y(n1426) );
NOR2X4TS U1547 ( .A(shift_value_SHT2_EWR[4]), .B(n1640), .Y(n1601) );
BUFX3TS U1548 ( .A(n1175), .Y(n1176) );
NOR2XLTS U1549 ( .A(n1241), .B(DMP_SFG[0]), .Y(n1242) );
NOR2X2TS U1550 ( .A(n1556), .B(DMP_SFG[3]), .Y(n1568) );
NOR2X2TS U1551 ( .A(n1535), .B(DMP_SFG[4]), .Y(n1581) );
NOR2X2TS U1552 ( .A(n1592), .B(DMP_SFG[7]), .Y(n1644) );
NOR2X2TS U1553 ( .A(DMP_SFG[9]), .B(n1614), .Y(n1649) );
OAI211XLTS U1554 ( .A0(n1571), .A1(DMP_SFG[2]), .B0(n1557), .C0(DMP_SFG[1]),
.Y(n1560) );
AOI222X4TS U1555 ( .A0(Data_array_SWR[23]), .A1(n1597), .B0(
Data_array_SWR[19]), .B1(n976), .C0(Data_array_SWR[15]), .C1(n980),
.Y(n1239) );
AOI2BB2X4TS U1556 ( .B0(DmP_mant_SFG_SWR[13]), .B1(n1534), .A0N(OP_FLAG_SFG),
.A1N(DmP_mant_SFG_SWR[13]), .Y(n1613) );
OAI21XLTS U1557 ( .A0(n1400), .A1(intDX_EWSW[31]), .B0(n1507), .Y(n1222) );
INVX2TS U1558 ( .A(n959), .Y(n994) );
INVX2TS U1559 ( .A(n959), .Y(n995) );
INVX2TS U1560 ( .A(n959), .Y(n996) );
AND2X2TS U1561 ( .A(n1624), .B(DMP_SFG[10]), .Y(n1096) );
NOR2X2TS U1562 ( .A(n1624), .B(DMP_SFG[10]), .Y(n1623) );
AOI222X4TS U1563 ( .A0(Data_array_SWR[20]), .A1(n976), .B0(
Data_array_SWR[24]), .B1(n1597), .C0(Data_array_SWR[16]), .C1(n980),
.Y(n1235) );
AOI222X4TS U1564 ( .A0(Data_array_SWR[20]), .A1(n1567), .B0(
Data_array_SWR[24]), .B1(n1543), .C0(Data_array_SWR[16]), .C1(n1566),
.Y(n1600) );
NOR2X2TS U1565 ( .A(inst_FSM_INPUT_ENABLE_state_reg[2]), .B(n1739), .Y(n1434) );
AOI221X1TS U1566 ( .A0(n1759), .A1(intDX_EWSW[18]), .B0(intDX_EWSW[19]),
.B1(n1707), .C0(n1195), .Y(n1200) );
AOI32X1TS U1567 ( .A0(n1759), .A1(n1061), .A2(intDX_EWSW[18]), .B0(
intDX_EWSW[19]), .B1(n1707), .Y(n1062) );
OAI21X2TS U1568 ( .A0(intDX_EWSW[18]), .A1(n1759), .B0(n1061), .Y(n1195) );
INVX2TS U1569 ( .A(n1518), .Y(n997) );
BUFX3TS U1570 ( .A(n973), .Y(n1518) );
NOR3X6TS U1571 ( .A(Raw_mant_NRM_SWR[6]), .B(Raw_mant_NRM_SWR[5]), .C(n1244),
.Y(n1249) );
NOR2XLTS U1572 ( .A(n1731), .B(intDX_EWSW[11]), .Y(n1021) );
NOR2X1TS U1573 ( .A(n1744), .B(intDX_EWSW[17]), .Y(n1059) );
BUFX3TS U1574 ( .A(n1695), .Y(n998) );
OAI21XLTS U1575 ( .A0(intDX_EWSW[13]), .A1(n1746), .B0(intDX_EWSW[12]), .Y(
n1020) );
OA22X1TS U1576 ( .A0(n1705), .A1(intDX_EWSW[22]), .B0(n1757), .B1(
intDX_EWSW[23]), .Y(n1069) );
OA22X1TS U1577 ( .A0(n1752), .A1(intDX_EWSW[14]), .B0(n1704), .B1(
intDX_EWSW[15]), .Y(n1032) );
BUFX6TS U1578 ( .A(n1345), .Y(n1389) );
OAI21XLTS U1579 ( .A0(intDX_EWSW[1]), .A1(n1819), .B0(intDX_EWSW[0]), .Y(
n1041) );
OAI21XLTS U1580 ( .A0(intDX_EWSW[3]), .A1(n1743), .B0(intDX_EWSW[2]), .Y(
n1044) );
NOR2XLTS U1581 ( .A(n1059), .B(intDY_EWSW[16]), .Y(n1060) );
OR2X4TS U1582 ( .A(n1513), .B(n1264), .Y(n1261) );
OAI21XLTS U1583 ( .A0(n1581), .A1(n1580), .B0(n1579), .Y(n1582) );
BUFX3TS U1584 ( .A(n1441), .Y(n1451) );
OAI21XLTS U1585 ( .A0(n1748), .A1(n1386), .B0(n1383), .Y(n826) );
OAI211XLTS U1586 ( .A0(n1366), .A1(n987), .B0(n1365), .C0(n1364), .Y(n854)
);
OAI2BB2XLTS U1587 ( .B0(intDY_EWSW[12]), .B1(n1020), .A0N(intDX_EWSW[13]),
.A1N(n1746), .Y(n1031) );
AOI22X1TS U1588 ( .A0(intDX_EWSW[11]), .A1(n1731), .B0(intDX_EWSW[10]), .B1(
n1022), .Y(n1027) );
NAND3X1TS U1589 ( .A(n1748), .B(n1036), .C(intDX_EWSW[8]), .Y(n1024) );
AOI21X1TS U1590 ( .A0(n1025), .A1(n1024), .B0(n1034), .Y(n1026) );
OAI22X1TS U1591 ( .A0(n1722), .A1(intDX_EWSW[10]), .B0(n1731), .B1(
intDX_EWSW[11]), .Y(n1203) );
INVX2TS U1592 ( .A(n1203), .Y(n1035) );
OAI2BB2XLTS U1593 ( .B0(intDY_EWSW[14]), .B1(n1028), .A0N(intDX_EWSW[15]),
.A1N(n1704), .Y(n1029) );
AOI211X1TS U1594 ( .A0(n1032), .A1(n1031), .B0(n1030), .C0(n1029), .Y(n1033)
);
OAI2BB1X1TS U1595 ( .A0N(n1718), .A1N(intDY_EWSW[5]), .B0(intDX_EWSW[4]),
.Y(n1039) );
OAI22X1TS U1596 ( .A0(intDY_EWSW[4]), .A1(n1039), .B0(n1718), .B1(
intDY_EWSW[5]), .Y(n1050) );
OAI2BB1X1TS U1597 ( .A0N(n1719), .A1N(intDY_EWSW[7]), .B0(intDX_EWSW[6]),
.Y(n1040) );
OAI22X1TS U1598 ( .A0(intDY_EWSW[6]), .A1(n1040), .B0(n1719), .B1(
intDY_EWSW[7]), .Y(n1049) );
AOI22X1TS U1599 ( .A0(intDY_EWSW[7]), .A1(n1719), .B0(intDY_EWSW[6]), .B1(
n1698), .Y(n1047) );
AOI211X1TS U1600 ( .A0(intDY_EWSW[16]), .A1(n1726), .B0(n1064), .C0(n1195),
.Y(n1054) );
OAI2BB2XLTS U1601 ( .B0(intDY_EWSW[20]), .B1(n1058), .A0N(intDX_EWSW[21]),
.A1N(n1747), .Y(n1068) );
AOI22X1TS U1602 ( .A0(intDX_EWSW[17]), .A1(n1744), .B0(intDX_EWSW[16]), .B1(
n1060), .Y(n1063) );
OAI32X1TS U1603 ( .A0(n1195), .A1(n1064), .A2(n1063), .B0(n1062), .B1(n1064),
.Y(n1067) );
OAI2BB2XLTS U1604 ( .B0(intDY_EWSW[22]), .B1(n1065), .A0N(intDX_EWSW[23]),
.A1N(n1757), .Y(n1066) );
AOI211X1TS U1605 ( .A0(n1069), .A1(n1068), .B0(n1067), .C0(n1066), .Y(n1070)
);
OAI21X1TS U1606 ( .A0(intDX_EWSW[26]), .A1(n1741), .B0(n1078), .Y(n1081) );
NOR2X1TS U1607 ( .A(n1742), .B(intDX_EWSW[25]), .Y(n1076) );
NOR2X1TS U1608 ( .A(n1699), .B(intDX_EWSW[30]), .Y(n1085) );
NOR2X1TS U1609 ( .A(n1730), .B(intDX_EWSW[29]), .Y(n1083) );
NAND4BBX1TS U1610 ( .AN(n1081), .BN(n1076), .C(n1087), .D(n1072), .Y(n1073)
);
AOI22X1TS U1611 ( .A0(intDX_EWSW[25]), .A1(n1742), .B0(intDX_EWSW[24]), .B1(
n1077), .Y(n1082) );
OAI211X1TS U1612 ( .A0(n1082), .A1(n1081), .B0(n1080), .C0(n1079), .Y(n1088)
);
NOR3X1TS U1613 ( .A(n1758), .B(n1083), .C(intDY_EWSW[28]), .Y(n1084) );
AOI221X1TS U1614 ( .A0(intDX_EWSW[30]), .A1(n1699), .B0(intDX_EWSW[29]),
.B1(n1730), .C0(n1084), .Y(n1086) );
BUFX3TS U1615 ( .A(n1305), .Y(n1491) );
NOR2X4TS U1616 ( .A(n1091), .B(n1491), .Y(n1345) );
CLKBUFX2TS U1617 ( .A(n1305), .Y(n1276) );
AOI22X1TS U1618 ( .A0(intDX_EWSW[13]), .A1(n1384), .B0(DMP_EXP_EWSW[13]),
.B1(n1305), .Y(n1092) );
AOI22X1TS U1619 ( .A0(intDX_EWSW[15]), .A1(n1384), .B0(DMP_EXP_EWSW[15]),
.B1(n1305), .Y(n1093) );
INVX2TS U1620 ( .A(n1694), .Y(n1228) );
INVX2TS U1621 ( .A(n1587), .Y(n1516) );
NOR2X2TS U1622 ( .A(shift_value_SHT2_EWR[2]), .B(shift_value_SHT2_EWR[3]),
.Y(n1566) );
INVX2TS U1623 ( .A(n1566), .Y(n1530) );
NAND3X2TS U1624 ( .A(shift_value_SHT2_EWR[2]), .B(shift_value_SHT2_EWR[3]),
.C(n1820), .Y(n1630) );
NAND2X2TS U1625 ( .A(n1820), .B(n1543), .Y(n1631) );
NOR2X2TS U1626 ( .A(shift_value_SHT2_EWR[3]), .B(n1728), .Y(n1567) );
INVX2TS U1627 ( .A(n1567), .Y(n1225) );
NAND2X2TS U1628 ( .A(n982), .B(n1544), .Y(n1636) );
OAI22X1TS U1629 ( .A0(n1232), .A1(n982), .B0(n1692), .B1(n1636), .Y(n1231)
);
BUFX3TS U1630 ( .A(n1773), .Y(n1628) );
INVX2TS U1631 ( .A(n1628), .Y(n1551) );
INVX4TS U1632 ( .A(n1549), .Y(n1534) );
AOI22X1TS U1633 ( .A0(DmP_mant_SFG_SWR[25]), .A1(n1534), .B0(n1549), .B1(
n1012), .Y(n1100) );
AOI22X1TS U1634 ( .A0(DmP_mant_SFG_SWR[24]), .A1(n1534), .B0(n1549), .B1(
n1010), .Y(n1258) );
INVX2TS U1635 ( .A(n1549), .Y(n1548) );
AOI2BB2X1TS U1636 ( .B0(DmP_mant_SFG_SWR[23]), .B1(n1534), .A0N(n1548),
.A1N(DmP_mant_SFG_SWR[23]), .Y(n1140) );
AOI22X1TS U1637 ( .A0(DmP_mant_SFG_SWR[21]), .A1(n1534), .B0(n1736), .B1(
n1009), .Y(n1106) );
AOI22X1TS U1638 ( .A0(DmP_mant_SFG_SWR[20]), .A1(n1602), .B0(n1736), .B1(
n1008), .Y(n1103) );
AOI22X1TS U1639 ( .A0(n1602), .A1(DmP_mant_SFG_SWR[19]), .B0(n1007), .B1(
n1736), .Y(n1146) );
AOI22X1TS U1640 ( .A0(n1602), .A1(DmP_mant_SFG_SWR[18]), .B0(n1006), .B1(
n1736), .Y(n1143) );
AOI22X1TS U1641 ( .A0(n1602), .A1(DmP_mant_SFG_SWR[17]), .B0(n1005), .B1(
n1736), .Y(n1156) );
AOI22X1TS U1642 ( .A0(n1602), .A1(DmP_mant_SFG_SWR[16]), .B0(n1004), .B1(
n1736), .Y(n1153) );
AOI2BB2X2TS U1643 ( .B0(DmP_mant_SFG_SWR[12]), .B1(OP_FLAG_SFG), .A0N(
OP_FLAG_SFG), .A1N(DmP_mant_SFG_SWR[12]), .Y(n1624) );
AOI2BB2X2TS U1644 ( .B0(OP_FLAG_SFG), .B1(DmP_mant_SFG_SWR[14]), .A0N(
DmP_mant_SFG_SWR[14]), .A1N(n1548), .Y(n1522) );
BUFX3TS U1645 ( .A(n1773), .Y(n1519) );
BUFX3TS U1646 ( .A(n1628), .Y(n1652) );
INVX2TS U1647 ( .A(n1628), .Y(n1619) );
NAND2X4TS U1648 ( .A(n985), .B(n973), .Y(n1477) );
INVX2TS U1649 ( .A(n964), .Y(n1108) );
NOR4X2TS U1650 ( .A(Raw_mant_NRM_SWR[25]), .B(Raw_mant_NRM_SWR[24]), .C(
Raw_mant_NRM_SWR[23]), .D(Raw_mant_NRM_SWR[22]), .Y(n1416) );
NOR3X4TS U1651 ( .A(Raw_mant_NRM_SWR[21]), .B(Raw_mant_NRM_SWR[19]), .C(
Raw_mant_NRM_SWR[20]), .Y(n1419) );
NOR2X4TS U1652 ( .A(Raw_mant_NRM_SWR[18]), .B(n1110), .Y(n1409) );
NOR2X8TS U1653 ( .A(Raw_mant_NRM_SWR[13]), .B(n1109), .Y(n1127) );
NOR2X1TS U1654 ( .A(Raw_mant_NRM_SWR[3]), .B(Raw_mant_NRM_SWR[2]), .Y(n1114)
);
NAND2X4TS U1655 ( .A(n1249), .B(n1697), .Y(n1420) );
OAI21X1TS U1656 ( .A0(Raw_mant_NRM_SWR[7]), .A1(Raw_mant_NRM_SWR[6]), .B0(
n1112), .Y(n1113) );
NOR2X1TS U1657 ( .A(Raw_mant_NRM_SWR[21]), .B(Raw_mant_NRM_SWR[20]), .Y(
n1116) );
AOI32X1TS U1658 ( .A0(Raw_mant_NRM_SWR[15]), .A1(n1116), .A2(n1115), .B0(
Raw_mant_NRM_SWR[19]), .B1(n1116), .Y(n1117) );
AOI211X1TS U1659 ( .A0(n1118), .A1(n1117), .B0(Raw_mant_NRM_SWR[24]), .C0(
Raw_mant_NRM_SWR[25]), .Y(n1119) );
AOI32X1TS U1660 ( .A0(Raw_mant_NRM_SWR[20]), .A1(n1709), .A2(n1763), .B0(
Raw_mant_NRM_SWR[22]), .B1(n1709), .Y(n1122) );
NOR3X4TS U1661 ( .A(Raw_mant_NRM_SWR[3]), .B(Raw_mant_NRM_SWR[2]), .C(n1420),
.Y(n1250) );
AOI21X1TS U1662 ( .A0(n1249), .A1(n1126), .B0(n1125), .Y(n1128) );
NAND2X1TS U1663 ( .A(Raw_mant_NRM_SWR[12]), .B(n1127), .Y(n1413) );
AOI31X1TS U1664 ( .A0(n1129), .A1(Raw_mant_NRM_SWR[8]), .A2(n1725), .B0(
n1423), .Y(n1130) );
OAI211X4TS U1665 ( .A0(n1723), .A1(n1244), .B0(n1131), .C0(n1130), .Y(n1264)
);
NAND2X2TS U1666 ( .A(n1132), .B(n1457), .Y(n1339) );
AOI22X1TS U1667 ( .A0(n1108), .A1(Data_array_SWR[0]), .B0(
Raw_mant_NRM_SWR[24]), .B1(n978), .Y(n1137) );
NOR2X4TS U1668 ( .A(n1108), .B(n1263), .Y(n1360) );
BUFX3TS U1669 ( .A(n1292), .Y(n1466) );
AOI22X1TS U1670 ( .A0(Raw_mant_NRM_SWR[22]), .A1(n1457), .B0(n1466), .B1(
DmP_mant_SHT1_SW[1]), .Y(n1135) );
AOI22X1TS U1671 ( .A0(Raw_mant_NRM_SWR[23]), .A1(n1470), .B0(n996), .B1(
DmP_mant_SHT1_SW[0]), .Y(n1134) );
AOI22X1TS U1672 ( .A0(Raw_mant_NRM_SWR[25]), .A1(n1470), .B0(n1360), .B1(
n1363), .Y(n1136) );
BUFX3TS U1673 ( .A(Shift_reg_FLAGS_7[0]), .Y(n1775) );
OAI21XLTS U1674 ( .A0(busy), .A1(n1640), .B0(n1513), .Y(n910) );
AOI2BB2X1TS U1675 ( .B0(DmP_mant_SFG_SWR[2]), .B1(n1534), .A0N(OP_FLAG_SFG),
.A1N(DmP_mant_SFG_SWR[2]), .Y(n1241) );
AOI2BB2X1TS U1676 ( .B0(DmP_mant_SFG_SWR[3]), .B1(n1534), .A0N(n1548), .A1N(
DmP_mant_SFG_SWR[3]), .Y(n1557) );
INVX2TS U1677 ( .A(DP_OP_15J8_123_2691_n4), .Y(n1158) );
INVX2TS U1678 ( .A(exp_rslt_NRM2_EW1[3]), .Y(n1160) );
INVX2TS U1679 ( .A(exp_rslt_NRM2_EW1[2]), .Y(n1159) );
XNOR2X2TS U1680 ( .A(DMP_exp_NRM2_EW[5]), .B(DP_OP_15J8_123_2691_n4), .Y(
n1427) );
INVX2TS U1681 ( .A(n1164), .Y(n1165) );
XNOR2X2TS U1682 ( .A(DMP_exp_NRM2_EW[7]), .B(n1170), .Y(n1223) );
INVX2TS U1683 ( .A(n956), .Y(n1509) );
AND4X1TS U1684 ( .A(exp_rslt_NRM2_EW1[3]), .B(n1426), .C(
exp_rslt_NRM2_EW1[2]), .D(exp_rslt_NRM2_EW1[1]), .Y(n1167) );
AND4X1TS U1685 ( .A(n1428), .B(n1427), .C(exp_rslt_NRM2_EW1[4]), .D(n1167),
.Y(n1168) );
OAI2BB2XLTS U1686 ( .B0(n1173), .B1(n1430), .A0N(n1676), .A1N(
final_result_ieee[31]), .Y(n624) );
BUFX3TS U1687 ( .A(n1792), .Y(n1796) );
BUFX3TS U1688 ( .A(n1787), .Y(n1797) );
BUFX3TS U1689 ( .A(n1788), .Y(n1798) );
BUFX3TS U1690 ( .A(n1787), .Y(n1799) );
BUFX3TS U1691 ( .A(n1788), .Y(n1800) );
BUFX3TS U1692 ( .A(n1791), .Y(n1801) );
BUFX3TS U1693 ( .A(n1176), .Y(n1802) );
BUFX3TS U1694 ( .A(n1789), .Y(n1803) );
BUFX3TS U1695 ( .A(n1792), .Y(n1804) );
CLKBUFX2TS U1696 ( .A(n1175), .Y(n1178) );
BUFX3TS U1697 ( .A(n1788), .Y(n1807) );
BUFX3TS U1698 ( .A(n1791), .Y(n1786) );
BUFX3TS U1699 ( .A(n1175), .Y(n1787) );
BUFX3TS U1700 ( .A(n1175), .Y(n1788) );
BUFX3TS U1701 ( .A(n1176), .Y(n1790) );
BUFX3TS U1702 ( .A(n1175), .Y(n1792) );
BUFX3TS U1703 ( .A(n1791), .Y(n1793) );
BUFX3TS U1704 ( .A(n1176), .Y(n1794) );
BUFX3TS U1705 ( .A(n1792), .Y(n1795) );
BUFX3TS U1706 ( .A(n1789), .Y(n1778) );
BUFX3TS U1707 ( .A(n1175), .Y(n1789) );
BUFX3TS U1708 ( .A(n1792), .Y(n1779) );
BUFX3TS U1709 ( .A(n1787), .Y(n1781) );
BUFX3TS U1710 ( .A(n1787), .Y(n1783) );
BUFX3TS U1711 ( .A(n1177), .Y(n1785) );
BUFX3TS U1712 ( .A(n1175), .Y(n1791) );
BUFX3TS U1713 ( .A(n1788), .Y(n1777) );
BUFX3TS U1714 ( .A(n1791), .Y(n1776) );
BUFX3TS U1715 ( .A(n1176), .Y(n1782) );
BUFX3TS U1716 ( .A(n1789), .Y(n1780) );
BUFX3TS U1717 ( .A(n1789), .Y(n1784) );
BUFX3TS U1718 ( .A(n1176), .Y(n1808) );
BUFX3TS U1719 ( .A(n1789), .Y(n1805) );
BUFX3TS U1720 ( .A(n1174), .Y(n1815) );
BUFX3TS U1721 ( .A(n1178), .Y(n1812) );
BUFX3TS U1722 ( .A(n1787), .Y(n1813) );
BUFX3TS U1723 ( .A(n1788), .Y(n1814) );
BUFX3TS U1724 ( .A(n1791), .Y(n1816) );
BUFX3TS U1725 ( .A(n1792), .Y(n1806) );
BUFX3TS U1726 ( .A(n1177), .Y(n1809) );
BUFX3TS U1727 ( .A(n1174), .Y(n1811) );
BUFX3TS U1728 ( .A(n1174), .Y(n1810) );
INVX2TS U1729 ( .A(n1228), .Y(n1674) );
INVX2TS U1730 ( .A(n973), .Y(n1499) );
BUFX3TS U1731 ( .A(n974), .Y(n1498) );
CLKBUFX2TS U1732 ( .A(n1774), .Y(n1514) );
INVX2TS U1733 ( .A(n1514), .Y(n1493) );
NAND2X1TS U1734 ( .A(DmP_EXP_EWSW[25]), .B(n1018), .Y(n1485) );
NOR2X1TS U1735 ( .A(n1710), .B(DMP_EXP_EWSW[24]), .Y(n1481) );
OAI22X1TS U1736 ( .A0(n1483), .A1(n1481), .B0(DmP_EXP_EWSW[24]), .B1(n1711),
.Y(n1487) );
AOI22X1TS U1737 ( .A0(DMP_EXP_EWSW[25]), .A1(n1771), .B0(n1485), .B1(n1487),
.Y(n1182) );
NOR2X1TS U1738 ( .A(n962), .B(DMP_EXP_EWSW[26]), .Y(n1183) );
AOI21X1TS U1739 ( .A0(DMP_EXP_EWSW[26]), .A1(n962), .B0(n1183), .Y(n1180) );
XNOR2X1TS U1740 ( .A(n1182), .B(n1180), .Y(n1181) );
OAI22X1TS U1741 ( .A0(n1183), .A1(n1182), .B0(DmP_EXP_EWSW[26]), .B1(n1770),
.Y(n1185) );
XNOR2X1TS U1742 ( .A(DmP_EXP_EWSW[27]), .B(DMP_EXP_EWSW[27]), .Y(n1184) );
XOR2X1TS U1743 ( .A(n1185), .B(n1184), .Y(n1186) );
OAI22X1TS U1744 ( .A0(n1742), .A1(intDX_EWSW[25]), .B0(n1741), .B1(
intDX_EWSW[26]), .Y(n1187) );
AOI221X1TS U1745 ( .A0(n1742), .A1(intDX_EWSW[25]), .B0(intDX_EWSW[26]),
.B1(n1741), .C0(n1187), .Y(n1193) );
OAI22X1TS U1746 ( .A0(n1755), .A1(intDX_EWSW[27]), .B0(n1758), .B1(
intDY_EWSW[28]), .Y(n1188) );
OAI22X1TS U1747 ( .A0(n1756), .A1(intDY_EWSW[29]), .B0(n1706), .B1(
intDY_EWSW[30]), .Y(n1189) );
AOI221X1TS U1748 ( .A0(n1756), .A1(intDY_EWSW[29]), .B0(intDY_EWSW[30]),
.B1(n1706), .C0(n1189), .Y(n1191) );
OAI22X1TS U1749 ( .A0(n1819), .A1(intDX_EWSW[1]), .B0(n1744), .B1(
intDX_EWSW[17]), .Y(n1194) );
OAI22X1TS U1750 ( .A0(n1754), .A1(intDX_EWSW[20]), .B0(n1747), .B1(
intDX_EWSW[21]), .Y(n1196) );
OAI22X1TS U1751 ( .A0(n1705), .A1(intDX_EWSW[22]), .B0(n1757), .B1(
intDX_EWSW[23]), .Y(n1197) );
OAI22X1TS U1752 ( .A0(n1693), .A1(intDX_EWSW[24]), .B0(n1745), .B1(
intDX_EWSW[9]), .Y(n1202) );
AOI221X1TS U1753 ( .A0(n1693), .A1(intDX_EWSW[24]), .B0(intDX_EWSW[9]), .B1(
n1745), .C0(n1202), .Y(n1209) );
OAI22X1TS U1754 ( .A0(n1751), .A1(intDX_EWSW[12]), .B0(n1746), .B1(
intDX_EWSW[13]), .Y(n1204) );
OAI22X1TS U1755 ( .A0(n1752), .A1(intDX_EWSW[14]), .B0(n1704), .B1(
intDX_EWSW[15]), .Y(n1205) );
OAI22X1TS U1756 ( .A0(n1753), .A1(intDX_EWSW[16]), .B0(n1703), .B1(
intDX_EWSW[0]), .Y(n1210) );
AOI221X1TS U1757 ( .A0(n1753), .A1(intDX_EWSW[16]), .B0(intDX_EWSW[0]), .B1(
n1703), .C0(n1210), .Y(n1217) );
OAI22X1TS U1758 ( .A0(n1749), .A1(intDX_EWSW[2]), .B0(n1743), .B1(
intDX_EWSW[3]), .Y(n1211) );
AOI221X1TS U1759 ( .A0(n1749), .A1(intDX_EWSW[2]), .B0(intDX_EWSW[3]), .B1(
n1743), .C0(n1211), .Y(n1216) );
OAI22X1TS U1760 ( .A0(n1750), .A1(intDX_EWSW[4]), .B0(n1701), .B1(
intDX_EWSW[5]), .Y(n1212) );
AOI221X1TS U1761 ( .A0(n1750), .A1(intDX_EWSW[4]), .B0(intDX_EWSW[5]), .B1(
n1701), .C0(n1212), .Y(n1215) );
OAI22X1TS U1762 ( .A0(n1748), .A1(intDX_EWSW[8]), .B0(n1737), .B1(
intDX_EWSW[6]), .Y(n1213) );
AOI221X1TS U1763 ( .A0(n1748), .A1(intDX_EWSW[8]), .B0(intDX_EWSW[6]), .B1(
n1737), .C0(n1213), .Y(n1214) );
NOR4X1TS U1764 ( .A(n1221), .B(n1220), .C(n1219), .D(n1218), .Y(n1406) );
CLKXOR2X2TS U1765 ( .A(intDY_EWSW[31]), .B(intAS), .Y(n1400) );
AOI21X1TS U1766 ( .A0(n1400), .A1(intDX_EWSW[31]), .B0(n1222), .Y(n1490) );
NAND2X2TS U1767 ( .A(n956), .B(n1228), .Y(n1429) );
OA22X1TS U1768 ( .A0(n1429), .A1(exp_rslt_NRM2_EW1[4]), .B0(n1775), .B1(
final_result_ieee[27]), .Y(n838) );
OA22X1TS U1769 ( .A0(n1429), .A1(exp_rslt_NRM2_EW1[3]), .B0(n1228), .B1(
final_result_ieee[26]), .Y(n839) );
OA22X1TS U1770 ( .A0(n1429), .A1(exp_rslt_NRM2_EW1[1]), .B0(n1775), .B1(
final_result_ieee[24]), .Y(n841) );
OA22X1TS U1771 ( .A0(n1429), .A1(exp_rslt_NRM2_EW1[2]), .B0(n1775), .B1(
final_result_ieee[25]), .Y(n840) );
NOR2X4TS U1772 ( .A(n1509), .B(n1430), .Y(n1634) );
OAI22X1TS U1773 ( .A0(n1764), .A1(n1630), .B0(n961), .B1(n1631), .Y(n1227)
);
AOI211X1TS U1774 ( .A0(Data_array_SWR[2]), .A1(n1544), .B0(n1227), .C0(n1226), .Y(n1229) );
OAI22X1TS U1775 ( .A0(n1229), .A1(n982), .B0(n1702), .B1(n1636), .Y(n1230)
);
INVX2TS U1776 ( .A(n1228), .Y(n1511) );
NAND2X2TS U1777 ( .A(n983), .B(n1544), .Y(n1637) );
OAI22X1TS U1778 ( .A0(n983), .A1(n1229), .B0(n1702), .B1(n1637), .Y(n1552)
);
OAI22X1TS U1779 ( .A0(n1688), .A1(n1232), .B0(n1692), .B1(n1637), .Y(n1553)
);
AOI22X1TS U1780 ( .A0(Data_array_SWR[12]), .A1(n968), .B0(Data_array_SWR[13]), .B1(n970), .Y(n1233) );
OAI221X1TS U1781 ( .A0(n1688), .A1(n1235), .B0(n1640), .B1(n1236), .C0(n1233), .Y(n1609) );
AOI22X1TS U1782 ( .A0(Data_array_SWR[12]), .A1(n970), .B0(Data_array_SWR[13]), .B1(n968), .Y(n1234) );
OAI221X1TS U1783 ( .A0(n1688), .A1(n1236), .B0(n982), .B1(n1235), .C0(n1234),
.Y(n1610) );
AOI22X1TS U1784 ( .A0(Data_array_SWR[22]), .A1(n975), .B0(Data_array_SWR[18]), .B1(n980), .Y(n1240) );
AOI22X1TS U1785 ( .A0(Data_array_SWR[14]), .A1(n970), .B0(Data_array_SWR[11]), .B1(n968), .Y(n1237) );
OAI221X1TS U1786 ( .A0(n983), .A1(n1239), .B0(n1640), .B1(n1240), .C0(n1237),
.Y(n1622) );
AOI22X1TS U1787 ( .A0(Data_array_SWR[14]), .A1(n968), .B0(Data_array_SWR[11]), .B1(n970), .Y(n1238) );
OAI221X1TS U1788 ( .A0(n983), .A1(n1240), .B0(n1640), .B1(n1239), .C0(n1238),
.Y(n1520) );
INVX2TS U1789 ( .A(n1628), .Y(n1654) );
OAI32X1TS U1790 ( .A0(n1628), .A1(n1243), .A2(n1242), .B0(n1619), .B1(n1727),
.Y(n600) );
NAND2BX1TS U1791 ( .AN(n1244), .B(Raw_mant_NRM_SWR[5]), .Y(n1421) );
OAI21XLTS U1792 ( .A0(n1246), .A1(n1245), .B0(n1421), .Y(n1247) );
AOI211X1TS U1793 ( .A0(Raw_mant_NRM_SWR[4]), .A1(n1249), .B0(n1248), .C0(
n1247), .Y(n1252) );
AOI31X1TS U1794 ( .A0(n1252), .A1(n1251), .A2(n1412), .B0(n986), .Y(n1407)
);
AOI22X1TS U1795 ( .A0(Raw_mant_NRM_SWR[8]), .A1(n1457), .B0(n1466), .B1(
DmP_mant_SHT1_SW[15]), .Y(n1260) );
OAI21X1TS U1796 ( .A0(n1725), .A1(n1261), .B0(n1260), .Y(n1262) );
AOI21X1TS U1797 ( .A0(n996), .A1(DmP_mant_SHT1_SW[14]), .B0(n1262), .Y(n1465) );
OAI22X1TS U1798 ( .A0(n1340), .A1(n987), .B0(n1723), .B1(n1269), .Y(n1265)
);
AOI21X1TS U1799 ( .A0(n1108), .A1(Data_array_SWR[16]), .B0(n1265), .Y(n1266)
);
AOI22X1TS U1800 ( .A0(Raw_mant_NRM_SWR[18]), .A1(n1457), .B0(n1466), .B1(
DmP_mant_SHT1_SW[5]), .Y(n1268) );
AOI22X1TS U1801 ( .A0(Raw_mant_NRM_SWR[19]), .A1(n1470), .B0(n994), .B1(
DmP_mant_SHT1_SW[4]), .Y(n1267) );
NAND2X1TS U1802 ( .A(n1268), .B(n1267), .Y(n1359) );
AOI22X1TS U1803 ( .A0(n963), .A1(Data_array_SWR[6]), .B0(n957), .B1(n1359),
.Y(n1271) );
NAND2X1TS U1804 ( .A(Raw_mant_NRM_SWR[16]), .B(n966), .Y(n1270) );
INVX2TS U1805 ( .A(n1343), .Y(n1274) );
AOI22X1TS U1806 ( .A0(intDX_EWSW[2]), .A1(n958), .B0(DMP_EXP_EWSW[2]), .B1(
n1491), .Y(n1272) );
AOI22X1TS U1807 ( .A0(intDX_EWSW[1]), .A1(n958), .B0(DMP_EXP_EWSW[1]), .B1(
n1491), .Y(n1273) );
BUFX3TS U1808 ( .A(n1276), .Y(n1396) );
AOI22X1TS U1809 ( .A0(intDY_EWSW[28]), .A1(n1311), .B0(DMP_EXP_EWSW[28]),
.B1(n1396), .Y(n1275) );
BUFX3TS U1810 ( .A(n1276), .Y(n1435) );
AOI22X1TS U1811 ( .A0(intDX_EWSW[21]), .A1(n1311), .B0(DmP_EXP_EWSW[21]),
.B1(n1435), .Y(n1277) );
AOI22X1TS U1812 ( .A0(intDX_EWSW[22]), .A1(n1311), .B0(DmP_EXP_EWSW[22]),
.B1(n1435), .Y(n1278) );
AOI22X1TS U1813 ( .A0(intDX_EWSW[20]), .A1(n1311), .B0(DmP_EXP_EWSW[20]),
.B1(n1435), .Y(n1279) );
AOI22X1TS U1814 ( .A0(n1476), .A1(Data_array_SWR[15]), .B0(
Raw_mant_NRM_SWR[7]), .B1(n965), .Y(n1281) );
AOI2BB2X1TS U1815 ( .B0(Raw_mant_NRM_SWR[9]), .B1(n978), .A0N(n1295), .A1N(
n987), .Y(n1280) );
AOI22X1TS U1816 ( .A0(n1108), .A1(Data_array_SWR[13]), .B0(
Raw_mant_NRM_SWR[9]), .B1(n965), .Y(n1284) );
AOI2BB2X1TS U1817 ( .B0(Raw_mant_NRM_SWR[11]), .B1(n977), .A0N(n1282), .A1N(
n987), .Y(n1283) );
INVX4TS U1818 ( .A(n1261), .Y(n1455) );
AOI22X1TS U1819 ( .A0(n963), .A1(Data_array_SWR[21]), .B0(
Raw_mant_NRM_SWR[1]), .B1(n966), .Y(n1286) );
AOI22X1TS U1820 ( .A0(n1476), .A1(Data_array_SWR[11]), .B0(
Raw_mant_NRM_SWR[11]), .B1(n965), .Y(n1289) );
AOI2BB2X1TS U1821 ( .B0(Raw_mant_NRM_SWR[13]), .B1(n977), .A0N(n1287), .A1N(
n987), .Y(n1288) );
AOI22X1TS U1822 ( .A0(intDX_EWSW[3]), .A1(n958), .B0(DMP_EXP_EWSW[3]), .B1(
n1491), .Y(n1290) );
INVX4TS U1823 ( .A(n1311), .Y(n1508) );
AOI22X1TS U1824 ( .A0(intDX_EWSW[0]), .A1(n958), .B0(DMP_EXP_EWSW[0]), .B1(
n1491), .Y(n1291) );
AOI22X1TS U1825 ( .A0(n963), .A1(Data_array_SWR[17]), .B0(
Raw_mant_NRM_SWR[5]), .B1(n965), .Y(n1294) );
AOI22X1TS U1826 ( .A0(intDX_EWSW[5]), .A1(n1307), .B0(DMP_EXP_EWSW[5]), .B1(
n1276), .Y(n1296) );
AOI22X1TS U1827 ( .A0(intDX_EWSW[6]), .A1(n958), .B0(DMP_EXP_EWSW[6]), .B1(
n1276), .Y(n1297) );
AOI22X1TS U1828 ( .A0(intDX_EWSW[4]), .A1(n1307), .B0(DMP_EXP_EWSW[4]), .B1(
n1305), .Y(n1298) );
AOI22X1TS U1829 ( .A0(Raw_mant_NRM_SWR[24]), .A1(n1470), .B0(n1466), .B1(
DmP_mant_SHT1_SW[0]), .Y(n1303) );
AOI22X1TS U1830 ( .A0(n963), .A1(Data_array_SWR[1]), .B0(
Raw_mant_NRM_SWR[23]), .B1(n977), .Y(n1302) );
AOI22X1TS U1831 ( .A0(Raw_mant_NRM_SWR[21]), .A1(n1457), .B0(n1466), .B1(
DmP_mant_SHT1_SW[2]), .Y(n1300) );
AOI22X1TS U1832 ( .A0(Raw_mant_NRM_SWR[22]), .A1(n1470), .B0(n995), .B1(
DmP_mant_SHT1_SW[1]), .Y(n1299) );
NAND2X1TS U1833 ( .A(n1300), .B(n1299), .Y(n1352) );
NAND2X1TS U1834 ( .A(n1360), .B(n1352), .Y(n1301) );
AOI22X1TS U1835 ( .A0(intDX_EWSW[17]), .A1(n1311), .B0(DmP_EXP_EWSW[17]),
.B1(n1435), .Y(n1304) );
BUFX3TS U1836 ( .A(n1305), .Y(n1333) );
AOI22X1TS U1837 ( .A0(intDX_EWSW[18]), .A1(n1311), .B0(DmP_EXP_EWSW[18]),
.B1(n1333), .Y(n1306) );
BUFX3TS U1838 ( .A(n1491), .Y(n1402) );
AOI22X1TS U1839 ( .A0(intDX_EWSW[3]), .A1(n1389), .B0(DmP_EXP_EWSW[3]), .B1(
n1402), .Y(n1308) );
AOI22X1TS U1840 ( .A0(intDX_EWSW[2]), .A1(n1311), .B0(DmP_EXP_EWSW[2]), .B1(
n1402), .Y(n1309) );
AOI22X1TS U1841 ( .A0(intDX_EWSW[6]), .A1(n1311), .B0(DmP_EXP_EWSW[6]), .B1(
n1402), .Y(n1310) );
AOI22X1TS U1842 ( .A0(intDX_EWSW[19]), .A1(n1311), .B0(DmP_EXP_EWSW[19]),
.B1(n1435), .Y(n1312) );
AOI22X1TS U1843 ( .A0(intDX_EWSW[5]), .A1(n1389), .B0(DmP_EXP_EWSW[5]), .B1(
n1333), .Y(n1313) );
AOI22X1TS U1844 ( .A0(intDX_EWSW[4]), .A1(n1389), .B0(DmP_EXP_EWSW[4]), .B1(
n1402), .Y(n1314) );
AOI22X1TS U1845 ( .A0(DmP_EXP_EWSW[27]), .A1(n1435), .B0(intDX_EWSW[27]),
.B1(n1389), .Y(n1315) );
AOI22X1TS U1846 ( .A0(intDX_EWSW[1]), .A1(n1389), .B0(DmP_EXP_EWSW[1]), .B1(
n1402), .Y(n1316) );
AOI21X1TS U1847 ( .A0(n1470), .A1(Raw_mant_NRM_SWR[0]), .B0(n994), .Y(n1454)
);
OAI22X1TS U1848 ( .A0(n1317), .A1(n989), .B0(n1477), .B1(n1702), .Y(n1318)
);
AOI21X1TS U1849 ( .A0(Raw_mant_NRM_SWR[1]), .A1(n977), .B0(n1318), .Y(n1319)
);
AOI22X1TS U1850 ( .A0(intDX_EWSW[7]), .A1(n1345), .B0(DmP_EXP_EWSW[7]), .B1(
n1333), .Y(n1320) );
AOI22X1TS U1851 ( .A0(intDX_EWSW[16]), .A1(n1345), .B0(DmP_EXP_EWSW[16]),
.B1(n1333), .Y(n1321) );
AOI22X1TS U1852 ( .A0(intDX_EWSW[10]), .A1(n1345), .B0(DmP_EXP_EWSW[10]),
.B1(n1402), .Y(n1322) );
AOI22X1TS U1853 ( .A0(intDX_EWSW[14]), .A1(n1345), .B0(DmP_EXP_EWSW[14]),
.B1(n1333), .Y(n1323) );
AOI22X1TS U1854 ( .A0(intDX_EWSW[11]), .A1(n1345), .B0(DmP_EXP_EWSW[11]),
.B1(n1333), .Y(n1324) );
AOI22X1TS U1855 ( .A0(intDX_EWSW[8]), .A1(n1345), .B0(DmP_EXP_EWSW[8]), .B1(
n1333), .Y(n1325) );
AOI22X1TS U1856 ( .A0(intDX_EWSW[12]), .A1(n1345), .B0(DmP_EXP_EWSW[12]),
.B1(n1333), .Y(n1326) );
AOI22X1TS U1857 ( .A0(n1476), .A1(Data_array_SWR[19]), .B0(
Raw_mant_NRM_SWR[3]), .B1(n966), .Y(n1329) );
AOI22X1TS U1858 ( .A0(intDX_EWSW[9]), .A1(n1345), .B0(DmP_EXP_EWSW[9]), .B1(
n1333), .Y(n1331) );
AOI22X1TS U1859 ( .A0(intDX_EWSW[13]), .A1(n1389), .B0(DmP_EXP_EWSW[13]),
.B1(n1333), .Y(n1334) );
AOI22X1TS U1860 ( .A0(intDX_EWSW[15]), .A1(n1343), .B0(DmP_EXP_EWSW[15]),
.B1(n1435), .Y(n1335) );
AOI22X1TS U1861 ( .A0(n996), .A1(DmP_mant_SHT1_SW[18]), .B0(n1133), .B1(
DmP_mant_SHT1_SW[19]), .Y(n1337) );
OAI21X1TS U1862 ( .A0(n1697), .A1(n1468), .B0(n1337), .Y(n1338) );
AOI21X1TS U1863 ( .A0(Raw_mant_NRM_SWR[5]), .A1(n1470), .B0(n1338), .Y(n1461) );
OAI22X1TS U1864 ( .A0(n1340), .A1(n989), .B0(n1723), .B1(n1339), .Y(n1341)
);
AOI21X1TS U1865 ( .A0(n1108), .A1(Data_array_SWR[18]), .B0(n1341), .Y(n1342)
);
INVX2TS U1866 ( .A(n958), .Y(n1401) );
AOI22X1TS U1867 ( .A0(intDY_EWSW[29]), .A1(n1343), .B0(DMP_EXP_EWSW[29]),
.B1(n1402), .Y(n1344) );
AOI22X1TS U1868 ( .A0(intDY_EWSW[30]), .A1(n1345), .B0(DMP_EXP_EWSW[30]),
.B1(n1402), .Y(n1346) );
AOI22X1TS U1869 ( .A0(intDX_EWSW[0]), .A1(n1389), .B0(DmP_EXP_EWSW[0]), .B1(
n1402), .Y(n1347) );
AOI22X1TS U1870 ( .A0(Raw_mant_NRM_SWR[17]), .A1(n1457), .B0(n1466), .B1(
DmP_mant_SHT1_SW[6]), .Y(n1349) );
AOI22X1TS U1871 ( .A0(Raw_mant_NRM_SWR[18]), .A1(n1470), .B0(n995), .B1(
DmP_mant_SHT1_SW[5]), .Y(n1348) );
NAND2X1TS U1872 ( .A(n1349), .B(n1348), .Y(n1367) );
AOI22X1TS U1873 ( .A0(n1476), .A1(Data_array_SWR[5]), .B0(n1360), .B1(n1367),
.Y(n1351) );
NAND2X1TS U1874 ( .A(Raw_mant_NRM_SWR[19]), .B(n978), .Y(n1350) );
AOI22X1TS U1875 ( .A0(n963), .A1(Data_array_SWR[3]), .B0(n957), .B1(n1352),
.Y(n1354) );
NAND2X1TS U1876 ( .A(Raw_mant_NRM_SWR[19]), .B(n966), .Y(n1353) );
AOI22X1TS U1877 ( .A0(n1476), .A1(Data_array_SWR[9]), .B0(
Raw_mant_NRM_SWR[13]), .B1(n966), .Y(n1358) );
AOI2BB2X1TS U1878 ( .B0(Raw_mant_NRM_SWR[15]), .B1(n978), .A0N(n1356), .A1N(
n988), .Y(n1357) );
OAI211X1TS U1879 ( .A0(n1370), .A1(n991), .B0(n1358), .C0(n1357), .Y(n861)
);
AOI22X1TS U1880 ( .A0(n963), .A1(Data_array_SWR[4]), .B0(n1360), .B1(n1359),
.Y(n1362) );
NAND2X1TS U1881 ( .A(Raw_mant_NRM_SWR[20]), .B(n978), .Y(n1361) );
AOI22X1TS U1882 ( .A0(n1476), .A1(Data_array_SWR[2]), .B0(n957), .B1(n1363),
.Y(n1365) );
NAND2X1TS U1883 ( .A(Raw_mant_NRM_SWR[20]), .B(n965), .Y(n1364) );
AOI22X1TS U1884 ( .A0(n1476), .A1(Data_array_SWR[7]), .B0(n957), .B1(n1367),
.Y(n1369) );
NAND2X1TS U1885 ( .A(Raw_mant_NRM_SWR[15]), .B(n966), .Y(n1368) );
AOI22X1TS U1886 ( .A0(intDX_EWSW[7]), .A1(n1384), .B0(DMP_EXP_EWSW[7]), .B1(
n1305), .Y(n1371) );
AOI22X1TS U1887 ( .A0(intDX_EWSW[16]), .A1(n1384), .B0(DMP_EXP_EWSW[16]),
.B1(n1396), .Y(n1372) );
AOI22X1TS U1888 ( .A0(n994), .A1(DmP_mant_SHT1_SW[8]), .B0(n1466), .B1(
DmP_mant_SHT1_SW[9]), .Y(n1373) );
AOI21X1TS U1889 ( .A0(Raw_mant_NRM_SWR[15]), .A1(n1375), .B0(n1374), .Y(
n1472) );
AOI22X1TS U1890 ( .A0(intDX_EWSW[10]), .A1(n1384), .B0(DMP_EXP_EWSW[10]),
.B1(n1276), .Y(n1379) );
AOI22X1TS U1891 ( .A0(intDX_EWSW[9]), .A1(n1384), .B0(DMP_EXP_EWSW[9]), .B1(
n1276), .Y(n1380) );
AOI22X1TS U1892 ( .A0(intDX_EWSW[14]), .A1(n1384), .B0(DMP_EXP_EWSW[14]),
.B1(n1396), .Y(n1381) );
AOI22X1TS U1893 ( .A0(intDX_EWSW[11]), .A1(n1384), .B0(DMP_EXP_EWSW[11]),
.B1(n1396), .Y(n1382) );
AOI22X1TS U1894 ( .A0(intDX_EWSW[8]), .A1(n1384), .B0(DMP_EXP_EWSW[8]), .B1(
n1019), .Y(n1383) );
AOI22X1TS U1895 ( .A0(intDX_EWSW[12]), .A1(n1384), .B0(DMP_EXP_EWSW[12]),
.B1(n1019), .Y(n1385) );
AOI22X1TS U1896 ( .A0(intDX_EWSW[19]), .A1(n1397), .B0(DMP_EXP_EWSW[19]),
.B1(n1396), .Y(n1387) );
AOI22X1TS U1897 ( .A0(intDX_EWSW[18]), .A1(n1397), .B0(DMP_EXP_EWSW[18]),
.B1(n1396), .Y(n1388) );
AOI222X1TS U1898 ( .A0(n1389), .A1(intDX_EWSW[23]), .B0(DmP_EXP_EWSW[23]),
.B1(n1491), .C0(intDY_EWSW[23]), .C1(n1397), .Y(n1390) );
INVX2TS U1899 ( .A(n1390), .Y(n645) );
AOI22X1TS U1900 ( .A0(intDX_EWSW[22]), .A1(n1397), .B0(DMP_EXP_EWSW[22]),
.B1(n1396), .Y(n1391) );
AOI22X1TS U1901 ( .A0(intDX_EWSW[17]), .A1(n1397), .B0(DMP_EXP_EWSW[17]),
.B1(n1396), .Y(n1392) );
AOI22X1TS U1902 ( .A0(intDX_EWSW[20]), .A1(n1397), .B0(DMP_EXP_EWSW[20]),
.B1(n1396), .Y(n1393) );
AOI22X1TS U1903 ( .A0(DMP_EXP_EWSW[27]), .A1(n1435), .B0(intDX_EWSW[27]),
.B1(n1397), .Y(n1394) );
AOI22X1TS U1904 ( .A0(DMP_EXP_EWSW[23]), .A1(n1435), .B0(intDX_EWSW[23]),
.B1(n1397), .Y(n1395) );
AOI22X1TS U1905 ( .A0(intDX_EWSW[21]), .A1(n1397), .B0(DMP_EXP_EWSW[21]),
.B1(n1396), .Y(n1398) );
INVX2TS U1906 ( .A(n1400), .Y(n1405) );
AOI22X1TS U1907 ( .A0(intDX_EWSW[31]), .A1(n1403), .B0(SIGN_FLAG_EXP), .B1(
n1402), .Y(n1404) );
OAI211X1TS U1908 ( .A0(Raw_mant_NRM_SWR[11]), .A1(Raw_mant_NRM_SWR[13]),
.B0(n1408), .C0(n1717), .Y(n1417) );
OAI2BB1X1TS U1909 ( .A0N(n1410), .A1N(n1717), .B0(n1409), .Y(n1411) );
OAI21X1TS U1910 ( .A0(n1415), .A1(n1414), .B0(n981), .Y(n1478) );
OAI2BB1X1TS U1911 ( .A0N(LZD_output_NRM2_EW[3]), .A1N(n1513), .B0(n1478),
.Y(n598) );
OAI22X1TS U1912 ( .A0(Raw_mant_NRM_SWR[6]), .A1(n1421), .B0(n1420), .B1(
n1765), .Y(n1422) );
OAI31X1TS U1913 ( .A0(n1424), .A1(n1423), .A2(n1422), .B0(n984), .Y(n1474)
);
OAI2BB1X1TS U1914 ( .A0N(LZD_output_NRM2_EW[2]), .A1N(n986), .B0(n1474), .Y(
n607) );
OAI2BB1X1TS U1915 ( .A0N(LZD_output_NRM2_EW[0]), .A1N(n986), .B0(n1468), .Y(
n593) );
OA22X1TS U1916 ( .A0(n1429), .A1(n1426), .B0(n1775), .B1(
final_result_ieee[23]), .Y(n842) );
OA22X1TS U1917 ( .A0(n1429), .A1(n1427), .B0(n1775), .B1(
final_result_ieee[28]), .Y(n837) );
OA22X1TS U1918 ( .A0(n1429), .A1(n1428), .B0(n1775), .B1(
final_result_ieee[29]), .Y(n836) );
OA21XLTS U1919 ( .A0(n1775), .A1(overflow_flag), .B0(n1430), .Y(n639) );
AOI22X1TS U1920 ( .A0(inst_FSM_INPUT_ENABLE_state_reg[1]), .A1(
inst_FSM_INPUT_ENABLE_state_reg[0]), .B0(n1432), .B1(n1700), .Y(
inst_FSM_INPUT_ENABLE_state_next_1_) );
NAND2X1TS U1921 ( .A(n1432), .B(n1431), .Y(n952) );
AOI32X4TS U1922 ( .A0(inst_FSM_INPUT_ENABLE_state_reg[1]), .A1(
inst_FSM_INPUT_ENABLE_state_reg[0]), .A2(
inst_FSM_INPUT_ENABLE_state_reg[2]), .B0(n1433), .B1(n1739), .Y(n1437)
);
INVX2TS U1923 ( .A(n1437), .Y(n1436) );
AOI22X1TS U1924 ( .A0(inst_FSM_INPUT_ENABLE_state_reg[1]), .A1(n1434), .B0(
inst_FSM_INPUT_ENABLE_state_reg[2]), .B1(n1700), .Y(n1438) );
BUFX3TS U1925 ( .A(n1774), .Y(n1512) );
AOI22X1TS U1926 ( .A0(n1437), .A1(n1435), .B0(n1512), .B1(n1436), .Y(n949)
);
AOI22X1TS U1927 ( .A0(n1437), .A1(n1512), .B0(n974), .B1(n1436), .Y(n948) );
INVX2TS U1928 ( .A(n1628), .Y(n1574) );
AOI22X1TS U1929 ( .A0(n1437), .A1(n1628), .B0(n1513), .B1(n1436), .Y(n945)
);
AOI22X1TS U1930 ( .A0(n1437), .A1(n986), .B0(n1676), .B1(n1436), .Y(n944) );
INVX2TS U1931 ( .A(n1441), .Y(n1450) );
INVX2TS U1932 ( .A(n1451), .Y(n1439) );
BUFX3TS U1933 ( .A(n1441), .Y(n1446) );
BUFX3TS U1934 ( .A(n1441), .Y(n1449) );
BUFX3TS U1935 ( .A(n1441), .Y(n1453) );
BUFX3TS U1936 ( .A(n1453), .Y(n1440) );
INVX2TS U1937 ( .A(n1451), .Y(n1452) );
INVX2TS U1938 ( .A(n1453), .Y(n1442) );
BUFX3TS U1939 ( .A(n1441), .Y(n1447) );
INVX2TS U1940 ( .A(n1453), .Y(n1443) );
BUFX3TS U1941 ( .A(n1441), .Y(n1445) );
INVX2TS U1942 ( .A(n1453), .Y(n1444) );
INVX2TS U1943 ( .A(n1451), .Y(n1448) );
OAI2BB2XLTS U1944 ( .B0(n1454), .B1(n991), .A0N(n1476), .A1N(
Data_array_SWR[25]), .Y(n877) );
AO22X1TS U1945 ( .A0(Raw_mant_NRM_SWR[1]), .A1(n1455), .B0(
Raw_mant_NRM_SWR[0]), .B1(n1457), .Y(n1456) );
AOI211X1TS U1946 ( .A0(DmP_mant_SHT1_SW[22]), .A1(n1513), .B0(n1466), .C0(
n1456), .Y(n1460) );
OAI2BB2XLTS U1947 ( .B0(n1460), .B1(n991), .A0N(n963), .A1N(
Data_array_SWR[24]), .Y(n876) );
AOI22X1TS U1948 ( .A0(Raw_mant_NRM_SWR[2]), .A1(n1457), .B0(
DmP_mant_SHT1_SW[21]), .B1(n1133), .Y(n1458) );
AOI21X1TS U1949 ( .A0(DmP_mant_SHT1_SW[20]), .A1(n995), .B0(n1459), .Y(n1462) );
OAI222X1TS U1950 ( .A0(n1477), .A1(n1692), .B0(n988), .B1(n1460), .C0(n990),
.C1(n1462), .Y(n874) );
OAI222X1TS U1951 ( .A0(n1772), .A1(n1477), .B0(n988), .B1(n1462), .C0(n990),
.C1(n1461), .Y(n872) );
AOI22X1TS U1952 ( .A0(n996), .A1(DmP_mant_SHT1_SW[12]), .B0(n1466), .B1(
DmP_mant_SHT1_SW[13]), .Y(n1463) );
AOI21X1TS U1953 ( .A0(Raw_mant_NRM_SWR[11]), .A1(n1470), .B0(n1464), .Y(
n1471) );
OAI222X1TS U1954 ( .A0(n1764), .A1(n1477), .B0(n988), .B1(n1465), .C0(n990),
.C1(n1471), .Y(n866) );
AOI22X1TS U1955 ( .A0(n995), .A1(DmP_mant_SHT1_SW[10]), .B0(n1466), .B1(
DmP_mant_SHT1_SW[11]), .Y(n1467) );
AOI21X1TS U1956 ( .A0(Raw_mant_NRM_SWR[13]), .A1(n1470), .B0(n1469), .Y(
n1473) );
OAI222X1TS U1957 ( .A0(n1768), .A1(n1477), .B0(n988), .B1(n1471), .C0(n991),
.C1(n1473), .Y(n864) );
OAI222X1TS U1958 ( .A0(n961), .A1(n1477), .B0(n988), .B1(n1473), .C0(n991),
.C1(n1472), .Y(n862) );
AOI32X1TS U1959 ( .A0(Shift_amount_SHT1_EWR[2]), .A1(n1477), .A2(n986), .B0(
shift_value_SHT2_EWR[2]), .B1(n1108), .Y(n1475) );
NAND2X1TS U1960 ( .A(n1475), .B(n1474), .Y(n851) );
AOI32X1TS U1961 ( .A0(Shift_amount_SHT1_EWR[3]), .A1(n1477), .A2(n1513),
.B0(shift_value_SHT2_EWR[3]), .B1(n1476), .Y(n1479) );
NAND2X1TS U1962 ( .A(n1479), .B(n1478), .Y(n850) );
INVX2TS U1963 ( .A(n1512), .Y(n1515) );
AOI21X1TS U1964 ( .A0(DMP_EXP_EWSW[23]), .A1(n1011), .B0(n1483), .Y(n1480)
);
INVX2TS U1965 ( .A(n1514), .Y(n1489) );
AOI21X1TS U1966 ( .A0(DMP_EXP_EWSW[24]), .A1(n1710), .B0(n1481), .Y(n1482)
);
XNOR2X1TS U1967 ( .A(n1483), .B(n1482), .Y(n1484) );
XNOR2X1TS U1968 ( .A(n1487), .B(n1486), .Y(n1488) );
OAI222X1TS U1969 ( .A0(n1506), .A1(n1769), .B0(n1711), .B1(n1507), .C0(n1693), .C1(n1508), .Y(n810) );
OAI222X1TS U1970 ( .A0(n1506), .A1(n1712), .B0(n1018), .B1(n1507), .C0(n1742), .C1(n1508), .Y(n809) );
OAI222X1TS U1971 ( .A0(n1506), .A1(n1713), .B0(n1770), .B1(n1507), .C0(n1741), .C1(n1508), .Y(n808) );
INVX2TS U1972 ( .A(n1587), .Y(n1502) );
BUFX3TS U1973 ( .A(n1587), .Y(n1633) );
BUFX3TS U1974 ( .A(n1774), .Y(n1494) );
BUFX3TS U1975 ( .A(n1587), .Y(n1525) );
INVX2TS U1976 ( .A(n1525), .Y(n1500) );
INVX2TS U1977 ( .A(n1514), .Y(n1495) );
INVX2TS U1978 ( .A(n1587), .Y(n1611) );
BUFX3TS U1979 ( .A(n1587), .Y(n1496) );
BUFX3TS U1980 ( .A(n1587), .Y(n1621) );
BUFX3TS U1981 ( .A(n1774), .Y(n1497) );
BUFX3TS U1982 ( .A(n1587), .Y(n1501) );
BUFX3TS U1983 ( .A(n1774), .Y(n1517) );
INVX2TS U1984 ( .A(n1512), .Y(n1503) );
BUFX3TS U1985 ( .A(n1514), .Y(n1504) );
BUFX3TS U1986 ( .A(n1512), .Y(n1505) );
OAI222X1TS U1987 ( .A0(n1508), .A1(n1769), .B0(n1710), .B1(n1507), .C0(n1693), .C1(n1506), .Y(n644) );
OAI222X1TS U1988 ( .A0(n1508), .A1(n1712), .B0(n1771), .B1(n1507), .C0(n1742), .C1(n1506), .Y(n643) );
OAI222X1TS U1989 ( .A0(n1508), .A1(n1713), .B0(n962), .B1(n1507), .C0(n1741),
.C1(n1506), .Y(n642) );
NAND2X1TS U1990 ( .A(n1509), .B(n1775), .Y(n1510) );
OAI2BB1X1TS U1991 ( .A0N(underflow_flag), .A1N(n1511), .B0(n1510), .Y(n640)
);
AOI2BB2X1TS U1992 ( .B0(OP_FLAG_SFG), .B1(DmP_mant_SFG_SWR[11]), .A0N(
DmP_mant_SFG_SWR[11]), .A1N(n1548), .Y(n1614) );
NAND2X1TS U1993 ( .A(DMP_SFG[9]), .B(n1614), .Y(n1648) );
AOI2BB2X1TS U1994 ( .B0(n1624), .B1(DMP_SFG[10]), .A0N(n1623), .A1N(n1648),
.Y(n1615) );
NOR2X1TS U1995 ( .A(n1613), .B(DMP_SFG[11]), .Y(n1612) );
XOR2X1TS U1996 ( .A(n1522), .B(n1521), .Y(n1523) );
XOR2X1TS U1997 ( .A(DMP_SFG[12]), .B(n1523), .Y(n1524) );
AOI22X1TS U1998 ( .A0(n1551), .A1(n1524), .B0(n1717), .B1(n1652), .Y(n611)
);
AOI22X1TS U1999 ( .A0(Data_array_SWR[13]), .A1(n967), .B0(Data_array_SWR[9]),
.B1(n975), .Y(n1527) );
AOI22X1TS U2000 ( .A0(Data_array_SWR[5]), .A1(n979), .B0(Data_array_SWR[1]),
.B1(n1544), .Y(n1526) );
OAI211X1TS U2001 ( .A0(n1533), .A1(n1820), .B0(n1527), .C0(n1526), .Y(n1675)
);
AOI22X1TS U2002 ( .A0(Data_array_SWR[24]), .A1(n971), .B0(n982), .B1(n1675),
.Y(n1528) );
AOI22X1TS U2003 ( .A0(n1691), .A1(n1528), .B0(n1633), .B1(n1013), .Y(n609)
);
AOI22X1TS U2004 ( .A0(DmP_mant_SFG_SWR[1]), .A1(n992), .B0(OP_FLAG_SFG),
.B1(n1013), .Y(n1529) );
AOI22X1TS U2005 ( .A0(Data_array_SWR[12]), .A1(n980), .B0(Data_array_SWR[16]), .B1(n976), .Y(n1532) );
NOR2X2TS U2006 ( .A(n1820), .B(n1530), .Y(n1596) );
AOI22X1TS U2007 ( .A0(Data_array_SWR[20]), .A1(n1597), .B0(
Data_array_SWR[24]), .B1(n1596), .Y(n1531) );
INVX2TS U2008 ( .A(n1533), .Y(n1672) );
AOI22X1TS U2009 ( .A0(n1691), .A1(n1670), .B0(n1014), .B1(n1633), .Y(n606)
);
AOI22X1TS U2010 ( .A0(n1602), .A1(n1000), .B0(DmP_mant_SFG_SWR[7]), .B1(
n1736), .Y(n1536) );
NAND2BX1TS U2011 ( .AN(DMP_SFG[5]), .B(n1536), .Y(n1590) );
AOI22X1TS U2012 ( .A0(n1602), .A1(DmP_mant_SFG_SWR[6]), .B0(n1017), .B1(
n1736), .Y(n1535) );
AOI22X1TS U2013 ( .A0(DmP_mant_SFG_SWR[5]), .A1(n1534), .B0(n1549), .B1(n999), .Y(n1556) );
NAND2X1TS U2014 ( .A(n1556), .B(DMP_SFG[3]), .Y(n1558) );
NAND2X1TS U2015 ( .A(n1535), .B(DMP_SFG[4]), .Y(n1579) );
INVX2TS U2016 ( .A(n1536), .Y(n1537) );
AOI21X1TS U2017 ( .A0(n1590), .A1(n1538), .B0(n1588), .Y(n1541) );
OAI22X1TS U2018 ( .A0(n1549), .A1(n1014), .B0(DmP_mant_SFG_SWR[8]), .B1(
OP_FLAG_SFG), .Y(n1539) );
NAND2BX1TS U2019 ( .AN(n1539), .B(DMP_SFG[6]), .Y(n1643) );
NAND2BX1TS U2020 ( .AN(DMP_SFG[6]), .B(n1539), .Y(n1589) );
NAND2X1TS U2021 ( .A(n1643), .B(n1589), .Y(n1540) );
XNOR2X1TS U2022 ( .A(n1541), .B(n1540), .Y(n1542) );
AOI22X1TS U2023 ( .A0(Data_array_SWR[12]), .A1(n1597), .B0(Data_array_SWR[8]), .B1(n976), .Y(n1546) );
AOI22X1TS U2024 ( .A0(Data_array_SWR[4]), .A1(n980), .B0(Data_array_SWR[0]),
.B1(n1544), .Y(n1545) );
OAI211X1TS U2025 ( .A0(n1600), .A1(n1820), .B0(n1546), .C0(n1545), .Y(n1687)
);
AOI22X1TS U2026 ( .A0(Data_array_SWR[25]), .A1(n971), .B0(n1640), .B1(n1687),
.Y(n1547) );
AOI22X1TS U2027 ( .A0(n1691), .A1(n1547), .B0(n1633), .B1(n1015), .Y(n603)
);
AOI22X1TS U2028 ( .A0(DmP_mant_SFG_SWR[0]), .A1(n992), .B0(n1548), .B1(n1015), .Y(n1550) );
AOI22X1TS U2029 ( .A0(Data_array_SWR[14]), .A1(n976), .B0(Data_array_SWR[10]), .B1(n980), .Y(n1555) );
AOI22X1TS U2030 ( .A0(Data_array_SWR[22]), .A1(n1596), .B0(
Data_array_SWR[18]), .B1(n967), .Y(n1554) );
AOI22X1TS U2031 ( .A0(n1691), .A1(n1667), .B0(n1017), .B1(n1633), .Y(n595)
);
INVX2TS U2032 ( .A(n1579), .Y(n1591) );
INVX2TS U2033 ( .A(n1568), .Y(n1559) );
INVX2TS U2034 ( .A(n1558), .Y(n1569) );
XNOR2X1TS U2035 ( .A(n1562), .B(n1561), .Y(n1563) );
AOI22X1TS U2036 ( .A0(n1574), .A1(n1563), .B0(n1723), .B1(n1652), .Y(n594)
);
AOI22X1TS U2037 ( .A0(Data_array_SWR[20]), .A1(n1566), .B0(
Data_array_SWR[24]), .B1(n1567), .Y(n1578) );
AOI22X1TS U2038 ( .A0(Data_array_SWR[12]), .A1(n975), .B0(Data_array_SWR[8]),
.B1(n979), .Y(n1565) );
NAND2X1TS U2039 ( .A(Data_array_SWR[16]), .B(n967), .Y(n1564) );
OAI211X1TS U2040 ( .A0(n1578), .A1(n1820), .B0(n1565), .C0(n1564), .Y(n1666)
);
AO22X1TS U2041 ( .A0(Data_array_SWR[25]), .A1(n1567), .B0(Data_array_SWR[21]), .B1(n1566), .Y(n1665) );
AOI22X1TS U2042 ( .A0(n1691), .A1(n1664), .B0(n1016), .B1(n1633), .Y(n592)
);
CMPR32X2TS U2043 ( .A(DMP_SFG[2]), .B(n1571), .C(n1570), .CO(n1572), .S(
n1151) );
XNOR2X1TS U2044 ( .A(n1573), .B(n1572), .Y(n1575) );
AOI22X1TS U2045 ( .A0(Data_array_SWR[13]), .A1(n975), .B0(Data_array_SWR[9]),
.B1(n979), .Y(n1577) );
AOI22X1TS U2046 ( .A0(Data_array_SWR[17]), .A1(n967), .B0(
shift_value_SHT2_EWR[4]), .B1(n1665), .Y(n1576) );
NAND2X1TS U2047 ( .A(n1577), .B(n1576), .Y(n1663) );
INVX2TS U2048 ( .A(n1578), .Y(n1662) );
AOI22X1TS U2049 ( .A0(n1691), .A1(n1661), .B0(n1633), .B1(n999), .Y(n590) );
NOR2BX1TS U2050 ( .AN(n1590), .B(n1588), .Y(n1583) );
XNOR2X1TS U2051 ( .A(n1583), .B(n1582), .Y(n1584) );
AOI22X1TS U2052 ( .A0(n1654), .A1(n1584), .B0(n1715), .B1(n1652), .Y(n589)
);
AOI22X1TS U2053 ( .A0(Data_array_SWR[15]), .A1(n976), .B0(Data_array_SWR[11]), .B1(n980), .Y(n1586) );
AOI22X1TS U2054 ( .A0(Data_array_SWR[23]), .A1(n1596), .B0(
Data_array_SWR[19]), .B1(n1597), .Y(n1585) );
BUFX3TS U2055 ( .A(n1587), .Y(n1689) );
AOI22X1TS U2056 ( .A0(n1691), .A1(n1658), .B0(n1000), .B1(n1689), .Y(n588)
);
OAI2BB1X1TS U2057 ( .A0N(n1588), .A1N(n1589), .B0(n1643), .Y(n1604) );
AOI22X1TS U2058 ( .A0(n1602), .A1(DmP_mant_SFG_SWR[9]), .B0(n1001), .B1(
n1736), .Y(n1592) );
NAND2X1TS U2059 ( .A(n1592), .B(DMP_SFG[7]), .Y(n1642) );
NOR2BX1TS U2060 ( .AN(n1642), .B(n1644), .Y(n1593) );
XOR2X1TS U2061 ( .A(n1594), .B(n1593), .Y(n1595) );
AOI22X1TS U2062 ( .A0(n1619), .A1(n1595), .B0(n1725), .B1(n1652), .Y(n587)
);
AOI22X1TS U2063 ( .A0(Data_array_SWR[17]), .A1(n976), .B0(Data_array_SWR[13]), .B1(n980), .Y(n1599) );
AOI22X1TS U2064 ( .A0(Data_array_SWR[21]), .A1(n1597), .B0(
Data_array_SWR[25]), .B1(n1596), .Y(n1598) );
INVX2TS U2065 ( .A(n1600), .Y(n1656) );
AOI22X1TS U2066 ( .A0(n1691), .A1(n1655), .B0(n1001), .B1(n1633), .Y(n586)
);
AOI22X1TS U2067 ( .A0(n1602), .A1(DmP_mant_SFG_SWR[10]), .B0(n1002), .B1(
n1736), .Y(n1603) );
NAND2X1TS U2068 ( .A(n1603), .B(DMP_SFG[8]), .Y(n1616) );
INVX2TS U2069 ( .A(n1616), .Y(n1647) );
NOR2X2TS U2070 ( .A(n1603), .B(DMP_SFG[8]), .Y(n1645) );
INVX2TS U2071 ( .A(n1604), .Y(n1605) );
XNOR2X1TS U2072 ( .A(n1607), .B(n1606), .Y(n1608) );
AOI22X1TS U2073 ( .A0(n1551), .A1(n1608), .B0(n1724), .B1(n1652), .Y(n585)
);
AOI21X1TS U2074 ( .A0(DMP_SFG[11]), .A1(n1613), .B0(n1612), .Y(n1618) );
OAI31X1TS U2075 ( .A0(n1649), .A1(n1623), .A2(n1616), .B0(n1615), .Y(n1617)
);
XNOR2X1TS U2076 ( .A(n1618), .B(n1617), .Y(n1620) );
AOI21X1TS U2077 ( .A0(DMP_SFG[10]), .A1(n1624), .B0(n1623), .Y(n1627) );
XNOR2X1TS U2078 ( .A(n1627), .B(n1626), .Y(n1629) );
AOI22X1TS U2079 ( .A0(n1551), .A1(n1629), .B0(n1714), .B1(n1628), .Y(n579)
);
OAI22X1TS U2080 ( .A0(n1702), .A1(n1631), .B0(n1760), .B1(n960), .Y(n1639)
);
OAI222X1TS U2081 ( .A0(n1631), .A1(n1708), .B0(n1630), .B1(n1692), .C0(n960),
.C1(n1764), .Y(n1641) );
OAI22X1TS U2082 ( .A0(n961), .A1(n1636), .B0(n1766), .B1(n1637), .Y(n1632)
);
AOI221X1TS U2083 ( .A0(n1688), .A1(n1639), .B0(n1640), .B1(n1641), .C0(n1632), .Y(n1635) );
AOI22X1TS U2084 ( .A0(n1686), .A1(n1635), .B0(n1002), .B1(n1633), .Y(n578)
);
INVX4TS U2085 ( .A(n1634), .Y(n1677) );
OAI2BB2XLTS U2086 ( .B0(n1635), .B1(n993), .A0N(final_result_ieee[8]), .A1N(
n1674), .Y(n577) );
OAI22X1TS U2087 ( .A0(n961), .A1(n1637), .B0(n1766), .B1(n1636), .Y(n1638)
);
AOI221X1TS U2088 ( .A0(n1688), .A1(n1641), .B0(n1640), .B1(n1639), .C0(n1638), .Y(n1678) );
OAI2BB2XLTS U2089 ( .B0(n1678), .B1(n993), .A0N(final_result_ieee[13]),
.A1N(n1674), .Y(n576) );
OAI32X1TS U2090 ( .A0(n1645), .A1(n1644), .A2(n1643), .B0(n1642), .B1(n1645),
.Y(n1646) );
XNOR2X1TS U2091 ( .A(n1651), .B(n1650), .Y(n1653) );
AOI22X1TS U2092 ( .A0(n1574), .A1(n1653), .B0(n1716), .B1(n1652), .Y(n575)
);
OAI2BB2XLTS U2093 ( .B0(n1655), .B1(n1677), .A0N(final_result_ieee[7]),
.A1N(n1674), .Y(n571) );
OAI2BB2XLTS U2094 ( .B0(n1679), .B1(n1677), .A0N(final_result_ieee[14]),
.A1N(n1674), .Y(n570) );
OAI2BB2XLTS U2095 ( .B0(n1658), .B1(n1677), .A0N(final_result_ieee[5]),
.A1N(n1674), .Y(n569) );
OAI2BB2XLTS U2096 ( .B0(n1681), .B1(n1677), .A0N(final_result_ieee[16]),
.A1N(n1674), .Y(n568) );
OAI2BB2XLTS U2097 ( .B0(n1661), .B1(n1677), .A0N(final_result_ieee[3]),
.A1N(n1674), .Y(n567) );
OAI2BB2XLTS U2098 ( .B0(n1683), .B1(n1677), .A0N(final_result_ieee[18]),
.A1N(n1674), .Y(n566) );
OAI2BB2XLTS U2099 ( .B0(n1664), .B1(n993), .A0N(final_result_ieee[2]), .A1N(
n1676), .Y(n565) );
OAI2BB2XLTS U2100 ( .B0(n1684), .B1(n1677), .A0N(final_result_ieee[19]),
.A1N(n1676), .Y(n564) );
OAI2BB2XLTS U2101 ( .B0(n1667), .B1(n1677), .A0N(final_result_ieee[4]),
.A1N(n1676), .Y(n563) );
OAI2BB2XLTS U2102 ( .B0(n1682), .B1(n1677), .A0N(final_result_ieee[17]),
.A1N(n1676), .Y(n562) );
OAI2BB2XLTS U2103 ( .B0(n1670), .B1(n1677), .A0N(final_result_ieee[6]),
.A1N(n1676), .Y(n559) );
OAI2BB2XLTS U2104 ( .B0(n1680), .B1(n993), .A0N(final_result_ieee[15]),
.A1N(n1674), .Y(n558) );
AOI22X1TS U2105 ( .A0(Data_array_SWR[24]), .A1(n968), .B0(n983), .B1(n1675),
.Y(n1685) );
OAI2BB2XLTS U2106 ( .B0(n1685), .B1(n993), .A0N(final_result_ieee[22]),
.A1N(n1676), .Y(n555) );
AOI22X1TS U2107 ( .A0(n1686), .A1(n1678), .B0(n1003), .B1(n1689), .Y(n554)
);
AOI22X1TS U2108 ( .A0(n1686), .A1(n1679), .B0(n1004), .B1(n1689), .Y(n553)
);
AOI22X1TS U2109 ( .A0(n1686), .A1(n1680), .B0(n1005), .B1(n1689), .Y(n552)
);
AOI22X1TS U2110 ( .A0(n1686), .A1(n1681), .B0(n1006), .B1(n1689), .Y(n551)
);
AOI22X1TS U2111 ( .A0(n1686), .A1(n1682), .B0(n1007), .B1(n1689), .Y(n550)
);
AOI22X1TS U2112 ( .A0(n1691), .A1(n1683), .B0(n1689), .B1(n1008), .Y(n549)
);
AOI22X1TS U2113 ( .A0(n1686), .A1(n1684), .B0(n1689), .B1(n1009), .Y(n548)
);
AOI22X1TS U2114 ( .A0(n1686), .A1(n1685), .B0(n1689), .B1(n1010), .Y(n545)
);
AOI22X1TS U2115 ( .A0(Data_array_SWR[25]), .A1(n969), .B0(n1688), .B1(n1687),
.Y(n1690) );
AOI22X1TS U2116 ( .A0(n1691), .A1(n1690), .B0(n1689), .B1(n1012), .Y(n544)
);
initial $sdf_annotate("FPU_PIPELINED_FPADDSUB_ASIC_fpadd_approx_syn_constraints_clk10.tcl_ACAIN16Q4_syn.sdf");
endmodule
|
/*
* test_split_delayer.v
*
* Created on: 17.09.2017
* Author: Alexander Antonov <[email protected]>
* License: See LICENSE file for details
*/
module test_split_delayer
#(
parameter
REQ_RANDOM_RANGE=8,
RESP_RANDOM_RANGE=8,
RESP_FIFO_POW=4
)
(
input [0:0] clk_i,
input [0:0] rst_i,
input [0:0] host_req,
output reg [0:0] host_ack,
input [0:0] host_we,
input [31:0] host_addr,
input [31:0] host_wdata,
input [3:0] host_be,
output reg [0:0] host_resp,
output reg [31:0] host_rdata,
output reg [0:0] target_req,
input [0:0] target_ack,
output reg [0:0] target_we,
output reg [31:0] target_addr,
output reg [31:0] target_wdata,
output reg [3:0] target_be,
input [0:0] target_resp,
input [31:0] target_rdata
);
// req delaying //
integer req_random_var;
always @(posedge clk_i)
begin
req_random_var <= $urandom_range(0,REQ_RANDOM_RANGE-1);
end
always @*
begin
if (req_random_var == 0)
begin
target_req = host_req;
target_we = host_we;
target_addr = host_addr;
target_wdata = host_wdata;
target_be = host_be;
host_ack = target_ack;
end
else
begin
target_req = 1'b0;
target_we = 1'b0;
target_addr = 32'h0;
target_wdata = 32'h0;
target_be = 4'h0;
host_ack = 1'b0;
end
end
// resp delaying //
integer resp_random_var;
always @(posedge clk_i)
begin
resp_random_var <= $urandom_range(0,RESP_RANDOM_RANGE-1);
end
reg resp_fifo_rd;
wire resp_fifo_empty, resp_fifo_full;
wire [31:0] resp_fifo_rdata;
always @*
begin
resp_fifo_rd = 1'b0;
host_resp = 1'b0;
host_rdata = 32'h0;
if ((resp_fifo_full) || ( (!resp_fifo_empty) && (resp_random_var == 0) ))
begin
resp_fifo_rd = 1'b1;
host_resp = 1'b1;
host_rdata = resp_fifo_rdata;
end
end
fifo
#(
.B(32),
.W(RESP_FIFO_POW)
) resp_fifo (
.clk(clk_i),
.reset(rst_i),
.rd(resp_fifo_rd),
.wr(target_resp),
.w_data(target_rdata),
.empty(resp_fifo_empty),
.full(resp_fifo_full),
.r_data(resp_fifo_rdata)
);
endmodule
|
/**
* Copyright 2020 The SkyWater PDK Authors
*
* Licensed under the Apache License, Version 2.0 (the "License");
* you may not use this file except in compliance with the License.
* You may obtain a copy of the License at
*
* https://www.apache.org/licenses/LICENSE-2.0
*
* Unless required by applicable law or agreed to in writing, software
* distributed under the License is distributed on an "AS IS" BASIS,
* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
* See the License for the specific language governing permissions and
* limitations under the License.
*
* SPDX-License-Identifier: Apache-2.0
*/
`ifndef SKY130_FD_SC_HD__HA_TB_V
`define SKY130_FD_SC_HD__HA_TB_V
/**
* ha: Half adder.
*
* Autogenerated test bench.
*
* WARNING: This file is autogenerated, do not modify directly!
*/
`timescale 1ns / 1ps
`default_nettype none
`include "sky130_fd_sc_hd__ha.v"
module top();
// Inputs are registered
reg A;
reg B;
reg VPWR;
reg VGND;
reg VPB;
reg VNB;
// Outputs are wires
wire COUT;
wire SUM;
initial
begin
// Initial state is x for all inputs.
A = 1'bX;
B = 1'bX;
VGND = 1'bX;
VNB = 1'bX;
VPB = 1'bX;
VPWR = 1'bX;
#20 A = 1'b0;
#40 B = 1'b0;
#60 VGND = 1'b0;
#80 VNB = 1'b0;
#100 VPB = 1'b0;
#120 VPWR = 1'b0;
#140 A = 1'b1;
#160 B = 1'b1;
#180 VGND = 1'b1;
#200 VNB = 1'b1;
#220 VPB = 1'b1;
#240 VPWR = 1'b1;
#260 A = 1'b0;
#280 B = 1'b0;
#300 VGND = 1'b0;
#320 VNB = 1'b0;
#340 VPB = 1'b0;
#360 VPWR = 1'b0;
#380 VPWR = 1'b1;
#400 VPB = 1'b1;
#420 VNB = 1'b1;
#440 VGND = 1'b1;
#460 B = 1'b1;
#480 A = 1'b1;
#500 VPWR = 1'bx;
#520 VPB = 1'bx;
#540 VNB = 1'bx;
#560 VGND = 1'bx;
#580 B = 1'bx;
#600 A = 1'bx;
end
sky130_fd_sc_hd__ha dut (.A(A), .B(B), .VPWR(VPWR), .VGND(VGND), .VPB(VPB), .VNB(VNB), .COUT(COUT), .SUM(SUM));
endmodule
`default_nettype wire
`endif // SKY130_FD_SC_HD__HA_TB_V
|
// ==============================================================
// File generated by Vivado(TM) HLS - High-Level Synthesis from C, C++ and SystemC
// Version: 2014.4
// Copyright (C) 2014 Xilinx Inc. All rights reserved.
//
// ==============================================================
`timescale 1ns/1ps
module HLS_accel_fptrunc_64ns_32_1
#(parameter
ID = 5,
NUM_STAGE = 1,
din0_WIDTH = 64,
dout_WIDTH = 32
)(
input wire [din0_WIDTH-1:0] din0,
output wire [dout_WIDTH-1:0] dout
);
//------------------------Local signal-------------------
wire a_tvalid;
wire [63:0] a_tdata;
wire r_tvalid;
wire [31:0] r_tdata;
//------------------------Instantiation------------------
HLS_accel_ap_fptrunc_0_no_dsp_64 HLS_accel_ap_fptrunc_0_no_dsp_64_u (
.s_axis_a_tvalid ( a_tvalid ),
.s_axis_a_tdata ( a_tdata ),
.m_axis_result_tvalid ( r_tvalid ),
.m_axis_result_tdata ( r_tdata )
);
//------------------------Body---------------------------
assign a_tvalid = 1'b1;
assign a_tdata = din0==='bx ? 'b0 : din0;
assign dout = r_tdata;
endmodule
|
//---------------------------------------------------------------------//
// Name: vex.v
// Author: Chris Wynnyk
// Date: 2/21/2008
// Purpose: This module creates and retrieves the exercise price, Vex.
//---------------------------------------------------------------------//
module vex(
clk_slow,
clk_fast,
nrst,
start_s1,
start_s2,
log_lambda_up,
log_lambda_down,
K_over_S,
chan0,
chan1,
chan2,
chan3,
done_s1,
vexaddr
);
input clk_slow;
input clk_fast;
input nrst;
input start_s1;
input start_s2;
input [12:0] vexaddr;
input [63:0] log_lambda_up;
input [63:0] log_lambda_down;
input [63:0] K_over_S;
output [63:0] chan0;
output [63:0] chan1;
output [63:0] chan2;
output [63:0] chan3;
output done_s1;
//---------------------------------------------------------------------//
// Wires
//---------------------------------------------------------------------//
wire [63:0] v_ex;
wire [9:0] wraddr;
wire [7:0] wren;
wire [63:0] m0_out;
wire [63:0] m1_out;
wire [63:0] m2_out;
wire [63:0] m3_out;
wire [63:0] m4_out;
wire [63:0] m5_out;
wire [63:0] m6_out;
wire [63:0] m7_out;
wire [63:0] bank0_out;
wire [63:0] bank1_out;
wire [63:0] bank2_out;
wire [63:0] bank3_out;
wire [63:0] chan0;
wire [63:0] chan1;
wire [63:0] chan2;
wire [63:0] chan3;
wire [9:0] bank0_addr;
wire [9:0] bank1_addr;
wire [9:0] bank2_addr;
wire [9:0] bank3_addr;
wire odd;
wire [1:0]sel;
//---------------------------------------------------------------------//
// Instantiations
//---------------------------------------------------------------------//
addrdecode addrdecode_inst(
.clk(clk_fast),
.addr_in(vexaddr),
.bank0_addr(bank0_addr),
.bank1_addr(bank1_addr),
.bank2_addr(bank2_addr),
.bank3_addr(bank3_addr),
.sel(sel),
.odd(odd)
);
compute_vex compute_vex_inst(
.clk(clk_slow),
.nrst(nrst),
.start(start_s1),
.log_lambda_up(log_lambda_up),
.log_lambda_down(log_lambda_down),
.K_over_S(K_over_S),
.v_ex(v_ex),
.wraddr(wraddr),
.wren(wren),
.done(done_s1)
);
mem_1k m0 (
.data(v_ex),
.rdaddress(bank0_addr),
.rdclock(clk_fast),
.wraddress(wraddr),
.wrclock(clk_slow),
.wren(wren[0]),
.q(m0_out)
);
mem_1k m1 (
.data(v_ex),
.rdaddress(bank0_addr),
.rdclock(clk_fast),
.wraddress(wraddr),
.wrclock(clk_slow),
.wren(wren[1]),
.q(m1_out)
);
mem_1k m2 (
.data(v_ex),
.rdaddress(bank1_addr),
.rdclock(clk_fast),
.wraddress(wraddr),
.wrclock(clk_slow),
.wren(wren[2]),
.q(m2_out)
);
mem_1k m3 (
.data(v_ex),
.rdaddress(bank1_addr),
.rdclock(clk_fast),
.wraddress(wraddr),
.wrclock(clk_slow),
.wren(wren[3]),
.q(m3_out)
);
mem_1k m4 (
.data(v_ex),
.rdaddress(bank2_addr),
.rdclock(clk_fast),
.wraddress(wraddr),
.wrclock(clk_slow),
.wren(wren[4]),
.q(m4_out)
);
mem_1k m5 (
.data(v_ex),
.rdaddress(bank2_addr),
.rdclock(clk_fast),
.wraddress(wraddr),
.wrclock(clk_slow),
.wren(wren[5]),
.q(m5_out)
);
mem_1k m6 (
.data(v_ex),
.rdaddress(bank3_addr),
.rdclock(clk_fast),
.wraddress(wraddr),
.wrclock(clk_slow),
.wren(wren[6]),
.q(m6_out)
);
mem_1k m7 (
.data(v_ex),
.rdaddress(bank3_addr),
.rdclock(clk_fast),
.wraddress(wraddr),
.wrclock(clk_slow),
.wren(wren[7]),
.q(m7_out)
);
//---------------------------------------------------------------------//
// Control Logic
//---------------------------------------------------------------------//
// Mux for even vs. odd memory bank.
assign bank0_out = odd ? m1_out : m0_out;
assign bank1_out = odd ? m3_out : m2_out;
assign bank2_out = odd ? m5_out : m4_out;
assign bank3_out = odd ? m7_out : m6_out;
// Mux for bank shifting based on select state.
assign chan0 = sel[1]?(sel[0]?bank3_out:bank2_out):(sel[0]?bank1_out:bank0_out);
assign chan1 = sel[1]?(sel[0]?bank0_out:bank3_out):(sel[0]?bank2_out:bank1_out);
assign chan2 = sel[1]?(sel[0]?bank1_out:bank0_out):(sel[0]?bank3_out:bank2_out);
assign chan3 = sel[1]?(sel[0]?bank2_out:bank1_out):(sel[0]?bank0_out:bank3_out);
endmodule |
//Autogenerated on 2015-11-03 15:35:14.418243
`timescale 1 ns / 1 ps
module step_curve_new
(
input clk,
input [15:0]stage_PIPELINE_SHIFT_IN_cntr,
output reg CAL,
output CS,
output IS1,
output IS2,
output reg LE,
output reg R12,
output reg RBI,
output reg RESET,
output reg RPHI1,
output reg RPHI2,
output reg SBI,
output reg SEB,
output reg SPHI1,
output reg SPHI2,
output SR,
output [15:0]Aref,
output [15:0]RG,
output [15:0]Vana,
output [15:0]Vthr,
input reset_gen
);
reg [31:0]counter;
reg [7:0]stage;
reg [15:0]stage_iter;
reg ISSR;
assign IS1=ISSR;
assign IS2=ISSR;
assign SR=ISSR;
assign CS=0;
assign Vthr=16'H0025;
assign Aref=16'H0033;
assign Vana=16'H0066;
assign RG=16'H0033;
always @(posedge clk) begin
if(reset_gen == 1) begin
counter <= 0;
stage <= 0;
stage_iter <= 0;
end
else begin
if(stage == 0) begin
if(counter == 0) begin
CAL <= 1;
SBI <= 1;
SPHI1 <= 1;
SPHI2 <= 1;
SEB <= 0;
ISSR <= 0;
RESET <= 1;
R12 <= 0;
RBI <= 1;
RPHI1 <= 1;
RPHI2 <= 1;
LE <= 1;
end
if(counter == 9) begin
if(stage_iter == 0) begin
stage <= (stage + 1) % 8;
stage_iter <= 0;
end
else begin
stage_iter <= stage_iter + 1;
end
counter <= 0;
end
else begin
counter <= counter + 1;
end
end
if(stage == 1) begin
if(counter == 0) begin
CAL <= 1;
SBI <= 0;
SPHI1 <= 1;
SPHI2 <= 1;
SEB <= 1;
ISSR <= 1;
RESET <= 1;
R12 <= 1;
RBI <= 1;
RPHI1 <= 1;
RPHI2 <= 1;
LE <= 1;
end
if(counter == 2) begin
SPHI1 <= 0;
SPHI2 <= 0;
end
if(counter == 8) begin
SEB <= 0;
end
if(counter == 16) begin
RESET <= 0;
end
if(counter == 23) begin
if(stage_iter == 0) begin
stage <= (stage + 1) % 8;
stage_iter <= 0;
end
else begin
stage_iter <= stage_iter + 1;
end
counter <= 0;
end
else begin
counter <= counter + 1;
end
end
if(stage == 2) begin
if(counter == 0) begin
CAL <= 1;
SBI <= 0;
SPHI1 <= 0;
SPHI2 <= 0;
SEB <= 0;
ISSR <= 1;
RESET <= 0;
R12 <= 1;
RBI <= 1;
RPHI1 <= 1;
RPHI2 <= 1;
LE <= 1;
end
if(counter == 1) begin
SBI <= 1;
SPHI1 <= 1;
end
if(counter == 2) begin
SPHI1 <= 0;
end
if(counter == 3) begin
SBI <= 0;
SPHI2 <= 1;
end
if(counter == 4) begin
SPHI2 <= 0;
end
if(counter == 5) begin
SPHI1 <= 1;
end
if(counter == 6) begin
SPHI1 <= 0;
end
if(counter == 7) begin
SPHI2 <= 1;
end
if(counter == 8) begin
SPHI2 <= 0;
end
if(counter == 9) begin
SPHI1 <= 1;
end
if(counter == 10) begin
SPHI1 <= 0;
end
if(counter == 11) begin
SPHI2 <= 1;
end
if(counter == 12) begin
SPHI2 <= 0;
end
if(counter == 13) begin
SPHI1 <= 1;
end
if(counter == 14) begin
SPHI1 <= 0;
end
if(counter == 15) begin
SPHI2 <= 1;
end
if(counter == 16) begin
SPHI2 <= 0;
end
if(counter == 17) begin
SPHI1 <= 1;
end
if(counter == 18) begin
SPHI1 <= 0;
end
if(counter == 19) begin
SPHI2 <= 1;
end
if(counter == 20) begin
SPHI2 <= 0;
end
if(counter == 21) begin
SPHI1 <= 1;
end
if(counter == 22) begin
SPHI1 <= 0;
end
if(counter == 23) begin
SPHI2 <= 1;
end
if(counter == 24) begin
SPHI2 <= 0;
end
if(counter == 25) begin
SPHI1 <= 1;
end
if(counter == 26) begin
SPHI1 <= 0;
end
if(counter == 27) begin
SPHI2 <= 1;
end
if(counter == 28) begin
SPHI2 <= 0;
end
if(counter == 29) begin
CAL <= 0;
SPHI1 <= 1;
end
if(counter == 30) begin
SPHI1 <= 0;
end
if(counter == 31) begin
SPHI2 <= 1;
end
if(counter == 32) begin
SPHI2 <= 0;
end
if(counter == 33) begin
SPHI1 <= 1;
end
if(counter == 34) begin
SPHI1 <= 0;
end
if(counter == 35) begin
SPHI2 <= 1;
end
if(counter == 36) begin
SPHI2 <= 0;
end
if(counter == 37) begin
SPHI1 <= 1;
end
if(counter == 38) begin
SPHI1 <= 0;
end
if(counter == 39) begin
SPHI2 <= 1;
end
if(counter == 40) begin
SPHI2 <= 0;
end
if(counter == 41) begin
SPHI1 <= 1;
end
if(counter == 42) begin
SPHI1 <= 0;
end
if(counter == 43) begin
SPHI2 <= 1;
end
if(counter == 44) begin
SPHI2 <= 0;
end
if(counter == 45) begin
SPHI1 <= 1;
end
if(counter == 46) begin
SPHI1 <= 0;
end
if(counter == 47) begin
SPHI2 <= 1;
end
if(counter == 48) begin
SPHI2 <= 0;
end
if(counter == 49) begin
SPHI1 <= 1;
end
if(counter == 50) begin
SPHI1 <= 0;
end
if(counter == 51) begin
SPHI2 <= 1;
end
if(counter == 52) begin
SPHI2 <= 0;
end
if(counter == 53) begin
SPHI1 <= 1;
end
if(counter == 54) begin
SPHI1 <= 0;
end
if(counter == 55) begin
SPHI2 <= 1;
end
if(counter == 56) begin
SPHI2 <= 0;
end
if(counter == 57) begin
SPHI1 <= 1;
end
if(counter == 58) begin
SPHI1 <= 0;
end
if(counter == 59) begin
SPHI2 <= 1;
end
if(counter == 60) begin
SPHI2 <= 0;
end
if(counter == 61) begin
SPHI1 <= 1;
end
if(counter == 62) begin
SPHI1 <= 0;
end
if(counter == 63) begin
SPHI2 <= 1;
end
if(counter == 64) begin
SPHI2 <= 0;
end
if(counter == 64) begin
if(stage_iter == 0) begin
stage <= (stage + 1) % 8;
stage_iter <= 0;
end
else begin
stage_iter <= stage_iter + 1;
end
counter <= 0;
end
else begin
counter <= counter + 1;
end
end
if(stage == 3) begin
if(counter == 0) begin
CAL <= 0;
SBI <= 0;
SPHI1 <= 0;
SPHI2 <= 0;
SEB <= 0;
ISSR <= 1;
RESET <= 0;
R12 <= 1;
RBI <= 1;
RPHI1 <= 1;
RPHI2 <= 1;
LE <= 1;
end
if(counter == 1) begin
RESET <= 1;
end
if(counter == 3) begin
SEB <= 1;
end
if(counter == 4) begin
ISSR <= 0;
end
if(counter == 5) begin
R12 <= 0;
end
if(counter == 13) begin
SPHI1 <= 1;
SPHI2 <= 1;
end
if(counter == 17) begin
SPHI1 <= 0;
SPHI2 <= 0;
end
if(counter == 24) begin
SBI <= 1;
SPHI1 <= 1;
end
if(counter == 25) begin
SPHI1 <= 0;
end
if(counter == 26) begin
SPHI2 <= 1;
end
if(counter == 27) begin
SBI <= 0;
SPHI2 <= 0;
end
if(counter == 27) begin
if(stage_iter == 0) begin
stage <= (stage + 1) % 8;
stage_iter <= 0;
end
else begin
stage_iter <= stage_iter + 1;
end
counter <= 0;
end
else begin
counter <= counter + 1;
end
end
if(stage == 4) begin
if(counter == 0) begin
CAL <= 0;
SBI <= 0;
SPHI1 <= 1;
SPHI2 <= 0;
SEB <= 1;
ISSR <= 0;
RESET <= 1;
R12 <= 0;
RBI <= 1;
RPHI1 <= 1;
RPHI2 <= 1;
LE <= 1;
end
if(counter == 1) begin
SPHI1 <= 0;
end
if(counter == 2) begin
SPHI2 <= 1;
end
if(counter == 3) begin
SPHI2 <= 0;
end
if(counter == 3) begin
if(stage_iter == stage_PIPELINE_SHIFT_IN_cntr-1) begin
stage <= (stage + 1) % 8;
stage_iter <= 0;
end
else begin
stage_iter <= stage_iter + 1;
end
counter <= 0;
end
else begin
counter <= counter + 1;
end
end
if(stage == 5) begin
if(counter == 0) begin
CAL <= 0;
SBI <= 0;
SPHI1 <= 0;
SPHI2 <= 0;
SEB <= 1;
ISSR <= 0;
RESET <= 1;
R12 <= 0;
RBI <= 1;
RPHI1 <= 1;
RPHI2 <= 1;
LE <= 1;
end
if(counter == 2) begin
LE <= 0;
end
if(counter == 6) begin
RESET <= 0;
end
if(counter == 21) begin
SEB <= 0;
end
if(counter == 29) begin
SEB <= 1;
end
if(counter == 34) begin
RBI <= 0;
end
if(counter == 37) begin
RPHI1 <= 0;
RPHI2 <= 0;
end
if(counter == 38) begin
if(stage_iter == 0) begin
stage <= (stage + 1) % 8;
stage_iter <= 0;
end
else begin
stage_iter <= stage_iter + 1;
end
counter <= 0;
end
else begin
counter <= counter + 1;
end
end
if(stage == 6) begin
if(counter == 0) begin
CAL <= 0;
SBI <= 0;
SPHI1 <= 0;
SPHI2 <= 0;
SEB <= 1;
ISSR <= 0;
RESET <= 0;
R12 <= 0;
RBI <= 0;
RPHI1 <= 0;
RPHI2 <= 0;
LE <= 0;
end
if(counter == 2) begin
RBI <= 1;
RPHI1 <= 1;
end
if(counter == 4) begin
RPHI1 <= 0;
end
if(counter == 5) begin
RBI <= 0;
end
if(counter == 8) begin
LE <= 1;
end
if(counter == 11) begin
if(stage_iter == 0) begin
stage <= (stage + 1) % 8;
stage_iter <= 0;
end
else begin
stage_iter <= stage_iter + 1;
end
counter <= 0;
end
else begin
counter <= counter + 1;
end
end
if(stage == 7) begin
if(counter == 0) begin
CAL <= 0;
SBI <= 0;
SPHI1 <= 0;
SPHI2 <= 0;
SEB <= 1;
ISSR <= 0;
RESET <= 0;
R12 <= 0;
RBI <= 0;
RPHI1 <= 0;
RPHI2 <= 0;
LE <= 1;
end
if(counter == 1) begin
RPHI2 <= 1;
end
if(counter == 21) begin
RPHI2 <= 0;
end
if(counter == 22) begin
RPHI1 <= 1;
end
if(counter == 22) begin
if(stage_iter == 134) begin
stage <= (stage + 1) % 8;
stage_iter <= 0;
end
else begin
stage_iter <= stage_iter + 1;
end
counter <= 0;
end
else begin
counter <= counter + 1;
end
end
end
end
endmodule |
/**
* Copyright 2020 The SkyWater PDK Authors
*
* Licensed under the Apache License, Version 2.0 (the "License");
* you may not use this file except in compliance with the License.
* You may obtain a copy of the License at
*
* https://www.apache.org/licenses/LICENSE-2.0
*
* Unless required by applicable law or agreed to in writing, software
* distributed under the License is distributed on an "AS IS" BASIS,
* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
* See the License for the specific language governing permissions and
* limitations under the License.
*
* SPDX-License-Identifier: Apache-2.0
*/
`ifndef SKY130_FD_SC_MS__A31OI_4_V
`define SKY130_FD_SC_MS__A31OI_4_V
/**
* a31oi: 3-input AND into first input of 2-input NOR.
*
* Y = !((A1 & A2 & A3) | B1)
*
* Verilog wrapper for a31oi with size of 4 units.
*
* WARNING: This file is autogenerated, do not modify directly!
*/
`timescale 1ns / 1ps
`default_nettype none
`include "sky130_fd_sc_ms__a31oi.v"
`ifdef USE_POWER_PINS
/*********************************************************/
`celldefine
module sky130_fd_sc_ms__a31oi_4 (
Y ,
A1 ,
A2 ,
A3 ,
B1 ,
VPWR,
VGND,
VPB ,
VNB
);
output Y ;
input A1 ;
input A2 ;
input A3 ;
input B1 ;
input VPWR;
input VGND;
input VPB ;
input VNB ;
sky130_fd_sc_ms__a31oi base (
.Y(Y),
.A1(A1),
.A2(A2),
.A3(A3),
.B1(B1),
.VPWR(VPWR),
.VGND(VGND),
.VPB(VPB),
.VNB(VNB)
);
endmodule
`endcelldefine
/*********************************************************/
`else // If not USE_POWER_PINS
/*********************************************************/
`celldefine
module sky130_fd_sc_ms__a31oi_4 (
Y ,
A1,
A2,
A3,
B1
);
output Y ;
input A1;
input A2;
input A3;
input B1;
// Voltage supply signals
supply1 VPWR;
supply0 VGND;
supply1 VPB ;
supply0 VNB ;
sky130_fd_sc_ms__a31oi base (
.Y(Y),
.A1(A1),
.A2(A2),
.A3(A3),
.B1(B1)
);
endmodule
`endcelldefine
/*********************************************************/
`endif // USE_POWER_PINS
`default_nettype wire
`endif // SKY130_FD_SC_MS__A31OI_4_V
|
/**
* Copyright 2020 The SkyWater PDK Authors
*
* Licensed under the Apache License, Version 2.0 (the "License");
* you may not use this file except in compliance with the License.
* You may obtain a copy of the License at
*
* https://www.apache.org/licenses/LICENSE-2.0
*
* Unless required by applicable law or agreed to in writing, software
* distributed under the License is distributed on an "AS IS" BASIS,
* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
* See the License for the specific language governing permissions and
* limitations under the License.
*
* SPDX-License-Identifier: Apache-2.0
*/
`ifndef SKY130_FD_SC_LP__A21O_PP_BLACKBOX_V
`define SKY130_FD_SC_LP__A21O_PP_BLACKBOX_V
/**
* a21o: 2-input AND into first input of 2-input OR.
*
* X = ((A1 & A2) | B1)
*
* Verilog stub definition (black box with power pins).
*
* WARNING: This file is autogenerated, do not modify directly!
*/
`timescale 1ns / 1ps
`default_nettype none
(* blackbox *)
module sky130_fd_sc_lp__a21o (
X ,
A1 ,
A2 ,
B1 ,
VPWR,
VGND,
VPB ,
VNB
);
output X ;
input A1 ;
input A2 ;
input B1 ;
input VPWR;
input VGND;
input VPB ;
input VNB ;
endmodule
`default_nettype wire
`endif // SKY130_FD_SC_LP__A21O_PP_BLACKBOX_V
|
/**
* Copyright 2020 The SkyWater PDK Authors
*
* Licensed under the Apache License, Version 2.0 (the "License");
* you may not use this file except in compliance with the License.
* You may obtain a copy of the License at
*
* https://www.apache.org/licenses/LICENSE-2.0
*
* Unless required by applicable law or agreed to in writing, software
* distributed under the License is distributed on an "AS IS" BASIS,
* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
* See the License for the specific language governing permissions and
* limitations under the License.
*
* SPDX-License-Identifier: Apache-2.0
*/
`ifndef SKY130_FD_SC_HDLL__OR4BB_PP_BLACKBOX_V
`define SKY130_FD_SC_HDLL__OR4BB_PP_BLACKBOX_V
/**
* or4bb: 4-input OR, first two inputs inverted.
*
* Verilog stub definition (black box with power pins).
*
* WARNING: This file is autogenerated, do not modify directly!
*/
`timescale 1ns / 1ps
`default_nettype none
(* blackbox *)
module sky130_fd_sc_hdll__or4bb (
X ,
A ,
B ,
C_N ,
D_N ,
VPWR,
VGND,
VPB ,
VNB
);
output X ;
input A ;
input B ;
input C_N ;
input D_N ;
input VPWR;
input VGND;
input VPB ;
input VNB ;
endmodule
`default_nettype wire
`endif // SKY130_FD_SC_HDLL__OR4BB_PP_BLACKBOX_V
|
/**
* Copyright 2020 The SkyWater PDK Authors
*
* Licensed under the Apache License, Version 2.0 (the "License");
* you may not use this file except in compliance with the License.
* You may obtain a copy of the License at
*
* https://www.apache.org/licenses/LICENSE-2.0
*
* Unless required by applicable law or agreed to in writing, software
* distributed under the License is distributed on an "AS IS" BASIS,
* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
* See the License for the specific language governing permissions and
* limitations under the License.
*
* SPDX-License-Identifier: Apache-2.0
*/
`ifndef SKY130_FD_SC_HS__BUF_1_V
`define SKY130_FD_SC_HS__BUF_1_V
/**
* buf: Buffer.
*
* Verilog wrapper for buf with size of 1 units.
*
* WARNING: This file is autogenerated, do not modify directly!
*/
`timescale 1ns / 1ps
`default_nettype none
`include "sky130_fd_sc_hs__buf.v"
`ifdef USE_POWER_PINS
/*********************************************************/
`celldefine
module sky130_fd_sc_hs__buf_1 (
X ,
A ,
VPWR,
VGND
);
output X ;
input A ;
input VPWR;
input VGND;
sky130_fd_sc_hs__buf base (
.X(X),
.A(A),
.VPWR(VPWR),
.VGND(VGND)
);
endmodule
`endcelldefine
/*********************************************************/
`else // If not USE_POWER_PINS
/*********************************************************/
`celldefine
module sky130_fd_sc_hs__buf_1 (
X,
A
);
output X;
input A;
// Voltage supply signals
supply1 VPWR;
supply0 VGND;
sky130_fd_sc_hs__buf base (
.X(X),
.A(A)
);
endmodule
`endcelldefine
/*********************************************************/
`endif // USE_POWER_PINS
`default_nettype wire
`endif // SKY130_FD_SC_HS__BUF_1_V
|
/**
* Copyright 2020 The SkyWater PDK Authors
*
* Licensed under the Apache License, Version 2.0 (the "License");
* you may not use this file except in compliance with the License.
* You may obtain a copy of the License at
*
* https://www.apache.org/licenses/LICENSE-2.0
*
* Unless required by applicable law or agreed to in writing, software
* distributed under the License is distributed on an "AS IS" BASIS,
* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
* See the License for the specific language governing permissions and
* limitations under the License.
*
* SPDX-License-Identifier: Apache-2.0
*/
`ifndef SKY130_FD_SC_HD__SDFSTP_2_V
`define SKY130_FD_SC_HD__SDFSTP_2_V
/**
* sdfstp: Scan delay flop, inverted set, non-inverted clock,
* single output.
*
* Verilog wrapper for sdfstp with size of 2 units.
*
* WARNING: This file is autogenerated, do not modify directly!
*/
`timescale 1ns / 1ps
`default_nettype none
`include "sky130_fd_sc_hd__sdfstp.v"
`ifdef USE_POWER_PINS
/*********************************************************/
`celldefine
module sky130_fd_sc_hd__sdfstp_2 (
Q ,
CLK ,
D ,
SCD ,
SCE ,
SET_B,
VPWR ,
VGND ,
VPB ,
VNB
);
output Q ;
input CLK ;
input D ;
input SCD ;
input SCE ;
input SET_B;
input VPWR ;
input VGND ;
input VPB ;
input VNB ;
sky130_fd_sc_hd__sdfstp base (
.Q(Q),
.CLK(CLK),
.D(D),
.SCD(SCD),
.SCE(SCE),
.SET_B(SET_B),
.VPWR(VPWR),
.VGND(VGND),
.VPB(VPB),
.VNB(VNB)
);
endmodule
`endcelldefine
/*********************************************************/
`else // If not USE_POWER_PINS
/*********************************************************/
`celldefine
module sky130_fd_sc_hd__sdfstp_2 (
Q ,
CLK ,
D ,
SCD ,
SCE ,
SET_B
);
output Q ;
input CLK ;
input D ;
input SCD ;
input SCE ;
input SET_B;
// Voltage supply signals
supply1 VPWR;
supply0 VGND;
supply1 VPB ;
supply0 VNB ;
sky130_fd_sc_hd__sdfstp base (
.Q(Q),
.CLK(CLK),
.D(D),
.SCD(SCD),
.SCE(SCE),
.SET_B(SET_B)
);
endmodule
`endcelldefine
/*********************************************************/
`endif // USE_POWER_PINS
`default_nettype wire
`endif // SKY130_FD_SC_HD__SDFSTP_2_V
|
// (c) Copyright 1995-2015 Xilinx, Inc. All rights reserved.
//
// This file contains confidential and proprietary information
// of Xilinx, Inc. and is protected under U.S. and
// international copyright and other intellectual property
// laws.
//
// DISCLAIMER
// This disclaimer is not a license and does not grant any
// rights to the materials distributed herewith. Except as
// otherwise provided in a valid license issued to you by
// Xilinx, and to the maximum extent permitted by applicable
// law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
// WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
// AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
// BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
// INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
// (2) Xilinx shall not be liable (whether in contract or tort,
// including negligence, or under any other theory of
// liability) for any loss or damage of any kind or nature
// related to, arising under or in connection with these
// materials, including for any direct, or any indirect,
// special, incidental, or consequential loss or damage
// (including loss of data, profits, goodwill, or any type of
// loss or damage suffered as a result of any action brought
// by a third party) even if such damage or loss was
// reasonably foreseeable or Xilinx had been advised of the
// possibility of the same.
//
// CRITICAL APPLICATIONS
// Xilinx products are not designed or intended to be fail-
// safe, or for use in any application requiring fail-safe
// performance, such as life-support or safety devices or
// systems, Class III medical devices, nuclear facilities,
// applications related to the deployment of airbags, or any
// other applications that could lead to death, personal
// injury, or severe property or environmental damage
// (individually and collectively, "Critical
// Applications"). Customer assumes the sole risk and
// liability of any use of Xilinx products in Critical
// Applications, subject only to applicable laws and
// regulations governing limitations on product liability.
//
// THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
// PART OF THIS FILE AT ALL TIMES.
//
// DO NOT MODIFY THIS FILE.
// IP VLNV: dtysky:user:DATA_MEM:1.0
// IP Revision: 4
(* X_CORE_INFO = "DATA_MEM,Vivado 2014.2" *)
(* CHECK_LICENSE_TYPE = "MIPS_CPU_DATA_MEM_0_1,DATA_MEM,{}" *)
(* DowngradeIPIdentifiedWarnings = "yes" *)
module MIPS_CPU_DATA_MEM_0_1 (
addr,
data_in,
clk,
we,
data_out
);
input wire [31 : 0] addr;
input wire [31 : 0] data_in;
input wire clk;
input wire we;
output wire [31 : 0] data_out;
DATA_MEM inst (
.addr(addr),
.data_in(data_in),
.clk(clk),
.we(we),
.data_out(data_out)
);
endmodule
|
`timescale 1ns / 1ps
//////////////////////////////////////////////////////////////////////////////////
// Company:
// Engineer:
//
// Create Date: 21:35:45 11/11/2015
// Design Name:
// Module Name: LEDRotator
// Project Name:
// Target Devices:
// Tool versions:
// Description:
//
// Dependencies:
//
// Revision:
// Revision 0.01 - File Created
// Additional Comments:
//
//////////////////////////////////////////////////////////////////////////////////
module LEDRotator (SSLED, LEDSEL, inClk, reset);
output reg [6:0] SSLED;
output reg [3:0] LEDSEL;
input inClk, reset;
always @(posedge inClk or posedge reset) begin
if (reset) begin
SSLED = 7'b1110111; // Reset --> D
LEDSEL = 3'b0111; // LED1 = ON, LED2 = OFF, LED3 = OFF, LED4 = OFF
end
else begin
case (SSLED)
7'b0111111: SSLED = 7'b1111101; // A --> F
7'b1111101: SSLED = 7'b1111011; // F --> E
7'b1111011: SSLED = 7'b1110111; // E --> D
7'b1110111: SSLED = 7'b1101111; // D --> C
7'b1101111: SSLED = 7'b1011111; // C --> B
7'b1011111: SSLED = 7'b0111111; // B --> A
default: SSLED = 7'b0111111; // Any Arbitrary State goes to a valid State
endcase
end
end
endmodule
|
// The core logic primitive of the Cyclone V/10GX is the Adaptive Logic Module
// (ALM). Each ALM is made up of an 8-input, 2-output look-up table, covered
// in this file, connected to combinational outputs, a carry chain, and four
// D flip-flops (which are covered as MISTRAL_FF in dff_sim.v).
//
// The ALM is vertically symmetric, so I find it helps to think in terms of
// half-ALMs, as that's predominantly the unit that synth_intel_alm uses.
//
// ALMs are quite flexible, having multiple modes.
//
// Normal (combinational) mode
// ---------------------------
// The ALM can implement:
// - a single 6-input function (with the other inputs usable for flip-flop access)
// - two 5-input functions that share two inputs
// - a 5-input and a 4-input function that share one input
// - a 5-input and a 3-or-less-input function that share no inputs
// - two 4-or-less-input functions that share no inputs
//
// Normal-mode functions are represented as MISTRAL_ALUTN cells with N inputs.
// It would be possible to represent a normal mode function as a single cell -
// the vendor cyclone{v,10gx}_lcell_comb cell does exactly that - but I felt
// it was more user-friendly to print out the specific function sizes
// separately.
//
// With the exception of MISTRAL_ALUT6, you can think of two normal-mode cells
// fitting inside a single ALM.
//
// Extended (7-input) mode
// -----------------------
// The ALM can also fit a 7-input function made of two 5-input functions that
// share four inputs, multiplexed by another input.
//
// Because this can't accept arbitrary 7-input functions, Yosys can't handle
// it, so it doesn't have a cell, but I would likely call it MISTRAL_ALUT7(E?)
// if it did, and it would take up a full ALM.
//
// It might be possible to add an extraction pass to examine all ALUT5 cells
// that feed into ALUT3 cells to see if they can be combined into an extended
// ALM, but I don't think it will be worth it.
//
// Arithmetic mode
// ---------------
// In arithmetic mode, each half-ALM uses its carry chain to perform fast addition
// of two four-input functions that share three inputs. Oddly, the result of
// one of the functions is inverted before being added (you can see this as
// the dot on a full-adder input of Figure 1-8 in the Handbook).
//
// The cell for an arithmetic-mode half-ALM is MISTRAL_ALM_ARITH. One idea
// I've had (or rather was suggested by mwk) is that functions that feed into
// arithmetic-mode cells could be packed directly into the arithmetic-mode
// cell as a function, which reduces the number of ALMs needed.
//
// Shared arithmetic mode
// ----------------------
// Shared arithmetic mode looks a lot like arithmetic mode, but here the
// output of every other four-input function goes to the input of the adder
// the next bit along. What this means is that adding three bits together can
// be done in an ALM, because functions can be used to implement addition that
// then feeds into the carry chain. This means that three bits can be added per
// ALM, as opposed to two in the arithmetic mode.
//
// Shared arithmetic mode doesn't currently have a cell, but I intend to add
// it as MISTRAL_ALM_SHARED, and have it occupy a full ALM. Because it adds
// three bits per cell, it makes addition shorter and use less ALMs, but
// I don't know enough to tell whether it's more efficient to use shared
// arithmetic mode to shorten the carry chain, or plain arithmetic mode with
// the functions packed in.
`default_nettype none
// Cyclone V LUT output timings (picoseconds):
//
// CARRY A B C D E F G
// COMBOUT - 605 583 510 512 - 97 400 (LUT6)
// COMBOUT - 602 583 457 510 302 93 483 (LUT7)
// SUMOUT 368 1342 1323 887 927 - 785 -
// CARRYOUT 71 1082 1062 866 813 - 1198 -
(* abc9_lut=2, lib_whitebox *)
module MISTRAL_ALUT6(input A, B, C, D, E, F, output Q);
parameter [63:0] LUT = 64'h0000_0000_0000_0000;
`ifdef cyclonev
specify
(A => Q) = 605;
(B => Q) = 583;
(C => Q) = 510;
(D => Q) = 512;
(E => Q) = 400;
(F => Q) = 97;
endspecify
`endif
`ifdef cyclone10gx
specify
(A => Q) = 275;
(B => Q) = 272;
(C => Q) = 175;
(D => Q) = 165;
(E => Q) = 162;
(F => Q) = 53;
endspecify
`endif
assign Q = LUT >> {F, E, D, C, B, A};
endmodule
(* abc9_lut=1, lib_whitebox *)
module MISTRAL_ALUT5(input A, B, C, D, E, output Q);
parameter [31:0] LUT = 32'h0000_0000;
`ifdef cyclonev
specify
(A => Q) = 583;
(B => Q) = 510;
(C => Q) = 512;
(D => Q) = 400;
(E => Q) = 97;
endspecify
`endif
`ifdef cyclone10gx
specify
(A => Q) = 272;
(B => Q) = 175;
(C => Q) = 165;
(D => Q) = 162;
(E => Q) = 53;
endspecify
`endif
assign Q = LUT >> {E, D, C, B, A};
endmodule
(* abc9_lut=1, lib_whitebox *)
module MISTRAL_ALUT4(input A, B, C, D, output Q);
parameter [15:0] LUT = 16'h0000;
`ifdef cyclonev
specify
(A => Q) = 510;
(B => Q) = 512;
(C => Q) = 400;
(D => Q) = 97;
endspecify
`endif
`ifdef cyclone10gx
specify
(A => Q) = 175;
(B => Q) = 165;
(C => Q) = 162;
(D => Q) = 53;
endspecify
`endif
assign Q = LUT >> {D, C, B, A};
endmodule
(* abc9_lut=1, lib_whitebox *)
module MISTRAL_ALUT3(input A, B, C, output Q);
parameter [7:0] LUT = 8'h00;
`ifdef cyclonev
specify
(A => Q) = 510;
(B => Q) = 400;
(C => Q) = 97;
endspecify
`endif
`ifdef cyclone10gx
specify
(A => Q) = 165;
(B => Q) = 162;
(C => Q) = 53;
endspecify
`endif
assign Q = LUT >> {C, B, A};
endmodule
(* abc9_lut=1, lib_whitebox *)
module MISTRAL_ALUT2(input A, B, output Q);
parameter [3:0] LUT = 4'h0;
`ifdef cyclonev
specify
(A => Q) = 400;
(B => Q) = 97;
endspecify
`endif
`ifdef cyclone10gx
specify
(A => Q) = 162;
(B => Q) = 53;
endspecify
`endif
assign Q = LUT >> {B, A};
endmodule
(* abc9_lut=1, lib_whitebox *)
module MISTRAL_NOT(input A, output Q);
`ifdef cyclonev
specify
(A => Q) = 97;
endspecify
`endif
`ifdef cyclone10gx
specify
(A => Q) = 53;
endspecify
`endif
assign Q = ~A;
endmodule
(* abc9_box, lib_whitebox *)
module MISTRAL_ALUT_ARITH(input A, B, C, D0, D1, (* abc9_carry *) input CI, output SO, (* abc9_carry *) output CO);
parameter LUT0 = 16'h0000;
parameter LUT1 = 16'h0000;
`ifdef cyclonev
specify
(A => SO) = 1342;
(B => SO) = 1323;
(C => SO) = 927;
(D0 => SO) = 887;
(D1 => SO) = 785;
(CI => SO) = 368;
(A => CO) = 1082;
(B => CO) = 1062;
(C => CO) = 813;
(D0 => CO) = 866;
(D1 => CO) = 1198;
(CI => CO) = 36; // Divided by 2 to account for there being two ALUT_ARITHs in an ALM)
endspecify
`endif
`ifdef cyclone10gx
specify
(A => SO) = 644;
(B => SO) = 477;
(C => SO) = 416;
(D0 => SO) = 380;
(D1 => SO) = 431;
(CI => SO) = 276;
(A => CO) = 525;
(B => CO) = 433;
(C => CO) = 712;
(D0 => CO) = 653;
(D1 => CO) = 593;
(CI => CO) = 16;
endspecify
`endif
wire q0, q1;
assign q0 = LUT0 >> {D0, C, B, A};
assign q1 = LUT1 >> {D1, C, B, A};
assign {CO, SO} = q0 + !q1 + CI;
endmodule
/*
// A, B, C0, C1, E0, E1, F0, F1: data inputs
// CARRYIN: carry input
// SHAREIN: shared-arithmetic input
// CLK0, CLK1, CLK2: clock inputs
//
// COMB0, COMB1: combinational outputs
// FF0, FF1, FF2, FF3: DFF outputs
// SUM0, SUM1: adder outputs
// CARRYOUT: carry output
// SHAREOUT: shared-arithmetic output
module MISTRAL_ALM(
input A, B, C0, C1, E0, E1, F0, F1, CARRYIN, SHAREIN, // LUT path
input CLK0, CLK1, CLK2, AC0, AC1, // FF path
output COMB0, COMB1, SUM0, SUM1, CARRYOUT, SHAREOUT,
output FF0, FF1, FF2, FF3
);
parameter LUT0 = 16'b0000;
parameter LUT1 = 16'b0000;
parameter LUT2 = 16'b0000;
parameter LUT3 = 16'b0000;
parameter INIT0 = 1'b0;
parameter INIT1 = 1'b0;
parameter INIT2 = 1'b0;
parameter INIT3 = 1'b0;
parameter C0_MUX = "C0";
parameter C1_MUX = "C1";
parameter F0_MUX = "VCC";
parameter F1_MUX = "GND";
parameter FEEDBACK0 = "FF0";
parameter FEEDBACK1 = "FF2";
parameter ADD_MUX = "LUT";
parameter DFF01_DATA_MUX = "COMB";
parameter DFF23_DATA_MUX = "COMB";
parameter DFF0_CLK = "CLK0";
parameter DFF1_CLK = "CLK0";
parameter DFF2_CLK = "CLK0";
parameter DFF3_CLK = "CLK0";
parameter DFF0_AC = "AC0";
parameter DFF1_AC = "AC0";
parameter DFF2_AC = "AC0";
parameter DFF3_AC = "AC0";
// Feedback muxes from the flip-flop outputs.
wire ff_feedback_mux0, ff_feedback_mux1;
// C-input muxes which can be set to also use the F-input.
wire c0_input_mux, c1_input_mux;
// F-input muxes which can be set to a constant to allow LUT5 use.
wire f0_input_mux, f1_input_mux;
// Adder input muxes to select between shared-arithmetic mode and arithmetic mode.
wire add0_input_mux, add1_input_mux;
// Combinational-output muxes for LUT #1 and LUT #3
wire lut1_comb_mux, lut3_comb_mux;
// Sum-output muxes for LUT #1 and LUT #3
wire lut1_sum_mux, lut3_sum_mux;
// DFF data-input muxes
wire dff01_data_mux, dff23_data_mux;
// DFF clock selectors
wire dff0_clk, dff1_clk, dff2_clk, dff3_clk;
// DFF asynchronous-clear selectors
wire dff0_ac, dff1_ac, dff2_ac, dff3_ac;
// LUT, DFF and adder output wires for routing.
wire lut0_out, lut1a_out, lut1b_out, lut2_out, lut3a_out, lut3b_out;
wire dff0_out, dff1_out, dff2_out, dff3_out;
wire add0_sum, add1_sum, add0_carry, add1_carry;
generate
if (FEEDBACK0 === "FF0")
assign ff_feedback_mux0 = dff0_out;
else if (FEEDBACK0 === "FF1")
assign ff_feedback_mux0 = dff1_out;
else
$error("Invalid FEEDBACK0 setting!");
if (FEEDBACK1 == "FF2")
assign ff_feedback_mux1 = dff2_out;
else if (FEEDBACK1 == "FF3")
assign ff_feedback_mux1 = dff3_out;
else
$error("Invalid FEEDBACK1 setting!");
if (C0_MUX === "C0")
assign c0_input_mux = C0;
else if (C0_MUX === "F1")
assign c0_input_mux = F1;
else if (C0_MUX === "FEEDBACK1")
assign c0_input_mux = ff_feedback_mux1;
else
$error("Invalid C0_MUX setting!");
if (C1_MUX === "C1")
assign c1_input_mux = C1;
else if (C1_MUX === "F0")
assign c1_input_mux = F0;
else if (C1_MUX === "FEEDBACK0")
assign c1_input_mux = ff_feedback_mux0;
else
$error("Invalid C1_MUX setting!");
// F0 == VCC is LUT5
// F0 == F0 is LUT6
// F0 == FEEDBACK is unknown
if (F0_MUX === "VCC")
assign f0_input_mux = 1'b1;
else if (F0_MUX === "F0")
assign f0_input_mux = F0;
else if (F0_MUX === "FEEDBACK0")
assign f0_input_mux = ff_feedback_mux0;
else
$error("Invalid F0_MUX setting!");
// F1 == GND is LUT5
// F1 == F1 is LUT6
// F1 == FEEDBACK is unknown
if (F1_MUX === "GND")
assign f1_input_mux = 1'b0;
else if (F1_MUX === "F1")
assign f1_input_mux = F1;
else if (F1_MUX === "FEEDBACK1")
assign f1_input_mux = ff_feedback_mux1;
else
$error("Invalid F1_MUX setting!");
if (ADD_MUX === "LUT") begin
assign add0_input_mux = ~lut1_sum_mux;
assign add1_input_mux = ~lut3_sum_mux;
end else if (ADD_MUX === "SHARE") begin
assign add0_input_mux = SHAREIN;
assign add1_input_mux = lut1_comb_mux;
end else
$error("Invalid ADD_MUX setting!");
if (DFF01_DATA_MUX === "COMB")
assign dff01_data_mux = COMB0;
else if (DFF01_DATA_MUX === "SUM")
assign dff01_data_mux = SUM0;
else
$error("Invalid DFF01_DATA_MUX setting!");
if (DFF23_DATA_MUX === "COMB")
assign dff23_data_mux = COMB0;
else if (DFF23_DATA_MUX === "SUM")
assign dff23_data_mux = SUM0;
else
$error("Invalid DFF23_DATA_MUX setting!");
if (DFF0_CLK === "CLK0")
assign dff0_clk = CLK0;
else if (DFF0_CLK === "CLK1")
assign dff0_clk = CLK1;
else if (DFF0_CLK === "CLK2")
assign dff0_clk = CLK2;
else
$error("Invalid DFF0_CLK setting!");
if (DFF1_CLK === "CLK0")
assign dff1_clk = CLK0;
else if (DFF1_CLK === "CLK1")
assign dff1_clk = CLK1;
else if (DFF1_CLK === "CLK2")
assign dff1_clk = CLK2;
else
$error("Invalid DFF1_CLK setting!");
if (DFF2_CLK === "CLK0")
assign dff2_clk = CLK0;
else if (DFF2_CLK === "CLK1")
assign dff2_clk = CLK1;
else if (DFF2_CLK === "CLK2")
assign dff2_clk = CLK2;
else
$error("Invalid DFF2_CLK setting!");
if (DFF3_CLK === "CLK0")
assign dff3_clk = CLK0;
else if (DFF3_CLK === "CLK1")
assign dff3_clk = CLK1;
else if (DFF3_CLK === "CLK2")
assign dff3_clk = CLK2;
else
$error("Invalid DFF3_CLK setting!");
if (DFF0_AC === "AC0")
assign dff0_ac = AC0;
else if (DFF0_AC === "AC1")
assign dff0_ac = AC1;
else
$error("Invalid DFF0_AC setting!");
if (DFF1_AC === "AC0")
assign dff1_ac = AC0;
else if (DFF1_AC === "AC1")
assign dff1_ac = AC1;
else
$error("Invalid DFF1_AC setting!");
if (DFF2_AC === "AC0")
assign dff2_ac = AC0;
else if (DFF2_AC === "AC1")
assign dff2_ac = AC1;
else
$error("Invalid DFF2_AC setting!");
if (DFF3_AC === "AC0")
assign dff3_ac = AC0;
else if (DFF3_AC === "AC1")
assign dff3_ac = AC1;
else
$error("Invalid DFF3_AC setting!");
endgenerate
// F0 on the Quartus diagram
MISTRAL_ALUT4 #(.LUT(LUT0)) lut0 (.A(A), .B(B), .C(C0), .D(c1_input_mux), .Q(lut0_out));
// F2 on the Quartus diagram
MISTRAL_ALUT4 #(.LUT(LUT1)) lut1_comb (.A(A), .B(B), .C(C0), .D(c1_input_mux), .Q(lut1_comb_mux));
MISTRAL_ALUT4 #(.LUT(LUT1)) lut1_sum (.A(A), .B(B), .C(C0), .D(E0), .Q(lut1_sum_mux));
// F1 on the Quartus diagram
MISTRAL_ALUT4 #(.LUT(LUT2)) lut2 (.A(A), .B(B), .C(C1), .D(c0_input_mux), .Q(lut2_out));
// F3 on the Quartus diagram
MISTRAL_ALUT4 #(.LUT(LUT3)) lut3_comb (.A(A), .B(B), .C(C1), .D(c0_input_mux), .Q(lut3_comb_mux));
MISTRAL_ALUT4 #(.LUT(LUT3)) lut3_sum (.A(A), .B(B), .C(C1), .D(E1), .Q(lut3_sum_mux));
MISTRAL_FF #(.INIT(INIT0)) dff0 (.D(dff01_data_mux), .CLK(dff0_clk), .ACn(dff0_ac), .Q(dff0_out));
MISTRAL_FF #(.INIT(INIT1)) dff1 (.D(dff01_data_mux), .CLK(dff1_clk), .ACn(dff1_ac), .Q(dff1_out));
MISTRAL_FF #(.INIT(INIT2)) dff2 (.D(dff23_data_mux), .CLK(dff2_clk), .ACn(dff2_ac), .Q(dff2_out));
MISTRAL_FF #(.INIT(INIT3)) dff3 (.D(dff23_data_mux), .CLK(dff3_clk), .ACn(dff3_ac), .Q(dff3_out));
// Adders
assign {add0_carry, add0_sum} = CARRYIN + lut0_out + lut1_sum_mux;
assign {add1_carry, add1_sum} = add0_carry + lut2_out + lut3_sum_mux;
// COMBOUT outputs on the Quartus diagram
assign COMB0 = E0 ? (f0_input_mux ? lut3_comb_mux : lut1_comb_mux)
: (f0_input_mux ? lut2_out : lut0_out);
assign COMB1 = E1 ? (f1_input_mux ? lut3_comb_mux : lut1_comb_mux)
: (f1_input_mux ? lut2_out : lut0_out);
// SUMOUT output on the Quartus diagram
assign SUM0 = add0_sum;
assign SUM1 = add1_sum;
// COUT output on the Quartus diagram
assign CARRYOUT = add1_carry;
// SHAREOUT output on the Quartus diagram
assign SHAREOUT = lut3_comb_mux;
// REGOUT outputs on the Quartus diagram
assign FF0 = dff0_out;
assign FF1 = dff1_out;
assign FF2 = dff2_out;
assign FF3 = dff3_out;
endmodule
*/
|
/**
* Copyright 2020 The SkyWater PDK Authors
*
* Licensed under the Apache License, Version 2.0 (the "License");
* you may not use this file except in compliance with the License.
* You may obtain a copy of the License at
*
* https://www.apache.org/licenses/LICENSE-2.0
*
* Unless required by applicable law or agreed to in writing, software
* distributed under the License is distributed on an "AS IS" BASIS,
* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
* See the License for the specific language governing permissions and
* limitations under the License.
*
* SPDX-License-Identifier: Apache-2.0
*/
`ifndef SKY130_FD_SC_LS__DFXTP_TB_V
`define SKY130_FD_SC_LS__DFXTP_TB_V
/**
* dfxtp: Delay flop, single output.
*
* Autogenerated test bench.
*
* WARNING: This file is autogenerated, do not modify directly!
*/
`timescale 1ns / 1ps
`default_nettype none
`include "sky130_fd_sc_ls__dfxtp.v"
module top();
// Inputs are registered
reg D;
reg VPWR;
reg VGND;
reg VPB;
reg VNB;
// Outputs are wires
wire Q;
initial
begin
// Initial state is x for all inputs.
D = 1'bX;
VGND = 1'bX;
VNB = 1'bX;
VPB = 1'bX;
VPWR = 1'bX;
#20 D = 1'b0;
#40 VGND = 1'b0;
#60 VNB = 1'b0;
#80 VPB = 1'b0;
#100 VPWR = 1'b0;
#120 D = 1'b1;
#140 VGND = 1'b1;
#160 VNB = 1'b1;
#180 VPB = 1'b1;
#200 VPWR = 1'b1;
#220 D = 1'b0;
#240 VGND = 1'b0;
#260 VNB = 1'b0;
#280 VPB = 1'b0;
#300 VPWR = 1'b0;
#320 VPWR = 1'b1;
#340 VPB = 1'b1;
#360 VNB = 1'b1;
#380 VGND = 1'b1;
#400 D = 1'b1;
#420 VPWR = 1'bx;
#440 VPB = 1'bx;
#460 VNB = 1'bx;
#480 VGND = 1'bx;
#500 D = 1'bx;
end
// Create a clock
reg CLK;
initial
begin
CLK = 1'b0;
end
always
begin
#5 CLK = ~CLK;
end
sky130_fd_sc_ls__dfxtp dut (.D(D), .VPWR(VPWR), .VGND(VGND), .VPB(VPB), .VNB(VNB), .Q(Q), .CLK(CLK));
endmodule
`default_nettype wire
`endif // SKY130_FD_SC_LS__DFXTP_TB_V
|
`timescale 1ns / 1ps
//////////////////////////////////////////////////////////////////////////////////
// Company:
// Engineer:
//
// Create Date: 02/13/2016 08:15:39 PM
// Design Name:
// Module Name: CLA_Adder
// Project Name:
// Target Devices:
// Tool Versions:
// Description:
//
// Dependencies:
//
// Revision:
// Revision 0.01 - File Created
// Additional Comments:
//
//////////////////////////////////////////////////////////////////////////////////
module CLA_Adder (
input [3:0] A,
input [3:0] B,
input Operation,
output [3:0] Sum,
output Error
);
wire [2:0] G;
wire [2:0] P;
wire [3:0] Cin;
assign G[0] = A[0] & (B[0] ^ Operation);
assign P[0] = A[0] ^ (B[0] ^ Operation);
assign G[1] = A[1] & B[1] ^ Operation;
assign P[1] = A[1] ^ (B[1] ^ Operation);
assign G[2] = A[2] & (B[2] ^ Operation);
assign P[2] = A[2] ^ (B[2] ^ Operation);
assign Cin[0] = Operation;
assign Cin[1] = G[0] | P[0] & Operation;
assign Cin[2] = G[1] | P[1] & (G[0] | P[0] & Operation);
assign Cin[3] = G[2] | P[2] & (G[1] | P[1] & (G[0] | P[0] & Operation));
AdderSlice Part1 (
.A(A[0]),
.B(B[0] ^ Operation),
.Cin(Cin[0]),
.S(Sum[0])
);
AdderSlice Part2 (
.A(A[1]),
.B(B[1] ^ Operation),
.Cin(Cin[1]),
.S(Sum[1])
);
AdderSlice Part3 (
.A(A[2]),
.B(B[2] ^ Operation),
.Cin(Cin[2]),
.S(Sum[2])
);
AdderSlice Part4 (
.A(A[3]),
.B(B[3] ^ Operation),
.Cin(Cin[3]),
.S(Sum[3])
);
ErrorDetection Part (
.A_MSB(A[3]),
.B_MSB(B[3]),
.Operation(Operation),
.S_MSB(Sum[3]),
.Error(Error)
);
endmodule
|
/**
* Copyright 2020 The SkyWater PDK Authors
*
* Licensed under the Apache License, Version 2.0 (the "License");
* you may not use this file except in compliance with the License.
* You may obtain a copy of the License at
*
* https://www.apache.org/licenses/LICENSE-2.0
*
* Unless required by applicable law or agreed to in writing, software
* distributed under the License is distributed on an "AS IS" BASIS,
* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
* See the License for the specific language governing permissions and
* limitations under the License.
*
* SPDX-License-Identifier: Apache-2.0
*/
`ifndef SKY130_FD_SC_LS__A2111OI_2_V
`define SKY130_FD_SC_LS__A2111OI_2_V
/**
* a2111oi: 2-input AND into first input of 4-input NOR.
*
* Y = !((A1 & A2) | B1 | C1 | D1)
*
* Verilog wrapper for a2111oi with size of 2 units.
*
* WARNING: This file is autogenerated, do not modify directly!
*/
`timescale 1ns / 1ps
`default_nettype none
`include "sky130_fd_sc_ls__a2111oi.v"
`ifdef USE_POWER_PINS
/*********************************************************/
`celldefine
module sky130_fd_sc_ls__a2111oi_2 (
Y ,
A1 ,
A2 ,
B1 ,
C1 ,
D1 ,
VPWR,
VGND,
VPB ,
VNB
);
output Y ;
input A1 ;
input A2 ;
input B1 ;
input C1 ;
input D1 ;
input VPWR;
input VGND;
input VPB ;
input VNB ;
sky130_fd_sc_ls__a2111oi base (
.Y(Y),
.A1(A1),
.A2(A2),
.B1(B1),
.C1(C1),
.D1(D1),
.VPWR(VPWR),
.VGND(VGND),
.VPB(VPB),
.VNB(VNB)
);
endmodule
`endcelldefine
/*********************************************************/
`else // If not USE_POWER_PINS
/*********************************************************/
`celldefine
module sky130_fd_sc_ls__a2111oi_2 (
Y ,
A1,
A2,
B1,
C1,
D1
);
output Y ;
input A1;
input A2;
input B1;
input C1;
input D1;
// Voltage supply signals
supply1 VPWR;
supply0 VGND;
supply1 VPB ;
supply0 VNB ;
sky130_fd_sc_ls__a2111oi base (
.Y(Y),
.A1(A1),
.A2(A2),
.B1(B1),
.C1(C1),
.D1(D1)
);
endmodule
`endcelldefine
/*********************************************************/
`endif // USE_POWER_PINS
`default_nettype wire
`endif // SKY130_FD_SC_LS__A2111OI_2_V
|
//Legal Notice: (C)2011 Altera Corporation. All rights reserved. Your
//use of Altera Corporation's design tools, logic functions and other
//software and tools, and its AMPP partner logic functions, and any
//output files any of the foregoing (including device programming or
//simulation files), and any associated documentation or information are
//expressly subject to the terms and conditions of the Altera Program
//License Subscription Agreement or other applicable license agreement,
//including, without limitation, that your use is for the sole purpose
//of programming logic devices manufactured by Altera and sold by Altera
//or its authorized distributors. Please refer to the applicable
//agreement for further details.
// synthesis translate_off
`timescale 1ns / 1ps
// synthesis translate_on
// turn off superfluous verilog processor warnings
// altera message_level Level1
// altera message_off 10034 10035 10036 10037 10230 10240 10030
module ddr3_s4_uniphy_example_sim_ddr3_s4_uniphy_example_sim_e0_if0_p0_qsys_sequencer_sequencer_ram (
// inputs:
address,
byteenable,
chipselect,
clk,
clken,
reset,
write,
writedata,
// outputs:
readdata
)
;
parameter INIT_FILE = "../ddr3_s4_uniphy_example_sim_ddr3_s4_uniphy_example_sim_e0_if0_p0_qsys_sequencer_sequencer_ram.hex";
output [ 31: 0] readdata;
input [ 8: 0] address;
input [ 3: 0] byteenable;
input chipselect;
input clk;
input clken;
input reset;
input write;
input [ 31: 0] writedata;
wire [ 31: 0] readdata;
wire wren;
assign wren = chipselect & write;
//s1, which is an e_avalon_slave
//s2, which is an e_avalon_slave
//synthesis translate_off
//////////////// SIMULATION-ONLY CONTENTS
altsyncram the_altsyncram
(
.address_a (address),
.byteena_a (byteenable),
.clock0 (clk),
.clocken0 (clken),
.data_a (writedata),
.q_a (readdata),
.wren_a (wren)
);
defparam the_altsyncram.byte_size = 8,
the_altsyncram.init_file = "UNUSED",
the_altsyncram.lpm_type = "altsyncram",
the_altsyncram.maximum_depth = 512,
the_altsyncram.numwords_a = 512,
the_altsyncram.operation_mode = "SINGLE_PORT",
the_altsyncram.outdata_reg_a = "UNREGISTERED",
the_altsyncram.ram_block_type = "AUTO",
the_altsyncram.read_during_write_mode_mixed_ports = "DONT_CARE",
the_altsyncram.width_a = 32,
the_altsyncram.width_byteena_a = 4,
the_altsyncram.widthad_a = 9;
//////////////// END SIMULATION-ONLY CONTENTS
//synthesis translate_on
//synthesis read_comments_as_HDL on
// altsyncram the_altsyncram
// (
// .address_a (address),
// .byteena_a (byteenable),
// .clock0 (clk),
// .clocken0 (clken),
// .data_a (writedata),
// .q_a (readdata),
// .wren_a (wren)
// );
//
// defparam the_altsyncram.byte_size = 8,
// the_altsyncram.init_file = "UNUSED",
// the_altsyncram.lpm_type = "altsyncram",
// the_altsyncram.maximum_depth = 512,
// the_altsyncram.numwords_a = 512,
// the_altsyncram.operation_mode = "SINGLE_PORT",
// the_altsyncram.outdata_reg_a = "UNREGISTERED",
// the_altsyncram.ram_block_type = "AUTO",
// the_altsyncram.read_during_write_mode_mixed_ports = "DONT_CARE",
// the_altsyncram.width_a = 32,
// the_altsyncram.width_byteena_a = 4,
// the_altsyncram.widthad_a = 9;
//
//synthesis read_comments_as_HDL off
endmodule
|
`timescale 1ns / 1ps
module SHD #(parameter LENGTH = 200) (
// clk,
DNA_read,
DNA_ref,
DNA_MinErrors
// ,
// DNA_out,
// DNA_nsh,
// DNA_shl_one,
// DNA_shl_two,
// DNA_shr_one,
// DNA_shr_two
);
//localparam LENGTH = 200; // width of encoded input and output data
//input clk;
input [LENGTH-1:0] DNA_read, DNA_ref;
reg [(LENGTH/2)-1:0] DNA_nsh, DNA_shl_one, DNA_shl_two, DNA_shl_three, DNA_shl_four, DNA_shl_five, DNA_shr_one, DNA_shr_two, DNA_shr_three, DNA_shr_four, DNA_shr_five, DNA_out;
output reg [7:0] DNA_MinErrors;
reg [LENGTH-1:0] DNA_1, DNA_2, DNA_3, DNA_4, DNA_5, DNA_6, DNA_7, DNA_8, DNA_9, DNA_10, DNA_11;
integer index, i;
reg [2:0] ErrorThreshold = 3'b101;
reg [7:0] count;
//////////////////////////////////////////////////////////
//
// Shifted Hamming Mask (SHM) Calculation
//
//////////////////////////////////////////////////////////
always@* //(DNA_read or DNA_ref)
begin
////////////////////////////////////////////////////////
//
// Hamming Mask for Read & Reference
//
////////////////////////////////////////////////////////
DNA_1= DNA_ref ^ DNA_read;
index=0;
for (i = 0; i < LENGTH; i = i + 2)
begin
if(DNA_1[i] || DNA_1[i+1])
DNA_nsh[index] = 1'b1; //Bp mismatch
else
DNA_nsh[index] = 1'b0; //Bp match
index=index+1;
end
// Hamming Distance Calculation
count=0;
for (i=0; i<(LENGTH/2); i=i+1)
begin
if (DNA_nsh[i]== 1'b1)
count = count+1;
end
if (count <= ErrorThreshold)
DNA_MinErrors = 8'b11111111;
else
begin
////////////////////////////////////////////////////////
//
// Speculative removal of short-matches (SRS)
//
////////////////////////////////////////////////////////
for (i = 0; i < (LENGTH/2)-1; i = i + 1)
begin
if (i<=(LENGTH/2)-3)
begin
// pattern = '101'
if(DNA_nsh[i] && (~DNA_nsh[i+1]) && DNA_nsh[i+2])
DNA_nsh[i+1] = 1'b1;
end
if (i<=(LENGTH/2)-4)
begin
// pattern = '1001'
if(DNA_nsh[i] && (~DNA_nsh[i+1]) && (~DNA_nsh[i+2]) && DNA_nsh[i+3])
begin
DNA_nsh[i+1] = 1'b1;
DNA_nsh[i+2] = 1'b1;
end
end
end
////////////////////////////////////////////////////////
//
// Hamming Mask for Read<<1 & Reference
//
////////////////////////////////////////////////////////
DNA_2= DNA_ref ^ (DNA_read<<2); //shift for 2bits coz each SNP is encoded by two bits
index=0;
for (i = 0; i < LENGTH; i = i + 2)
begin
if(DNA_2[i] || DNA_2[i+1])
DNA_shl_one[index] = 1'b1; //Bp mismatch
else
DNA_shl_one[index] = 1'b0; //Bp match
index=index+1;
end
DNA_shl_one[0]=1'b0; //referencce bp mapped to empty bp due to shifting
////////////////////////////////////////////////////////
//
// Speculative removal of short-matches (SRS)
//
////////////////////////////////////////////////////////
for (i = 0; i < (LENGTH/2)-1; i = i + 1)
begin
if (i<=(LENGTH/2)-3)
begin
// pattern = '101'
if(DNA_shl_one[i] && (~DNA_shl_one[i+1]) && DNA_shl_one[i+2])
DNA_shl_one[i+1] = 1'b1;
end
if (i<=(LENGTH/2)-4)
begin
// pattern = '1001'
if(DNA_shl_one[i] && (~DNA_shl_one[i+1]) && (~DNA_shl_one[i+2]) && DNA_shl_one[i+3])
begin
DNA_shl_one[i+1] = 1'b1;
DNA_shl_one[i+2] = 1'b1;
end
end
end
////////////////////////////////////////////////////////
//
// Hamming Mask for Read<<2 & Reference
//
////////////////////////////////////////////////////////
DNA_3= DNA_ref ^ (DNA_read<<4); //shift for 4bits coz each SNP is encoded by two bits
index=0;
for (i = 0; i < LENGTH; i = i + 2)
begin
if(DNA_3[i] || DNA_3[i+1])
DNA_shl_two[index] = 1'b1; //Bp mismatch
else
DNA_shl_two[index] = 1'b0; //Bp match
index=index+1;
end
DNA_shl_two[0]=1'b0; //referencce bp mapped to empty bp due to shifting
DNA_shl_two[1]=1'b0; //referencce bp mapped to empty bp due to shifting
////////////////////////////////////////////////////////
//
// Speculative removal of short-matches (SRS)
//
////////////////////////////////////////////////////////
for (i = 0; i < (LENGTH/2)-1; i = i + 1)
begin
if (i<=(LENGTH/2)-3)
begin
// pattern = '101'
if(DNA_shl_two[i] && (~DNA_shl_two[i+1]) && DNA_shl_two[i+2])
DNA_shl_two[i+1] = 1'b1;
end
if (i<=(LENGTH/2)-4)
begin
// pattern = '1001'
if(DNA_shl_two[i] && (~DNA_shl_two[i+1]) && (~DNA_shl_two[i+2]) && DNA_shl_two[i+3])
begin
DNA_shl_two[i+1] = 1'b1;
DNA_shl_two[i+2] = 1'b1;
end
end
end
////////////////////////////////////////////////////////
//
// Hamming Mask for Read<<3 & Reference
//
////////////////////////////////////////////////////////
DNA_6= DNA_ref ^ (DNA_read<<6); //shift for 4bits coz each SNP is encoded by two bits
index=0;
for (i = 0; i < LENGTH; i = i + 2)
begin
if(DNA_6[i] || DNA_6[i+1])
DNA_shl_three[index] = 1'b1; //Bp mismatch
else
DNA_shl_three[index] = 1'b0; //Bp match
index=index+1;
end
DNA_shl_three[0]=1'b0; //referencce bp mapped to empty bp due to shifting
DNA_shl_three[1]=1'b0; //referencce bp mapped to empty bp due to shifting
DNA_shl_three[2]=1'b0; //referencce bp mapped to empty bp due to shifting
////////////////////////////////////////////////////////
//
// Speculative removal of short-matches (SRS)
//
////////////////////////////////////////////////////////
for (i = 0; i < (LENGTH/2)-1; i = i + 1)
begin
if (i<=(LENGTH/2)-3)
begin
// pattern = '101'
if(DNA_shl_three[i] && (~DNA_shl_three[i+1]) && DNA_shl_three[i+2])
DNA_shl_three[i+1] = 1'b1;
end
if (i<=(LENGTH/2)-4)
begin
// pattern = '1001'
if(DNA_shl_three[i] && (~DNA_shl_three[i+1]) && (~DNA_shl_three[i+2]) && DNA_shl_three[i+3])
begin
DNA_shl_three[i+1] = 1'b1;
DNA_shl_three[i+2] = 1'b1;
end
end
end
////////////////////////////////////////////////////////
//
// Hamming Mask for Read<<4 & Reference
//
////////////////////////////////////////////////////////
DNA_7= DNA_ref ^ (DNA_read<<8); //shift for 4bits coz each SNP is encoded by two bits
index=0;
for (i = 0; i < LENGTH; i = i + 2)
begin
if(DNA_7[i] || DNA_7[i+1])
DNA_shl_four[index] = 1'b1; //Bp mismatch
else
DNA_shl_four[index] = 1'b0; //Bp match
index=index+1;
end
DNA_shl_four[0]=1'b0; //referencce bp mapped to empty bp due to shifting
DNA_shl_four[1]=1'b0; //referencce bp mapped to empty bp due to shifting
DNA_shl_four[2]=1'b0; //referencce bp mapped to empty bp due to shifting
DNA_shl_four[3]=1'b0; //referencce bp mapped to empty bp due to shifting
////////////////////////////////////////////////////////
//
// Speculative removal of short-matches (SRS)
//
////////////////////////////////////////////////////////
for (i = 0; i < (LENGTH/2)-1; i = i + 1)
begin
if (i<=(LENGTH/2)-3)
begin
// pattern = '101'
if(DNA_shl_four[i] && (~DNA_shl_four[i+1]) && DNA_shl_four[i+2])
DNA_shl_four[i+1] = 1'b1;
end
if (i<=(LENGTH/2)-4)
begin
// pattern = '1001'
if(DNA_shl_four[i] && (~DNA_shl_four[i+1]) && (~DNA_shl_four[i+2]) && DNA_shl_four[i+3])
begin
DNA_shl_four[i+1] = 1'b1;
DNA_shl_four[i+2] = 1'b1;
end
end
end
////////////////////////////////////////////////////////
//
// Hamming Mask for Read<<5 & Reference
//
////////////////////////////////////////////////////////
DNA_8= DNA_ref ^ (DNA_read<<10); //shift for 4bits coz each SNP is encoded by two bits
index=0;
for (i = 0; i < LENGTH; i = i + 2)
begin
if(DNA_8[i] || DNA_8[i+1])
DNA_shl_five[index] = 1'b1; //Bp mismatch
else
DNA_shl_five[index] = 1'b0; //Bp match
index=index+1;
end
DNA_shl_five[0]=1'b0; //referencce bp mapped to empty bp due to shifting
DNA_shl_five[1]=1'b0; //referencce bp mapped to empty bp due to shifting
DNA_shl_five[2]=1'b0; //referencce bp mapped to empty bp due to shifting
DNA_shl_five[3]=1'b0; //referencce bp mapped to empty bp due to shifting
DNA_shl_five[4]=1'b0; //referencce bp mapped to empty bp due to shifting
////////////////////////////////////////////////////////
//
// Speculative removal of short-matches (SRS)
//
////////////////////////////////////////////////////////
for (i = 0; i < (LENGTH/2)-1; i = i + 1)
begin
if (i<=(LENGTH/2)-3)
begin
// pattern = '101'
if(DNA_shl_five[i] && (~DNA_shl_five[i+1]) && DNA_shl_five[i+2])
DNA_shl_five[i+1] = 1'b1;
end
if (i<=(LENGTH/2)-4)
begin
// pattern = '1001'
if(DNA_shl_five[i] && (~DNA_shl_five[i+1]) && (~DNA_shl_five[i+2]) && DNA_shl_five[i+3])
begin
DNA_shl_five[i+1] = 1'b1;
DNA_shl_five[i+2] = 1'b1;
end
end
end
////////////////////////////////////////////////////////
//
// Hamming Mask for Read>>1 & Reference
//
////////////////////////////////////////////////////////
DNA_4= DNA_ref ^ (DNA_read>>2); //shift for 2bits coz each SNP is encoded by two bits
index=0;
for (i = 0; i < LENGTH; i = i + 2)
begin
if(DNA_4[i] || DNA_4[i+1])
DNA_shr_one[index] = 1'b1; //Bp mismatch
else
DNA_shr_one[index] = 1'b0; //Bp match
index=index+1;
end
DNA_shr_one[(LENGTH/2)-1]=1'b0; //referencce bp mapped to empty bp due to shifting
////////////////////////////////////////////////////////
//
// Speculative removal of short-matches (SRS)
//
////////////////////////////////////////////////////////
for (i = 0; i < (LENGTH/2)-1; i = i + 1)
begin
if (i<=(LENGTH/2)-3)
begin
// pattern = '101'
if(DNA_shr_one[i] && (~DNA_shr_one[i+1]) && DNA_shr_one[i+2])
DNA_shr_one[i+1] = 1'b1;
end
if (i<=(LENGTH/2)-4)
begin
// pattern = '1001'
if(DNA_shr_one[i] && (~DNA_shr_one[i+1]) && (~DNA_shr_one[i+2]) && DNA_shr_one[i+3])
begin
DNA_shr_one[i+1] = 1'b1;
DNA_shr_one[i+2] = 1'b1;
end
end
end
////////////////////////////////////////////////////////
//
// Hamming Mask for Read>>2 & Reference
//
////////////////////////////////////////////////////////
DNA_5= DNA_ref ^ (DNA_read>>4); //shift for 4bits coz each SNP is encoded by two bits
index=0;
for (i = 0; i < LENGTH; i = i + 2)
begin
if(DNA_5[i] || DNA_5[i+1])
DNA_shr_two[index] = 1'b1; //Bp mismatch
else
DNA_shr_two[index] = 1'b0; //Bp match
index=index+1;
end
DNA_shr_two[(LENGTH/2)-1]=1'b0; //referencce bp mapped to empty bp due to shifting
DNA_shr_two[(LENGTH/2)-2]=1'b0; //referencce bp mapped to empty bp due to shifting
////////////////////////////////////////////////////////
//
// Speculative removal of short-matches (SRS)
//
////////////////////////////////////////////////////////
for (i = 0; i < (LENGTH/2)-1; i = i + 1)
begin
if (i<=(LENGTH/2)-3)
begin
// pattern = '101'
if(DNA_shr_two[i] && (~DNA_shr_two[i+1]) && DNA_shr_two[i+2])
DNA_shr_two[i+1] = 1'b1;
end
if (i<=(LENGTH/2)-4)
begin
// pattern = '1001'
if(DNA_shr_two[i] && (~DNA_shr_two[i+1]) && (~DNA_shr_two[i+2]) && DNA_shr_two[i+3])
begin
DNA_shr_two[i+1] = 1'b1;
DNA_shr_two[i+2] = 1'b1;
end
end
end
////////////////////////////////////////////////////////
//
// Hamming Mask for Read>>3 & Reference
//
////////////////////////////////////////////////////////
DNA_9= DNA_ref ^ (DNA_read>>6); //shift for 4bits coz each SNP is encoded by two bits
index=0;
for (i = 0; i < LENGTH; i = i + 2)
begin
if(DNA_9[i] || DNA_9[i+1])
DNA_shr_three[index] = 1'b1; //Bp mismatch
else
DNA_shr_three[index] = 1'b0; //Bp match
index=index+1;
end
DNA_shr_three[(LENGTH/2)-1]=1'b0; //referencce bp mapped to empty bp due to shifting
DNA_shr_three[(LENGTH/2)-2]=1'b0; //referencce bp mapped to empty bp due to shifting
DNA_shr_three[(LENGTH/2)-3]=1'b0; //referencce bp mapped to empty bp due to shifting
////////////////////////////////////////////////////////
//
// Speculative removal of short-matches (SRS)
//
////////////////////////////////////////////////////////
for (i = 0; i < (LENGTH/2)-1; i = i + 1)
begin
if (i<=(LENGTH/2)-3)
begin
// pattern = '101'
if(DNA_shr_three[i] && (~DNA_shr_three[i+1]) && DNA_shr_three[i+2])
DNA_shr_three[i+1] = 1'b1;
end
if (i<=(LENGTH/2)-4)
begin
// pattern = '1001'
if(DNA_shr_three[i] && (~DNA_shr_three[i+1]) && (~DNA_shr_three[i+2]) && DNA_shr_three[i+3])
begin
DNA_shr_three[i+1] = 1'b1;
DNA_shr_three[i+2] = 1'b1;
end
end
end
////////////////////////////////////////////////////////
//
// Hamming Mask for Read>>4 & Reference
//
////////////////////////////////////////////////////////
DNA_10= DNA_ref ^ (DNA_read>>8); //shift for 4bits coz each SNP is encoded by two bits
index=0;
for (i = 0; i < LENGTH; i = i + 2)
begin
if(DNA_10[i] || DNA_10[i+1])
DNA_shr_four[index] = 1'b1; //Bp mismatch
else
DNA_shr_four[index] = 1'b0; //Bp match
index=index+1;
end
DNA_shr_four[(LENGTH/2)-1]=1'b0; //referencce bp mapped to empty bp due to shifting
DNA_shr_four[(LENGTH/2)-2]=1'b0; //referencce bp mapped to empty bp due to shifting
DNA_shr_four[(LENGTH/2)-3]=1'b0; //referencce bp mapped to empty bp due to shifting
DNA_shr_four[(LENGTH/2)-4]=1'b0; //referencce bp mapped to empty bp due to shifting
////////////////////////////////////////////////////////
//
// Speculative removal of short-matches (SRS)
//
////////////////////////////////////////////////////////
for (i = 0; i < (LENGTH/2)-1; i = i + 1)
begin
if (i<=(LENGTH/2)-3)
begin
// pattern = '101'
if(DNA_shr_four[i] && (~DNA_shr_four[i+1]) && DNA_shr_four[i+2])
DNA_shr_four[i+1] = 1'b1;
end
if (i<=(LENGTH/2)-4)
begin
// pattern = '1001'
if(DNA_shr_four[i] && (~DNA_shr_four[i+1]) && (~DNA_shr_four[i+2]) && DNA_shr_four[i+3])
begin
DNA_shr_four[i+1] = 1'b1;
DNA_shr_four[i+2] = 1'b1;
end
end
end
////////////////////////////////////////////////////////
//
// Hamming Mask for Read>>5 & Reference
//
////////////////////////////////////////////////////////
DNA_11= DNA_ref ^ (DNA_read>>10); //shift for 4bits coz each SNP is encoded by two bits
index=0;
for (i = 0; i < LENGTH; i = i + 2)
begin
if(DNA_11[i] || DNA_11[i+1])
DNA_shr_five[index] = 1'b1; //Bp mismatch
else
DNA_shr_five[index] = 1'b0; //Bp match
index=index+1;
end
DNA_shr_five[(LENGTH/2)-1]=1'b0; //referencce bp mapped to empty bp due to shifting
DNA_shr_five[(LENGTH/2)-2]=1'b0; //referencce bp mapped to empty bp due to shifting
DNA_shr_five[(LENGTH/2)-3]=1'b0; //referencce bp mapped to empty bp due to shifting
DNA_shr_five[(LENGTH/2)-4]=1'b0; //referencce bp mapped to empty bp due to shifting
DNA_shr_five[(LENGTH/2)-5]=1'b0; //referencce bp mapped to empty bp due to shifting
////////////////////////////////////////////////////////
//
// Speculative removal of short-matches (SRS)
//
////////////////////////////////////////////////////////
for (i = 0; i < (LENGTH/2)-1; i = i + 1)
begin
if (i<=(LENGTH/2)-3)
begin
// pattern = '101'
if(DNA_shr_five[i] && (~DNA_shr_five[i+1]) && DNA_shr_five[i+2])
DNA_shr_five[i+1] = 1'b1;
end
if (i<=(LENGTH/2)-4)
begin
// pattern = '1001'
if(DNA_shr_five[i] && (~DNA_shr_five[i+1]) && (~DNA_shr_five[i+2]) && DNA_shr_five[i+3])
begin
DNA_shr_five[i+1] = 1'b1;
DNA_shr_five[i+2] = 1'b1;
end
end
end
////////////////////////////////////////////////////////
//
// Final bit-vector
//
////////////////////////////////////////////////////////
DNA_out= DNA_nsh & DNA_shl_one & DNA_shl_two & DNA_shl_three & DNA_shl_four & DNA_shl_five & DNA_shr_one & DNA_shr_two & DNA_shr_three & DNA_shr_four & DNA_shr_five;
////////////////////////////////////////////////////////
//
// Speculative removal of short-matches (SRS) Count
//
////////////////////////////////////////////////////////
count=0;
for (i = (LENGTH/2)-1; i >0 ; i = i -4)
begin
if (i>3)
begin
case ({DNA_out[i],DNA_out[i-1],DNA_out[i-2],DNA_out[i-3]})
4'b0000 : count=count+0;
4'b0101,4'b0110,4'b1001,4'b1010,4'b1011,4'b1101 : count=count+2;
4'b0001,4'b0010,4'b0011,4'b0100,4'b0111,4'b1000,4'b1100,4'b1110,4'b1111 : count=count+1;
endcase
end
end
if (count <= ErrorThreshold)
DNA_MinErrors = 8'b11111111;
else
DNA_MinErrors = 8'b00000000;
end // else Hamming Distance
end
endmodule
|
<p><-- Begin file 22 of 26: Letter V (Version 0.48)
This file is part 22 of the GNU version of
The Collaborative International Dictionary of English
Also referred to as GCIDE
* * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * *
GCIDE is free software; you can redistribute it and/or modify
it under the terms of the GNU General Public License as published by
the Free Software Foundation; either version 2, or (at your option)
any later version.
GCIDE is distributed in the hope that it will be useful,
but WITHOUT ANY WARRANTY; without even the implied warranty of
MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
GNU General Public License for more details.
You should have received a copy of the GNU General Public License
along with this copy of GCIDE; see the file COPYING. If not, write
to the Free Software Foundation, Inc., 59 Temple Place - Suite 330,
Boston, MA 02111-1307, USA.
* * * * * * * * * * * * * * * * * * * * *
This dictionary was derived from the
Webster's Revised Unabridged Dictionary
Version published 1913
by the C. & G. Merriam Co.
Springfield, Mass.
Under the direction of
Noah Porter, D.D., LL.D.
and from
WordNet, a semantic network created by
the Cognitive Science Department
of Princeton University
under the direction of
Prof. George Miller
and is being updated and supplemented by
an open coalition of volunteer collaborators from
around the world.
This electronic dictionary is the starting point for an
ongoing project to develop a modern on-line comprehensive encyclopedic
dictionary, by the efforts of all individuals willing to help build a
large and freely available knowledge base. Contributions of data,
time, and effort are requested from any person willing to assist creation
of a comprehensive and organized knowledge base for free access on the
internet. Anyone willing to assist in any way in constructing such a
knowledge base should contact:
Patrick Cassidy [email protected]
735 Belvidere Ave. Office: (908)668-5252
Plainfield, NJ 07062
(908) 561-3416
Last edit October 6, 2002.
--></p>
<p><centered><point26>V.</point26></centered><br/
[<source>1913 Webster</source>]</p>
<p><ent>V</ent><br/
<hw>V</hw> <pr>(v<emac/)</pr>. <sn>1.</sn> <def>V, the twenty-second letter of the English alphabet, is a vocal consonant. V and U are only varieties of the same character, U being the cursive form, while V is better adapted for engraving, as in stone. The two letters were formerly used indiscriminately, and till a comparatively recent date words containing them were often classed together in dictionaries and other books of reference (see <er>U</er>). The letter V is from the Latin alphabet, where it was used both as a consonant (about like English <it>w</it>) and as a vowel. The Latin derives it from a form (V) of the Greek vowel <UPSILON/ (see <er>Y</er>), this Greek letter being either from the same Semitic letter as the digamma <universbold>F</universbold> (see <er>F</er>), or else added by the Greeks to the alphabet which they took from the Semitic. Etymologically <xex>v</xex> is most nearly related to <xex>u</xex>, <xex>w</xex>, <xex>f</xex>, <xex>b</xex>, <xex>p</xex>; as in <xex>v</xex>ine, <xex>w</xex>ine; a<xex>v</xex>oirdupois, ha<xex>b</xex>it, ha<xex>v</xex>e; sa<xex>f</xex>e, sa<xex>v</xex>e; tro<xex>v</xex>er, trou<xex>b</xex>adour, tro<xex>p</xex>e. See U, F, etc.</def><br/
[<source>1913 Webster</source>]</p>
<p>See <xex>Guide to Pronunciation</xex>, <sect/ 265; also <sect/<sect/ 155, 169, 178-179, etc.<br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <def>As a numeral, V stands for five, in English and Latin.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vaagmer</ent><br/
<hw>Vaag"mer</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[Icel. <ets>v<amac/gmeri</ets> a kind of flounder, literally, wave mare.]</ety> <fld>(Zool.)</fld> <def>The dealfish.</def> <altsp>[Written also <asp>vaagmaer</asp>, and <asp>vaagmar</asp>.]</altsp><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vacancy</ent><br/
<hw>Va"can*cy</hw> <pr>(?)</pr>, <pos>n.</pos>; <plu><it>pl.</it> <plw>Vacancies</plw> <pr>(#)</pr>.</plu> <ety>[Cf. F. <ets>vacance</ets>.]</ety><br/
[<source>1913 Webster</source>]</p>
<p><sn>1.</sn> <def>The quality or state of being vacant; emptiness; hence, freedom from employment; intermission; leisure; idleness; listlessness.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>All dispositions to idleness or <qex>vacancy</qex>, even before they are habits, are dangerous.</q> <rj><qau>Sir H. Wotton.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <def>That which is vacant.</def> Specifically: --<br/
[<source>1913 Webster</source>]</p>
<p><sd>(a)</sd> <def>Empty space; vacuity; vacuum.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>How is't with you,<br/
That you do bend your eye on <qex>vacancy</qex>?</q> <rj><qau>Shak.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><sd>(b)</sd> <def>An open or unoccupied space between bodies or things; an interruption of continuity; chasm; gap; <as>as, a <ex>vacancy</ex> between buildings; a <ex>vacancy</ex> between sentences or thoughts</as>.</def><br/
[<source>1913 Webster</source>]</p>
<p><sd>(c)</sd> <def>Unemployed time; interval of leisure; time of intermission; vacation.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>Time lost partly in too oft idle <qex>vacancies</qex> given both to schools and universities.</q> <rj><qau>Milton.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><q>No interim, not a minute's <qex>vacancy</qex>.</q> <rj><qau>Shak.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><q>Those little <qex>vacancies</qex> from toil are sweet.</q> <rj><qau>Dryden.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><sd>(d)</sd> <def>A place or post unfilled; an unoccupied office; <as>as, a <ex>vacancy</ex> in the senate, in a school, etc.</as></def>
<-- an unrented apartment, room in a hotel, motel, etc. --><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vacant</ent><br/
<hw>Va"cant</hw> <pr>(?)</pr>, <pos>a.</pos> <ety>[F., fr. L. <ets>vacans</ets>, <ets>-antis</ets>, p. pr. of <ets>vacare</ets> to be empty, to be free or unoccupied, to have leisure, also <ets>vocare</ets>; akin to <ets>vacuus</ets> empty, and probably to E. <ets>void</ets>. Cf. <er>Evacuate</er>, <er>Void</er>, <pos>a.</pos>]</ety><br/
[<source>1913 Webster</source>]</p>
<p><sn>1.</sn> <def>Deprived of contents; not filled; empty; <as>as, a <ex>vacant</ex> room</as>.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>Stuffs out his <qex>vacant</qex> garments with his form.</q> <rj><qau>Shak.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><q>Being of those virtues <qex>vacant</qex>.</q> <rj><qau>Shak.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><q>There is no fireside, howsoe'er defended,<br/
But has one <qex>vacant</qex> chair.</q> <rj><qau>Longfellow.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <def>Unengaged with business or care; unemployed; unoccupied; disengaged; free; <as>as, <ex>vacant</ex> hours</as>.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>Religion is the interest of all; but philosophy of those . . . at leisure, and <qex>vacant</qex> from the affairs of the world.</q> <rj><qau>Dr. H. More.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><q>There was not a minute of the day which he left <qex>vacant</qex>.</q> <rj><qau>Bp. Fell.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>3.</sn> <def>Not filled or occupied by an incumbent, possessor, or officer; unoccupied; <as>as, a <ex>vacant</ex> throne; a <ex>vacant</ex> house; a <ex>vacant</ex> apartment; a <ex>vacant</ex> parish</as>.</def><br/
[<source>1913 Webster</source> <source>+PJC</source>]</p>
<p><q>Special dignities which <qex>vacant</qex> lie<br/
For thy best use and wearing.</q> <rj><qau>Shak.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>4.</sn> <def>Empty of thought; thoughtless; not occupied with study or reflection; <as>as, a <ex>vacant</ex> mind</as>.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>The duke had a pleasant and <qex>vacant</qex> face.</q> <rj><qau>Sir H. Wotton.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><q>When on my couch I lie<br/
In <qex>vacant</qex> or in pensive mood.</q> <rj><qau>Wordsworth.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>5.</sn> <fld>(Law)</fld> <def>Abandoned; having no heir, possessor, claimant, or occupier; <as>as, a <ex>vacant</ex> estate</as>.</def> <rj><au>Bouvier.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><cs><col><b>Vacant succession</b></col> <fld>(Law)</fld>, <cd>one that is claimed by no person, or where all the heirs are unknown, or where all the known heirs to it have renounced it.</cd> <rj><au>Burrill.</au></rj>
</cs><br/
[<source>1913 Webster</source>]</p>
<p><syn><b>Syn.</b> -- Empty; void; devoid; free; unemployed; disengaged; unincumbered; uncrowded; idle.</syn> <usage> -- <er>Vacant</er>, <er>Empty</er>. A thing is <xex>empty</xex> when there is nothing in it; <as>as, an <ex>empty</ex> room, or an <ex>empty</ex> noddle</as>. <xex>Vacant</xex> adds the idea of having been previously filled, or intended to be filled or occupied; <as>as, a <ex>vacant</ex> seat at table; a <ex>vacant</ex> office; <ex>vacant</ex> hours</as>. When we speak of a <xex>vacant</xex> look or a <xex>vacant</xex> mind, we imply the absence of the intelligence naturally to be expected there.</usage><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vacantly</ent><br/
<hw>Va"cant*ly</hw> <pr>(?)</pr>, <pos>adv.</pos> <def>In a vacant manner; inanely.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vacate</ent><br/
<hw>Va"cate</hw> <pr>(?)</pr>, <pos>v. t.</pos> <vmorph>[<pos>imp. & p. p.</pos> <conjf>Vacated</conjf> <pr>(?)</pr>; <pos>p. pr. & vb. n.</pos> <conjf>Vacating</conjf>.]</vmorph> <ety>[L. <ets>vacare</ets>, <ets>vacatum</ets>, to be empty. See <er>Vacant</er>.]</ety> <sn>1.</sn> <def>To make vacant; to leave empty; to cease from filling or occupying; <as>as, it was resolved by Parliament that <persfn>James</persfn> had <ex>vacated</ex> the throne of England; the tenant <ex>vacated</ex> the house.</as></def><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <def>To annul; to make void; to deprive of force; to make of no authority or validity; <as>as, to <ex>vacate</ex> a commission or a charter; to <ex>vacate</ex> proceedings in a cause.</as></def><br/
[<source>1913 Webster</source>]</p>
<p><q>That after act <qex>vacating</qex> the authority of the precedent.</q> <rj><qau>Eikon Basilike.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><q>The necessity of observing the Jewish Sabbath was <qex>vacated</qex> by the apostolical institution of the Lord's Day.</q> <rj><qau>R. Nelson.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>3.</sn> <def>To defeat; to put an end to.</def> <mark>[R.]</mark><br/
[<source>1913 Webster</source>]</p>
<p><q>He <qex>vacates</qex> my revenge.</q> <rj><qau>Dryden.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vacation</ent><br/
<hw>Va*ca"tion</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[F., fr. L. <ets>vacatio</ets> a being free from a duty, service, etc., fr. <ets>vacare</ets>. See <er>Vacate</er>.]</ety><br/
[<source>1913 Webster</source>]</p>
<p><sn>1.</sn> <def>The act of vacating; a making void or of no force; <as>as, the <ex>vacation</ex> of an office or a charter</as>.</def><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <def>Intermission of a stated employment, procedure, or office; a period of intermission; rest; leisure.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>It was not in his nature, however, at least till years had chastened it, to take any <qex>vacation</qex> from controversy.</q> <rj><qau>Palfrey.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p>Hence, specifically: -<br/
[<source>1913 Webster</source>]</p>
<p><sd>(a)</sd> <fld>(Law)</fld> <def>Intermission of judicial proceedings; the space of time between the end of one term and the beginning of the next; nonterm; recess.</def> <ldquo/With lawyers in the <xex>vacation</xex>.<rdquo/ <rj><au>Shak.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><sd>(b)</sd> <def>A period of intermission of regular paid work or employment, or of studies and exercises at an educational institution; the time during which a person temporarily ceases regular duties of any kind and performs other activites, usually some form of liesure; holidays; recess (at a school); <as>as, the spring <ex>vacation</ex>; to spend one's <ex>vacation</ex> travelling; to paint the house while on <ex>vacation</ex></as>. <ex>Vacation</ex> is typically used for rest, travel, or recreation, but may be used for any purpose. In Britain this sense of <ex>vacation</ex> is usually referred to as <altname>holiday</altname>.</def><br/
[<source>1913 Webster</source> <source>+PJC</source>]</p>
<p><sd>(c)</sd> <def>The time when an office is vacant;</def> <specif>esp.</specif> <fld>(Eccl.)</fld>, <def>the time when a see, or other spiritual dignity, is vacant.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vacatur</ent><br/
||<hw>Va*ca"tur</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[NL., it is made void, fr. L. <ets>vacare</ets> to be empty. See <er>Vacant</er>.]</ety> <fld>(Law)</fld> <def>An order of court by which a proceeding is set aside or annulled.</def><br/
[<source>Webster 1913 Suppl.</source>]</p>
<p><ent>Vaccary</ent><br/
<hw>Vac"ca*ry</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[LL. <ets>vaccarium</ets>, from L. <ets>vacca</ets> cow. Cf. <er>Vachery</er>.]</ety> <def>A cow house, dairy house, or cow pasture.</def> <mark>[Obs. or Prov. Eng.]</mark> <rj><au>Wright.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vaccina</ent><br/
||<hw>Vac*ci"na</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[NL.]</ety> <fld>(Med.)</fld> <def>Vaccinia.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vaccinal</ent><br/
<hw>Vac"ci*nal</hw> <pr>(?)</pr>, <pos>a.</pos> <fld>(Med.)</fld> <def>Of or pertaining to vaccinia or vaccination.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vaccinate</ent><br/
<hw>Vac"ci*nate</hw> <pr>(?)</pr>, <pos>v. t.</pos> <vmorph>[<pos>imp. & p. p.</pos> <conjf>Vaccinated</conjf> <pr>(?)</pr>; <pos>p. pr. & vb. n.</pos> <conjf>Vaccinating</conjf>.]</vmorph> <ety>[See <er>Vaccine</er>.]</ety> <def>To inoculate with the cowpox by means of a virus, called <xex>vaccine</xex>, taken either directly or indirectly from cows; now, generally, to administer (by injection or otherwise) any vaccine with the objective of rendering the recipient immune to an infectious disease. One who has been thus immunized by vaccination is said to be <col>vaccinated against</col> a particular disease. One may be thus immunized (vaccinated) also by oral ingestion or inhalation of a vaccine.</def><br/
[<source>1913 Webster</source> <source>+PJC</source>]</p>
<p><ent>Vaccination</ent><br/
<hw>Vac`ci*na"tion</hw> <pr>(?)</pr>, <pos>n.</pos> <sn>1.</sn> <def>The act, art, or practice of vaccinating, or inoculating with the cowpox, in order to prevent or mitigate an attack of smallpox. Cf. <er>Inoculation</er>.</def><br/
[<source>1913 Webster</source>]</p>
<p><note><hand/ In recent use, <xex>vaccination</xex> sometimes includes inoculation with any virus as a preventive measure; <as>as, <ex>vaccination</ex> against cholera</as>.</note><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <def>Any inoculation intended to raise immunity to a disease.</def><br/
[<source>PJC</source>]</p>
<p><ent>Vaccinator</ent><br/
<hw>Vac"ci*na`tor</hw> <pr>(?)</pr>, <pos>n.</pos> <def>One who, or that which, vaccinates.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vaccine</ent><br/
<hw>Vac"cine</hw> <pr>(v<acr/k"s<imac/n <it>or</it> v<acr/k"s<icr/n; 277)</pr>, <pos>a.</pos> <ety>[L. <ets>vaccinus</ets>, fr. <ets>vacca</ets> a cow; cf. Skr. <ets>v<amac/<cced/</ets> to bellow, to groan.]</ety> <sn>1.</sn> <def>Of or pertaining to cows; pertaining to, derived from, or caused by, vaccinia; <as>as, <ex>vaccine</ex> virus; the <ex>vaccine</ex> disease</as>.</def><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <def>Of or pertaining to a vaccine or vaccination.</def><br/
[<source>PJC</source>]</p>
<p><ent>Vaccine</ent><br/
<hw>Vac"cine</hw> <pr>(v<acr/k*s<emac/n" <it>or</it> v<acr/k"s<emac/n)</pr>, <pos>n.</pos> <sn>1.</sn> <def>The virus of vaccinia used in vaccination.</def><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <def>any preparation used to render an organism immune to some disease, by inducing or increasing the natural immunity mechanisms. Prior to 1995, such preparations usually contained killed organisms of the type for which immunity was desired, and sometimes used live organisms having attenuated virulence. Since that date, preparations containing only specific antigenic portions of the pathogenic organism have also been used. Some of these are prepared by genetic engineering techniques.</def><br/
[<source>PJC</source>]</p>
<p><sn>3.</sn> <fld>(Computers)</fld> <def>A program designed to protect a computer from software viruses, by detecting and or eliminating them.</def><br/
[<source>PJC</source>]</p>
<p><ent>Vaccine point</ent><br/
<hw>Vac"cine point`</hw> <pr>(?)</pr>. <fld>(Med.)</fld> <def>See <er>Point</er>, <pos>n.</pos>, 26.</def><br/
[<source>Webster 1913 Suppl.</source>]</p>
<p><ent>Vaccinia</ent><br/
||<hw>Vac*cin"i*a</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[NL. See <er>Vaccine</er>.]</ety> <fld>(Med.)</fld> <def>Cowpox; vaccina. See <er>Cowpox</er>.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vaccinist</ent><br/
<hw>Vac"ci*nist</hw> <pr>(?)</pr>, <pos>n.</pos> <def>A vaccinator.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vaccinium</ent><br/
||<hw>Vac*cin"i*um</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[L., the blueberry, or whortleberry.]</ety> <fld>(Bot.)</fld> <def>A genus of ericaceous shrubs including the various kinds of blueberries and the true cranberries.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vacher</ent><br/
||<hw>Va`cher"</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[F., from <ets>vache</ets> a cow. Cf. <er>Vaquero</er>.]</ety> <def>A keeper of stock or cattle; a herdsman.</def> <mark>[Southwestern U. S.]</mark><-- a cowboy --> <rj><au>Bartlett.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vachery</ent><br/
<hw>Vach"er*y</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[F. <ets>vacherie</ets>, from <ets>vache</ets> a cow, L. <ets>vacca</ets>. Cf. <er>Vaccary</er>.]</ety> <sn>1.</sn> <def>An inclosure for cows.</def><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <def>A dairy.</def> <mark>[Obs. or Prov. Eng.]</mark> <rj><au>Prompt. Parv.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vachette clasp</ent><br/
<hw>Va`chette" clasp</hw> <pr>(?)</pr>. <ety>[Cf. F. <ets>vachette</ets> cowhide leather used for ligatures.]</ety> <fld>(Veter.)</fld> <def>A piece of strong steel wire with the ends curved and pointed, used on toe or quarter cracks to bind the edges together and prevent motion. It is clasped into two notches, one on each side of the crack, burned into the wall with a cautery iron.</def><br/
[<source>Webster 1913 Suppl.</source>]</p>
<p><ent>Vacillancy</ent><br/
<hw>Vac"il*lan*cy</hw> <pr>(?)</pr>, <pos>n.</pos> <def>The quality or state of being vacillant, or wavering.</def> <mark>[R.]</mark> <rj><au>Dr. H. More.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vacillant</ent><br/
<hw>Vac"il*lant</hw> <pr>(?)</pr>, <pos>a.</pos> <ety>[L. <ets>vacillans</ets>, p. pr. of <ets>vacillare</ets>: cf. F. <ets>vacillant</ets>. See <er>Vacillate</er>.]</ety> <def>Vacillating; wavering; fluctuating; irresolute.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vacillate</ent><br/
<hw>Vac"il*late</hw> <pr>(v<acr/s"<icr/l*l<amac/t)</pr>, <pos>v. i.</pos> <vmorph>[<pos>imp. & p. p.</pos> <conjf>Vacillated</conjf> <pr>(v<acr/s"<icr/l*l<amac/`t<ecr/d)</pr>; <pos>p. pr. & vb. n.</pos> <conjf>Vacillating</conjf>.]</vmorph> <ety>[L. <ets>vacillare</ets>, <ets>vacillatum</ets>; cf. Skr. <ets>va<ntil/c</ets>.]</ety><br/
[<source>1913 Webster</source>]</p>
<p><sn>1.</sn> <def>To move one way and the other; to reel or stagger; to waver.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>[A spheroid] is always liable to shift and <qex>vacillate</qex>from one axis to another.</q> <rj><qau>Paley.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <def>To fluctuate in mind or opinion; to be unsteady or inconstant; to waver.</def><br/
[<source>1913 Webster</source>]</p>
<p><syn><b>Syn.</b> -- See <er>Fluctuate</er>.</syn><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vacillating</ent><br/
<hw>Vac"il*la`ting</hw> <pr>(?)</pr>, <pos>a.</pos> <def>Inclined to fluctuate; wavering.</def> <au>Tennyson.</au> -- <wordforms><wf>Vac"il*la`ting*ly</wf>, <pos>adv.</pos></wordforms><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vacillation</ent><br/
<hw>Vac`il*la"tion</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[L. <ets>vacillatio</ets>: cf. F. <ets>vacillation</ets>.]</ety> <sn>1.</sn> <def>The act of vacillating; a moving one way and the other; a wavering.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>His <qex>vacillations</qex>, always exhibited most pitiably in emergencies.</q> <rj><qau>Macaulay.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <def>Unsteadiness of purpose; changeableness.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>There is a <qex>vacillation</qex>, or an alternation of knowledge and doubt.</q> <rj><qau>Jer. Taylor.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vacillatory</ent><br/
<hw>Vac"il*la*to*ry</hw> <pr>(?)</pr>, <pos>a.</pos> <def>Inclined to vacillate; wavering; irresolute.</def> <rj><au>Hawthorne.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vacuate</ent><br/
<hw>Vac"u*ate</hw> <pr>(?)</pr>, <pos>v. t.</pos> <ety>[L. <ets>vacuatus</ets>, p. p. of <ets>vacuare</ets> to empty, from <ets>vacuus</ets> empty. See <er>Vacant</er>.]</ety> <def>To make void, or empty.</def> <mark>[R.]</mark><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vacuation</ent><br/
<hw>Vac`u*a"tion</hw> <pr>(?)</pr>, <pos>n.</pos> <def>The act of emptying; evacuation.</def> <mark>[R.]</mark><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vacuist</ent><br/
<hw>Vac"u*ist</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[Cf. F. <ets>vacuiste</ets>.]</ety> <def>One who holds the doctrine that the space between the bodies of the universe, or the molecules and atoms of matter, is a vacuum; -- opposed to <contr>plenist</contr>.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vacuity</ent><br/
<hw>Va*cu"i*ty</hw> <pr>(v<adot/*k<umac/"<icr/*t<ycr/)</pr>, <pos>n.</pos> <ety>[L. <ets>vacuitas</ets>. See <er>Vacuous</er>.]</ety> <sn>1.</sn> <def>The quality or state of being vacuous, or not filled; emptiness; vacancy; <as>as, <ex>vacuity</ex> of mind; <ex>vacuity</ex> of countenance</as>.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>Hunger is such a state of <qex>vacuity</qex> as to require a fresh supply of aliment.</q> <rj><qau>Arbuthnot.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <def>Space unfilled or unoccupied, or occupied with an invisible fluid only; emptiness; void; vacuum.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>A <qex>vacuity</qex> is interspersed among the particles of matter.</q> <rj><qau>Bentley.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><q>God . . . alone can answer all our longings and fill every <qex>vacuity</qex> of our soul.</q> <rj><qau>Rogers.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>3.</sn> <def>Want of reality; inanity; nihility.</def> <mark>[R.]</mark><br/
[<source>1913 Webster</source>]</p>
<p><q>Their expectations will meet with <qex>vacuity</qex>.</q> <rj><qau>Glanvill.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vacuna</ent><br/
||<hw>Va*cu"na</hw> <pr>(v<adot/*k<umac/"n<adot/)</pr>, <pos>prop. n.</pos> <ety>[L. fr. <ets>vacuus</ets> unoccupied.]</ety> <fld>(Rom. Myth.)</fld> <def>The goddess of rural leisure, to whom the husbandmen sacrificed at the close of the harvest. She was especially honored by the Sabines.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vacuolated</ent><br/
<hw>Vac"u*o*la`ted</hw> <pr>(?)</pr>, <pos>a.</pos> <fld>(Biol.)</fld> <def>Full of vacuoles, or small air cavities; <as>as, <ex>vacuolated</ex> cells</as>.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vacuolation</ent><br/
<hw>Vac"u*o*la"tion</hw> <pr>(?)</pr>, <pos>n.</pos> <fld>(Biol.)</fld> <def>Formation into, or multiplication of, vacuoles.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vacuole</ent><br/
<hw>Vac"u*ole</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[L. <ets>vacuus</ets> empty: cf. F. <ets>vacuole</ets>.]</ety> <fld>(Biol.)</fld> <def>A small air cell, or globular space, in the interior of organic cells, either containing air, or a pellucid watery liquid, or some special chemical secretions of the cell protoplasm.</def><br/
[<source>1913 Webster</source>]</p>
<p><cs><col><b>Contractile vacuole</b></col>. <fld>(Zool.)</fld> <cd>See under <er>Contractile</er>, and see <xex>Illusts</xex>. of <er>Infusoria</er>, and <er>Lobosa</er>.</cd> -- <col><b>Food vacuole</b></col>. <fld>(Zool.)</fld> <cd>See under <er>Food</er>, and see <xex>Illust.</xex> of <er>Infusoria</er>.</cd></cs><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vacuometer</ent><br/
<hw>Vac`u*om"e*ter</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[<ets>Vacuum</ets> + <ets>-meter</ets>.]</ety> <fld>(Physics)</fld> <sd>(a)</sd> <def>An instrument for the comparison of barometers.</def> <sd>(b)</sd> <def>An apparatus for the measurement of low pressures.</def><br/
[<source>Webster 1913 Suppl.</source>]</p>
<p><ent>Vacuous</ent><br/
<hw>Vac"u*ous</hw> <pr>(v<acr/k"<usl/*<ucr/s)</pr>, <pos>a.</pos> <ety>[L. <ets>vacuus</ets>. See <er>Vacant</er>.]</ety> <def>Empty; unfilled; void; vacant.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>Boundless the deep, because I am who fill<br/
Infinitude; nor <qex>vacuous</qex> the space.</q> <rj><qau>Milton.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><q>That the few may lead selfish and <qex>vacuous</qex> days.</q> <rj><qau>J. Morley.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vacuousness</ent><br/
<hw>Vac"u*ous*ness</hw>, <pos>n.</pos> <def>The quality or state of being vacuous; emptiness; vacuity.</def> <rj><au>W. Montagu.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vacuum</ent><br/
<hw>Vac"u*um</hw> <pr>(v<acr/k"<usl/*<ucr/m)</pr>, <pos>n.</pos>; <plu><it>pl.</it> E. <plw>Vacuums</plw> <pr>(v<acr/k"<usl/*<ucr/mz)</pr>, L. <plw>Vacua</plw> <pr>(v<acr/k"<usl/*<adot/)</pr>.</plu> <ety>[L., fr. <ets>vacuus</ets> empty. See <er>Vacuous</er>.]</ety> <sn>1.</sn> <fld>(Physics)</fld> <def>A space entirely devoid of matter (called also, by way of distinction, <xex>absolute vacuum</xex>); hence, in a more general sense, a space, as the interior of a closed vessel, which has been exhausted to a high or the highest degree by an air pump or other artificial means; <as>as, water boils at a reduced temperature in a <ex>vacuum</ex></as>.</def><br/
[<source>1913 Webster</source>]</p>
<p><-- p. 1591 pr=PI--><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <def>The condition of rarefaction, or reduction of pressure below that of the atmosphere, in a vessel, as the condenser of a steam engine, which is nearly exhausted of air or steam, etc.; <as>as, a <ex>vacuum</ex> of 26 inches of mercury, or 13 pounds per square inch</as>.</def><br/
[<source>1913 Webster</source>]</p>
<p><cs><col><b>Vacuum brake</b></col>, <cd>a kind of continuous brake operated by exhausting the air from some appliance under each car, and so causing the pressure of the atmosphere to apply the brakes.</cd> -- <col><b>Vacuum pan</b></col> <fld>(Technol.)</fld>, <cd>a kind of large closed metallic retort used in sugar making for boiling down sirup. It is so connected with an exhausting apparatus that a partial vacuum is formed within. This allows the evaporation and concentration to take place at a lower atmospheric pressure and hence also at a lower temperature, which largely obviates the danger of burning the sugar, and shortens the process.</cd> -- <col><b>Vacuum pump</b></col>. <cd>Same as <er>Pulsometer</er>, 1.</cd> -- <col><b>Vacuum tube</b></col> <fld>(Phys.)</fld>, <sd>(a)</sd> <cd>a glass tube provided with platinum electrodes and exhausted, for the passage of the electrical discharge; a Geissler tube.</cd> <sd>(a)</sd> <cd>any tube used in electronic devices, containing a vacuum and used to control the flow of electrons in a circuit, as a vacuum diode, triode, tetrode, or pentode.</cd> -- <col><b>Vacuum valve</b></col>, <cd>a safety valve opening inward to admit air to a vessel in which the pressure is less than that of the atmosphere, in order to prevent collapse.</cd> -- <col><b>Torricellian vacuum</b></col>. <cd>See under <er>Torricellian</er>.</cd></cs><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vacuum cleaner</ent><br/
<hw>Vac"u*um clean"er</hw>. <def>A machine for cleaning carpets, tapestry, upholstered work, etc., by suction; -- sometimes called a <altname>vacuum</altname>.</def><br/
[<source>Webster 1913 Suppl.</source>]</p>
<p><ent>Vadantes</ent><br/
||<hw>Va*dan"tes</hw> <pr>(?)</pr>, <pos>n. pl.</pos> <ety>[NL., from L. <ets>vadans</ets>, p. pr. of <ets>vadare</ets> to wade, to ford.]</ety> <fld>(Zool.)</fld> <def>An extensive artificial group of birds including the wading, swimming, and cursorial birds.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vade</ent><br/
<hw>Vade</hw> <pr>(v<amac/d)</pr>, <pos>v. i.</pos> <ety>[For <ets>fade</ets>.]</ety> <def>To fade; hence, to vanish.</def> <mark>[Obs.]</mark> <ldquo/ Summer leaves all <xex>vaded</xex>.<rdquo/ <rj><au>Shak.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><q>They into dust shall <qex>vade</qex>.</q> <rj><qau>Spenser.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vade mecum</ent><br/
<hw>Va`de me"cum</hw> <pr>(?)</pr>. <ety>[L., go with me.]</ety> <def>A book or other thing that a person carries with him as a constant companion; a manual; a handbook.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vadimony</ent><br/
<hw>Vad"i*mo*ny</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[L. <ets>vadimonium</ets>.]</ety> <fld>(Law)</fld> <def>A bond or pledge for appearance before a judge on a certain day.</def> <mark>[Obs.]</mark><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vadium</ent><br/
||<hw>Va"di*um</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[LL., from L. <ets>vas</ets>, <ets>vadis</ets>, bail.]</ety> <fld>(Law)</fld> <def>Pledge; security; bail. See <er>Mortgage</er>.</def><br/
[<source>1913 Webster</source>]</p>
<p><cs><col><b>Vadium vivum</b></col> <ety>[LL.]</ety> <fld>(Law)</fld>, <cd>a living pledge, which exists where an estate is granted until a debt is paid out of its proceeds.</cd></cs><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vae</ent><br/
<hw>Vae</hw> <pr>(?)</pr>, <pos>n.</pos> <def>See <er>Voe</er>.</def> <mark>[Scot.]</mark><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vafrous</ent><br/
<hw>Va"frous</hw> <pr>(?)</pr>, <pos>a.</pos> <ety>[L. <ets>vafer</ets>.]</ety> <def>Crafty; cunning; sly; <as>as, <ex>vafrous</ex> tricks</as>.</def> <mark>[Obs.]</mark> <rj><au>Feltham.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vagabond</ent><br/
<hw>Vag"a*bond</hw> <pr>(?)</pr>, <pos>a.</pos> <ety>[F., fr. L. <ets>vagabundus</ets>, from <ets>vagari</ets> to stroll about, from <ets>vagus</ets> strolling. See <er>Vague</er>.]</ety> <sn>1.</sn> <def>Moving from place to place without a settled habitation; wandering.</def> <ldquo/<xex>Vagabond</xex> exile.<rdquo/ <rj><au>Shak.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <def>Floating about without any certain direction; driven to and fro.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>To heaven their prayers<br/
Flew up, nor missed the way, by envious winds<br/
Blown <qex>vagabond</qex> or frustrate.</q> <rj><qau>Milton.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>3.</sn> <def>Being a vagabond; strolling and idle or vicious.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vagabond</ent><br/
<hw>Vag"a*bond</hw>, <pos>n.</pos> <def>One who wanders from place to place, having no fixed dwelling, or not abiding in it, and usually without the means of honest livelihood; a vagrant; a tramp; hence, a worthless person; a rascal.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>A fugitive and a <qex>vagabond</qex> shalt thou be.</q> <rj><qau>Gen. iv. 12.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><note><hand/ In English and American law, <xex>vagabond</xex> is used in bad sense, denoting one who is without a home; a strolling, idle, worthless person. <xex>Vagabonds</xex> are described in old English statutes as <ldquo/such as wake on the night and sleep on the day, and haunt customable taverns and alehouses, and routs about; and no man wot from whence they came, nor whither they go.<rdquo/ In American law, the term <xex>vagrant</xex> is employed in the same sense. Cf <er>Rogue</er>, <pos>n.</pos>, 1. <rj><au>Burrill.</au> <au>Bouvier.</au></rj>
</note><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vagabond</ent><br/
<hw>Vag"a*bond</hw>, <pos>v. i.</pos> <def>To play the vagabond; to wander like a vagabond; to stroll.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>On every part my <qex>vagabonding</qex> sight<br/
Did cast, and drown mine eyes in sweet delight.</q> <rj><qau>Drummond.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vagabondage</ent><br/
<hw>Vag"a*bond`age</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[Cf. F. <ets>vagabondage</ets>.]</ety> <def>The condition of a vagabond; a state or habit of wandering about in idleness; vagrancy.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vagabondism</ent><br/
<hw>Vag"a*bond`ism</hw> <pr>(?)</pr>, <pos>n.</pos> <def>Vagabondage.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vagabondize</ent><br/
<hw>Vag"a*bond`ize</hw> <pr>(?)</pr>, <pos>v. i.</pos> <def>To play the vagabond; to wander about in idleness.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vagabondry</ent><br/
<hw>Vag"a*bond`ry</hw> <pr>(?)</pr>, <pos>n.</pos> <def>Vagabondage.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vagal</ent><br/
<hw>Va"gal</hw> <pr>(?)</pr>, <pos>a.</pos> <ety>[See <er>Vagus</er>.]</ety> <fld>(Anat.)</fld> <def>Of or pertaining to the vagus, or pneumogastric nerves; pneumogastric.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vagancy</ent><br/
<hw>Va"gan*cy</hw> <pr>(v<amac/"g<ait/n*s<ycr/)</pr>, <pos>n.</pos> <ety>[From L. <ets>vagans</ets>, p. pr. See <er>Vagantes</er>.]</ety> <def>A wandering; vagrancy.</def> <mark>[Obs.]</mark><br/
[<source>1913 Webster</source>]</p>
<p><q>A thousand <qex>vagancies</qex> of glory and delight.</q> <rj><qau>Milton.</qau></rj><br/
<-- note -- in the original Webster, <i>delight</i> was misspelled <i>desight</i>, a rare typographical error -->
[<source>1913 Webster</source>]</p>
<p><ent>Vagantes</ent><br/
||<hw>Va*gan"tes</hw> <pr>(v<adot/*g<acr/n"t<emac/z)</pr>, <pos>prop. n. pl.</pos> <ety>[NL., fr. L. <ets>vagans</ets>, p. pr. of <ets>vagari</ets> to stroll or wander.]</ety> <fld>(Zool.)</fld> <def>A tribe of spiders, comprising some of those which take their prey in a web, but which also frequently run with agility, and chase and seize their prey.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vagarious</ent><br/
<hw>Va*ga"ri*ous</hw> <pr>(v<adot/*g<amac/"r<icr/*<ucr/s)</pr>, <pos>a.</pos> <def>Given to, or characterized by, vagaries; capricious; whimsical; crochety.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vagary</ent><br/
<hw>Va*ga"ry</hw> <pr>(v<adot/*g<amac/"r<ycr/ or v<acr/g"<adot/*r<esl/)</pr>, <pos>n.</pos>; <plu><it>pl.</it> <plw>Vagaries</plw> <pr>(v<adot/*g<amac/"r<icr/z or v<acr/g"<adot/*r<esl/z)</pr>.</plu> <ety>[L. <ets>vagari</ets> to stroll about. See <er>Vague</er>.]</ety><br/
[<source>1913 Webster</source>]</p>
<p><sn>1.</sn> <def>A wandering or strolling.</def> <mark>[Obs.]</mark><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <def>Hence, a wandering of the thoughts; a wild or fanciful freak; a whim; a whimsical purpose.</def> <ldquo/The <xex>vagaries</xex> of a child.<rdquo/ <rj><au>Spectator.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><q>They changed their minds,<br/
Flew off, and into strange <qex>vagaries</qex> fell.</q> <rj><qau>Milton.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vagient</ent><br/
<hw>Va"gi*ent</hw> <pr>(?)</pr>, <pos>a.</pos> <ety>[L. <ets>vagiens</ets>, p. pr. of <ets>vagire</ets> to cry like a young child.]</ety> <def>Crying like a child.</def> <mark>[Obs.]</mark><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vagina</ent><br/
<hw>Va*gi"na</hw> <pr>(?)</pr>, <pos>n.</pos>; <plu><it>pl.</it> <plw>Vaginae</plw> <pr>(#)</pr>.</plu> <ety>[L. <ets>vagina</ets> a scabbard or sheath.]</ety><br/
[<source>1913 Webster</source>]</p>
<p><sn>1.</sn> <fld>(Anat.)</fld> <sd>(a)</sd> <def>A sheath; a theca; <as>as, the <ex>vagina</ex> of the portal vein</as>.</def> <sd>(b)</sd> <def>Specifically, the canal which leads from the uterus to the external orifice of the genital canal, or to the cloaca.</def><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <fld>(Zool.)</fld> <def>The terminal part of the oviduct in insects and various other invertebrates. See <xex>Illust.</xex>, of <er>Spermatheca</er>.</def><br/
[<source>1913 Webster</source>]</p>
<p><sn>3.</sn> <fld>(Bot.)</fld> <def>The basal expansion of certain leaves, which inwraps the stem; a sheath.</def><br/
[<source>1913 Webster</source>]</p>
<p><sn>4.</sn> <fld>(Arch.)</fld> <def>The shaft of a terminus, from which the bust or figure seems to issue or arise.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vaginal</ent><br/
<hw>Vag"i*nal</hw> <pr>(?)</pr>, <pos>a.</pos> <ety>[Cf. F. <ets>vaginal</ets>.]</ety><br/
[<source>1913 Webster</source>]</p>
<p><sn>1.</sn> <def>Of or pertaining to a vagina; resembling a vagina, or sheath; thecal; <as>as, a <ex>vaginal</ex> synovial membrane; the <ex>vaginal</ex> process of the temporal bone.</as></def><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <fld>(Anat.)</fld> <def>Of or pertaining to the vagina of the genital canal; <as>as, the <ex>vaginal</ex> artery</as>.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vaginant</ent><br/
<hw>Vag"i*nant</hw> <pr>(?)</pr>, <pos>a.</pos> <ety>[Cf. F. <ets>vaginant</ets>. See <er>Vagina</er>.]</ety> <def>Serving to invest, or sheathe; sheathing.</def><br/
[<source>1913 Webster</source>]</p>
<p><cs><col><b>Vaginant leaf</b></col> <fld>(Bot.)</fld>, <cd>a leaf investing the stem or branch by its base, which has the form of a tube.</cd></cs><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vaginated</ent><br/
<ent>Vaginate</ent><br/
<mhw>{ <hw>Vag"i*nate</hw> <pr>(?)</pr>, <hw>Vag"i*na`ted</hw> <pr>(?)</pr>, }</mhw> <pos>a.</pos> <ety>[See <er>Vagina</er>.]</ety> <def>Invested with, or as if with, a sheath; <as>as, a <ex>vaginate</ex> stem, or one invested by the tubular base of a leaf</as>.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vaginati</ent><br/
||<hw>Vag`i*na"ti</hw> <pr>(?)</pr>, <pos>n. pl.</pos> <ety>[NL.]</ety> <fld>(Zool.)</fld> <def>A tribe of birds comprising the sheathbills.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vaginervose</ent><br/
<hw>Vag`i*ner*vose"</hw> <pr>(?)</pr>, <pos>a.</pos> <ety>[L. <ets>vagus</ets> wandering + E. <ets>nervose</ets>.]</ety> <fld>(Bot.)</fld> <def>Having the nerves, or veins, placed in apparent disorder.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vaginicola</ent><br/
||<hw>Vag`i*nic"o*la</hw> <pr>(?)</pr>, <pos>prop. n.</pos> <ety>[NL., from L. <ets>vagina</ets> sheath + <ets>colere</ets> to inhabit.]</ety> <fld>(Zool.)</fld> <def>A genus of Infusoria which form minute vaselike or tubular cases in which they dwell.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vaginismus</ent><br/
||<hw>Vag`i*nis"mus</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[NL.]</ety> <fld>(Med.)</fld> <def>A painful spasmodic contraction of the vagina, often rendering copulation impossible.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vaginitis</ent><br/
||<hw>Vag`i*ni"tis</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[NL. See <er>Vagina</er>, and <er>-itis</er>.]</ety> <fld>(Med.)</fld> <def>Inflammation of the vagina, or the genital canal, usually of its mucous lining membrane.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vaginopennous</ent><br/
||<hw>Vag`i*no*pen"nous</hw> <pr>(?)</pr>, <pos>a.</pos> <ety>[L. <ets>vagina</ets> a sheath + <ets>penna</ets> a feather, pl. <ets>pennae</ets> a wing.]</ety> <fld>(Zool.)</fld> <def>Having elytra; sheath-winged.</def> <mark>[R.]</mark><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vaginula</ent><br/
||<hw>Va*gin"u*la</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[L., dim. of <ets>vagina</ets> sheath.]</ety> <fld>(Bot.)</fld> <sd>(a)</sd> <def>A little sheath, as that about the base of the pedicel of most mosses.</def> <sd>(b)</sd> <def>One of the tubular florets in composite flowers.</def> <rj><au>Henslow.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vaginule</ent><br/
<hw>Vag"i*nule</hw> <pr>(?)</pr>, <pos>n.</pos> <fld>(Bot.)</fld> <def>A vaginula.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vagissate</ent><br/
<hw>Vag"is*sate</hw> <pr>(?)</pr>, <pos>v. i.</pos> <ety>[L. <ets>vagari</ets> to stroll or wander.]</ety> <def>To caper or frolic.</def> <mark>[Obs.]</mark><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vagous</ent><br/
<hw>Va"gous</hw> <pr>(?)</pr>, <pos>a.</pos> <ety>[L. <ets>vagus</ets>. See <er>Vague</er>.]</ety> <def>Wandering; unsettled.</def> <mark>[Obs.]</mark> <rj><au>Ayliffe.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vagrancy</ent><br/
<hw>Va"gran*cy</hw> <pr>(?)</pr>, <pos>n.</pos> <def>The quality or state of being a vagrant; a wandering without a settled home; an unsettled condition; vagabondism.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>Threatened away into banishment and <qex>vagrancy</qex>.</q> <rj><qau>Barrow.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vagrant</ent><br/
<hw>Va"grant</hw> <pr>(?)</pr>, <pos>a.</pos> <ety>[Probably fr. OF. <ets>waucrant</ets>, <ets>wacrant</ets>, p. p. of <ets>waucrer</ets>, <ets>wacrer</ets>, <ets>walcrer</ets>, to wander (probably of Teutonic origin), but influenced by F. <ets>vagant</ets>, p. pr. of <ets>vaguer</ets> to stray, L. <ets>vagari</ets>. Cf. <er>Vagary</er>.]</ety><br/
[<source>1913 Webster</source>]</p>
<p><sn>1.</sn> <def>Moving without certain direction; wandering; erratic; unsettled.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>That beauteous Emma <qex>vagrant</qex> courses took.</q> <rj><qau>Prior.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><q>While leading this <qex>vagrant</qex> and miserable life, Johnson fell in love.</q> <rj><qau>Macaulay.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <def>Wandering from place to place without any settled habitation; <as>as, a <ex>vagrant</ex> beggar</as>.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vagrant</ent><br/
<hw>Va"grant</hw>, <pos>n.</pos> <def>One who strolls from place to place; one who has no settled habitation; an idle wanderer; a sturdy beggar; an incorrigible rogue; a vagabond.</def><br/
[<source>1913 Webster</source>]</p>
<p><q><qex>Vagrants</qex> and outlaws shall offend thy view.</q> <rj><qau>Prior.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vagrantly</ent><br/
<hw>Va"grant*ly</hw>, <pos>adv.</pos> <def>In a vagrant manner.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vagrantness</ent><br/
<hw>Va"grant*ness</hw>, <pos>n.</pos> <def>State of being vagrant; vagrancy.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vague</ent><br/
<hw>Vague</hw> <pr>(v<amac/g)</pr>, <pos>a.</pos> <amorph>[<pos>Compar.</pos> <adjf>Vaguer</adjf> <pr>(v<amac/g"<etil/r)</pr>; <pos>superl.</pos> <adjf>Vaguest</adjf>.]</amorph> <ety>[F. <ets>vague</ets>, or L. <ets>vagus</ets>. See <er>Vague</er>, <pos>v. i.</pos>]</ety><br/
[<source>1913 Webster</source>]</p>
<p><sn>1.</sn> <def>Wandering; vagrant; vagabond.</def> <mark>[Archaic]</mark> <ldquo/To set upon the <xex>vague</xex> villains.<rdquo/ <rj><au>Hayward.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><q>She danced along with <qex>vague</qex>, regardless eyes.</q> <rj><qau>Keats.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <def>Unsettled; unfixed; undetermined; indefinite; ambiguous; <as>as, a <ex>vague</ex> idea; a <ex>vague</ex> proposition</as>.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>This faith is neither a mere fantasy of future glory, nor a <qex>vague</qex> ebullition of feeling.</q> <rj><qau>I. Taylor.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><q>The poet turned away, and gave himself up to a sort of <qex>vague</qex> revery, which he called thought.</q> <rj><qau>Hawthorne.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>3.</sn> <def>Proceeding from no known authority; unauthenticated; uncertain; flying; <as>as, a <ex>vague</ex> report</as>.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>Some legend strange and <qex>vague</qex>.</q> <rj><qau>Longfellow.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><cs><col><b>Vague year</b></col>. <cd>See <cref>Sothiac year</cref>, under <er>Sothiac</er>.</cd></cs><br/
[<source>1913 Webster</source>]</p>
<p><syn><b>Syn.</b> -- Unsettled; indefinite; unfixed; ill-defined; ambiguous; hazy; loose; lax; uncertain.</syn><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vague</ent><br/
<hw>Vague</hw>, <pos>n.</pos> <ety>[Cf. F. <ets>vague</ets>.]</ety> <def>An indefinite expanse.</def> <mark>[R.]</mark><br/
[<source>1913 Webster</source>]</p>
<p><q>The gray <qex>vague</qex> of unsympathizing sea.</q> <rj><qau>Lowell.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vague</ent><br/
<hw>Vague</hw>, <pos>v. i.</pos> <ety>[F. <ets>vaguer</ets>, L. <ets>vagari</ets>, fr. <ets>vagus</ets> roaming.]</ety> <def>To wander; to roam; to stray.</def> <mark>[Obs.]</mark> <ldquo/[The soul] doth <xex>vague</xex> and wander.<rdquo/ <rj><au>Holland.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vague</ent><br/
<hw>Vague</hw>, <pos>n.</pos> <def>A wandering; a vagary.</def> <mark>[Obs.]</mark> <rj><au>Holinshed.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vaguely</ent><br/
<hw>Vague"ly</hw>, <pos>adv.</pos> <def>In a vague manner.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>What he <qex>vaguely</qex> hinted at, but dared not speak.</q> <rj><qau>Hawthorne.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vagueness</ent><br/
<hw>Vague"ness</hw>, <pos>n.</pos> <def>The quality or state of being vague.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vagus</ent><br/
||<hw>Va"gus</hw> <pr>(?)</pr>, <pos>a.</pos> <ety>[L., wandering.]</ety> <fld>(Anat.)</fld> <def>Wandering; -- applied especially to the pneumogastric nerve.</def> -- <def2><pos>n.</pos> <def>The vagus, or pneumogastric, nerve.</def></def2><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vail</ent><br/
<hw>Vail</hw> <pr>(v<amac/l)</pr>, <pos>n. & v. t.</pos> <def>Same as <er>Veil</er>.</def> <mark>[Obs.]</mark><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vail</ent><br/
<hw>Vail</hw>, <pos>n.</pos> <ety>[Aphetic form of <ets>avail</ets>, <pos>n.</pos>]</ety><br/
[<source>1913 Webster</source>]</p>
<p><sn>1.</sn> <def>Avails; profit; return; proceeds.</def> <mark>[Obs.]</mark><br/
[<source>1913 Webster</source>]</p>
<p><q>My house is as 'twere the cave where the young outlaw hoards the stolen <qex>vails</qex> of his occupation.</q> <rj><qau>Chapman.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <def>An unexpected gain or acquisition; a casual advantage or benefit; a windfall.</def> <mark>[Obs.]</mark><br/
[<source>1913 Webster</source>]</p>
<p><sn>3.</sn> <def>Money given to servants by visitors; a gratuity; -- usually in the plural.</def> <altsp>[Written also <asp>vale</asp>.]</altsp> <rj><au>Dryden.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vail</ent><br/
<hw>Vail</hw>, <pos>v. t.</pos> <ety>[Aphetic form of <ets>avale</ets>. See <er>Avale</er>, <er>Vale</er>.]</ety> <altsp>[Written also <asp>vale</asp>, and <asp>veil</asp>.]</altsp> <sn>1.</sn> <def>To let fall; to allow or cause to sink.</def> <mark>[Obs.]</mark><br/
[<source>1913 Webster</source>]</p>
<p><q><qex>Vail</qex> your regard<br/
Upon a wronged, I would fain have said, a maid!</q> <rj><qau>Shak.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <def>To lower, or take off, in token of inferiority, reverence, submission, or the like.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>France must <qex>vail</qex> her lofty-plumed crest!</q> <rj><qau>Shak.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><q>Without <qex>vailing</qex> his bonnet or testifying any reverence for the alleged sanctity of the relic.</q> <rj><qau>Sir. W. Scott.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vail</ent><br/
<hw>Vail</hw> <pr>(v<amac/l)</pr>, <pos>v. i.</pos> <def>To yield or recede; to give place; to show respect by yielding, uncovering, or the like.</def> <altsp>[Written also <asp>vale</asp>, and <asp>veil</asp>.]</altsp> <mark>[Obs.]</mark><br/
[<source>1913 Webster</source>]</p>
<p><q>Thy convenience must <qex>vail</qex> to thy neighbor's necessity.</q> <rj><qau>South.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vail</ent><br/
<hw>Vail</hw>, <pos>n.</pos> <def>Submission; decline; descent.</def> <mark>[Obs.]</mark><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vailer</ent><br/
<hw>Vail"er</hw> <pr>(?)</pr>, <pos>n.</pos> <def>One who vails.</def> <mark>[Obs.]</mark> <rj><au>Overbury.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vaimure</ent><br/
<hw>Vai"mure</hw> <pr>(?)</pr>, <pos>n.</pos> <def>An outer, or exterior, wall. See <er>Vauntmure</er>.</def> <mark>[Obs.]</mark> <rj><au>Hakluyt.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vain</ent><br/
<hw>Vain</hw> <pr>(v<amac/n)</pr>, <pos>a.</pos> <amorph>[<pos>Compar.</pos> <adjf>Vainer</adjf> <pr>(?)</pr>; <pos>superl.</pos> <adjf>Vainest</adjf>.]</amorph> <ety>[F. <ets>vain</ets>, L. <ets>vanus</ets> empty, void, vain. Cf. <er>Vanish</er>, <er>Vanity</er>, <er>Vaunt</er> to boast.]</ety> <sn>1.</sn> <def>Having no real substance, value, or importance; empty; void; worthless; unsatisfying.</def> <ldquo/Thy <xex>vain</xex> excuse.<rdquo/ <rj><au>Shak.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><q>Every man walketh in a <qex>vain</qex> show.</q> <rj><qau>Ps. xxxix. 6.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><q>Let no man deceive you with <qex>vain</qex> words.</q> <rj><qau>Eph. v. 6.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><q><qex>Vain</qex> pomp, and glory of this world, I hate ye!</q> <rj><qau>Shak.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><q><qex>Vain</qex> wisdom all, and false philosophy.</q> <rj><qau>Milton.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <def>Destitute of force or efficacy; effecting no purpose; fruitless; ineffectual; <as>as, <ex>vain</ex> toil; a <ex>vain</ex> attempt</as>.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>Bring no more <qex>vain</qex> oblations.</q> <rj><qau>Isa. i. 13.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><q><qex>Vain</qex> is the force of man<br/
To crush the pillars which the pile sustain.</q> <rj><qau>Dryden.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>3.</sn> <def>Proud of petty things, or of trifling attainments; having a high opinion of one's own accomplishments with slight reason; conceited; puffed up; inflated.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>But wilt thou know, O <qex>vain</qex> man, that faith apart from works is barren?</q> <rj><qau>James ii. 20 (Rev. Ver.).</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><q>The minstrels played on every side,<br/
<qex>Vain</qex> of their art.</q> <rj><qau>Dryden.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>4.</sn> <def>Showy; ostentatious.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>Load some <qex>vain</qex> church with old theatric state.</q> <rj><qau>Pope.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><syn><b>Syn.</b> -- Empty; worthless; fruitless; ineffectual; idle; unreal; shadowy; showy; ostentatious; light; inconstant; deceitful; delusive; unimportant; trifling.</syn><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vain</ent><br/
<hw>Vain</hw>, <pos>n.</pos> <def>Vanity; emptiness; -- now used only in the phrase <col>in vain</col>.</def><br/
[<source>1913 Webster</source>]</p>
<p><cs><col><b>For vain</b></col>. <cd>See <cref>In vain</cref>.</cd> <mark>[Obs.]</mark> <au>Shak.</au> -- <col><b>In vain</b></col>, <cd>to no purpose; without effect; ineffectually.</cd> <ldquo/ <xex>In vain</xex> doth valor bleed.<rdquo/ <au>Milton.</au> <ldquo/ <xex>In vain</xex> they do worship me.<rdquo/ <au>Matt. xv. 9.</au> -- <col><b>To take the name of God in vain</b></col>, <cd>to use the name of God with levity or profaneness.</cd></cs><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vainglorious</ent><br/
<hw>Vain`glo"ri*ous</hw> <pr>(?)</pr>, <pos>a.</pos> <def>Feeling or indicating vainglory; elated by vanity; boastful.</def> <ldquo/Arrogant and <xex>vainglorious</xex> expression.<rdquo/ <au>Sir M. Hale.</au> -- <wordforms><wf>Vain`glo"ri*ous*ly</wf>, <pos>adv.</pos> -- <wf>Vain`glo"ri*ous*ness</wf>, <pos>n.</pos></wordforms><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vainglory</ent><br/
<hw>Vain`glo"ry</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[<ets>Vain</ets> + <ets>glory</ets>.]</ety> <def>Excessive vanity excited by one's own performances; empty pride; undue elation of mind; vain show; boastfulness.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>He had nothing of <qex>vainglory</qex>.</q> <rj><qau>Bacon.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><q>The man's undone forever; for if Hector break not his neck i' the combat, he'll break't himself in <qex>vainglory</qex>.</q> <rj><qau>Shak.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vainly</ent><br/
<hw>Vain"ly</hw> <pr>(?)</pr>, <pos>adv.</pos> <def>In a vain manner; in vain.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vainness</ent><br/
<hw>Vain"ness</hw>, <pos>n.</pos> <def>The quality or state of being vain.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vair</ent><br/
<hw>Vair</hw> <pr>(v<acir/r)</pr>, <pos>n.</pos> <ety>[F. <ets>vair</ets>, from OF. <ets>vair</ets>, a., L. <ets>varius</ets> various, variegated. See <er>Various</er>, and cf. <er>Meniver</er>.]</ety> <def>The skin of the squirrel, much used in the fourteenth century as fur for garments, and frequently mentioned by writers of that period in describing the costly dresses of kings, nobles, and prelates. It is represented in heraldry by a series of small shields placed close together, and alternately white and blue.</def> <rj><au>Fairholt.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><q>No <qex>vair</qex> or ermine decked his garment.</q> <rj><qau>Sir W. Scott.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><cs><col><b>Counter vair</b></col> <fld>(Her.)</fld>, <cd>a fur resembling vair, except in the arrangement of the patches or figures.</cd></cs><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vairy</ent><br/
<hw>Vair"y</hw> <pr>(?)</pr>, <pos>a.</pos> <ety>[F. <ets>vair<eacute/</ets>. See <er>Vair</er>, <pos>n.</pos>]</ety> <fld>(Her.)</fld> <def>Charged with vair; variegated with shield-shaped figures. See <er>Vair</er>.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vaishnava</ent><br/
<hw>Vaish"na*va</hw> <pr>(v<imac/sh"n<adot/*v<adot/)</pr>, <pos>n.</pos> <ety>[Skr. <ets>vaish<nsdot/ava</ets>.]</ety> <fld>(Hindu Myth.)</fld> <def>A worshiper of the god <persfn>Vishnu</persfn> in any of his incarnations.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vaishnavism</ent><br/
<hw>Vaish"na*vism</hw> <pr>(?)</pr>, <pos>n.</pos> <def>The worship of Vishnu.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vaisya</ent><br/
||<hw>Vais"ya</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[Skr. <ets>vai<cced/ya</ets>.]</ety> <def>The third of the four great original castes among the Hindus, now either extinct or partially represented by the mercantile class of Banyas. See the Note under <er>Caste</er>, 1.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vaivode</ent><br/
<hw>Vai"vode</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[Cf. F. <ets>vayvode</ets>. See <er>Waywode</er>.]</ety> <def>See <er>Waywode</er>.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vakeel</ent><br/
||<hw>Va*keel"</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[Ar. <ets>wak<imac/l</ets>.]</ety> <def>A native attorney or agent; also, an ambassador.</def> <mark>[India]</mark><br/
[<source>1913 Webster</source>]</p>
<p><ent>Valance</ent><br/
<hw>Val"ance</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[Perhaps fr. OF. <ets>avalant</ets> descending, hanging down, p. pr. of <ets>avaler</ets> to go down, let down, descend (cf. <er>Avalanche</er>); but probably from the town of <etsep>Valence</etsep> in France.]</ety><br/
[<source>1913 Webster</source>]</p>
<p><sn>1.</sn> <def>Hanging drapery for a bed, couch, window, or the like, especially that which hangs around a bedstead, from the bed to the floor.</def> <altsp>[Written also <asp>valence</asp>.]</altsp><br/
[<source>1913 Webster</source>]</p>
<p><q><qex>Valance</qex> of Venice gold in needlework.</q> <rj><qau>Shak.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <def>The drooping edging of the lid of a trunk, which covers the joint when the lid is closed.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Valance</ent><br/
<hw>Val"ance</hw>, <pos>v. t.</pos> <vmorph>[<pos>imp. & p. p.</pos> <conjf>Valanced</conjf> <pr>(?)</pr>; <pos>p. pr. & vb. n.</pos> <conjf>Valancing</conjf> <pr>(?)</pr>.]</vmorph> <def>To furnish with a valance; to decorate with hangings or drapery.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>His old fringed chair <qex>valanced</qex> around with party-colored worsted bobs.</q> <rj><qau>Sterne.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vale</ent><br/
<hw>Vale</hw> <pr>(v<amac/l)</pr>, <pos>n.</pos> <ety>[OE. <ets>val</ets>, F. <ets>val</ets>, L. <ets>vallis</ets>; perhaps akin to Gr. <grk>'e`los</grk> low ground, marsh meadow. Cf. <er>Avalanche</er>, <er>Vail</er> to lower, <er>Valley</er>.]</ety> <def>A tract of low ground, or of land between hills; a valley.</def> <ldquo/ Make me a cottage in the <xex>vale</xex>.<rdquo/ <rj><au>Tennyson.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><q>Beyond this <qex>vale</qex> of tears there is a life above.</q> <rj><qau>Montgomery.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><q>In those fair <qex>vales</qex>, by nature formed to please.</q> <rj><qau>Harte.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><note><hand/ <xex>Vale</xex> is more commonly used in poetry, and <xex>valley</xex> in prose and common discourse.</note><br/
[<source>1913 Webster</source>]</p>
<p><syn><b>Syn.</b> -- Valley; dingle; dell; dale.</syn><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vale</ent><br/
<hw>Vale</hw>, <pos>n.</pos> <def>See 2d <er>Vail</er>, 3.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Valediction</ent><br/
<hw>Val`e*dic"tion</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[L., <ets>valedicere</ets>, <ets>valedictum</ets>, to say farewell; <ets>vale</ets> farewell (imperative of <ets>valere</ets> to be strong or well) + <ets>dicere</ets> to say. See <er>Valiant</er>, <er>Diction</er>.]</ety> <def>A farewell; a bidding farewell.</def> <rj><au>Donne.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><-- p. 1592 pr=PI --><br/
[<source>1913 Webster</source>]</p>
<p><ent>Valedictorian</ent><br/
<hw>Val`e*dic*to"ri*an</hw> <pr>(?)</pr>, <pos>n.</pos> <def>One who pronounces a valedictory address; especially, in American colleges, the student who pronounces the valedictory of the graduating class at the annual commencement, usually the student who ranks first in scholarship.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Valedictory</ent><br/
<hw>Val`e*dic"to*ry</hw> <pr>(?)</pr>, <pos>a.</pos> <def>Bidding farewell; suitable or designed for an occasion of leave-taking; <as>as, a <ex>valedictory</ex> oration</as>.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Valedictory</ent><br/
<hw>Val`e*dic"to*ry</hw>, <pos>n.</pos>; <plu><it>pl.</it> <plw>Valedictories</plw> <pr>(<?/)</pr>.</plu> <def>A valedictory oration or address spoken at commencement in American colleges or seminaries by one of the graduating class, usually by the leading scholar.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Valence</ent><br/
<hw>Va"lence</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[From L. <ets>valens</ets>, <ets>-entis</ets>, p. pr. of <ets>valere</ets> to have power, to be strong. See <er>Valiant</er>.]</ety> <fld>(Chem.)</fld> <def>The degree of combining power of an atom (or radical) as shown by the number of atoms of hydrogen (or of other monads, as chlorine, sodium, etc.) with which it will combine, or for which it can be substituted, or with which it can be compared; thus, an atom of hydrogen is a monad, and has a <xex>valence</xex> of one; the atoms of oxygen, nitrogen, and carbon are respectively dyads, triads, and tetrads, and have a <xex>valence</xex> respectively of two, three, and four.</def><br/
[<source>1913 Webster</source>]</p>
<p><note><hand/ The valence of certain elements varies in different compounds. Valence in degree may extend as high as seven or eight, as in the cases of iodine and osmium respectively. The doctrine of valence has been of fundamental importance in distinguishing the equivalence from the atomic weight, and is an essential factor in explaining the chemical structures of compounds.</note><br/
[<source>1913 Webster</source>]</p>
<p><ent>Valencia</ent><br/
<hw>Va*len"ci*a</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[Perhaps fr. <ets>Valence</ets> in France.]</ety> <def>A kind of woven fabric for waistcoats, having the weft of wool and the warp of silk or cotton.</def> <altsp>[Written also <asp>valentia</asp>.]</altsp><br/
[<source>1913 Webster</source>]</p>
<p><ent>Valenciennes lace</ent><br/
<hw>Va*len`ci*ennes" lace"</hw> <pr>(?)</pr>. <ety>[F.; -- so called after the town of <ets>Valenciennes</ets>.]</ety> <def>A rich kind of lace made at Valenciennes, in France. Each piece is made throughout, ground and pattern, by the same person and with the same thread, the pattern being worked in the net.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Valency</ent><br/
<hw>Val"en*cy</hw> <pr>(?)</pr>, <pos>n.</pos>; <plu><it>pl.</it> <plw>Valencies</plw> <pr>(<?/)</pr>.</plu> <fld>(Chem.)</fld> <sd>(a)</sd> <def>See <er>Valence</er>.</def> <sd>(b)</sd> <def>A unit of combining power; a so-called bond of affinity.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Valentia</ent><br/
<hw>Va*len"ti*a</hw> <pr>(?)</pr>, <pos>n.</pos> <def>See <er>Valencia</er>.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Valentine</ent><br/
<hw>Val"en*tine</hw> <pr>(?)</pr>, <pos>n.</pos> <sn>1.</sn> <def>A sweetheart chosen on St. Valentine's Day.</def><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <def>A letter containing professions of love, or a missive of a sentimental, comic, or burlesque character, sent on St. Valentine's Day.</def><br/
[<source>1913 Webster</source>]</p>
<p><cs><col><b>St. Valentine's Day</b></col>, <cd>a day sacred to St. Valentine; the 14th of February. It was a very old notion, alluded to by Shakespeare, that on this day birds begin to mate. Hence, perhaps, arose the custom of sending love tokens at that time.</cd></cs><br/
[<source>1913 Webster</source>]</p>
<p><ent>Valentinian</ent><br/
<hw>Val`en*tin"i*an</hw> <pr>(?)</pr>, <pos>n.</pos> <fld>(Eccl. Hist.)</fld> <def>One of a school of Judaizing Gnostics in the second century; -- so called from <ets>Valentinus</ets>, the founder.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Valeramide</ent><br/
<hw>Val`er*am"ide</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[<ets>Valer</ets>ic + <ets>amide</ets>.]</ety> <fld>(Chem.)</fld> <def>The acid amide derivative of valeric acid, obtained as a white crystalline substance.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Valerate</ent><br/
<hw>Val"er*ate</hw> <pr>(?)</pr>, <pos>n.</pos> <fld>(Chem.)</fld> <def>A salt of valeric acid.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Valerian</ent><br/
<hw>Va*le"ri*an</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[LL. <ets>valeriana</ets>, perhaps from some person named <etsep>Valerius</etsep>, or fr. L. <ets>valere</ets> to be strong. powerful, on account of its medicinal virtues: cf. F. <ets>val<eacute/riane</ets>.]</ety> <fld>(Bot.)</fld> <def>Any plant of the genus <gen>Valeriana</gen>. The root of the officinal valerian (<spn>Valeriana officinalis</spn>) has a strong smell, and is much used in medicine as an antispasmodic.</def><br/
[<source>1913 Webster</source>]</p>
<p><cs><col><b>Greek valerian</b></col> <fld>(Bot.)</fld>, <cd>a plant (<spn>Polemonium caeruleum</spn>) with blue or white flowers, and leaves resembling those of the officinal valerian.</cd></cs><br/
[<source>1913 Webster</source>]</p>
<p><ent>Valerianaceous</ent><br/
<hw>Va*le`ri*an*a"ceous</hw> <pr>(?)</pr>, <pos>a.</pos> <fld>(Bot.)</fld> <def>Of, pertaining to, or resembling, plants of a natural order (<ord>Valerianaceae</ord>) of which the valerian is the type. The order includes also the corn salads and the oriental spikenard.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Valerianate</ent><br/
<hw>Va*le"ri*an*ate</hw> <pr>(?)</pr>, <pos>n.</pos> <fld>(Chem.)</fld> <def>A valerate.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Valerianic</ent><br/
<hw>Va*le`ri*an"ic</hw> <pr>(?)</pr>, <pos>a.</pos> <fld>(Chem.)</fld> <def>Pertaining to, or obtained from, valerian root; specifically, designating an acid which is usually called <xex>valeric acid</xex>.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Valeric</ent><br/
<hw>Va*ler"ic</hw> <pr>(?)</pr>, <pos>a.</pos> <fld>(Chem.)</fld> <def>Valerianic; specifically, designating any one of three metameric acids, of which the typical one (called also <stype>inactive valeric acid</stype>), <chform>C4H9CO2H</chform>, is obtained from <xex>valerian</xex> root and other sources, as a corrosive, mobile, oily liquid, having a strong acid taste, and an odor of old cheese.</def><br/
[<source>1913 Webster</source>]</p>
<p><cs><col><b>Active valeric acid</b></col>, <cd>a metameric variety which turns the plane of polarization to the right, although formed by the oxidation of a levorotatory amyl alcohol.</cd></cs><br/
[<source>1913 Webster</source>]</p>
<p><ent>Valeridine</ent><br/
<hw>Va*ler"i*dine</hw> <pr>(?)</pr>, <pos>n.</pos> <fld>(Chem.)</fld> <def>A base, <chform>C10H19N</chform>, produced by heating valeric aldehyde with ammonia. It is probably related to the conine alkaloids.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Valerin</ent><br/
<hw>Val"er*in</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[<ets>Valer</ets>ic + glycer<ets>in</ets>.]</ety> <fld>(Chem.)</fld> <def>A salt of valeric acid with glycerin, occurring in butter, dolphin oil, etc., and forming an oily liquid with a slightly unpleasant odor.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Valeritrine</ent><br/
<hw>Va*ler"i*trine</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[<ets>Valer</ets>ic + <ets>tro</ets>pine + <ets>-ine</ets>.]</ety> <fld>(Chem.)</fld> <def>A base, <chform>C15H27N</chform>, produced together with valeridine, which it resembles.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Valero-</ent><br/
<hw>Val"er*o-</hw>. <fld>(Chem.)</fld> <def>A combining form (also used adjectively) indicating <xex>derivation from</xex>, or <xex>relation to</xex>, <xex>valerian</xex> or <xex>some of its products</xex>, as valeric acid; as in <xex>valero</xex>lactone, a colorless oily liquid produced as the anhydride of an hydroxy valeric acid.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Valerone</ent><br/
<hw>Val"er*one</hw> <pr>(?)</pr>, <pos>n.</pos> <fld>(Chem.)</fld> <def>A ketone of valeric acid obtained as an oily liquid.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Valeryl</ent><br/
<hw>Val"er*yl</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[<ets>Valeric</ets> + <ets>-yl</ets>.]</ety> <fld>(Chem.)</fld> <def>The hypothetical radical <chform>C5H9O</chform>, regarded as the essential nucleus of certain valeric acid derivatives.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Valerylene</ent><br/
<hw>Val`er*yl*ene</hw> <pr>(?)</pr>, <pos>n.</pos> <fld>(Chem.)</fld> <def>A liquid hydrocarbon, <chform>C5H8</chform>; -- called also <altname>pentine</altname>.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Valet</ent><br/
<hw>Val"et</hw> <pr>(v<acr/l"<ecr/t <it>or</it> v<acr/l"<asl/; 277)</pr>, <pos>n.</pos> <ety>[F. <ets>valet</ets>, OF. <ets>vallet</ets>, <ets>varlet</ets>, <ets>vaslet</ets>. See <er>Varlet</er>, and <er>Vassal</er>.]</ety> <sn>1.</sn> <def>A male waiting servant; a servant who attends on a gentleman's person; a body servant.</def><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <fld>(Man.)</fld> <def>A kind of goad or stick with a point of iron.</def><br/
[<source>1913 Webster</source>]</p>
<p><cs>||<col><b>Valet de chambre</b></col> <pr>(<?/)</pr> <ety>[F.]</ety>, <cd>a body servant, or personal attendant.</cd></cs><br/
[<source>1913 Webster</source>]</p>
<p><ent>Valetudinarian</ent><br/
<hw>Val`e*tu`di*na"ri*an</hw> <pr>(?)</pr>, <pos>a.</pos> <ety>[L. <ets>valetudinarius</ets>, from <ets>valetudo</ets> state of health, health, ill health, fr. <ets>valere</ets> to be strong or well: cf. F. <ets>val<eacute/tudinaire</ets>. See <er>Valiant</er>.]</ety> <def>Of infirm health; seeking to recover health; sickly; weakly; infirm.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>My feeble health and <qex>valetudinarian</qex> stomach.</q> <rj><qau>Coleridge.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><q>The virtue which the world wants is a healthful virtue, not a <qex>valetudinarian</qex> virtue.</q> <rj><qau>Macaulay.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Valetudinarian</ent><br/
<hw>Val`e*tu`di*na"ri*an</hw>, <pos>n.</pos> <def>A person of a weak or sickly constitution; one who is seeking to recover health.</def><br/
[<source>1913 Webster</source>]</p>
<p><q><qex>Valetudinarians</qex> must live where they can command and scold.</q> <rj><qau>Swift.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Valetudinarianism</ent><br/
<hw>Val`e*tu`di*na"ri*an*ism</hw> <pr>(?)</pr>, <pos>n.</pos> <def>The condition of a valetudinarian; a state of feeble health; infirmity.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Valetudinary</ent><br/
<hw>Val`e*tu"di*na*ry</hw> <pr>(?)</pr>, <pos>a.</pos> <def>Infirm; sickly; valetudinarian.</def> -- <wordforms><wf>Val`e*tu"di*na*ri*ness</wf>, <pos>n.</pos></wordforms><br/
[<source>1913 Webster</source>]</p>
<p><q>It renders the habit of society dangerously <qex>valetudinary</qex>.</q> <rj><qau>Burke.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Valetudinary</ent><br/
<hw>Val`e*tu"di*na*ry</hw>, <pos>n.</pos> <def>A valetudinarian.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Valetudinous</ent><br/
<hw>Val`e*tu"di*nous</hw> <pr>(?)</pr>, <pos>a.</pos> <def>Valetudinarian.</def> <mark>[Obs.]</mark> <ldquo/The <xex>valetudinous</xex> condition of King Edward.<rdquo/ <rj><au>Fuller.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Valhalla</ent><br/
<hw>Val*hal"la</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[Icel. <ets>valh<oum/ll</ets>, literally, hall of the slain; <ets>valr</ets> the slain (akin to AS. <ets>w<ae/l</ets>, OHG. <ets>wal</ets> battlefield, <ets>wuol</ets> defeat, slaughter, AS. <ets>w<omac/l</ets> pestilence) + <ets>h<oum/ll</ets> a royal hall. See <er>Hall</er>, and cf. <er>Walhalla</er>.]</ety> <altsp>[Written also <asp>walhalla</asp>.]</altsp><br/
[<source>1913 Webster</source>]</p>
<p><sn>1.</sn> <fld>(Scand. Myth.)</fld> <def>The palace of immortality, inhabited by the souls of heroes slain in battle.</def><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <def>Fig.: A hall or temple adorned with statues and memorials of a nation's heroes; specifically, the Pantheon near Ratisbon, in Bavaria, consecrated to the illustrious dead of all Germany.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Valiancy</ent><br/
<ent>Valiance</ent><br/
<mhw>{ <hw>Val"iance</hw> <pr>(?)</pr>, <hw>Val"ian*cy</hw> <pr>(?)</pr>, }</mhw> <pos>n.</pos> <ety>[Cf. F. <ets>vaillance</ets>. See <er>Valiant</er>.]</ety> <def>The quality or state of being valiant; bravery; valor.</def> <mark>[Obs.]</mark> <ldquo/His doughty <xex>valiance</xex>.<rdquo/ <rj><au>Spenser.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Valiant</ent><br/
<hw>Val"iant</hw> <pr>(?)</pr>, <pos>a.</pos> <ety>[OE. <ets>valiant</ets>, F. <ets>vaillant</ets>, OF. <ets>vaillant</ets>, <ets>valant</ets>, originally p. pr. of OF. & F. <ets>valoir</ets> to be worth, L. <ets>valere</ets> to be strong. See <er>Wield</er>, and cf. <er>Avail</er>, <er>Convalesce</er>, <er>Equivalent</er>, <er>Prevail</er>, <er>Valid</er>.]</ety><br/
[<source>1913 Webster</source>]</p>
<p><sn>1.</sn> <def>Vigorous in body; strong; powerful; <as>as, a <ex>valiant</ex> fencer</as>.</def> <mark>[Obs.]</mark> <rj><au>Walton.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <def>Intrepid in danger; courageous; brave.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>A <qex>valiant</qex> and most expert gentleman.</q> <rj><qau>Shak.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><q>And Saul said to David . . . be thou <qex>valiant</qex> for me, and fight the Lord's battles.</q> <rj><qau>1 Sam. xviii. 17.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>3.</sn> <def>Performed with valor or bravery; heroic.</def> <ldquo/Thou bearest the highest name for <xex>valiant</xex> acts.<rdquo/ <rj><au>Milton.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><q>[The saints] have made such <qex>valiant</qex> confessions.</q> <rj><qau>J. H. Newman.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p>-- <wordforms><wf>Val"iant*ly</wf>, <pos>adv.</pos> -- <wf>Val"iant*ness</wf>, <wf>n</wf>.</wordforms><br/
[<source>1913 Webster</source>]</p>
<p><ent>Valid</ent><br/
<hw>Val"id</hw> <pr>(?)</pr>, <pos>a.</pos> <ety>[F. <ets>valide</ets>, L. <ets>validus</ets> strong, from <ets>valere</ets> to be strong. See <er>Valiant</er>.]</ety><br/
[<source>1913 Webster</source>]</p>
<p><sn>1.</sn> <def>Strong; powerful; efficient.</def> <mark>[Obs.]</mark> <ldquo/Perhaps more <xex>valid</xex> arms . . . may serve to better us.<rdquo/ <rj><au>Milton.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <def>Having sufficient strength or force; founded in truth; capable of being justified, defended, or supported; not weak or defective; sound; good; efficacious; <as>as, a <ex>valid</ex> argument; a <ex>valid</ex> objection</as>.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>An answer that is open to no <qex>valid</qex> exception.</q> <rj><qau>I. Taylor.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>3.</sn> <fld>(Law)</fld> <def>Having legal strength or force; executed with the proper formalities; incapable of being rightfully overthrown or set aside; <as>as, a <ex>valid</ex> deed; a <ex>valid</ex> covenant; a <ex>valid</ex> instrument of any kind; a <ex>valid</ex> claim or title; a <ex>valid</ex> marriage</as>.</def><br/
[<source>1913 Webster</source>]</p>
<p><syn><b>Syn.</b> -- Prevalent; available; efficacious; just; good; weighty; sufficient; sound; well-grounded.</syn><br/
[<source>1913 Webster</source>]</p>
<p><ent>Validate</ent><br/
<hw>Val"i*date</hw> <pr>(?)</pr>, <pos>v. t.</pos> <ety>[See <er>Valid</er>.]</ety> <def>To confirm; to render valid; to give legal force to.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>The chamber of deputies . . . refusing to <qex>validate</qex> at once the election of an official candidate.</q> <rj><qau>London Spectator.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Validation</ent><br/
<hw>Val`i*da"tion</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[Cf. F. <ets>validation</ets>.]</ety> <def>The act of giving validity.</def> <mark>[R.]</mark> <rj><au>Knowles.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Validity</ent><br/
<hw>Va*lid"i*ty</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[Cf. F. <ets>validit<eacute/</ets>, L. <ets>validitas</ets> strength.]</ety><br/
[<source>1913 Webster</source>]</p>
<p><sn>1.</sn> <def>The quality or state of being valid; strength; force; especially, power to convince; justness; soundness; <as>as, the <ex>validity</ex> of an argument or proof; the <ex>validity</ex> of an objection</as>.</def><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <fld>(Law)</fld> <def>Legal strength, force, or authority; that quality of a thing which renders it supportable in law, or equity; <as>as, the <ex>validity</ex> of a will; the <ex>validity</ex> of a contract, claim, or title</as>.</def><br/
[<source>1913 Webster</source>]</p>
<p><sn>3.</sn> <def>Value.</def> <mark>[Obs.]</mark> <ldquo/Rich <xex>validity</xex>.<rdquo/ <rj><au>Shak.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Validly</ent><br/
<hw>Val"id*ly</hw> <pr>(?)</pr>, <pos>adv.</pos> <def>In a valid manner; so as to be valid.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Validness</ent><br/
<hw>Val"id*ness</hw>, <pos>n.</pos> <def>The quality or state of being valid.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Valinch</ent><br/
<hw>Val"inch</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[Cf. F. <ets>avaler</ets> to let down, drink up. Cf. <er>Avalanche</er>.]</ety> <def>A tube for drawing liquors from a cask by the bunghole.</def> <altsp>[Written also <asp>velinche</asp>.]</altsp><br/
[<source>1913 Webster</source>]</p>
<p><ent>Valise</ent><br/
<hw>Va*lise"</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[F. <ets>valise</ets>; cf. It. <ets>valigia</ets>, Sp. <ets>balija</ets>, LL. <ets>valisia</ets>, <ets>valesia</ets>; of uncertain origin, perhaps through (assumed) LL. <ets>vidulitia</ets>, from L. <ets>vidulus</ets> a leathern trunk; a knapsack.]</ety> <def>A small sack or case, usually of leather, but sometimes of other material, for containing the clothes, toilet articles, etc., of a traveler; a traveling bag; a portmanteau.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Valkyria</ent><br/
<hw>Val*kyr"i*a</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[Icel. <ets>valkyrja</ets> (akin to AS. <ets>w<ae/lcyrie</ets>); <ets>valr</ets> the slain + <ets>kj<omac/sa</ets> to choose. See <er>Valhalla</er>, and <er>Choose</er>.]</ety> <fld>(Scand. Myth.)</fld> <def>One of the maidens of Odin, represented as awful and beautiful, who presided over battle and marked out those who were to be slain, and who also ministered at the feasts of heroes in Valhalla. Now commonly written <altname>Valkyrie</altname>.</def> <altsp>[Written also <asp>Valkyr</asp>, <asp>Valkyrie</asp>, <asp>Walkyrie</asp> and <asp>Walkyr</asp>.]</altsp><br/
[<source>1913 Webster</source> <source>+PJC</source>]</p>
<p><ent>Valkyrian</ent><br/
<hw>Val*kyr"i*an</hw> <pr>(?)</pr>, <pos>a.</pos> <def>Of or pertaining to the Valkyries; hence, relating to battle.</def> <ldquo/Ourself have often tried <xex>Valkyrian</xex> hymns.<rdquo/ <rj><au>Tennyson.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vallancy</ent><br/
<hw>Val*lan"cy</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[From <er>Valance</er>.]</ety> <def>A large wig that shades the face.</def> <mark>[Obs.]</mark><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vallar</ent><br/
<hw>Val"lar</hw> <pr>(?)</pr>, <pos>a.</pos> <ety>[L. <ets>vallaris</ets>.]</ety> <def>Of or pertaining to a rampart.</def><br/
[<source>1913 Webster</source>]</p>
<p><cs><col><b>Vallar crown</b></col> <fld>(Rom. Antiq.)</fld>, <cd>a circular gold crown with palisades, bestowed upon the soldier who first surmounted the rampart and broke into the enemy's camp.</cd></cs><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vallar</ent><br/
<hw>Val"lar</hw>, <pos>n.</pos> <def>A vallar crown.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vallary</ent><br/
<hw>Val"la*ry</hw> <pr>(?)</pr>, <pos>a.</pos> <def>Same as <er>Vallar</er>.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vallation</ent><br/
<hw>Val*la"tion</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[L. <ets>vallatio</ets>, fr. <ets>vallare</ets> to surround with a rampart, fr. <ets>vallum</ets> rampart. See <er>Wall</er>, <pos>n.</pos>]</ety> <def>A rampart or intrenchment.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vallatory</ent><br/
<hw>Val"la*to*ry</hw> <pr>(?)</pr>, <pos>a.</pos> <def>Of or pertaining to a vallation; used for a vallation; <as>as, <ex>vallatory</ex> reeds</as>.</def> <mark>[Obs.]</mark> <rj><au>Sir T. Browne.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vallecula</ent><br/
||<hw>Val*lec"u*la</hw> <pr>(?)</pr>, <pos>n.</pos>; <plu><it>pl.</it> <plw>Valleculae</plw> <pr>(#)</pr>.</plu> <ety>[NL., dim. fr. L. <ets>vallis</ets>, <ets>valles</ets>, a valley.]</ety><br/
[<source>1913 Webster</source>]</p>
<p><sn>1.</sn> <fld>(Anat.)</fld> <def>A groove; a fossa; <as>as, the <ex>vallecula</ex>, or fossa, which separates the hemispheres of the cerebellum</as>.</def><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <fld>(Bot.)</fld> <def>One of the grooves, or hollows, between the ribs of the fruit of umbelliferous plants.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vallet's pills</ent><br/
<hw>Val`let's pills"</hw> <pr>(?)</pr>. <ety>[From Dr. <ets>Vallet</ets> of Paris.]</ety> <fld>(Med.)</fld> <def>Pills containing sulphate of iron and carbonate of sodium, mixed with saccharine matter; -- called also <altname>Vallet's mass</altname>.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Valley</ent><br/
<hw>Val"ley</hw> <pr>(?)</pr>, <pos>n.</pos>; <plu><it>pl.</it> <plw>Valleys</plw> <pr>(#)</pr>.</plu> <ety>[OE. <ets>vale</ets>, <ets>valeie</ets>, OF. <ets>val<eacute/e</ets>, <ets>valede</ets>, F. <ets>vall<eacute/e</ets>, LL. <ets>vallata</ets>, L. <ets>vallis</ets>, <ets>valles</ets>. See <er>Vale</er>.]</ety><br/
[<source>1913 Webster</source>]</p>
<p><sn>1.</sn> <def>The space inclosed between ranges of hills or mountains; the strip of land at the bottom of the depressions intersecting a country, including usually the bed of a stream, with frequently broad alluvial plains on one or both sides of the stream. Also used figuratively.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>The <qex>valley</qex> of the shadow of death.</q> <rj><qau>Ps. xxiii. 4.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><q>Sweet interchange<br/
Of hill and <qex>valley</qex>, rivers, woods, and plains.</q> <rj><qau>Milton.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><note><hand/ Deep and narrow <xex>valleys</xex> with abrupt sides are usually the results of erosion by water, and are called <stype>gorges</stype>, <stype>ravines</stype>, <stype>canyons</stype>, <stype>gulches</stype>, etc.</note><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <fld>(Arch.)</fld> <sd>(a)</sd> <def>The place of meeting of two slopes of a roof, which have their plates running in different directions, and form on the plan a reentrant angle.</def> <sd>(b)</sd> <def>The depression formed by the meeting of two slopes on a flat roof.</def><br/
[<source>1913 Webster</source>]</p>
<p><cs><col><b>Valley board</b></col> <fld>(Arch.)</fld>, <cd>a board for the reception of the lead gutter in the valley of a roof. The valley board and lead gutter are not usual in the United States.</cd> -- <mcol><col><b>Valley rafter</b></col>, <it>or</it> <col><b>Valley piece</b></col></mcol> <fld>(Arch.)</fld>, <cd>the rafter which supports the valley.</cd> -- <col><b>Valley roof</b></col> <fld>(Arch.)</fld>, <cd>a roof having one or more valleys. See <er>Valley</er>, 2, above.</cd></cs><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vallum</ent><br/
||<hw>Val"lum</hw> <pr>(?)</pr>, <pos>n.</pos>; <plu><it>pl.</it> L. <plw>Valla</plw> <pr>(#)</pr>, E. <plw>Vallums</plw> <pr>(#)</pr>.</plu> <ety>[L. See <er>Wall</er>.]</ety> <fld>(Rom. Antiq.)</fld> <def>A rampart; a wall, as in a fortification.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Valonia</ent><br/
<hw>Va*lo"ni*a</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[It. <ets>vallonia</ets>, <ets>vallonea</ets>, fr. NGr. <grk>balania`</grk>, <grk>balanidia`</grk>, the holm oak, <grk>bala`ni</grk>, <grk>balani`di</grk>, an acorn, Gr. <grk>ba`lanos</grk>.]</ety><br/
[<source>1913 Webster</source>]</p>
<p><sn>1.</sn> <def>The acorn cup of two kinds of oak (<spn>Quercus macrolepis</spn>, and <spn>Quercus vallonea</spn>) found in Eastern Europe. It contains an abundance of tannin, and is much used by tanners and dyers.</def><-- ##sic. better "an abundance"? --><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <ety>[Perhaps named from its resemblance to an acorn.]</ety> <fld>(Bot.)</fld> <def>A genus of marine green algae, in which the whole frond consists of a single oval or cylindrical cell, often an inch in length.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Valor</ent><br/
<hw>Val"or</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[OE. <ets>valour</ets>, OF. <ets>valor</ets>, <ets>valur</ets>, <ets>valour</ets>, F. <ets>valeur</ets>, LL. <ets>valor</ets>, fr. L. <ets>valere</ets> to be strong, or worth. See <er>Valiant</er>.]</ety> <altsp>[Written also <asp>valour</asp>.]</altsp><br/
[<source>1913 Webster</source>]</p>
<p><sn>1.</sn> <def>Value; worth.</def> <mark>[Obs.]</mark> <ldquo/The <xex>valor</xex> of a penny.<rdquo/ <rj><au>Sir T. More.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <def>Strength of mind in regard to danger; that quality which enables a man to encounter danger with firmness; personal bravery; courage; prowess; intrepidity.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>For contemplation he and <qex>valor</qex> formed.</q> <rj><qau>Milton.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><q>When <qex>valor</qex> preys on reason,<br/
It eats the sword it fights with.</q> <rj><qau>Shak.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><q>Fear to do base, unworthy things is <qex>valor</qex>.</q> <rj><qau>B. Jonson.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>3.</sn> <def>A brave man; a man of valor.</def> <mark>[R.]</mark> <rj><au>Ld. Lytton.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><syn><b>Syn.</b> -- Courage; heroism; bravery; gallantry; boldness; fearlessness. See <er>Courage</er>, and <er>Heroism</er>.</syn><br/
[<source>1913 Webster</source>]</p>
<p><ent>Valorization</ent><br/
<hw>Val`or*i*za"tion</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[Pg. <ets>valorizac<atil/o</ets>.]</ety> <def>Act or process of attempting to give an arbitrary market value or price to a commodity by governmental interference, as by maintaining a purchasing fund, making loans to producers to enable them to hold their products, etc.; -- used chiefly of such action by Brazil.</def><br/
[<source>Webster 1913 Suppl.</source>]</p>
<p><ent>Valorous</ent><br/
<hw>Val"or*ous</hw> <pr>(?)</pr>, <pos>a.</pos> <ety>[Cf. F. <ets>valeureux</ets>, LL. <ets>valorosus</ets>.]</ety> <def>Possessing or exhibiting valor; brave; courageous; valiant; intrepid.</def> -- <wordforms><wf>Val"or*ous*ly</wf>, <pos>adv.</pos></wordforms><br/
[<source>1913 Webster</source>]</p>
<p><ent>Valsalvian</ent><br/
<hw>Val*sal"vi*an</hw> <pr>(?)</pr>, <pos>a.</pos> <def>Of or pertaining to <etsep>Valsalva</etsep>, an Italian anatomist of the 17th century.</def><br/
[<source>1913 Webster</source>]</p>
<p><cs><col><b>Valsalvian experiment</b></col> <fld>(Med.)</fld>, <cd>the process of inflating the middle ear by closing the mouth and nostrils, and blowing so as to puff out the cheeks.</cd></cs><br/
[<source>1913 Webster</source>]</p>
<p><ent>Valuable</ent><br/
<hw>Val"u*a*ble</hw> <pr>(?)</pr>, <pos>a.</pos> <sn>1.</sn> <def>Having value or worth; possessing qualities which are useful and esteemed; precious; costly; <as>as, a <ex>valuable</ex> horse; <ex>valuable</ex> land; a <ex>valuable</ex> cargo.</as></def><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <def>Worthy; estimable; deserving esteem; <as>as, a <ex>valuable</ex> friend; a <ex>valuable</ex> companion.</as></def><br/
[<source>1913 Webster</source>]</p>
<p><cs><col><b>Valuable consideration</b></col> <fld>(Law)</fld>, <cd>an equivalent or compensation having value given for a thing purchased, as money, marriage, services, etc.</cd> <rj><au>Blackstone.</au> <au>Bouvier.</au></rj></cs><br/
[<source>1913 Webster</source>]</p>
<p><ent>Valuable</ent><br/
<hw>Val"u*a*ble</hw>, <pos>n.</pos> <def>A precious possession; a thing of value, especially a small thing, as an article of jewelry; -- used mostly in the plural.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>The food and <qex>valuables</qex> they offer to the gods.</q> <rj><qau>Tylor.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Valuableness</ent><br/
<hw>Val"u*a*ble*ness</hw>, <pos>n.</pos> <def>The quality of being valuable.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Valuably</ent><br/
<hw>Val"u*a*bly</hw>, <pos>adv.</pos> <def>So as to be of value.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Valuation</ent><br/
<hw>Val`u*a"tion</hw> <pr>(?)</pr>, <pos>n.</pos> <sn>1.</sn> <def>The act of valuing, or of estimating value or worth; the act of setting a price; estimation; appraisement; <as>as, a <ex>valuation</ex> of lands for the purpose of taxation</as>.</def><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <def>Value set upon a thing; estimated value or worth; <as>as, the goods sold for more than their <ex>valuation</ex></as>.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>Since of your lives you set<br/
So slight a <qex>valuation</qex>.</q> <rj><qau>Shak.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Valuator</ent><br/
<hw>Val"u*a`tor</hw> <pr>(?)</pr>, <pos>n.</pos> <def>One who assesses, or sets a value on, anything; an appraiser.</def> <rj><au>Swift.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Value</ent><br/
<hw>Val"ue</hw> <pr>(v<acr/l"<umac/)</pr>, <pos>n.</pos> <ety>[OF. <ets>value</ets>, fr. <ets>valoir</ets>, p. p. <ets>valu</ets>, to be worth, fr. L. <ets>valere</ets> to be strong, to be worth. See <er>Valiant</er>.]</ety> <sn>1.</sn> <def>The property or aggregate properties of a thing by which it is rendered useful or desirable, or the degree of such property or sum of properties; worth; excellence; utility; importance.</def><br/
[<source>1913 Webster</source>]</p>
<p><-- p. 1593 pr=PI --><br/
[<source>1913 Webster</source>]</p>
<p><q>Ye are all physicians of no <qex>value</qex>.</q> <rj><qau>Job xiii. 4.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><q>Ye are of more <qex>value</qex> than many sparrows.</q> <rj><qau>Matt. x. 31.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><q>Caesar is well acquainted with your virtue,<br/
And therefore sets this <qex>value</qex> on your life.</q> <rj><qau>Addison.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><q>Before events shall have decided on the <qex>value</qex> of the measures.</q> <rj><qau>Marshall.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <fld>(Trade & Polit. Econ.)</fld> <def>Worth estimated by any standard of purchasing power, especially by the market price, or the amount of money agreed upon as an equivalent to the utility and cost of anything.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>An article may be possessed of the highest degree of utility, or power to minister to our wants and enjoyments, and may be universally made use of, without possessing exchangeable <qex>value</qex>.</q> <rj><qau>M'Culloch.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><q><qex>Value</qex> is the power to command commodities generally.</q> <rj><qau>A. L. Chapin (Johnson's Cys.).</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><q><qex>Value</qex> is the generic term which expresses power in exchange.</q> <rj><qau>F. A. Walker.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><q>His design was not to pay him the <qex>value</qex> of his pictures, because they were above any price.</q> <rj><qau>Dryden.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><note><hand/ In political economy, <xex>value</xex> is often distinguished as <xex>intrinsic</xex> and <xex>exchangeable</xex>. <xex>Intrinsic value</xex> is the same as utility or adaptation to satisfy the desires or wants of men. <xex>Exchangeable value</xex> is that in an article or product which disposes individuals to give for it some quantity of labor, or some other article or product obtainable by labor; as, pure air has an <xex>intrinsic value</xex>, but generally not an <xex>exchangeable value</xex>.</note><br/
[<source>1913 Webster</source>]</p>
<p><sn>3.</sn> <def>Precise signification; import; <as>as, the <ex>value</ex> of a word; the <ex>value</ex> of a legal instrument</as></def> <rj><au>Mitford.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>4.</sn> <def>Esteem; regard.</def> <rj><au>Dryden.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><q>My relation to the person was so near, and my <qex>value</qex> for him so great</q> <rj><qau>Bp. Burnet.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>5.</sn> <fld>(Mus.)</fld> <def>The relative length or duration of a tone or note, answering to <xex>quantity</xex> in prosody; thus, a quarter note [<?/] has the <xex>value</xex> of two eighth notes [<?/].</def><br/
[<source>1913 Webster</source>]</p>
<p><sn>6.</sn> <def>In an artistical composition, the character of any one part in its relation to other parts and to the whole; -- often used in the plural; <as>as, the <ex>values</ex> are well given, or well maintained</as>.</def><br/
[<source>1913 Webster</source>]</p>
<p><sn>7.</sn> <def>Valor.</def> <altsp>[Written also <asp>valew</asp>.]</altsp> <mark>[Obs.]</mark> <rj><au>Spenser.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>8.</sn> <sd>(a)</sd> <def>That property of a color by which it is distinguished as bright or dark; luminosity.</def> <sd>(b)</sd> <def>Degree of lightness as conditioned by the presence of white or pale color, or their opposites.</def><br/
[<source>Webster 1913 Suppl.</source>]</p>
<p><sn>9.</sn> <fld>(Math.)</fld> <def>Any particular quantitative determination; <as>as, a function's <ex>value</ex> for some special value of its argument</as>.</def><br/
[<source>Webster 1913 Suppl.</source>]</p>
<p><sn>10.</sn> [<pluf>pl.</pluf>] <def>The valuable ingredients to be obtained by treatment from any mass or compound; specif., the precious metals contained in rock, gravel, or the like; <as>as, the vein carries good <ex>values</ex>; the <ex>values</ex> on the hanging walls</as>.</def><br/
[<source>Webster 1913 Suppl.</source>]</p>
<p><cs><col><b>Value received</b></col>, <cd>a phrase usually employed in a bill of exchange or a promissory note, to denote that a consideration has been given for it.</cd> <rj><au>Bouvier.</au></rj></cs><br/
[<source>1913 Webster</source>]</p>
<p><ent>Value</ent><br/
<hw>Val"ue</hw> <pr>(v<acr/l"<umac/)</pr>, <pos>v. t.</pos> <vmorph>[<pos>imp. & p. p.</pos> <conjf>Valued</conjf> <pr>(v<acr/l"<umac/d)</pr>; <pos>p. pr. & vb. n.</pos> <conjf>Valuing</conjf>.]</vmorph><br/
[<source>1913 Webster</source>]</p>
<p><sn>1.</sn> <def>To estimate the value, or worth, of; to rate at a certain price; to appraise; to reckon with respect to number, power, importance, etc.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>The mind doth <qex>value</qex> every moment.</q> <rj><qau>Bacon.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><q>The queen is <qex>valued</qex> thirty thousand strong.</q> <rj><qau>Shak.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><q>The king must take it ill,<br/
That he's so slightly <qex>valued</qex> in his messenger.</q> <rj><qau>Shak.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><q>Neither of them <qex>valued</qex> their promises according to rules of honor or integrity.</q> <rj><qau>Clarendon.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <def>To rate highly; to have in high esteem; to hold in respect and estimation; to appreciate; to prize; <as>as, to <ex>value</ex> one for his works or his virtues</as>.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>Which of the dukes he <qex>values</qex> most.</q> <rj><qau>Shak.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>3.</sn> <def>To raise to estimation; to cause to have value, either real or apparent; to enhance in value.</def> <mark>[Obs.]</mark><br/
[<source>1913 Webster</source>]</p>
<p><q>Some <qex>value</qex> themselves to their country by jealousies of the crown.</q> <rj><qau>Sir W. Temple.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>4.</sn> <def>To be worth; to be equal to in value.</def> <mark>[Obs.]</mark><br/
[<source>1913 Webster</source>]</p>
<p><q>The peace between the French and us not <qex>values</qex><br/
The cost that did conclude it.</q> <rj><qau>Shak.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><syn><b>Syn.</b> -- To compute; rate; appraise; esteem; respect; regard; estimate; prize; appreciate.</syn><br/
[<source>1913 Webster</source>]</p>
<p><ent>Valued</ent><br/
<hw>Val"ued</hw> <pr>(v<acr/l"<umac/d)</pr>, <pos>a.</pos> <def>Highly regarded; esteemed; prized; <as>as, a <ex>valued</ex> contributor; a <ex>valued</ex> friend</as>.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Valued policy</ent><br/
<hw>Val"ued pol"i*cy</hw>. <fld>(Fire Insurance)</fld> <def>A policy in which the value of the goods, property, or interest insured is specified; -- opposed to <contr>open policy</contr>.</def><br/
[<source>Webster 1913 Suppl.</source>]</p>
<p><ent>Valued-policy law</ent><br/
<hw>Valued-policy law</hw>. <fld>(Fire Insurance)</fld> <def>A law requiring insurance companies to pay to the insured, in case of total loss, the full amount of the insurance, regardless of the actual value of the property at the time of the loss.</def><br/
[<source>Webster 1913 Suppl.</source>]</p>
<p><ent>Valueless</ent><br/
<hw>Val"ue*less</hw>, <pos>a.</pos> <def>Being of no value; having no worth.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Valuer</ent><br/
<hw>Val"u*er</hw> <pr>(?)</pr>, <pos>n.</pos> <def>One who values; an appraiser.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Valure</ent><br/
<hw>Val"ure</hw> <pr>(?)</pr>, <pos>n.</pos> <def>Value.</def> <mark>[Obs.]</mark> <rj><au>Ld. Berners.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Valvar</ent><br/
<ent>Valval</ent><br/
<mhw>{ <hw>Valv"al</hw> <pr>(?)</pr>, <hw>Valv"ar</hw> <pr>(?)</pr> }</mhw>, <pos>a.</pos> <fld>(Biol.)</fld> <def>Valvular.</def><br/
[<source>Webster 1913 Suppl.</source>]</p>
<p><ent>Valvasor</ent><br/
<hw>Val"va*sor</hw> <pr>(?)</pr>, <pos>n.</pos> <fld>(Feud. Law)</fld> <def>See <er>Vavasor</er>.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Valvata</ent><br/
||<hw>Val*va"ta</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[NL.; cf. L. <ets>valvatus</ets> having folding doors. See <er>Valve</er>.]</ety> <fld>(Zool.)</fld> <def>A genus of small spiral fresh-water gastropods having an operculum.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Valvate</ent><br/
<hw>Valv"ate</hw> <pr>(?)</pr>, <pos>a.</pos> <ety>[L. <ets>valvatus</ets> having folding doors.]</ety><br/
[<source>1913 Webster</source>]</p>
<p><sn>1.</sn> <def>Resembling, or serving as, a valve; consisting of, or opening by, a valve or valves; valvular.</def><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <fld>(Bot.)</fld> <sd>(a)</sd> <def>Meeting at the edges without overlapping; -- said of the sepals or the petals of flowers in aestivation, and of leaves in vernation.</def> <sd>(b)</sd> <def>Opening as if by doors or valves, as most kinds of capsules and some anthers.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Valve</ent><br/
<hw>Valve</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[L. <ets>valva</ets> the leaf, fold, or valve of a door: cf. F. <ets>valve</ets>.]</ety><br/
[<source>1913 Webster</source>]</p>
<p><sn>1.</sn> <def>A door; especially, one of a pair of folding doors, or one of the leaves of such a door.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>Swift through the <qex>valves</qex> the visionary fair<br/
Repassed.</q> <rj><qau>Pope.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><q>Heavily closed, . . . the <qex>valves</qex> of the barn doors.</q> <rj><qau>Longfellow.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <def>A lid, plug, or cover, applied to an aperture so that by its movement, as by swinging, lifting and falling, sliding, turning, or the like, it will open or close the aperture to permit or prevent passage, as of a fluid.</def><br/
[<source>1913 Webster</source>]</p>
<p><note><hand/ A <xex>valve</xex> may act automatically so as to be opened by the effort of a fluid to pass in one direction, and closed by the effort to pass in the other direction, as a <xex>clack valve</xex>; or it may be opened or closed by hand or by mechanism, as a <xex>screw valve</xex>, or a <xex>slide valve</xex>.</note><br/
[<source>1913 Webster</source>]</p>
<p><sn>3.</sn> <fld>(Anat.)</fld> <def>One or more membranous partitions, flaps, or folds, which permit the passage of the contents of a vessel or cavity in one direction, but stop or retard the flow in the opposite direction; <as>as, the ileocolic, mitral, and semilunar <ex>valves</ex></as>.</def><br/
[<source>1913 Webster</source>]</p>
<p><sn>4.</sn> <fld>(Bot.)</fld> <sd>(a)</sd> <def>One of the pieces into which a capsule naturally separates when it bursts.</def> <sd>(b)</sd> <def>One of the two similar portions of the shell of a diatom.</def> <sd>(c)</sd> <def>A small portion of certain anthers, which opens like a trapdoor to allow the pollen to escape, as in the barberry.</def><br/
[<source>1913 Webster</source>]</p>
<p><sn>5.</sn> <fld>(Zool.)</fld> <def>One of the pieces or divisions of bivalve or multivalve shells.</def><br/
[<source>1913 Webster</source>]</p>
<p><cs><mcol><col><b>Air valve</b></col>, <col><b>Ball valve</b></col>, <col><b>Check valve</b></col></mcol>, <cd>etc. See under <er>Air</er>. <er>Ball</er>, <er>Check</er>, etc.</cd> -- <col><b>Double-beat valve</b></col>, <cd>a kind of balance valve usually consisting of a movable, open-ended, turban-shaped shell provided with two faces of nearly equal diameters, one above another, which rest upon two corresponding seats when the valve is closed.</cd> -- <col><b>Equilibrium valve</b></col>. <sd>(a)</sd> <cd>A balance valve. See under <er>Balance</er>.</cd> <sd>(b)</sd> <cd>A valve for permitting air, steam, water, etc., to pass into or out of a chamber so as to establish or maintain equal pressure within and without.</cd> -- <col><b>Valve chest</b></col> <fld>(Mach.)</fld>, <cd>a chamber in which a valve works; especially <fld>(Steam Engine)</fld>, the steam chest; -- called in England <altname>valve box</altname>, and <altname>valve casing</altname>. See <cref>Steam chest</cref>, under <er>Steam</er>.</cd> -- <col><b>Valve face</b></col> <fld>(Mach.)</fld>, <cd>that part of the surface of a valve which comes in contact with the <cref>valve seat</cref>.</cd> -- <mcol><col><b>Valve gear</b></col>, <it>or</it> <col><b>Valve motion</b></col></mcol> <fld>(Steam Engine)</fld>, <cd>the system of parts by which motion is given to the valve or valves for the distribution of steam in the cylinder. For an illustration of one form of <ex>valve gear</ex>, see <er>Link motion</er>.</cd> -- <col><b>Valve seat</b></col>. <fld>(Mach.)</fld> <sd>(a)</sd> <cd>The fixed surface on which a valve rests or against which it presses.</cd> <sd>(b)</sd> <cd>A part or piece on which such a surface is formed.</cd> -- <col><b>Valve stem</b></col> <fld>(Mach.)</fld>, <cd>a rod attached to a valve, for moving it.</cd> -- <col><b>Valve yoke</b></col> <fld>(Mach.)</fld>, <cd>a strap embracing a slide valve and connecting it to the valve stem.</cd></cs><br/
[<source>1913 Webster</source>]</p>
<p><ent>Valved</ent><br/
<hw>Valved</hw> <pr>(?)</pr>, <pos>a.</pos> <def>Having a valve or valves; valvate.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Valvelet</ent><br/
<hw>Valve"let</hw> <pr>(?)</pr>, <pos>n.</pos> <def>A little valve; a valvule; especially, one of the pieces which compose the outer covering of a pericarp.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Valve-shell</ent><br/
<hw>Valve"-shell`</hw> <pr>(?)</pr>, <pos>n.</pos> <fld>(Zool.)</fld> <def>Any fresh-water gastropod of the genus <gen>Valvata</gen>.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Valvula</ent><br/
||<hw>Val"vu*la</hw> <pr>(?)</pr>, <pos>n.</pos>; <plu><it>pl.</it> <plw>Valvulae</plw> <pr>(#)</pr>.</plu> <ety>[NL., dim. fr. L. <ets>valva</ets> fold, valve of a door.]</ety> <fld>(Anat.)</fld> <def>A little valve or fold; a valvelet; a valvule.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Valvular</ent><br/
<hw>Valv"u*lar</hw> <pr>(?)</pr>, <pos>a.</pos> <ety>[Cf. F. <ets>valvulaire</ets>.]</ety><br/
[<source>1913 Webster</source>]</p>
<p><sn>1.</sn> <def>Of or pertaining to a valve or valves; specifically <fld>(Med.)</fld>, of or pertaining to the valves of the heart; <as>as, <ex>valvular</ex> disease</as>.</def><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <def>Containing valves; serving as a valve; opening by valves; valvate; <as>as, a <ex>valvular</ex> capsule</as>.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Valvule</ent><br/
<hw>Valv"ule</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[Cf. F. <ets>valvule</ets>.]</ety><br/
[<source>1913 Webster</source>]</p>
<p><sn>1.</sn> <def>A little valve; a valvelet.</def><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <fld>(Zool.)</fld> <def>A small valvelike process.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Valylene</ent><br/
<hw>Val"yl*ene</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[<ets>Vale</ets>rian + <ets>-yl</ets>.]</ety> <fld>(Chem.)</fld> <def>A volatile liquid hydrocarbon, <chform>C5H6</chform>, related to ethylene and acetylene, but possessing the property of unsaturation in the third degree. It is the only known member of a distinct series of compounds. It has a garlic odor.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vambrace</ent><br/
<hw>Vam"brace</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[See <er>Vantbrass</er>.]</ety> <fld>(Anc. Armor)</fld> <def>The piece designed to protect the arm from the elbow to the wrist.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>vamoose</ent><br/
<hw>va*moose"</hw> <pr>(v<acr/*m<oomac/s")</pr>, <pos>v. i. & t.</pos> <ety>[Sp. <ets>vamos</ets> let us go.]</ety> <def>To depart quickly; to depart from.</def> <altsp>[Written also <asp>vamos</asp>, and <asp>vamose</asp>.]</altsp> <mark>[Slang, Eng. & U. S.]</mark><br/
[<source>1913 Webster</source> <source>+PJC</source>]</p>
<p><ent>vamose</ent><br/
<hw>va*mose"</hw> <pr>(v<adot/*m<omac/s")</pr>, <pos>v. i. & t.</pos> <ety>[Sp. <ets>vamos</ets> let us go.]</ety> <def>To vamoose; -- an older spelling and pronunciation variant.</def> <altsp>[Written also <asp>vamos</asp>, and <asp>vamoose</asp>.]</altsp> <mark>[Slang, Eng. & U. S.]</mark><br/
[<source>1913 Webster</source> <source>+PJC</source>]</p>
<p><ent>Vamp</ent><br/
<hw>Vamp</hw> <pr>(v<acr/mp)</pr>, <pos>v. i.</pos> <def>To advance; to travel.</def> <mark>[Obs.]</mark><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vamp</ent><br/
<hw>Vamp</hw>, <pos>n.</pos> <ety>[OE. <ets>vampe</ets>, <ets>vaumpe</ets>, <ets>vauntpe</ets>, F. <ets>avantpied</ets> the forefoot, vamp; <ets>avant</ets> before, fore + <ets>pied</ets> foot, L. <ets>pes</ets>. See <er>Advance</er>, <er>Van</er> of an army, and <er>Foot</er>.]</ety> <sn>1.</sn> <def>The part of a boot or shoe above the sole and welt, and in front of the ankle seam; an upper.</def><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <def>Any piece added to an old thing to give it a new appearance. See <er>Vamp</er>, <pos>v. t.</pos></def><br/
[<source>1913 Webster</source>]</p>
<p><sn>3.</sn> <fld>(Music)</fld> <def>A usually improvized Jazz accompaniment, consisting of simple chords in sucession.</def><br/
[<source>PJC</source>]</p>
<p><ent>vamp</ent><br/
<hw>vamp</hw>, <pos>n.</pos> <def>A woman who seduces men with her charm and wiles, in order to exploit them.</def><br/
[<source>PJC</source>]</p>
<p><ent>Vamp</ent><br/
<hw>Vamp</hw>, <pos>v. t.</pos> <vmorph>[<pos>imp. & p. p.</pos> <conjf>Vamped</conjf> <pr>(?; 215)</pr>; <pos>p. pr. & vb. n.</pos> <conjf>Vamping</conjf>.]</vmorph> <sn>1.</sn> <def>To provide, as a shoe, with new upper leather; hence, to to piece, as any old thing, with a new part; to repair; to patch; -- often followed by <ptcl>up</ptcl>.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>I had never much hopes of your <qex>vamped</qex> play.</q> <rj><qau>Swift.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <def>To create with little skill; to concoct; to invent; -- usually with <ptcl>up</ptcl>; <as>as, he <ex>vamped</ex> up an implausible excuse</as>.</def><br/
[<source>PJC</source>]</p>
<p><ent>vamp</ent><br/
<hw>vamp</hw>, <pos>v. t. & i.</pos> <def>To seduce (a man) sexually for purpose of exploitation.</def><br/
[<source>PJC</source>]</p>
<p><ent>Vamper</ent><br/
<hw>Vamp"er</hw> <pr>(?)</pr>, <pos>n.</pos> <sn>1.</sn> <def>One who vamps; one who pieces an old thing with something new; a cobbler.</def><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <def>Same as 2nd <er>vamp</er>, <pos>n.</pos></def><br/
[<source>PJC</source>]</p>
<p><ent>Vamper</ent><br/
<hw>Vamp"er</hw>, <pos>v. i.</pos> <ety>[Cf. <er>Vaunt</er>.]</ety> <def>To swagger; to make an ostentatious show.</def> <mark>[Prov. Eng. & Scot.]</mark> <rj><au>Jamieson.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vampire</ent><br/
<hw>Vam"pire</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[F. <ets>vampire</ets> (cf. It. <ets>vampiro</ets>, G. & D. <ets>vampir</ets>), fr. Servian <ets>vampir</ets>.]</ety> <altsp>[Written also <asp>vampyre</asp>.]</altsp> <sn>1.</sn> <def>A blood-sucking ghost; a soul of a dead person superstitiously believed to come from the grave and wander about by night sucking the blood of persons asleep, thus causing their death. This superstition was once prevalent in parts of Eastern Europe, and was especially current in Hungary about the year 1730. The <ex>vampire</ex> was often said to have the ability to transform itself into the form of a bat, as presented in the novel depicting the legend of <persfn>Dracula</persfn> published by <person>Bram Stoker</person> in 1897, which has inspired several movies.</def><br/
[<source>1913 Webster</source> + <source>PJC</source>]</p>
<p><q>The persons who turn <qex>vampires</qex> are generally wizards, witches, suicides, and persons who have come to a violent end, or have been cursed by their parents or by the church,</q> <rj><qau>Encyc. Brit.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <def>Fig.: One who lives by preying on others; an extortioner; a bloodsucker.</def><br/
[<source>1913 Webster</source>]</p>
<p><sn>3.</sn> <fld>(Zool.)</fld> <def>Either one of two or more species of South American blood-sucking bats belonging to the genera <gen>Desmodus</gen> and <gen>Diphylla</gen>; also called <altname>vampire bat</altname>. These bats are destitute of molar teeth, but have strong, sharp cutting incisors with which they make punctured wounds from which they suck the blood of horses, cattle, and other animals, as well as man, chiefly during sleep. They have a caecal appendage to the stomach, in which the blood with which they gorge themselves is stored.</def><br/
[<source>1913 Webster</source>]</p>
<p><sn>4.</sn> <fld>(Zool.)</fld> <def>Any one of several species of harmless tropical American bats of the genus <gen>Vampyrus</gen>, especially <spn>Vampyrus spectrum</spn>. These bats feed upon insects and fruit, but were formerly erroneously supposed to suck the blood of man and animals. Called also <altname>false vampire</altname>.</def><br/
[<source>1913 Webster</source>]</p>
<p><cs><col><b>Vampire bat</b></col> <fld>(Zool.)</fld>, <cd>a vampire, 3.</cd></cs><br/
[<source>1913 Webster</source>]</p>
<p><-- illustr. Head of False Vampire. (Vampyrus spectrum) --><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vampirism</ent><br/
<hw>Vam"pir*ism</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[Cf. F. <ets>vampirisme</ets>.]</ety><br/
[<source>1913 Webster</source>]</p>
<p><sn>1.</sn> <def>Belief in the existence of vampires.</def><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <def>The actions of a vampire; the practice of bloodsucking.</def><br/
[<source>1913 Webster</source>]</p>
<p><sn>3.</sn> <def>Fig.: The practice of extortion.</def> <rj><au>Carlyle.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vamplate</ent><br/
<hw>Vam"plate`</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[F. <ets>avant</ets> before, fore + E. <ets>plate</ets>.]</ety> <def>A round plate of iron on the shaft of a tilting spear, to protect the hand.</def> <altsp>[Written also <asp>vamplet</asp>.]</altsp><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vamure</ent><br/
<hw>Va"mure</hw> <pr>(?)</pr>, <pos>n.</pos> <def>See <er>Vauntmure</er>.</def> <mark>[Obs.]</mark><br/
[<source>1913 Webster</source>]</p>
<p><ent>Van</ent><br/
<hw>Van</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[Abbrev. fr. <ets>vanguard</ets>.]</ety> <def>The front of an army; the first line or leading column; also, the front line or foremost division of a fleet, either in sailing or in battle.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>Standards and gonfalons, twixt <qex>van</qex> and rear,<br/
Stream in the air.</q> <rj><qau>Milton.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Van</ent><br/
<hw>Van</hw>, <pos>n.</pos> <ety>[Cornish.]</ety> <fld>(Mining)</fld> <def>A shovel used in cleansing ore.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Van</ent><br/
<hw>Van</hw>, <pos>v. t.</pos> <fld>(Mining)</fld> <def>To wash or cleanse, as a small portion of ore, on a shovel.</def> <rj><au>Raymond.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Van</ent><br/
<hw>Van</hw>, <pos>n.</pos> <ety>[Abbreviated from <ets>caravan</ets>.]</ety><br/
[<source>1913 Webster</source>]</p>
<p><sn>1.</sn> <def>A light wagon, either covered or open, used by tradesmen and others for the transportation of goods.</def> <mark>[Eng.]</mark><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <def>A large covered wagon for moving furniture, etc., also for conveying wild beasts, etc., for exhibition.</def><br/
[<source>1913 Webster</source>]</p>
<p><sn>3.</sn> <def>A closed railway car for baggage. See the Note under <er>Car</er>, 2.</def> <mark>[Eng.]</mark><br/
[<source>1913 Webster</source>]</p>
<p><ent>Van</ent><br/
<hw>Van</hw>, <pos>n.</pos> <ety>[L. <ets>vannus</ets> a van, or fan for winnowing grain: cf. F. <ets>van</ets>. Cf. <er>Fan</er>, <er>Van</er> a wing <er>Winnow</er>.]</ety><br/
[<source>1913 Webster</source>]</p>
<p><sn>1.</sn> <def>A fan or other contrivance, as a sieve, for winnowing grain.</def><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <ety>[OF. <ets>vanne</ets>, F. <ets>vanneau</ets> beam feather (cf. It. <ets>vanno</ets> a wing) fr. L. <ets>vannus</ets>. See Etymology above.]</ety> <def>A wing with which the air is beaten.</def> <mark>[Archaic]</mark> <ldquo/[/Angels] on their plumy <xex>vans</xex> received him. <rdquo/ <rj><au>Milton.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><q>He wheeled in air, and stretched his <qex>vans</qex> in vain;<br/
His <qex>vans</qex> no longer could his flight sustain.</q> <rj><qau>Dryden.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Van</ent><br/
<hw>Van</hw>, <pos>v. t.</pos> <ety>[Cf. F. <ets>vanner</ets> to winnow, to fan. See <er>Van</er> a winnowing machine.]</ety> <def>To fan, or to cleanse by fanning; to winnow.</def> <mark>[Obs.]</mark> <rj><au>Bacon.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vanadate</ent><br/
<hw>Van"a*date</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[Cf. F. <ets>vanadate</ets>.]</ety> <fld>(Chem.)</fld> <def>A salt of vanadic acid.</def> <altsp>[Formerly also <asp>vanadiate</asp>.]</altsp><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vanadic</ent><br/
<hw>Va*nad"ic</hw> <pr>(?)</pr>, <pos>a.</pos> <fld>(Chem.)</fld> <def>Pertaining to, or obtained from, vanadium; containing vanadium; specifically, designating those compounds in which vanadium has a relatively higher valence as contrasted with the <contr>vanadous</contr> compounds; <as>as, <ex>vanadic</ex> oxide</as>.</def><br/
[<source>1913 Webster</source>]</p>
<p><cs><col><b>Vanadic acid</b></col> <fld>(Chem.)</fld>, <cd>an acid analogous to phosphoric acid, not known in the free state but forming a well-known series of salts.</cd></cs><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vanadinite</ent><br/
<hw>Va*nad"i*nite</hw> <pr>(?)</pr>, <pos>n.</pos> <fld>(Min.)</fld> <def>A mineral occurring in yellowish, brownish, and ruby-red hexagonal crystals. It consists of lead vanadate with a small proportion of lead chloride.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vanadious</ent><br/
<hw>Va*na"di*ous</hw> <pr>(?)</pr>, <pos>a.</pos> <fld>(Chem.)</fld> <def>Pertaining to, or containing, vanadium; specifically, designating those compounds in which vanadium has a lower valence as contrasted with the <contr>vanadic</contr> compounds; <as>as, <ex>vanadious</ex> acid</as>. Usually written <altname>vanadous</altname>.</def> <altsp>[Sometimes written also <asp>vanadous</asp>.]</altsp><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vanadite</ent><br/
<hw>Van"a*dite</hw> <pr>(?)</pr>, <pos>n.</pos> <fld>(Chem.)</fld> <def>A salt of vanadious acid, analogous to a nitrite or a phosphite.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vanadium</ent><br/
<hw>Va*na"di*um</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[NL., fr. Icel. <ets>Vanad<imac/s</ets>, a surname of the Scandinavian goddess Freya.]</ety> <fld>(Chem.)</fld> <def>A rare element of the nitrogen-phosphorus group, found combined, in vanadates, in certain minerals, and reduced as an infusible, grayish-white metallic powder. It is intermediate between the metals and the non-metals, having both basic and acid properties. Symbol V (or Vd, rarely). Atomic weight 50.94 (C12=12.000).</def><br/
[<source>1913 Webster</source> <source>+PJC</source>]</p>
<p><ent>Vanadium bronze</ent><br/
<hw>Va*na"di*um bronze`</hw> <pr>(?)</pr>. <fld>(Chem.)</fld> <def>A yellow pigment consisting of a compound of vanadium.</def><br/
[<source>Webster 1913 Suppl.</source>]</p>
<p><ent>Vanadous</ent><br/
<hw>Van"a*dous</hw> <pr>(?)</pr>, <pos>a.</pos> <fld>(Chem.)</fld> <def>Of or pertaining to vanadium; obtained from vanadium; -- said of an acid containing one equivalent of vanadium and two of oxygen; specifically, designating those compounds in which vanadium has a lower valence as contrasted with the <contr>vanadic</contr> compounds; <as>as, <ex>vanadous</ex> acid</as></def> <altsp>[Sometimes written also <asp>vanadious</asp>.]</altsp>.<br/
[<source>1913 Webster</source> <source>+PJC</source>]</p>
<p><ent>Vanadyl</ent><br/
<hw>Van"a*dyl</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[<ets>Vanad</ets>ium + <ets>-yl</ets>.]</ety> <fld>(Chem.)</fld> <def>The hypothetical radical <chform>VO</chform>, regarded as a characteristic residue of certain vanadium compounds.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Van-courier</ent><br/
<hw>Van"-cou`ri*er</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[F. <ets>avant-courrier</ets>. See <er>Avant</er>, <er>Van</er> of an army, and <er>Courier</er>, and cf. <er>Avant-courier</er>, <er>Vaunt-courier</er>.]</ety> <def>One sent in advance; an avant-courier; a precursor.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vandal</ent><br/
<hw>Van"dal</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[L. <ets>Vandalus</ets>, <ets>Vandalius</ets>; of Teutonic origin, and probably originally signifying, a wanderer. Cf. <er>Wander</er>.]</ety><br/
[<source>1913 Webster</source>]</p>
<p><sn>1.</sn> <fld>(Anc. Hist.)</fld> <def>One of a Teutonic race, formerly dwelling on the south shore of the Baltic, the most barbarous and fierce of the northern nations that plundered Rome in the 5th century, notorious for destroying the monuments of art and literature.</def><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <def>Hence, one who willfully destroys or defaces any work of art or literature, or anything valluable.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>The <qex>Vandals</qex> of our isle,<br/
Sworn foes to sense and law.</q> <rj><qau>Cowper.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vandalic</ent><br/
<ent>Vandal</ent><br/
<mhw>{ <hw>Van"dal</hw> <pr>(?)</pr>, <hw>Van*dal"ic</hw> <pr>(?)</pr>, }</mhw> <pos>a.</pos> <def>Of or pertaining to the Vandals; resembling the Vandals in barbarism and destructiveness.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vandalism</ent><br/
<hw>Van"dal*ism</hw> <pr>(?)</pr>, <pos>n.</pos> <def>The spirit or conduct of the Vandals; ferocious cruelty; hostility to the arts and literature, or willful destruction or defacement of any object of beauty or value.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vandyke</ent><br/
<hw>Van*dyke"</hw> <pr>(v<acr/n*d<imac/k")</pr>, <pos>a.</pos> <def>Of or pertaining to the style of <persfn>Vandyke</persfn> the painter; used or represented by <persfn>Vandyke</persfn>.</def> <ldquo/His <xex>Vandyke</xex> dress.<rdquo/ <au>Macaulay.</au> <altsp>[Written also <asp>Vandyck</asp>.]</altsp><br/
[<source>1913 Webster</source>]</p>
<p><cs><col><b>Vandyke brown</b></col> <fld>(Paint.)</fld>, <cd>a pigment of a deep semitransparent brown color, supposed to be the color used by <persfn>Vandyke</persfn> in his pictures.</cd> -- <mcol><col><b>Vandyke collar</b></col> <it>or</it> <col><b>Vandyke cape</b></col></mcol>, <cd>a broad collar or cape of linen and lace with a deep pointed or scalloped edge, worn lying on the shoulders; -- so called from its appearance in pictures by <persfn>Vandyke</persfn>.</cd> -- <col><b>Vandyke edge</b></col>, <cd>an edge having ornamental triangular points.</cd></cs><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vandyke</ent><br/
<hw>Van*dyke"</hw>, <pos>prop. n.</pos> <def>A picture by <persfn>Vandyke</persfn>. Also, a Vandyke collar, or a Vandyke edge.</def> <altsp>[Written also <asp>Vandyck</asp>.]</altsp><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vandyke</ent><br/
<hw>Van*dyke"</hw>, <pos>v. t.</pos> <def>To fit or furnish with a Vandyke; to form with points or scallops like a Vandyke.</def> <mark>[R.]</mark> <altsp>[Written also <asp>Vandyck</asp>.]</altsp><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vandyke beard</ent><br/
<hw>Van*dyke" beard`</hw>. <def>A trim, pointed beard, such as those often seen in pictures by <etsep>Vandyke</etsep>.</def><br/
[<source>Webster 1913 Suppl.</source>]</p>
<p><ent>Vane</ent><br/
<hw>Vane</hw> <pr>(v<amac/n)</pr>, <pos>n.</pos> <ety>[OE. & Prov. E. <ets>fane</ets> weathercock, banner, AS. <ets>fana</ets> a banner, flag; akin to D. <ets>vaan</ets>, G. <ets>fahne</ets>, OHG. <ets>fano</ets> cloth, gund <ets>fano</ets> flag, Icel. <ets>f<amac/ni</ets>, Sw. <ets>fana</ets>, Dan. <ets>fane</ets>, Goth. <ets>fana</ets> cloth, L. <ets>pannus</ets>, and perhaps to Gr. <grk>ph^nos</grk> a web, <grk>phni`on</grk> a bobbin, spool. Cf. <er>Fanon</er>, <er>Pane</er> a compartment, panel.]</ety><br/
[<source>1913 Webster</source>]</p>
<p><-- p. 1594 pr=PI --><br/
[<source>1913 Webster</source>]</p>
<p><sn>1.</sn> <def>A contrivance attached to some elevated object for the purpose of showing which way the wind blows; a weathercock. It is usually a plate or strip of metal, or slip of wood, often cut into some fanciful form, and placed upon a perpendicular axis around which it moves freely.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>Aye undiscreet, and changing as a <qex>vane</qex>.</q> <rj><qau>Chaucer.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <def>Any flat, extended surface attached to an axis and moved by the wind; <as>as, the <ex>vane</ex> of a windmill</as>; hence, a similar fixture of any form moved in or by water, air, or other fluid; <as>as, the <ex>vane</ex> of a screw propeller, a fan blower, an anemometer, etc</as>.</def><br/
[<source>1913 Webster</source>]</p>
<p><sn>3.</sn> <fld>(Zool.)</fld> <def>The rhachis and web of a feather taken together.</def><br/
[<source>1913 Webster</source>]</p>
<p><sn>4.</sn> <def>One of the sights of a compass, quadrant, etc.</def><br/
[<source>1913 Webster</source>]</p>
<p><cs><col><b>Vane of a leveling staff</b></col>. <fld>(Surv.)</fld> <cd>Same as <er>Target</er>, 3.</cd></cs><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vanessa</ent><br/
||<hw>Van*es"sa</hw> <pr>(v<acr/n*<ecr/s"s<adot/)</pr>, <pos>n.</pos> <ety>[Probably from Swift's poem of Cadenus and <etsep>Vanessa</etsep>. See <er>Vanessa</er>, in the Dictionary of Noted Names in Fiction.]</ety> <fld>(Zool.)</fld> <def>Any one of numerous species of handsomely colored butterflies belonging to <gen>Vanessa</gen> and allied genera. Many of these species have the edges of the wings irregularly scalloped.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vanessian</ent><br/
<hw>Van*es"si*an</hw> <pr>(?)</pr>, <pos>n.</pos> <fld>(Zool.)</fld> <def>A vanessa.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vanfoss</ent><br/
<hw>Van"foss`</hw> <pr>(v<acr/n"f<ocr/s`)</pr>, <pos>n.</pos> <ety>[F. <ets>avant-foss<eacute/</ets>; <ets>avant</ets> before + <ets>foss<eacute/</ets> ditch. Cf. <er>Fosse</er>.]</ety> <fld>(Fort.)</fld> <def>A ditch on the outside of the counterscarp, usually full of water.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vang</ent><br/
<hw>Vang</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[D. <ets>vangen</ets> to catch, seize. See <er>Fang</er>.]</ety> <fld>(Naut.)</fld> <def>A rope to steady the peak of a gaff.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vanglo</ent><br/
<hw>Van"glo</hw> <pr>(?)</pr>, <pos>n.</pos> <fld>(Bot.)</fld> <def>Benne (<spn>Sesamum orientale</spn>); also, its seeds; -- so called in the West Indies.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vanguard</ent><br/
<hw>Van"guard`</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[For <ets>vantguard</ets>, <ets>avantguard</ets>, F. <ets>avant-garde</ets>; <ets>avant</ets> before, fore + <ets>garde</ets> guard. See <er>Avant</er>, <er>Ab-</er>,<er>Ante-</er>, and <er>Guard</er>, and cf. <er>Advance</er>, <er>Vamp</er>, <er>Van</er> of an army, <er>Vaward</er>.]</ety> <fld>(Mil.)</fld> <def>The troops who march in front of an army; the advance guard; the van.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vanilla</ent><br/
<hw>Va*nil"la</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[NL., fr. Sp. <ets>vainilla</ets>, dim. of Sp. <ets>vaina</ets> a sheath, a pod, L. <ets>vagina</ets>; because its grains, or seeds, are contained in little pods.]</ety><br/
[<source>1913 Webster</source>]</p>
<p><sn>1.</sn> <fld>(Bot.)</fld> <def>A genus of climbing orchidaceous plants, natives of tropical America.</def><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <def>The long podlike capsules of <spn>Vanilla planifolia</spn>, and <spn>Vanilla claviculata</spn>, remarkable for their delicate and agreeable odor, for the volatile, odoriferous oil extracted from them; also, the flavoring extract made from the capsules, extensively used in confectionery, perfumery, etc.</def><br/
[<source>1913 Webster</source>]</p>
<p><note><hand/ As a medicine, <xex>vanilla</xex> is supposed to possess powers analogous to valerian, while, at the same time, it is far more grateful.</note><br/
[<source>1913 Webster</source>]</p>
<p><cs><col><b>Cuban vanilla</b></col>, <cd>a sweet-scented West Indian composite shrub (<spn>Eupatorium Dalea</spn>).</cd> -- <col><b>Vanilla bean</b></col>, <cd>the long capsule of the vanilla plant.</cd> -- <col><b>Vanilla grass</b></col>. <cd>Same as <cref>Holy grass</cref>, under <er>Holy</er>.</cd></cs><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vanillate</ent><br/
<hw>Va*nil"late</hw> <pr>(?)</pr>, <pos>n.</pos> <fld>(Chem.)</fld> <def>A salt of vanillic acid.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vanillic</ent><br/
<hw>Va*nil"lic</hw> <pr>(?)</pr>, <pos>a.</pos> <fld>(Chem.)</fld> <def>Pertaining to, or derived from, vanilla or vanillin; resembling vanillin; specifically, designating an alcohol and an acid respectively, vanillin being the intermediate aldehyde.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vanillin</ent><br/
<hw>Va*nil"lin</hw> <pr>(?)</pr>, <pos>n.</pos> <fld>(Chem.)</fld> <def>A white crystalline aldehyde having a burning taste and characteristic odor of vanilla. It is extracted from vanilla pods, and is also obtained by the decomposition of coniferin, and by the oxidation of eugenol.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vanilloes</ent><br/
<hw>Va*nil"loes</hw> <pr>(?)</pr>, <pos>n. pl.</pos> <def>An inferior kind of vanilla, the pods of <spn>Vanilla Pompona</spn>.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vanillyl</ent><br/
<hw>Va*nil"lyl</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[<ets>Vanill</ets>ic + <ets>-yl</ets>.]</ety> <fld>(Chem.)</fld> <def>The hypothetical radical characteristic of vanillic alcohol.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vaniloquence</ent><br/
<hw>Va*nil"o*quence</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[L. <ets>vaniloquentia</ets>; <ets>vanus</ets> vain + <ets>loquentia</ets> talk, <ets>loqui</ets> to speak.]</ety> <def>Vain or foolish talk.</def> <mark>[Obs.]</mark><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vaniloquent</ent><br/
<hw>Va*nil"o*quent</hw> <pr>(?)</pr>, <pos>a.</pos> <def>Talking foolishly.</def> <mark>[Obs.]</mark><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vanish</ent><br/
<hw>Van"ish</hw> <pr>(v<acr/n"<icr/sh)</pr>, <pos>v. i.</pos> <vmorph>[<pos>imp. & p. p.</pos> <conjf>Vanished</conjf> <pr>(v<acr/n"<icr/sht)</pr>; <pos>p. pr. & vb. n.</pos> <conjf>Vanishing</conjf>.]</vmorph> <ety>[OE. <ets>vanissen</ets>, OF. <ets>vanir</ets> (in comp.): cf. OF. <ets>envanir</ets>, <ets>esvanir</ets>, <ets>esvanu<ium/r</ets>, F. <ets>s'<eacute/vanouir</ets>; fr. L. <ets>vanus</ets> empty, vain; cf. L. <ets>vanescere</ets>, <ets>evanescere</ets>, to vanish. See <er>Vain</er>, and cf. <er>Evanescent</er>,<er>-ish</er>.]</ety><br/
[<source>1913 Webster</source>]</p>
<p><sn>1.</sn> <def>To pass from a visible to an invisible state; to go out of sight; to disappear; to fade; <as>as, vapor <ex>vanishes</ex> from the sight by being dissipated; a ship <ex>vanishes</ex> from the sight of spectators on land</as>.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>The horse <qex>vanished</qex> . . . out of sight.</q> <rj><qau>Chaucer.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><q>Go; <qex>vanish</qex> into air; away!</q> <rj><qau>Shak.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><q>The champions <qex>vanished</qex> from their posts with the speed of lightning.</q> <rj><qau>Sir W. Scott.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><q>Gliding from the twilight past to <qex>vanish</qex> among realities.</q> <rj><qau>Hawthorne.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <def>To be annihilated or lost; to pass away.</def> <ldquo/All these delights will <xex>vanish</xex>.<rdquo/ <rj><au>Milton.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vanish</ent><br/
<hw>Van"ish</hw> <pr>(v<acr/n"<icr/sh)</pr>, <pos>n.</pos> <fld>(Phon.)</fld> <def>The brief terminal part of a vowel or vocal element, differing more or less in quality from the main part; <as>as, <ex>a</ex> as in <ex>ale</ex> ordinarily ends with a <ex>vanish</ex> of <ex>i</ex> as in <ex>ill</ex>, <ex>o</ex> as in <ex>old</ex> with a vanish of <ex>oo</ex> as in <ex>foot</ex></as>.</def> <rj><au>Rush.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><note><hand/ The <xex>vanish</xex> is included by Mr. Bell under the general term <xex>glide</xex>.</note><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vanishing</ent><br/
<hw>Van"ish*ing</hw> <pr>(?)</pr>, <def><pos>a. & n.</pos> from <er>Vanish</er>, <pos>v.</pos></def><br/
[<source>1913 Webster</source>]</p>
<p><cs><col><b>Vanishing fraction</b></col> <fld>(Math.)</fld>, <cd>a fraction which reduces to the form <frac00/ for a particular value of the variable which enters it, usually in consequence of the existence of a common factor in both terms of the fraction, which factor becomes 0 for this particular value of the variable.</cd> <au>Math. Dict.</au> -- <col><b>Vanishing line</b></col> <fld>(Persp.)</fld>, <cd>the intersection of the parallel of any original plane and the picture; one of the lines converging to the vanishing point.</cd> -- <col><b>Vanishing point</b></col> <fld>(Persp.)</fld>, <cd>the point to which all parallel lines in the same plane tend in the representation.</cd> <au>Gwilt.</au> -- <col><b>Vanishing stress</b></col> <fld>(Phon.)</fld>, <cd>stress of voice upon the closing portion of a syllable.</cd> <au>Rush.</au></cs><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vanishment</ent><br/
<hw>Van"ish*ment</hw> <pr>(?)</pr>, <pos>n.</pos> <def>A vanishing.</def> <mark>[Obs.]</mark><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vanity</ent><br/
<hw>Van"i*ty</hw> <pr>(?)</pr>, <pos>n.</pos>; <plu><it>pl.</it> <plw>Vanities</plw> <pr>(#)</pr>.</plu> <ety>[OE. <ets>vanite</ets>, F. <ets>vanit<eacute/</ets>, L. <ets>vanitas</ets>, fr. <ets>vanus</ets> empty, vain. See <er>Vain</er>.]</ety><br/
[<source>1913 Webster</source>]</p>
<p><sn>1.</sn> <def>The quality or state of being vain; want of substance to satisfy desire; emptiness; unsubstantialness; unrealness; falsity.</def><br/
[<source>1913 Webster</source>]</p>
<p><q><qex>Vanity</qex> of vanities, saith the Preacher, <qex>vanity</qex> of vanities; all is <qex>vanity</qex>.</q> <rj><qau>Eccl. i. 2.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><q>Here I may well show the <qex>vanity</qex> of that which is reported in the story of Walsingham.</q> <rj><qau>Sir J. Davies.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <def>An inflation of mind upon slight grounds; empty pride inspired by an overweening conceit of one's personal attainments or decorations; an excessive desire for notice or approval; pride; ostentation; conceit.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>The exquisitely sensitive <qex>vanity</qex> of Garrick was galled.</q> <rj><qau>Macaulay.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>3.</sn> <def>That which is vain; anything empty, visionary, unreal, or unsubstantial; fruitless desire or effort; trifling labor productive of no good; empty pleasure; vain pursuit; idle show; unsubstantial enjoyment.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>Vanity of <qex>vanities</qex>, saith the Preacher.</q> <rj><qau>Eccl. i. 2.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><q><qex>Vanity</qex> possesseth many who are desirous to know the certainty of things to come.</q> <rj><qau>Sir P. Sidney.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><q>[Sin] with <qex>vanity</qex> had filled the works of men.</q> <rj><qau>Milton.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><q>Think not, when woman's transient breath is fled,<br/
That all her <qex>vanities</qex> at once are dead;<br/
Succeeding <qex>vanities</qex> she still regards.</q> <rj><qau>Pope.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>4.</sn> <def>One of the established characters in the old moralities and puppet shows. See <er>Morality</er>, <pos>n.</pos>, 5.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>You . . . take <qex>vanity</qex> the puppet's part.</q> <rj><qau>Shak.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>5.</sn> <def>same as <er>dressing table</er>.</def><br/
[<source>PJC</source>]</p>
<p><sn>6.</sn> <def>A cabinet built around a bathroom sink, usually with a countertop and sometimes drawers.</def><br/
[<source>PJC</source>]</p>
<p><syn><b>Syn.</b> -- Egotism; pride; emptiness; worthlessness; self-sufficiency. See <er>Egotism</er>, and <er>Pride</er>.</syn><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vanity box</ent><br/
<hw>Van"i*ty box</hw>. <def>A small box, usually jeweled or of precious metal and worn on a chain, containing a mirror, powder puff, and other small toilet articles for a woman.</def><br/
[<source>Webster 1913 Suppl.</source>]</p>
<p><ent>Vanjas</ent><br/
<hw>Van"jas</hw> <pr>(?)</pr>, <pos>n.</pos> <fld>(Zool.)</fld> <def>The Australian pied crow shrike (<spn>Strepera graculina</spn>). It is glossy bluish black, with the under tail coverts and the tips and bases of the tail feathers white.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vanner</ent><br/
<hw>Van"ner</hw> <pr>(?)</pr>, <pos>n.</pos> <fld>(Mining)</fld> <def>A machine for concentrating ore. See <er>Frue vanner</er>.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vanner hawk</ent><br/
<hw>Van"ner hawk`</hw> <pr>(?)</pr>. <def>The kestrel.</def> <mark>[Prov. Eng.]</mark><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vanning</ent><br/
<hw>Van"ning</hw>, <pos>n.</pos> <fld>(Mining)</fld> <def>A process by which ores are washed on a shovel, or in a vanner.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vanquish</ent><br/
<hw>Van"quish</hw> <pr>(v<acr/<nsm/"kw<icr/sh)</pr>, <pos>v. t.</pos> <vmorph>[<pos>imp. & p. p.</pos> <conjf>Vanquished</conjf> <pr>(v<acr/<nsm/"kw<icr/sht)</pr>; <pos>p. pr. & vb. n.</pos> <conjf>Vanquishing</conjf>.]</vmorph> <ety>[OE. <ets>venquishen</ets>, <ets>venquissen</ets>, <ets>venkisen</ets>, F. <ets>vaincre</ets>, pret. <ets>vainquis</ets>, OF. <ets>veintre</ets>, pret. <ets>venqui</ets>, <ets>venquis</ets> (cf. an OF. infin. <ets>vainquir</ets>), fr. L. <ets>vincere</ets>; akin to AS. <ets>w<imac/g</ets> war, battle, <ets>w<imac/gend</ets> a warrior, <ets>w<imac/gan</ets> to contend, fight, OHG. <ets>w<imac/gant</ets> a warrior, <ets>w<imac/gan</ets> to fight, Icel. <ets>v<imac/g</ets> battle, Goth. <ets>weihan</ets> to fight, contend. Cf. <er>Convince</er>, <er>Evict</er>, <er>Invincible</er>, <er>Victor</er>.]</ety> <sn>1.</sn> <def>To conquer, overcome, or subdue in battle, as an enemy.</def> <rj><au>Hakluyt.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><q>They . . . <qex>vanquished</qex> the rebels in all encounters.</q> <rj><qau>Clarendon.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <def>Hence, to defeat in any contest; to get the better of; to put down; to refute.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>This bold assertion has been fully <qex>vanquished</qex> in a late reply to the Bishop of Meaux's treatise.</q> <rj><qau>Atterbury.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><q>For e'en though <qex>vanquished</qex>, he could argue still.</q> <rj><qau>Goldsmith.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><syn><b>Syn.</b> -- To conquer; surmount; overcome; confute; silence. See <er>Conquer</er>.</syn><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vanquish</ent><br/
<hw>Van"quish</hw>, <pos>n.</pos> <fld>(Far.)</fld> <def>A disease in sheep, in which they pine away.</def> <altsp>[Written also <asp>vinquish</asp>.]</altsp><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vanquishable</ent><br/
<hw>Van"quish*a*ble</hw> <pr>(?)</pr>, <pos>a.</pos> <def>That may be vanquished.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vanquisher</ent><br/
<hw>Van"quish*er</hw> <pr>(?)</pr>, <pos>n.</pos> <def>One who, or that which, vanquishes.</def> <rj><au>Milton.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vanquishment</ent><br/
<hw>Van"quish*ment</hw> <pr>(?)</pr>, <pos>n.</pos> <def>The act of vanquishing, or the state of being vanquished.</def> <rj><au>Bp. Hall.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vansire</ent><br/
<hw>Van"sire</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[The native name: cf. F. <ets>vansire</ets>.]</ety> <fld>(Zool.)</fld> <def>An ichneumon (<spn>Herpestes galera</spn>) native of Southern Africa and Madagascar. It is reddish brown or dark brown, grizzled with white. Called also <altname>vondsira</altname>, and <altname>marsh ichneumon</altname>.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vant</ent><br/
<hw>Vant</hw> <pr>(?)</pr>, <pos>v. i.</pos> <def>See <er>Vaunt</er>.</def> <mark>[Obs.]</mark><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vantage</ent><br/
<hw>Van"tage</hw> <pr>(v<adot/n"t<asl/j; 48)</pr>, <pos>n.</pos> <ety>[Aphetic form of OE. <ets>avantage</ets>, fr. F. <ets>avantage</ets>. See <er>Advantage</er>.]</ety> <sn>1.</sn> <def>Superior or more favorable situation or opportunity; gain; profit; advantage.</def> <mark>[R.]</mark><br/
[<source>1913 Webster</source>]</p>
<p><q>O happy <qex>vantage</qex> of a kneeling knee!</q> <rj><qau>Shak.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <def>A position offering a superior view of a scene or situation; -- used literally and figuratively; <as>as, from the <ex>vantage</ex> of hindsight</as>; also called <altname>vantage point</altname>.</def><br/
[<source>PJC</source>]</p>
<p><sn>3.</sn> <fld>(Tennis)</fld> <def>The first point scored after deuce; advantage{5}.</def> <mark>[Brit.]</mark> <br/
[<source>1913 Webster</source>]</p>
<p><note><hand/ When the server wins this point, it is called <xex>vantage in</xex>; when the receiver, or striker out, wins, it is called <xex>vantage out</xex>.</note><br/
[<source>1913 Webster</source>]</p>
<p><cs><col><b>To have at vantage</b></col>, <cd>to have the advantage of; to be in a more favorable condition than.</cd> <ldquo/He <xex>had</xex> them <xex>at vantage</xex>, being tired and harassed with a long march.<rdquo/ <au>Bacon.</au> -- <col><b>Vantage ground</b></col>, <cd>superiority of state or place; the place or condition which gives one an advantage over another.</cd> <ldquo/The <xex>vantage ground</xex> of truth.<rdquo/ <rj><au>Bacon.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><q>It is these things that give him his actual standing, and it is from this <qex>vantage ground</qex> that he looks around him.</q> <rj><qau>I. Taylor.</qau></rj>
</cs><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vantage</ent><br/
<hw>Van"tage</hw>, <pos>v. t.</pos> <def>To profit; to aid.</def> <mark>[Obs.]</mark> <rj><au>Spenser.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vantage game</ent><br/
<hw>Van"tage game</hw>. <fld>(Lawn Tennis)</fld> <def>The first game after the set is deuce. See <er>Set</er>, <pos>n.</pos>, 9.</def><br/
[<source>Webster 1913 Suppl.</source>]</p>
<p><ent>Vantage point</ent><br/
<hw>Vantage point</hw>. <def>A point giving advantage; vantage ground.</def><br/
[<source>Webster 1913 Suppl.</source>]</p>
<p><ent>Vantbrass</ent><br/
<ent>Vantbrace</ent><br/
<mhw>{ <hw>Vant"brace</hw> <pr>(v<adot/nt"br<amac/s)</pr>, <hw>Vant"brass</hw> <pr>(v<adot/nt"br<adot/s)</pr>, }</mhw> <pos>n.</pos> <ety>[F. <ets>avant</ets> fore + <ets>bras</ets> arm: cf. F. <ets>brassard</ets> armor for the arm, brace, forearm. Cf. <er>Vambrace</er>.]</ety> <fld>(Anc. Armor)</fld> <def>Armor for the arm; vambrace.</def> <rj><au>Milton.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vant-courier</ent><br/
<hw>Vant"-cou`ri*er</hw> <pr>(v<adot/nt"k<oomac/`r<icr/*<etil/r)</pr>, <pos>n.</pos> <def>An avant-courier. See <er>Van-courier</er>.</def> <mark>[Obs.]</mark> <rj><au>Holland.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Van't Hoff's law</ent><br/
<hw>Van't Hoff's law</hw> <pr>(?)</pr>. <ety>[After <person>J. H. <etsep>van't Hoff</etsep></person>, Dutch physical chemist.]</ety> <fld>(Phys. Chem.)</fld> <def>The generalization that: when a system is in equilibrium, of the two opposed interactions the endothermic is promoted by raising the temperature, the exothermic by lowering it.</def><br/
[<source>Webster 1913 Suppl.</source>]</p>
<p><ent>Vanward</ent><br/
<hw>Van"ward</hw> <pr>(?)</pr>, <pos>a.</pos> <def>Being on, or towards, the van, or front.</def> <ldquo/The <xex>vanward</xex> frontier.<rdquo/ <rj><au>De Quincey.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vap</ent><br/
<hw>Vap</hw> <pr>(v<acr/p)</pr>, <pos>n.</pos> <ety>[See <er>Vapid</er>.]</ety> <def>That which is vapid, insipid, or lifeless; especially, the lifeless part of liquor or wine.</def> <mark>[Obs.]</mark><br/
[<source>1913 Webster</source>]</p>
<p><q>In vain it is to wash a goblet, if you mean to put into it nothing but the dead lees and <qex>vap</qex> of wine.</q> <rj><qau>Jer. Taylor.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vapid</ent><br/
<hw>Vap"id</hw> <pr>(v<acr/p"<icr/d)</pr>, <pos>a.</pos> <ety>[L. <ets>vapidus</ets> having lost its life and spirit, vapid; akin to <ets>vappa</ets> vapid wine, <ets>vapor</ets> vapor. See <er>Vapor</er>.]</ety> <def>Having lost its life and spirit; dead; spiritless; insipid; flat; dull; unanimated; <as>as, <ex>vapid</ex> beer; a <ex>vapid</ex> speech; <ex>a vapid</ex> state of the blood</as>.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>A cheap, bloodless reformation, a guiltless liberty, appear flat and <qex>vapid</qex> to their taste.</q> <rj><qau>Burke.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p>-- <wordforms><wf>Vap"id*ly</wf> <pr>(#)</pr>, <pos>adv.</pos> -- <wf>Vap"id*ness</wf>, <pos>n.</pos></wordforms><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vapidity</ent><br/
<hw>Va*pid"i*ty</hw> <pr>(?)</pr>, <pos>n.</pos> <def>The quality or state of being vapid; vapidness.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vapor</ent><br/
<hw>Va"por</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[OE. <ets>vapour</ets>, OF. <ets>vapour</ets>, <ets>vapor</ets>, <ets>vapeur</ets>, F. <ets>vapeur</ets>, L. <ets>vapor</ets>; probably for <ets>cvapor</ets>, and akin to Gr. <?/ smoke, <?/ to breathe forth, Lith. <ets>kvepti</ets> to breathe, smell, Russ. <ets>kopote</ets> fine soot. Cf. <er>Vapid</er>.]</ety> <altsp>[Written also <asp>vapour</asp>.]</altsp><br/
[<source>1913 Webster</source>]</p>
<p><sn>1.</sn> <fld>(Physics)</fld> <def>Any substance in the gaseous, or aeriform, state, the condition of which is ordinarily that of a liquid or solid.</def><br/
[<source>1913 Webster</source>]</p>
<p><note><hand/ The term <xex>vapor</xex> is sometimes used in a more extended sense, as identical with <xex>gas</xex>; and the difference between the two is not so much one of kind as of degree, the latter being applied to all permanently elastic fluids except atmospheric air, the former to those elastic fluids which lose that condition at ordinary temperatures. The atmosphere contains more or less <xex>vapor</xex> of water, a portion of which, on a reduction of temperature, becomes condensed into liquid water in the form of rain or dew. The <xex>vapor</xex> of water produced by boiling, especially in its economic relations, is called <xex>steam</xex>.</note><br/
[<source>1913 Webster</source>]</p>
<p><q><qex>Vapor</qex> is any substance in the gaseous condition at the maximum of density consistent with that condition. This is the strict and proper meaning of the word <qex>vapor</qex>.</q> <rj><qau>Nichol.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <def>In a loose and popular sense, any visible diffused substance floating in the atmosphere and impairing its transparency, as smoke, fog, etc.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>The <qex>vapour</qex> which that fro the earth glood [glided].</q> <rj><qau>Chaucer.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><q>Fire and hail; snow and <qex>vapors</qex>; stormy wind fulfilling his word.</q> <rj><qau>Ps. cxlviii. 8.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>3.</sn> <def>Wind; flatulence.</def> <mark>[Obs.]</mark> <rj><au>Bacon.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>4.</sn> <def>Something unsubstantial, fleeting, or transitory; unreal fancy; vain imagination; idle talk; boasting.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>For what is your life? It is even a <qex>vapor</qex>, that appeareth for a little time, and then vanisheth away.</q> <rj><qau>James iv. 14.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>5.</sn> <pluf>pl.</pluf> <def>An old name for hypochondria, or melancholy; the blues.</def> <ldquo/A fit of <xex>vapors</xex>.<rdquo/ <rj><au>Pope.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>6.</sn> <fld>(Pharm.)</fld> <def>A medicinal agent designed for administration in the form of inhaled vapor.</def> <rj><au>Brit. Pharm.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><cs><col><b>Vapor bath</b></col>. <sd>(a)</sd> <cd>A bath in vapor; the application of vapor to the body, or part of it, in a close place; also, the place itself.</cd> <sd>(b)</sd> <fld>(Chem.)</fld> <cd>A small metallic drying oven, usually of copper, for drying and heating filter papers, precipitates, etc.; -- called also <altname>air bath</altname>. A modified form is provided with a jacket in the outside partition for holding water, or other volatile liquid, by which the temperature may be limited exactly to the required degree.</cd> -- <col><b>Vapor burner</b></col>, <cd>a burner for burning a vaporized hydrocarbon.</cd> -- <col><b>Vapor density</b></col> <fld>(Chem.)</fld>, <cd>the relative weight of gases and vapors as compared with some specific standard, usually hydrogen, but sometimes air. The vapor density of gases and vaporizable substances as compared with hydrogen, when multiplied by two, or when compared with air and multiplied by 28.8, gives the molecular weight.</cd> -- <col><b>Vapor engine</b></col>, <cd>an engine worked by the expansive force of a vapor, esp. a vapor other than steam.</cd></cs><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vapor</ent><br/
<hw>Va"por</hw>, <pos>v. i.</pos> <vmorph>[<pos>imp. & p. p.</pos> <conjf>Vapored</conjf> <pr>(?)</pr>; <pos>p. pr. & vb. n.</pos> <conjf>Vaporing</conjf>.]</vmorph> <ety>[From <er>Vapor</er>, <pos>n.</pos>: cf. L. <ets>vaporare</ets>.]</ety> <altsp>[Written also <asp>vapour</asp>.]</altsp><br/
[<source>1913 Webster</source>]</p>
<p><sn>1.</sn> <def>To pass off in fumes, or as a moist, floating substance, whether visible or invisible, to steam; to be exhaled; to evaporate.</def><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <def>To emit vapor or fumes.</def> <mark>[R.]</mark><br/
[<source>1913 Webster</source>]</p>
<p><q>Running waters <qex>vapor</qex> not so much as standing waters.</q> <rj><qau>Bacon.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>3.</sn> <def>To talk idly; to boast or vaunt; to brag.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>Poets used to <qex>vapor</qex> much after this manner.</q> <rj><qau>Milton.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><q>We <qex>vapor</qex> and say, By this time Matthews has beaten them.</q> <rj><qau>Walpole.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vapor</ent><br/
<hw>Va"por</hw>, <pos>v. t.</pos> <def>To send off in vapor, or as if in vapor; <as>as, to <ex>vapor</ex> away a heated fluid</as>.</def> <altsp>[Written also <asp>vapour</asp>.]</altsp><br/
[<source>1913 Webster</source>]</p>
<p><q>He'd laugh to see one throw his heart away,<br/
Another, sighing, <qex>vapor</qex> forth his soul.</q> <rj><qau>B. Jonson.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vaporability</ent><br/
<hw>Vap`o*ra*bil"i*ty</hw> <pr>(?)</pr>, <pos>n.</pos> <def>The quality or state of being vaporable.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vaporable</ent><br/
<hw>Vap"o*ra*ble</hw> <pr>(?)</pr>, <pos>a.</pos> <def>Capable of being converted into vapor by the agency of heat; vaporizable.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vaporate</ent><br/
<hw>Vap"o*rate</hw> <pr>(?)</pr>, <pos>v. i.</pos> <ety>[L. <ets>vaporare</ets>, <ets>vaporatum</ets>. See <er>Vapor</er>.]</ety> <def>To emit vapor; to evaporate.</def> <mark>[R.]</mark><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vaporation</ent><br/
<hw>Vap`o*ra"tion</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[Cf. F. <ets>vaporation</ets>, L. <ets>vaporatio</ets>.]</ety> <def>The act or process of converting into vapor, or of passing off in vapor; evaporation.</def> <mark>[R.]</mark><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vapored</ent><br/
<hw>Va"pored</hw> <pr>(?)</pr>, <pos>a.</pos> <sn>1.</sn> <def>Wet with vapors; moist.</def><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <def>Affected with the vapors. See <er>Vapor</er>, <pos>n.</pos>, 5.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vaporer</ent><br/
<hw>Va"por*er</hw> <pr>(?)</pr>, <pos>n.</pos> <def>One who vapors; a braggart.</def><br/
[<source>1913 Webster</source>]</p>
<p><cs><col><b>Vaporer moth</b></col>. <fld>(Zool.)</fld> <cd>See <er>Orgyia</er>.</cd></cs><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vapor galvanizing</ent><br/
<hw>Va"por gal"va*niz`ing</hw>. <fld>(Metal.)</fld> <def>A process for coating metal (usually iron or steel) surfaces with zinc by exposing them to the vapor of zinc instead of, as in ordinary galvanizing, to molten zinc; -- called also <altname>Sherardizing</altname>. Vapor galvanizing is accomplished by heating the articles to be galvanized together with zinc dust in an air tight receptacle to a temperature of about 600<deg/ F., which is 188<deg/ below the melting point of zinc, or by exposing the articles to vapor from molten zinc in a separate receptacle, using hydrogen or other reducing gas to prevent oxidation.</def><br/
[<source>Webster 1913 Suppl.</source>]</p>
<p><ent>Vaporiferous</ent><br/
<hw>Vap`o*rif"er*ous</hw> <pr>(?)</pr>, <pos>a.</pos> <ety>[L. <ets>vaporifer</ets>; <ets>vapor + ferre</ets> to bear.]</ety> <def>Conveying or producing vapor.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vaporific</ent><br/
<hw>Vap`o*rif"ic</hw> <pr>(?)</pr>, <pos>a.</pos> <ety>[L. <ets>vapor</ets> vapor + <ets>facere</ets> to make.]</ety> <fld>(Chem.)</fld> <def>Producing vapor; tending to pass, or to cause to pass, into vapor; <as>thus, volatile fluids are <ex>vaporific</ex>; heat is a <ex>vaporific</ex> agent</as>.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vaporiform</ent><br/
<hw>Va*por"i*form</hw> <pr>(?)</pr>, <pos>a.</pos> <def>Existing in a vaporous form or state; <as>as, steam is a <ex>vaporiform</ex> substance</as>.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vaporimeter</ent><br/
<hw>Vap`o*rim"e*ter</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[<ets>Vapor</ets> + <ets>-meter</ets>.]</ety> <def>An instrument for measuring the volume or the tension of any vapor; specifically, an instrument of this sort used as an alcoholometer in testing spirituous liquors.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vaporing</ent><br/
<hw>Va"por*ing</hw> <pr>(?)</pr>, <pos>a.</pos> <def>Talking idly; boasting; vaunting.</def> -- <wordforms><wf>Va"por*ing*ly</wf>, <pos>adv.</pos></wordforms><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vaporish</ent><br/
<hw>Va"por*ish</hw>, <pos>a.</pos> <sn>1.</sn> <def>Full of vapors; vaporous.</def><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <def>Hypochondriacal; affected by hysterics; splenetic; peevish; humorsome.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>Pallas grew <qex>vap'rish</qex> once and odd.</q> <rj><qau>Pope.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vaporizable</ent><br/
<hw>Vap"o*ri`za*ble</hw> <pr>(v<acr/p"<osl/*r<imac/`z<adot/*b'l <it>or</it> v<amac/"p<etil/r*<imac/`z<adot/*b'l; 110)</pr>, <pos>a.</pos> <def>Capable of being vaporized, or converted into vapor.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vaporization</ent><br/
<hw>Vap`o*ri*za"tion</hw> <pr>(v<acr/p`<osl/*r<imac/*z<adot/"sh<ucr/n <it>or</it> v<amac/`p<etil/r*<imac/*z<adot/"sh<ucr/n)</pr>, <pos>n.</pos> <ety>[Cf. F. <ets>vaporisation</ets>.]</ety> <def>The act or process of vaporizing, or the state of being converted into vapor; the artificial formation of vapor; specifically, the conversion of water into steam, as in a steam boiler.</def><br/
[<source>1913 Webster</source>]</p>
<p><-- p. 1595 pr=PI --><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vaporize</ent><br/
<hw>Vap"o*rize</hw> <pr>(v<acr/p"<osl/*r<imac/z <it>or</it> v<amac/"p<etil/r*<imac/z)</pr>, <pos>v. t.</pos> <vmorph>[<pos>imp. & p. p.</pos> <conjf>Vaporized</conjf> <pr>(-r<imac/zd)</pr>; <pos>p. pr. & vb. n.</pos> <conjf>Vaporizing</conjf> <pr>(-r<imac/`z<icr/ng)</pr>.]</vmorph> <ety>[Cf. F. <ets>vaporiser</ets>.]</ety> <def>To convert into vapor, as by the application of heat, whether naturally or artificially.</def><br/
[<source>1913 Webster</source>]</p>
<p><cs><col><b>Vaporizing surface</b></col>. <fld>(Steam Boilers)</fld> <cd>See <cref>Evaporating surface</cref>, under <er>Evaporate</er>, <pos>v. t.</pos></cd></cs><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vaporize</ent><br/
<hw>Vap"o*rize</hw>, <pos>v. i.</pos> <def>To pass off in vapor.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vaporizer</ent><br/
<hw>Vap"o*ri`zer</hw> <pr>(?)</pr>, <pos>n.</pos> <def>One who, or that which, vaporizes, or converts into vapor.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vaporose</ent><br/
<hw>Va"por*ose`</hw> <pr>(v<amac/"p<etil/r*<omac/s`)</pr>, <pos>a.</pos> <def>Full of vapor; vaporous.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vaporous</ent><br/
<hw>Va"por*ous</hw> <pr>(v<amac/"p<etil/r*<ucr/s`)</pr>, <pos>a.</pos> <ety>[L. <ets>vaporosus</ets>: cf. F. <ets>vaporeux</ets>.]</ety><br/
[<source>1913 Webster</source>]</p>
<p><sn>1.</sn> <def>Having the form or nature of vapor.</def> <rj><au>Holland.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <def>Full of vapors or exhalations.</def> <rj><au>Shak.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><q>The warmer and more <qex>vaporous</qex> air of the valleys.</q> <rj><qau>Derham.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>3.</sn> <def>Producing vapors; hence, windy; flatulent.</def> <rj><au>Bacon.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><q>The food which is most <qex>vaporous</qex> and perspirable is the most easily digested.</q> <rj><qau>Arbuthnot.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>4.</sn> <def>Unreal; unsubstantial; vain; whimsical.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>Such <qex>vaporous</qex> speculations were inevitable.</q> <rj><qau>Carlyle.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vaporousness</ent><br/
<hw>Va"por*ous*ness</hw>, <pos>n.</pos> <def>The quality of being vaporous.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vapor tension</ent><br/
<ent>Vapor pressure</ent><br/
<mhw>{ <hw>Vapor pressure</hw> <it>or</it> <hw>Vapor tension</hw> }</mhw>. <fld>(Physics)</fld> <def>The pressure or tension of a confined body of vapor of a chemical substance in equilibrium with the solid or liquid form of the substance. The pressure of a given saturated vapor is a function of the temperature only, and may be measured by introducing a small quantity of the substance into a barometer and noting the depression of the column of mercury.</def><br/
[<source>Webster 1913 Suppl.</source> <source>+PJC</source>]</p>
<p><ent>Vapory</ent><br/
<hw>Va"por*y</hw> <pr>(?)</pr>, <pos>a.</pos> <sn>1.</sn> <def>Full of vapors; vaporous.</def><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <def>Hypochondriacal; splenetic; peevish.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vapulation</ent><br/
<hw>Vap`u*la"tion</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[L. <ets>vapulare</ets> to be flogged.]</ety> <def>The act of beating or whipping.</def> <mark>[Obs.]</mark><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vaquero</ent><br/
||<hw>Va*que"ro</hw> <pr>(v<aum/*k<asl/"r<osl/)</pr>, <pos>n.</pos> <ety>[Sp., cowherd, fr. <ets>vaca</ets> a cow, L. <ets>vacca</ets>. Cf. <er>Vacher</er>.]</ety> <def>One who has charge of cattle, horses, etc.; a herdsman; a cowboy.</def> <mark>[Southwestern U. S.]</mark><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vara</ent><br/
||<hw>Va"ra</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[Sp. See 1st <er>Vare</er>.]</ety> <def>A Spanish measure of length equal to about one yard. The vara now in use equals 33.385 inches.</def> <rj><au>Johnson's Cyc.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Varan</ent><br/
<hw>Va"ran</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[F.]</ety> <fld>(Zool.)</fld> <def>The monitor. See <er>Monitor</er>, 3.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Varangian</ent><br/
<hw>Va*ran"gi*an</hw> <pr>(?)</pr>, <pos>n.</pos> <def>One of the Northmen who founded a dynasty in Russia in the 9th century; also, one of the Northmen composing, at a later date, the imperial bodyguard at Constantinople.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Varanus</ent><br/
||<hw>Va*ra"nus</hw> <pr>(?)</pr>, <pos>prop. n.</pos> <ety>[NL., fr. Ar. <ets>uaran</ets>, <ets>uaral</ets>; cf. F. <ets>varan</ets>, from the Arabic.]</ety> <fld>(Zool.)</fld> <def>A genus of very large lizards native of Asia and Africa. It includes the monitors. See <er>Monitor</er>, 3.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vare</ent><br/
<hw>Vare</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[Sp. <ets>vara</ets> staff, wand, L. <ets>vara</ets> forked pole.]</ety> <def>A wand or staff of authority or justice.</def> <mark>[Obs.]</mark><br/
[<source>1913 Webster</source>]</p>
<p><q>His hand a <qex>vare</qex> of justice did uphold.</q> <rj><qau>Dryden.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vare</ent><br/
<hw>Vare</hw>, <pos>n.</pos> <fld>(Zool.)</fld> <def>A weasel.</def> <mark>[Prov. Eng.]</mark><br/
[<source>1913 Webster</source>]</p>
<p><cs><col><b>Vare widgeon</b></col> <fld>(Zool.)</fld>, <cd>a female or young male of the smew; a weasel duck; -- so called from the resemblance of the head to that of a <xex>vare</xex>, or weasel.</cd> <mark>[Prov. Eng.]</mark></cs><br/
[<source>1913 Webster</source>]</p>
<p><ent>Varec</ent><br/
<hw>Var"ec</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[F. <ets>varech</ets>; of Teutonic origin. See <er>Wrack</er> seaweed, wreck.]</ety> <def>The calcined ashes of any coarse seaweed used for the manufacture of soda and iodine; also, the seaweed itself; fucus; wrack.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vargueno</ent><br/
<hw>Var*gue"no</hw> <pr>(v<aum/r*g<amac/"n<omac/)</pr>, <pos>n.</pos> <ety>[Said to be fr. <ets>Vargas</ets>, name of a village in Spain.]</ety> <fld>(Art)</fld> <def>A decorative cabinet, of a form originating in Spain, the body being rectangular and supported on legs or an ornamental framework and the front opening downwards on hinges to serve as a writing desk.</def><br/
[<source>Webster 1913 Suppl.</source>]</p>
<p><ent>Vari</ent><br/
||<hw>Va"ri</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[Cf. F. <ets>vari</ets>.]</ety> <fld>(Zool.)</fld> <def>The ringtailed lemur (<spn>Lemur catta</spn>) of Madagascar. Its long tail is annulated with black and white.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Variability</ent><br/
<hw>Va`ri*a*bil"i*ty</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[Cf. F. <ets>variabilit<eacute/</ets>.]</ety> <sn>1.</sn> <def>The quality or state of being variable; variableness.</def><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <fld>(Biol.)</fld> <def>The power possessed by living organisms, both animal and vegetable, of adapting themselves to modifications or changes in their environment, thus possibly giving rise to ultimate variation of structure or function.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Variable</ent><br/
<hw>Va"ri*a*ble</hw> <pr>(?)</pr>, <pos>a.</pos> <ety>[L. <ets>variabilis</ets>: cf. F. <ets>variable</ets>.]</ety> <sn>1.</sn> <def>Having the capacity of varying or changing; capable of alternation in any manner; changeable; <as>as, <ex>variable</ex> winds or seasons; a <ex>variable</ex> quantity.</as></def><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <def>Liable to vary; too susceptible of change; mutable; fickle; unsteady; inconstant; <as>as, the affections of men are <ex>variable</ex>; passions are <ex>variable</ex>.</as></def><br/
[<source>1913 Webster</source>]</p>
<p><q>Lest that thy love prove likewise <qex>variable</qex>.</q> <rj><qau>Shak.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><q>His heart, I know, how <qex>variable</qex> and vain!</q> <rj><qau>Milton.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><cs><col><b>Variable exhaust</b></col> <fld>(Steam Eng.)</fld>, <cd>a blast pipe with an adjustable opening.</cd> -- <col><b>Variable quantity</b></col> <fld>(Math.)</fld>, <cd>a variable.</cd> -- <col><b>Variable-rate mortgage</b></col> <fld>(Finance)</fld>, <cd>a mortgage whose percentage interest rate varies depending on some agreed standard, such as the prime rate; -- used often in financing the purchase of a home. Such a mortgage usually has a lower initial interest rate than a <contr>fixed-rate mortgage</contr>, and this permits buyers of a home to finance the purchase a house of higher price than would be possible with a fixed-rate loan.</cd> -- <col><b>Variable stars</b></col> <fld>(Astron.)</fld>, <cd>fixed stars which vary in their brightness, usually in more or less uniform periods.</cd></cs><br/
[<source>1913 Webster</source>]</p>
<p><syn><b>Syn.</b> -- Changeable; mutable; fickle; wavering; unsteady; versatile; inconstant.</syn><br/
[<source>1913 Webster</source>]</p>
<p><ent>Variable</ent><br/
<hw>Va"ri*a*ble</hw>, <pos>n.</pos> <sn>1.</sn> <def>That which is variable; that which varies, or is subject to change.</def><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <fld>(Math.)</fld> <def>A quantity which may increase or decrease; a quantity which admits of an infinite number of values in the same expression; a variable quantity; <as>as, in the equation <mathex>x<exp>2</exp> - y<exp>2</exp> = R<exp>2</exp></mathex>, <it>x</it> and <it>y</it> are <ex>variables</ex></as>.</def><br/
[<source>1913 Webster</source>]</p>
<p><sn>3.</sn> <fld>(Naut.)</fld> <sd>(a)</sd> <def>A shifting wind, or one that varies in force.</def> <sd>(b)</sd> <pluf>pl.</pluf> <def>Those parts of the sea where a steady wind is not expected, especially the parts between the trade-wind belts.</def><br/
[<source>1913 Webster</source>]</p>
<p><cs><col><b>Independent variable</b></col> <fld>(Math.)</fld>, <cd>that one of two or more variables, connected with each other in any way whatever, to which changes are supposed to be given at will. <as>Thus, in the equation <mathex>x<exp>2</exp> - y<exp>2</exp> = R<exp>2</exp></mathex>, if arbitrary changes are supposed to be given to <it>x</it>, then <it>x</it> is the <ex>independent variable</ex>, and <it>y</it> is called a <it>function</it> of <it>x</it>.</as> There may be two or more independent variables in an equation or problem. Cf. <cref>Dependent variable</cref>, under <er>Dependent</er>.</cd></cs><br/
[<source>1913 Webster</source>]</p>
<p><ent>Variableness</ent><br/
<hw>Va"ri*a*ble*ness</hw>, <pos>n.</pos> <def>The quality or state of being variable; variability.</def> <rj><au>James i. 17.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Variably</ent><br/
<hw>Va"ri*a*bly</hw>, <pos>adv.</pos> <def>In a variable manner.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Variance</ent><br/
<hw>Va"ri*ance</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[L. <ets>variantia</ets>.]</ety> <sn>1.</sn> <def>The quality or state of being variant; change of condition; variation.</def><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <def>Difference that produces dispute or controversy; disagreement; dissension; discord; dispute; quarrel.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>That which is the strength of their amity shall prove the immediate author of their <qex>variance</qex>.</q> <rj><qau>Shak.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>3.</sn> <fld>(Law)</fld> <def>A disagreement or difference between two parts of the same legal proceeding, which, to be effectual, ought to agree, -- as between the writ and the declaration, or between the allegation and the proof.</def> <rj><au>Bouvier.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>4.</sn> <fld>(Statistics)</fld> <def>The expected value of the square of the deviation from the mean of a randomly distributed variable; the second moment about the mean. This is also the square of the <contr>standard deviation</contr>.</def><br/
[<source>PJC</source>]</p>
<p><cs><col><b>At variance</b></col>, <cd>in disagreement; in a state of dissension or controversy; at enmity.</cd> <ldquo/What cause brought him so soon <xex>at variance</xex> with himself?<rdquo/ <rj><au>Milton.</au></rj>
</cs><br/
[<source>1913 Webster</source>]</p>
<p><ent>Variant</ent><br/
<hw>Va"ri*ant</hw> <pr>(?)</pr>, <pos>a.</pos> <ety>[L. <ets>varians</ets>, p. pr. of <ets>variare</ets> to change: cf. F. <ets>variant</ets>. See <er>Vary</er>.]</ety> <sn>1.</sn> <def>Varying in form, character, or the like; variable; different; diverse.</def><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <def>Changeable; changing; fickle.</def> <mark>[Obs.]</mark><br/
[<source>1913 Webster</source>]</p>
<p><q>He is so <qex>variant</qex>, he abit [abides] nowhere.</q> <rj><qau>Chaucer.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Variant</ent><br/
<hw>Va"ri*ant</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[Cf. F. <ets>variante</ets>.]</ety> <def>Something which differs in form from another thing, though really the same; <as>as, a <ex>variant</ex> from a type in natural history; a <ex>variant</ex> of a story or a word.</as></def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Variate</ent><br/
<hw>Va"ri*ate</hw> <pr>(?)</pr>, <pos>v. t. & i.</pos> <ety>[L. <ets>variatus</ets>, p. p. of <ets>variare</ets>. See <er>Vary</er>.]</ety> <def>To alter; to make different; to vary.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Variation</ent><br/
<hw>Va`ri*a"tion</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[OE. <ets>variatioun</ets>, F. <ets>variation</ets>, L. <ets>variatio</ets>. See <er>Vary</er>.]</ety> <sn>1.</sn> <def>The act of varying; a partial change in the form, position, state, or qualities of a thing; modification; alteration; mutation; diversity; deviation; <as>as, a <ex>variation</ex> of color in different lights; a <ex>variation</ex> in size; <ex>variation</ex> of language.</as></def><br/
[<source>1913 Webster</source>]</p>
<p><q>The essences of things are conceived not capable of any such <qex>variation</qex>.</q> <rj><qau>Locke.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <def>Extent to which a thing varies; amount of departure from a position or state; amount or rate of change.</def><br/
[<source>1913 Webster</source>]</p>
<p><sn>3.</sn> <fld>(Gram.)</fld> <def>Change of termination of words, as in declension, conjugation, derivation, etc.</def><br/
[<source>1913 Webster</source>]</p>
<p><sn>4.</sn> <fld>(Mus.)</fld> <def>Repetition of a theme or melody with fanciful embellishments or modifications, in time, tune, or harmony, or sometimes change of key; the presentation of a musical thought in new and varied aspects, yet so that the essential features of the original shall still preserve their identity.</def><br/
[<source>1913 Webster</source>]</p>
<p><sn>5.</sn> <fld>(Alg.)</fld> <def>One of the different arrangements which can be made of any number of quantities taking a certain number of them together.</def><br/
[<source>1913 Webster</source>]</p>
<p><cs><col><b>Annual variation</b></col> <fld>(Astron.)</fld>, <cd>the yearly change in the right ascension or declination of a star, produced by the combined effects of the precession of the equinoxes and the proper motion of the star.</cd> -- <col><b>Calculus of variations</b></col>. <cd>See under <er>Calculus</er>.</cd> -- <col><b>Variation compass</b></col>. <cd>See under <er>Compass</er>.</cd> -- <col><b>Variation of the moon</b></col> <fld>(Astron.)</fld>, <cd>an inequality of the moon's motion, depending on the angular distance of the moon from the sun. It is greater at the octants, and zero at the quadratures.</cd> -- <col><b>Variation of the needle</b></col> <fld>(Geog. & Naut.)</fld>, <cd>the angle included between the true and magnetic meridians of a place; the deviation of the direction of a magnetic needle from the true north and south line; -- called also <altname>declination of the needle</altname>.</cd></cs><br/
[<source>1913 Webster</source>]</p>
<p><syn><b>Syn.</b> -- Change; vicissitude; variety; deviation.</syn><br/
[<source>1913 Webster</source>]</p>
<p><ent>Varicella</ent><br/
<hw>Var`i*cel"la</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[NL., dim. of LL. <ets>variola</ets> smallpox.]</ety> <fld>(Med.)</fld> <def>Chicken pox.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Varices</ent><br/
||<hw>Var"i*ces</hw> <pr>(?)</pr>, <pos>n. pl.</pos> <def>See <er>Varix</er>.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Variciform</ent><br/
<hw>Va*ric"i*form</hw> <pr>(?)</pr>, <pos>a.</pos> <ety>[<ets>Varix</ets> + <ets>-form</ets>.]</ety> <fld>(Med.)</fld> <def>Resembling a varix.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Varicocele</ent><br/
<hw>Var"i*co*cele</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[<ets>Varix</ets> a dilated vein + Gr. <?/ tumor: cf. F. <ets>varicoc<egrave/le</ets>.]</ety> <fld>(Med.)</fld> <def>A varicose enlargement of the veins of the spermatic cord; also, a like enlargement of the veins of the scrotum.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Varicose</ent><br/
<hw>Var"i*cose`</hw> <pr>(?; 277)</pr>, <pos>a.</pos> <ety>[L. <ets>varicosus</ets>, from <ets>varix</ets>, <ets>-icis</ets>, a dilated vein; cf. <ets>varus</ets> bent, stretched, crooked.]</ety> <sn>1.</sn> <def>Irregularly swollen or enlarged; affected with, or containing, varices, or varicosities; of or pertaining to varices, or varicosities; <as>as, a <ex>varicose</ex> nerve fiber; a <ex>varicose</ex> vein; <ex>varicose</ex> ulcers</as>.</def><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <fld>(Med.)</fld> <def>Intended for the treatment of varicose veins; -- said of elastic stockings, bandages, and the like.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Varicosis</ent><br/
||<hw>Var`i*cos"is</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[NL. See <er>Varix</er>, and <er>-osis</er>.]</ety> <fld>(Med.)</fld> <def>The formation of varices; varicosity.</def><br/
[<source>Webster 1913 Suppl.</source>]</p>
<p><ent>Varicosity</ent><br/
<hw>Var`i*cos"i*ty</hw> <pr>(?)</pr>, <pos>n.</pos> <sn>1.</sn> <def>The quality or state of being varicose.</def><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <def>An enlargement or swelling in a vessel, fiber, or the like; a varix; <as>as, the <ex>varicosities</ex> of nerve fibers</as>.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Varicotomy</ent><br/
<hw>Var`i*cot"o*my</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[See <er>Varix</er>; <er>-tomy</er>.]</ety> <fld>(Surg.)</fld> <def>Excision of a varicosity.</def><br/
[<source>Webster 1913 Suppl.</source>]</p>
<p><ent>Varicous</ent><br/
<hw>Var"i*cous</hw> <pr>(?)</pr>, <pos>a.</pos> <def>Varicose.</def> <mark>[Obs.]</mark><br/
[<source>1913 Webster</source>]</p>
<p><ent>Varied</ent><br/
<hw>Va"ried</hw> <pr>(?)</pr>, <pos>a.</pos> <def>Changed; altered; various; diversified; <as>as, a <ex>varied</ex> experience; <ex>varied</ex> interests; <ex>varied</ex> scenery.</as></def> -- <wordforms><wf>Va"ried*ly</wf>, <pos>adv.</pos></wordforms><br/
[<source>1913 Webster</source>]</p>
<p><q>The <qex>varied</qex> fields of science, ever new.</q> <rj><qau>Cowper.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Variegate</ent><br/
<hw>Va"ri*e*gate</hw> <pr>(?)</pr>, <pos>v. t.</pos> <vmorph>[<pos>imp. & p. p.</pos> <conjf>Variegated</conjf> <pr>(?)</pr>; <pos>p. pr. & vb. n.</pos> <conjf>Variegating</conjf>.]</vmorph> <ety>[L. <ets>variegatus</ets>, p. p. of <ets>variegare</ets> to variegate; <ets>varius</ets> various + <ets>agere</ets> to move, make. See <er>Various</er>, and <er>Agent</er>.]</ety> <def>To diversify in external appearance; to mark with different colors; to dapple; to streak; <as>as, to <ex>variegate</ex> a floor with marble of different colors</as>.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>The shells are filled with a white spar, which <qex>variegates</qex> and adds to the beauty of the stone.</q> <rj><qau>Woodward.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Variegated</ent><br/
<hw>Va"ri*e*ga`ted</hw> <pr>(?)</pr>, <pos>a.</pos> <def>Having marks or patches of different colors; <as>as, <ex>variegated</ex> leaves, or flowers</as>.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>Ladies like <qex>variegated</qex> tulips show.</q> <rj><qau>Pope.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Variegation</ent><br/
<hw>Va`ri*e*ga"tion</hw> <pr>(?)</pr>, <pos>n.</pos> <def>The act of variegating or diversifying, or the state of being diversified, by different colors; diversity of colors.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Varier</ent><br/
<hw>Va"ri*er</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[From <er>Vary</er>.]</ety> <def>A wanderer; one who strays in search of variety.</def> <mark>[Poetic]</mark><br/
[<source>1913 Webster</source>]</p>
<p><q>Pious <qex>variers</qex> from the church.</q> <rj><qau>Tennyson.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Varietal</ent><br/
<hw>Va*ri"e*tal</hw> <pr>(?)</pr>, <pos>a.</pos> <def>Of or pertaining to a variety; characterizing a variety; constituting a variety, in distinction from an individual or species.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>Perplexed in determining what differences to consider as specific, and what as <qex>varietal</qex>.</q> <rj><qau>Darwin.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Varietas</ent><br/
||<hw>Va*ri"e*tas</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[L.]</ety> <def>A variety; -- used in giving scientific names, and often abbreviated to <abbr>var.</abbr></def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Variety</ent><br/
<hw>Va*ri"e*ty</hw> <pr>(?)</pr>, <pos>n.</pos>; <plu><it>pl.</it> <plw>Varieties</plw> <pr>(#)</pr>.</plu> <ety>[L. <ets>varietas</ets>: cf. F. <ets>vari<eacute/t<eacute/</ets>. See <er>Various</er>.]</ety><br/
[<source>1913 Webster</source>]</p>
<p><sn>1.</sn> <def>The quality or state of being various; intermixture or succession of different things; diversity; multifariousness.</def><br/
[<source>1913 Webster</source>]</p>
<p><q><qex>Variety</qex> is nothing else but a continued novelty.</q> <rj><qau>South.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><q>The <qex>variety</qex> of colors depends upon the composition of light.</q> <rj><qau>Sir I. Newton.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><q>For earth hath this <qex>variety</qex> from heaven.</q> <rj><qau>Milton.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><q>There is a <qex>variety</qex> in the tempers of good men.</q> <rj><qau>Atterbury.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <def>That which is various.</def> <specif>Specifically:</specif> --<br/
[<source>1913 Webster</source>]</p>
<p><sd>(a)</sd> <def>A number or collection of different things; a varied assortment; <as>as, a <ex>variety</ex> of cottons and silks</as>.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>He . . . wants more time to do that <qex>variety</qex> of good which his soul thirsts after.</q> <rj><qau>Law.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><sd>(b)</sd> <def>Something varying or differing from others of the same general kind; one of a number of things that are akin; a sort; <as>as, <ex>varieties</ex> of wood, land, rocks, etc</as>.</def><br/
[<source>1913 Webster</source>]</p>
<p><sd>(c)</sd> <fld>(Biol.)</fld> <def>An individual, or group of individuals, of a species differing from the rest in some one or more of the characteristics typical of the species, and capable either of perpetuating itself for a period, or of being perpetuated by artificial means; hence, a subdivision, or peculiar form, of a species.</def><br/
[<source>1913 Webster</source>]</p>
<p><note><hand/ <xex>Varieties</xex> usually differ from <xex>species</xex> in that any two, however unlike, will generally propagate indefinitely (unless they are in their nature unfertile, as some varieties of rose and other cultivated plants); in being a result of climate, food, or other extrinsic conditions or influences, but generally by a sudden, rather than a gradual, development; and in tending in many cases to lose their distinctive peculiarities when the individuals are left to a state of nature, and especially if restored to the conditions that are natural to typical individuals of the species. Many varieties of domesticated animals and of cultivated plants have been directly produced by man.</note><br/
[<source>1913 Webster</source>]</p>
<p><sd>(d)</sd> <def>In inorganic nature, one of those forms in which a species may occur, which differ in minor characteristics of structure, color, purity of composition, etc.</def><br/
[<source>1913 Webster</source>]</p>
<p><note><hand/ These may be viewed as variations from the typical species in its most perfect and purest form, or, as is more commonly the case, all the forms, including the latter, may rank as Varieties. Thus, the sapphire is a blue variety, and the ruby a red variety, of corundum; again, calcite has many Varieties differing in form and structure, as Iceland spar, dogtooth spar, satin spar, and also others characterized by the presence of small quantities of magnesia, iron, manganese, etc. Still again, there are varieties of granite differing in structure, as graphic granite, porphyritic granite, and other varieties differing in composition, as albitic granite, hornblendic, or syenitic, granite, etc.</note><br/
[<source>1913 Webster</source>]</p>
<p><sn>3.</sn> <fld>(Theaters)</fld> <def>Such entertainment as in given in variety shows; the production of, or performance in, variety shows.</def> <mark>[Cant]</mark><br/
[<source>Webster 1913 Suppl.</source>]</p>
<p><cs><col><b>Geographical variety</b></col> <fld>(Biol.)</fld>, <cd>a variety of any species which is coincident with a geographical region, and is usually dependent upon, or caused by, peculiarities of climate.</cd> -- <col><b>Variety hybrid</b></col> <fld>(Biol.)</fld>, <cd>a cross between two individuals of different varieties of the same species; a mongrel.</cd></cs><br/
[<source>1913 Webster</source>]</p>
<p><syn><b>Syn.</b> -- Diversity; difference; kind.</syn> <usage> -- <er>Variety</er>, <er>Diversity</er>. A man has a <xex>variety</xex> of employments when he does many things which are not a mere repetition of the same act; he has a <xex>diversity</xex> of employments when the several acts performed are unlike each other, that is, <xex>diverse</xex>. In most cases, where there is <xex>variety</xex> there will be more or less of <xex>diversity</xex>, but not always. One who sells railroad tickets performs a great <xex>variety</xex> of acts in a day, while there is but little <xex>diversity</xex> in his employment.</usage><br/
[<source>1913 Webster</source>]</p>
<p><q>All sorts are here that all the earth yields!<br/
<qex>Variety</qex> without end.</q> <rj><qau>Milton.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><q>But see in all corporeal nature's scene,<br/
What changes, what <qex>diversities</qex>, have been!</q> <rj><qau>Blackmore.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Variety show</ent><br/
<hw>Variety show</hw>. <def>A stage entertainment, live or televised, of successive separate performances, usually songs, dances, acrobatic feats, dramatic sketches, exhibitions of trained animals, or any specialties. When performed live in a theater, it was often called a <altname>vaudeville show</altname>, but when television became a dominant form of entertainment live vaudeville performances almost completely ceased.</def><br/
[<source>Webster 1913 Suppl.</source> <source>+PJC</source>]</p>
<p><ent>Variety store</ent><br/
<hw>Variety store</hw>. <def>a retail store selling a wide variety of items, especially of low price, as in a <stype>five and ten</stype>.</def><br/
[<source>PJC</source>]</p>
<p><ent>Variform</ent><br/
<hw>Va"ri*form</hw> <pr>(?)</pr>, <pos>a.</pos> <ety>[L. <ets>varius</ets> various + <ets>-form</ets>.]</ety> <def>Having different shapes or forms.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Variformed</ent><br/
<hw>Va"ri*formed</hw> <pr>(?)</pr>, <pos>a.</pos> <def>Formed with different shapes; having various forms; variform.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Varify</ent><br/
<hw>Va"ri*fy</hw> <pr>(?)</pr>, <pos>v. t.</pos> <ety>[L. <ets>varius</ets> various + <ets>-fy</ets>.]</ety> <def>To make different; to vary; to variegate.</def> <mark>[R.]</mark> <rj><au>Sylvester.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Variola</ent><br/
<hw>Va*ri"o*la</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[LL., fr. L. <ets>varius</ets> various. See <er>Various</er>.]</ety> <fld>(Med.)</fld> <def>The smallpox.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Variolar</ent><br/
<hw>Va*ri"o*lar</hw> <pr>(?)</pr>, <pos>a.</pos> <fld>(Med.)</fld> <def>Variolous.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Variolation</ent><br/
<hw>Va`ri*o*la"tion</hw> <pr>(?)</pr>, <pos>n.</pos> <fld>(Med.)</fld> <def>Inoculation with smallpox.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Variole</ent><br/
<hw>Va"ri*ole</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[Cf. F. <ets>variole</ets> smallpox. See <er>Variola</er>.]</ety> <sn>1.</sn> <def>A foveola.</def><br/
[<source>Webster 1913 Suppl.</source>]</p>
<p><sn>2.</sn> <fld>(Geol.)</fld> <def>A spherule of a variolite.</def><br/
[<source>Webster 1913 Suppl.</source>]</p>
<p><ent>Variolic</ent><br/
<hw>Va`ri*ol"ic</hw> <pr>(?)</pr>, <pos>a.</pos> <fld>(Med.)</fld> <def>Variolous.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Variolite</ent><br/
<hw>Va"ri*o*lite</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[L. <ets>varius</ets> various + <ets>-lite</ets>: cf. F. <ets>variolite</ets>.]</ety> <fld>(Geol.)</fld> <def>A kind of diorite or diabase containing imbedded whitish spherules, which give the rock a spotted appearance.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Variolitic</ent><br/
<hw>Va`ri*o*lit"ic</hw> <pr>(?)</pr>, <pos>a.</pos> <ety>[From <er>Variola</er>.]</ety><br/
[<source>1913 Webster</source>]</p>
<p><sn>1.</sn> <def>Thickly marked with small, round specks; spotted.</def><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <fld>(Geol.)</fld> <def>Of, pertaining to, or resembling, variolite.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Varioloid</ent><br/
<hw>Va"ri*o*loid</hw> <pr>(?; 277)</pr>, <pos>a.</pos> <ety>[<ets>Variola</ets> + <ets>-oid</ets>: cf. F. <ets>variolo<ium/de</ets>.]</ety> <fld>(Med.)</fld> <def>Resembling smallpox; pertaining to the disease called varioloid.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Varioloid</ent><br/
<hw>Va"ri*o*loid</hw>, <pos>n.</pos> <ety>[Cf. F. <ets>variolo<ium/de</ets>. See <er>Varioloid</er>, <pos>a.</pos>]</ety> <fld>(Med.)</fld> <def>The smallpox as modified by previous inoculation or vaccination.</def><br/
[<source>1913 Webster</source>]</p>
<p><note><hand/ It is almost always a milder disease than smallpox, and this circumstance, with its shorter duration, exhibits the salutary effects of previous vaccination or inoculation.</note> <rj><au>Dunglison.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Variolous</ent><br/
<hw>Va*ri"o*lous</hw> <pr>(?)</pr>, <pos>a.</pos> <ety>[LL. <ets>variolosus</ets>, fr. <ets>variola</ets> the smallpox: cf. F. <ets>varioleux</ets>.]</ety> <fld>(Med.)</fld> <def>Of or pertaining to the smallpox; having pits, or sunken impressions, like those of the smallpox; variolar; variolic.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Variometer</ent><br/
<hw>Va`ri*om"e*ter</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[L. <ets>varius</ets> various + <ets>-meter</ets>.]</ety> <fld>(Elec.)</fld> <def>An instrument for comparing magnetic forces, esp. in the earth's magnetic field.</def><br/
[<source>Webster 1913 Suppl.</source>]</p>
<p><ent>Variorum</ent><br/
||<hw>Va`ri*o"rum</hw> <pr>(?)</pr>, <pos>a.</pos> <ety>[L., abbrev. fr. <ets>cum notis variorum</ets> with notes of various persons.]</ety> <def>Containing notes by different persons; -- applied to a publication; <as>as, a <ex>variorum</ex> edition of a book</as>.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Various</ent><br/
<hw>Va"ri*ous</hw> <pr>(?)</pr>, <pos>a.</pos> <ety>[L. <ets>varius</ets>. Cf. <er>Vair</er>.]</ety><br/
[<source>1913 Webster</source>]</p>
<p><sn>1.</sn> <def>Different; diverse; several; manifold; <as>as, men of <ex>various</ex> names; <ex>various</ex> occupations; <ex>various</ex> colors</as>.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>So many and so <qex>various</qex> laws are given.</q> <rj><qau>Milton.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><q>A wit as <qex>various</qex>, gay, grave, sage, or wild.</q> <rj><qau>Byron.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <def>Changeable; uncertain; inconstant; variable.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>A man so <qex>various</qex>, that he seemed to be<br/
Not one, but all mankind's epitome.</q> <rj><qau>Dryden.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><q>The names of mixed modes . . . are very <qex>various</qex>.</q> <rj><qau>Locke.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>3.</sn> <def>Variegated; diversified; not monotonous.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>A happy rural seat of <qex>various</qex> view.</q> <rj><qau>Milton.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Variously</ent><br/
<hw>Va"ri*ous*ly</hw>, <pos>adv.</pos> <def>In various or different ways.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Variscite</ent><br/
<hw>Var"is*cite</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[So called from <ets>Variscia</ets> in Germany.]</ety> <fld>(Min.)</fld> <def>An apple-green mineral occurring in reniform masses. It is a hydrous phosphate of alumina.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Varisse</ent><br/
<hw>Va*risse"</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[Cf. F. <ets>varice</ets> varix. Cf. <er>Varix</er>.]</ety> <fld>(Far.)</fld> <def>An imperfection on the inside of the hind leg in horses, different from a curb, but at the same height, and often growing to an unsightly size.</def><br/
[<source>Webster 1913 Suppl.</source>]</p>
<p><ent>Varix</ent><br/
||<hw>Va"rix</hw> <pr>(v<amac/"r<icr/ks)</pr>, <pos>n.</pos>; <plu><it>pl.</it> <plw>Varices</plw> <pr>(v<acr/r"<icr/*s<emac/z)</pr>.</plu> <ety>[L.]</ety><br/
[<source>1913 Webster</source>]</p>
<p><sn>1.</sn> <fld>(Med.)</fld> <def>A uneven, permanent dilatation of a vein.</def><br/
[<source>1913 Webster</source>]</p>
<p><note><hand/ Varices are owing to local retardation of the venous circulation, and in some cases to relaxation of the parietes of the veins. They are very common in the superficial veins of the lower limbs.</note> <rj><au>Dunglison.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><-- p. 1596 pr=PI --><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <fld>(Zool.)</fld> <def>One of the prominent ridges or ribs extending across each of the whorls of certain univalve shells.</def><br/
[<source>1913 Webster</source>]</p>
<p><note><hand/ The varices usually indicate stages of growth, each one showing a former position of the outer lip of the aperture.</note><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vark</ent><br/
||<hw>Vark</hw> <pr>(v<aum/rk)</pr>, <pos>n.</pos> <ety>[D. <ets>varken</ets> a pig.]</ety> <fld>(Zool.)</fld> <def>The bush hog, or boshvark.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Varlet</ent><br/
<hw>Var"let</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[OF. <ets>varlet</ets>, <ets>vaslet</ets>, <ets>vallet</ets>, servant, young man, young noble, dim. of <ets>vassal</ets>. See <er>Vassal</er>, and cf. <er>Valet</er>.]</ety><br/
[<source>1913 Webster</source>]</p>
<p><sn>1.</sn> <def>A servant, especially to a knight; an attendant; a valet; a footman.</def> <mark>[Obs.]</mark> <rj><au>Spenser. Tusser.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <def>Hence, a low fellow; a scoundrel; a rascal; <as>as, an impudent <ex>varlet</ex></as>.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>What a brazen-faced <qex>varlet</qex> art thou !</q> <rj><qau>Shak.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>3.</sn> <def>In a pack of playing cards, the court card now called the <altname>knave</altname>, or <altname>jack</altname>.</def> <mark>[Obs.]</mark><br/
[<source>1913 Webster</source>]</p>
<p><ent>Varletry</ent><br/
<hw>Var"let*ry</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[Cf. OF. <ets>valeterie</ets> the young unmarried nobles.]</ety> <def>The rabble; the crowd; the mob.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>Shall they hoist me up,<br/
And show me to the shouting <qex>varletry</qex><br/
Of censuring Rome.</q> <rj><qau>Shak.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Varnish</ent><br/
<hw>Var"nish</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[OE. <ets>vernish</ets>, F. <ets>vernis</ets>, LL. <ets>vernicium</ets>; akin to F. <ets>vernir</ets> to varnish, fr. (assumed) LL. <ets>vitrinire</ets> to glaze, from LL. <ets>vitrinus</ets> glassy, fr. L. <ets>vitrum</ets> glass. See <er>Vitreous</er>.]</ety><br/
[<source>1913 Webster</source>]</p>
<p><sn>1.</sn> <def>A viscid liquid, consisting of a solution of resinous matter in an oil or a volatile liquid, laid on work with a brush, or otherwise. When applied the varnish soon dries, either by evaporation or chemical action, and the resinous part forms thus a smooth, hard surface, with a beautiful gloss, capable of resisting, to a greater or less degree, the influences of air and moisture.</def><br/
[<source>1913 Webster</source>]</p>
<p><note><hand/ According to the sorts of solvents employed, the ordinary kinds of varnish are divided into three classes: <xex>spirit</xex>, <xex>turpentine</xex>, and <xex>oil</xex> varnishes.</note> <rj><au>Encyc. Brit</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <def>That which resembles varnish, either naturally or artificially; a glossy appearance.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>The <qex>varnish</qex> of the holly and ivy.</q> <rj><qau>Macaulay.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>3.</sn> <def>An artificial covering to give a fair appearance to any act or conduct; outside show; gloss.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>And set a double <qex>varnish</qex> on the fame<br/
The Frenchman gave you.</q> <rj><qau>Shak.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><cs><col><b>Varnish tree</b></col> <fld>(Bot.)</fld>, <cd>a tree or shrub from the juice or resin of which varnish is made, as some species of the genus <gen>Rhus</gen>, especially <spn>Rhus vernicifera</spn> of Japan. The black varnish of Burmah is obtained from the <spn>Melanorrh<oe/a usitatissima</spn>, a tall East Indian tree of the Cashew family. See <er>Copal</er>, and <er>Mastic</er>.</cd></cs><br/
[<source>1913 Webster</source>]</p>
<p><ent>Varnish</ent><br/
<hw>Var"nish</hw>, <pos>v. t.</pos> <vmorph>[<pos>imp. & p. p.</pos> <conjf>Varnished</conjf> <pr>(?)</pr>; <pos>p. pr. & vb. n.</pos> <conjf>Varnishing</conjf>.]</vmorph> <ety>[Cf. F. <ets>vernir</ets>, <ets>vernisser</ets>. See <er>Varnish</er>, <pos>n.</pos>]</ety><br/
[<source>1913 Webster</source>]</p>
<p><sn>1.</sn> <def>To lay varnish on; to cover with a liquid which produces, when dry, a hard, glossy surface; <as>as, to <ex>varnish</ex> a table; to <ex>varnish</ex> a painting</as>.</def><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <def>To cover or conceal with something that gives a fair appearance; to give a fair coloring to by words; to gloss over; to palliate; <as>as, to <ex>varnish</ex> guilt</as>.</def> <ldquo/Beauty doth <xex>varnish</xex> age.<rdquo/ <rj><au>Shak.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><q>Close ambition, <qex>varnished</qex> o'er with zeal.</q> <rj><qau>Milton.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><q>Cato's voice was ne'er employed<br/
To clear the guilty and to <qex>varnish</qex> crimes.</q> <rj><qau>Addison.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Varnisher</ent><br/
<hw>Var"nish*er</hw> <pr>(?)</pr>, <pos>n.</pos> <sn>1.</sn> <def>One who varnishes; one whose occupation is to varnish.</def><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <def>One who disguises or palliates; one who gives a fair external appearance.</def> <rj><au>Pope.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Varnishing</ent><br/
<hw>Var"nish*ing</hw>, <pos>n.</pos> <def>The act of laying on varnish; also, materials for varnish.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Varsity</ent><br/
<hw>Var"si*ty</hw> <pr>(?)</pr>, <pos>n.</pos> <sn>1.</sn> <def>Colloquial contraction of <er>University</er>.</def> <mark>[Brit.]</mark> <br/
[<source>Webster 1913 Suppl.</source>]</p>
<p><sn>2.</sn> <def>the team with the best players of a school or club, being the main representative of the organization in competitive play between schools or organizations. In schools, contrasted with <contr>junior varsity</contr>. Also used attributively, <as>as, the <ex>varsity</ex> football team</as>.</def><br/
[<source>PJC</source>]</p>
<p><ent>Varsovienne</ent><br/
||<hw>Var`so`vienne"</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[F., prop. fem. of <ets>varsovien</ets> pertaining to Warsaw, fr. <ets>Varsovie</ets> Warsaw, Pol. <ets>Warszawa</ets>.]</ety> <sd>(a)</sd> <def>A kind of Polish dance.</def> <sd>(b)</sd> <def>Music for such a dance or having its slow triple time characteristic strong accent beginning every second measure.</def><br/
[<source>Webster 1913 Suppl.</source>]</p>
<p><ent>Vartabed</ent><br/
<hw>Var"ta*bed</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[Armen., a doctor, master, preceptor.]</ety> <fld>(Eccl.)</fld> <def>A doctor or teacher in the Armenian church. Members of this order of ecclesiastics frequently have charge of dioceses, with episcopal functions.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Varuna</ent><br/
||<hw>Va*ru"na</hw> <pr>(v<adot/*r<udd/"n<adot/)</pr>, <pos>n.</pos> <ety>[Skr. <ets>Varu<nsdot/a</ets>.]</ety> <fld>(Hindu Myth.)</fld> <def>The god of the waters; the Indian Neptune. He is regarded as regent of the west, and lord of punishment, and is represented as riding on a sea monster, holding in his hand a snaky cord or noose with which to bind offenders, under water.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Varus</ent><br/
||<hw>Va"rus</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[NL., fr. L., bent, grown inwards.]</ety> <fld>(Med.)</fld> <def>A deformity in which the foot is turned inward. See <er>Talipes</er>.</def><br/
[<source>Webster 1913 Suppl.</source>]</p>
<p><ent>Varvel</ent><br/
<hw>Var"vel</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[F. <ets>vervelle</ets>.]</ety> <def>In falconry, one of the rings secured to the ends of the jesses.</def> <altsp>[Written also <asp>vervel</asp>.]</altsp><br/
[<source>1913 Webster</source>]</p>
<p><ent>Varveled</ent><br/
<hw>Var"veled</hw> <pr>(?)</pr>, <pos>a.</pos> <def>Having varvels, or rings.</def> <altsp>[Written also <asp>varvelled</asp>, and <asp>vervelled</asp>.]</altsp><br/
[<source>1913 Webster</source>]</p>
<p><note><hand/ In heraldry, when the jesses attached to the legs of hawks hang loose, or have pendent ends with rings at the tips, the blazon is a <xex>hawk</xex> (<xex>or a hawk's leg</xex>) <xex>jessed and varveled</xex>.</note><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vary</ent><br/
<hw>Va"ry</hw> <pr>(?)</pr>, <pos>v. t.</pos> <vmorph>[<pos>imp. & p. p.</pos> <conjf>Varied</conjf> <pr>(?)</pr>; <pos>p. pr. & vb. n.</pos> <conjf>Varying</conjf>.]</vmorph> <ety>[OE. <ets>varien</ets>, F. <ets>varier</ets>, L. <ets>variare</ets>, fr. <ets>varius</ets> various. See <er>Various</er>, and cf. <er>Variate</er>.]</ety><br/
[<source>1913 Webster</source>]</p>
<p><sn>1.</sn> <def>To change the aspect of; to alter in form, appearance, substance, position, or the like; to make different by a partial change; to modify; <as>as, to <ex>vary</ex> the properties, proportions, or nature of a thing; to <ex>vary</ex> a posture or an attitude; to <ex>vary</ex> one's dress or opinions.</as></def><br/
[<source>1913 Webster</source>]</p>
<p><q>Shall we <qex>vary</qex> our device at will,<br/
Even as new occasion appears?</q> <rj><qau>Spenser.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <def>To change to something else; to transmute; to exchange; to alternate.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>Gods, that never change their state,<br/
<qex>Vary</qex> oft their love and hate.</q> <rj><qau>Waller.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><q>We are to <qex>vary</qex> the customs according to the time and country where the scene of action lies.</q> <rj><qau>Dryden.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>3.</sn> <def>To make of different kinds; to make different from one another; to diversify; to variegate.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>God hath <qex>varied</qex> their inclinations.</q> <rj><qau>Sir T. Browne.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><q>God hath here<br/
<qex>Varied</qex> his bounty so with new delights.</q> <rj><qau>Milton.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>4.</sn> <fld>(Mus.)</fld> <def>To embellish; to change fancifully; to present under new aspects, as of form, key, measure, etc. See <er>Variation</er>, 4.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vary</ent><br/
<hw>Va"ry</hw> <pr>(?)</pr>, <pos>v. i.</pos> <sn>1.</sn> <def>To alter, or be altered, in any manner; to suffer a partial change; to become different; to be modified; <as>as, colors <ex>vary</ex> in different lights</as>.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>That each from other differs, first confess;<br/
Next, that he <qex>varies</qex> from himself no less.</q> <rj><qau>Pope.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <def>To differ, or be different; to be unlike or diverse; <as>as, the laws of France <ex>vary</ex> from those of England</as>.</def><br/
[<source>1913 Webster</source>]</p>
<p><sn>3.</sn> <def>To alter or change in succession; to alternate; <as>as, one mathematical quantity <ex>varies</ex> inversely as another</as>.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>While fear and anger, with alternate grace,<br/
Pant in her breast, and <qex>vary</qex> in her face.</q> <rj><qau>Addison.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>4.</sn> <def>To deviate; to depart; to swerve; -- followed by <ptcl>from</ptcl>; <as>as, to <ex>vary</ex> from the law, or from reason</as>.</def> <rj><au> Locke.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>5.</sn> <def>To disagree; to be at variance or in dissension; <as>as, men <ex>vary</ex> in opinion</as>.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>The rich jewel which we <qex>vary</qex> for.</q> <rj><qau>Webster (1623).</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vary</ent><br/
<hw>Va"ry</hw>, <pos>n.</pos> <def>Alteration; change.</def> <mark>[Obs.]</mark> <rj><au>Shak.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Varying</ent><br/
<hw>Va"ry*ing</hw>, <def><pos>a. & n.</pos> from <er>Vary</er>.</def><br/
[<source>1913 Webster</source>]</p>
<p><cs><col><b>Varying hare</b></col> <fld>(Zool.)</fld>, <cd>any hare or rabbit which becomes white in winter, especially the common hare of the Northern United States and Canada.</cd></cs><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vas</ent><br/
||<hw>Vas</hw> <pr>(?)</pr>, <pos>n.</pos>; <plu><it>pl.</it> <plw>Vasa</plw> <pr>(#)</pr>.</plu> <ety>[L., a vessel. See <er>Vase</er>.]</ety> <fld>(Anat.)</fld> <def>A vessel; a duct.</def><br/
[<source>1913 Webster</source>]</p>
<p><cs>||<col><b>Vas deferens</b></col>; <plu><it>pl.</it> <plw>Vasa deferentia</plw>.</plu> <ety>[L. <ets>vas</ets> vessel + <ets>deferens</ets> carrying down.]</ety> <fld>(Anat.)</fld> <cd>The excretory duct of a testicle; a spermatic duct.</cd></cs><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vascular</ent><br/
<hw>Vas"cu*lar</hw> <pr>(?)</pr>, <pos>a.</pos> <ety>[L. <ets>vasculum</ets> a small vessel, dim. of <ets>vas</ets> vessel: cf. F. <ets>vasculaire</ets>. See <er>Vase</er>, and cf. <ets>Vessel</ets>.]</ety><br/
[<source>1913 Webster</source>]</p>
<p><sn>1.</sn> <fld>(Biol.)</fld> <sd>(a)</sd> <def>Consisting of, or containing, vessels as an essential part of a structure; full of vessels; specifically <fld>(Bot.)</fld>, pertaining to, or containing, special ducts, or tubes, for the circulation of sap.</def> <sd>(b)</sd> <def>Operating by means of, or made up of an arrangement of, vessels; <as>as, the <ex>vascular</ex> system in animals, including the arteries, veins, capillaries, lacteals, etc.</as></def> <sd>(c)</sd> <def>Of or pertaining to the vessels of animal and vegetable bodies; <as>as, the <ex>vascular</ex> functions</as>.</def><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <fld>(Bot.)</fld> <def>Of or pertaining to the higher division of plants, that is, the phaenogamous plants, all of which are vascular, in distinction from the cryptogams, which to a large extent are cellular only.</def><br/
[<source>1913 Webster</source>]</p>
<p><cs><col><b>Vascular plants</b></col> <fld>(Bot.)</fld>, <cd>plants composed in part of vascular tissue, as all flowering plants and the higher cryptogamous plants, or those of the class <class>Pteridophyta</class>. Cf. <cref>Cellular plants</cref>, under <er>Cellular</er>.</cd> -- <col><b>Vascular system</b></col> <fld>(Bot.)</fld>, <cd>the body of associated ducts and woody fiber; the fibrovascular part of plants.</cd> -- <col><b>Vascular tissue</b></col> <fld>(Bot.)</fld>, <cd>vegetable tissue composed partly of ducts, or sap tubes.</cd> -- <col><b>Water vascular system</b></col> <fld>(Zool.)</fld>, <cd>a system of vessels in annelids, nemerteans, and many other invertebrates, containing a circulating fluid analogous to blood, but not of the same composition. In annelids the fluid which they contain is usually red, but in some it is green, in others yellow, or whitish.</cd></cs><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vascularity</ent><br/
<hw>Vas`cu*lar"i*ty</hw> <pr>(v<acr/s`k<usl/*l<acr/r"<icr/*t<ycr/)</pr>, <pos>n.</pos>; <plu><it>pl.</it> <plw>Vascularities</plw> <pr>(v<acr/s`k<usl/*l<acr/r"<icr/*t<icr/z)</pr>.</plu> <fld>(Biol.)</fld> <def>The quality or state of being vascular.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vascularization</ent><br/
<hw>Vas`cu*lar*i*za"tion</hw> <pr>(v<acr/s`k<usl/*l<etil/r*<icr/*z<amac/"sh<ucr/n)</pr>, <pos>n.</pos> <fld>(Physiol.)</fld> <def>The process of becoming vascular, or the condition of being vascular; as, the <ex>vascularization</ex> of cartilege.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vasculose</ent><br/
<hw>Vas"cu*lose`</hw> <pr>(?)</pr>, <pos>n.</pos> <fld>(Bot.)</fld> <def>One of the substances of which vegetable tissue is composed, differing from cellulose in respect to its solubility in certain media.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vasculum</ent><br/
||<hw>Vas"cu*lum</hw> <pr>(?)</pr>, <pos>n.</pos>; <plu><it>pl.</it> <plw>Vascula</plw> <pr>(#)</pr>.</plu> <ety>[L., a small vessel.]</ety> <sn>1.</sn> <fld>(Bot.)</fld> <def>Same as <er>Ascidium</er>, <pos>n.</pos>, 1.</def><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <def>A tin box, commonly cylindrical or flattened, used in collecting plants.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vase</ent><br/
<hw>Vase</hw> <pr>(v<amac/s <it>or</it> v<aum/z; 277)</pr>, <pos>n.</pos> <ety>[F. <ets>vase</ets>; cf. Sp. & It. <ets>vaso</ets>; fr. L. <ets>vas</ets>, <ets>vasum</ets>. Cf. <er>Vascular</er>, <er>Vessel</er>.]</ety> <sn>1.</sn> <def>A vessel adapted for various domestic purposes, and anciently for sacrificial uses; especially, a vessel of antique or elegant pattern used for ornament; <as>as, a porcelain <ex>vase</ex>; a gold <ex>vase</ex>; a Grecian <ex>vase</ex>.</as> See <xex>Illust.</xex> of <cref>Portland vase</cref>, under <er>Portland</er>.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>No chargers then were wrought in burnished gold,<br/
Nor silver <qex>vases</qex> took the forming mold.</q> <rj><qau>Pope.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <fld>(Arch.)</fld> <sd>(a)</sd> <def>A vessel similar to that described in the first definition above, or the representation of one in a solid block of stone, or the like, used for an ornament, as on a terrace or in a garden. See <xex>Illust.</xex> of <er>Niche</er>.</def> <sd>(b)</sd> <def>The body, or naked ground, of the Corinthian and Composite capital; -- called also <altname>tambour</altname>, and <altname>drum</altname>.</def><br/
[<source>1913 Webster</source>]</p>
<p><note><hand/ Until the time of Walker (1791), <ex>vase</ex> was made to rhyme with <xex>base</xex>, <xex>case</xex>, etc., and it is still commonly so pronounced in the United States. Walker made it to rhyme with <xex>phrase</xex>, <xex>maze</xex>, etc. Of modern English practice, <person>Mr. A. J. Ellis</person> (1874) says: <ldquo/<xex>Vase</xex> has four pronunciations in English: <pr><it>v<add/z</it></pr>, which I most commonly say, is going out of use, <pr><it>v<aum/z</it></pr> I hear most frequently, <pr><it>v<amac/z</it></pr> very rarely, and <pr><it>v<amac/s</it></pr> I only know from Cull's marking. On the analogy of <xex>case</xex>, however, it should be the regular sound.<rdquo/<br/
The Merriam-Webster's 10th Colletgiate Dictionary says: <ldquo/U. S. oftenest v<amac/s; Canada usu. and U. S. also v<amac/z; Canada also & U. S. sometimes v<aum/z.<rdquo/<br/
One wit has noted that <ldquo/a v<aum/z is a v<amac/z that costs more than $100.<rdquo/, suggesting that the former is considered a higher-class pronunciation.</note><br/
[<source>1913 Webster</source> + <source>PJC</source>]</p>
<p><sn>3.</sn> <fld>(Bot.)</fld> <def>The calyx of a plant.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vase clock</ent><br/
<hw>Vase clock</hw>. <fld>(Art)</fld> <def>A clock whose decorative case has the general form of a vase, esp. one in which there is no ordinary dial, but in which a part of a vase revolves while a single stationary indicator serves as a hand.</def><br/
[<source>Webster 1913 Suppl.</source>]</p>
<p><ent>Vasectomy</ent><br/
<hw>Vas*ec"to*my</hw> <pr>(v<acr/s*<ecr/k"t<osl/*m<ycr/)</pr>, <pos>n.</pos> <ety>[<ets>Vas</ets> + <ets>-ecmoty</ets>.]</ety> <fld>(Surg.)</fld> <def>Resection or excision of the vas deferens.</def><br/
[<source>Webster 1913 Suppl.</source>]</p>
<p><ent>Vaseline</ent><br/
<hw>Vas"e*line</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[Said by the manufacturer to be derived from G. <ets>wasser</ets> water + Gr. <grk>'e`laion</grk> olive oil.]</ety> <def>A yellowish translucent substance, almost odorless and tasteless, obtained as a residue in the purification of crude petroleum, and consisting essentially of a mixture of several of the higher members of the paraffin series. It is used as an unguent, and for various purposes in the arts. See the Note under <er>Petrolatum</er>.</def> <altsp>[Written also <asp>vaselin</asp>.]</altsp><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vase-shaped</ent><br/
<hw>Vase"-shaped`</hw> <pr>(?)</pr>, <pos>a.</pos> <def>Formed like a vase, or like a common flowerpot.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vasiform</ent><br/
<hw>Vas"i*form</hw> <pr>(?)</pr>, <pos>a.</pos> <ety>[L. <ets>vas</ets> a vessel + <ets>-form</ets>.]</ety> <fld>(Biol.)</fld> <def>Having the form of a vessel, or duct.</def><br/
[<source>1913 Webster</source>]</p>
<p><cs><col><b>Vasiform tissue</b></col> <fld>(Bot.)</fld>, <cd>tissue containing vessels, or ducts.</cd></cs><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vasoconstrictor</ent><br/
<hw>Vas`o*con*strict"or</hw> <pr>(?)</pr>, <pos>a.</pos> <fld>(Physiol.)</fld> <def>Causing constriction of the blood vessels; <as>as, the <ex>vasoconstrictor</ex> nerves, stimulation of which causes constriction of the blood vessels to which they go.</as> These nerves are also called <altname>vasohypertonic</altname>.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vasoconstrictor</ent><br/
<hw>Vas`o*con*strict"or</hw> <pr>(?)</pr>, <pos>n.</pos> <fld>(Medicine, Physiology)</fld> <def>A substance which causes constriction of the blood vessels. Such substances are used in medicine to raise abnormally low blood pressure.</def><br/
[<source>PJC</source>]</p>
<p><ent>Vasodentine</ent><br/
<hw>Vas`o*den"tine</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[L. <ets>vas</ets> a vessel + E. <ets>dentine</ets>.]</ety> <fld>(Anat.)</fld> <def>A modified form of dentine, which is permeated by blood capillaries; vascular dentine.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vasodilator</ent><br/
<hw>Vas`o*di*lat"or</hw> <pr>(?)</pr>, <pos>a.</pos> <ety>[L. <ets>vas</ets> a vessel + <ets>dilator</ets>.]</ety> <fld>(Physiol.)</fld> <def>Causing dilation or relaxation of the blood vessels; <as>as, the <ex>vasodilator</ex> nerves, stimulation of which causes dilation of the blood vessels to which they go</as>. These nerves are also called <altname>vaso-inhibitory</altname>, and <altname>vasohypotonic</altname> nerves, since their stimulation causes relaxation and rest.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vasodilator</ent><br/
<hw>Vas`o*di*lat"or</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[L. <ets>vas</ets> a vessel + <ets>dilator</ets>.]</ety> <fld>(Medicine & Physiology)</fld> <def>A substance which causes dilation of blood vessels.</def><br/
[<source>PJC</source>]</p>
<p><ent>Vasoformative</ent><br/
<hw>Vas`o*form"a*tive</hw> <pr>(?)</pr>, <pos>a.</pos> <ety>[L. <ets>vas</ets> a vessel + <ets>formative</ets>.]</ety> <fld>(Physiol.)</fld> <def>Concerned in the development and formation of blood vessels and blood corpuscles; <as>as, the <ex>vasoformative</ex> cells</as>.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vasohypertonic</ent><br/
<hw>Vas`o*hy`per*ton"ic</hw> <pr>(?)</pr>, <pos>a.</pos> <ety>[See <er>Hyper-</er>, and <er>Tonic</er>.]</ety> <def>See <er>Vasoconstrictor</er>.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vasohypotonic</ent><br/
<hw>Vas`o*hy`po*ton"ic</hw> <pr>(?)</pr>, <pos>a.</pos> <ety>[See <er>Hypo-</er>, and <er>Tonic</er>.]</ety> <def>See <er>Vasodilator</er>.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vaso-inhibitory</ent><br/
<hw>Vas`o-in*hib"i*to*ry</hw> <pr>(?)</pr>, <pos>a.</pos> <fld>(Physiol.)</fld> <def>See <er>Vasodilator</er>.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vasomotor</ent><br/
<hw>Vas`o*mo"tor</hw> <pr>(?)</pr>, <pos>a.</pos> <ety>[L. <ets>vas</ets> a vessel + <ets>motor</ets> that which moves fr. <ets>movere</ets> to move.]</ety> <fld>(Physiol.)</fld> <def>Causing movement in the walls of vessels; <as>as, the <ex>vasomotor</ex> mechanisms; the <ex>vasomotor</ex> nerves, a system of nerves distributed over the muscular coats of the blood vessels.</as></def><br/
[<source>1913 Webster</source>]</p>
<p><cs><col><b>Vasomotor center</b></col>, <cd>the chief dominating or general center which supplies all the unstriped muscles of the arterial system with motor nerves, situated in a part of the medulla oblongata; a center of reflex action by the working of which afferent impulses are changed into efferent, -- vasomotor impulses leading either to dilation or constriction of the blood vessels.</cd></cs><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vassal</ent><br/
<hw>Vas"sal</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[F., fr. LL. <ets>vassallus</ets>, <ets>vassus</ets>; of Celtic origin; cf. W. & Corn. <ets>gwas</ets> a youth, page, servant, Arm. <ets>gwaz</ets> a man, a male. Cf. <er>Valet</er>, <er>Varlet</er>, <er>Vavasor</er>.]</ety><br/
[<source>1913 Webster</source>]</p>
<p><sn>1.</sn> <fld>(Feud. Law)</fld> <def>The grantee of a fief, feud, or fee; one who holds land of a superior, and who vows fidelity and homage to him; a feudatory; a feudal tenant.</def> <rj><au>Burrill.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <def>A subject; a dependent; a servant; a bondman; a slave.</def> <ldquo/The <xex>vassals</xex> of his anger.<rdquo/ <rj><au>Milton.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><cs><col><b>Rear vassal</b></col>, <cd>the vassal of a vassal; an arriere vassal.</cd></cs><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vassal</ent><br/
<hw>Vas"sal</hw>, <pos>a.</pos> <def>Resembling a vassal; slavish; servile.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>The sun and every <qex>vassal</qex> star.</q> <rj><qau>Keble.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vassal</ent><br/
<hw>Vas"sal</hw>, <pos>v. t.</pos> <def>To treat as a vassal; to subject to control; to enslave.</def> <mark>[Obs.]</mark> <rj><au>Beau. & Fl.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vassalage</ent><br/
<hw>Vas"sal*age</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[OE. <ets>vassalage</ets>, F. <ets>vasselage</ets>, LL. <ets>vassallaticum</ets>.]</ety><br/
[<source>1913 Webster</source>]</p>
<p><sn>1.</sn> <def>The state of being a vassal, or feudatory.</def><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <def>Political servitude; dependence; subjection; slavery; <as>as, the Greeks were held in <ex>vassalage</ex> by the Turks</as>.</def><br/
[<source>1913 Webster</source>]</p>
<p><sn>3.</sn> <def>A territory held in vassalage.</def> <ldquo/The Countship of Foix, with six territorial <xex>vassalages</xex>.<rdquo/ <rj><au>Milman.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>4.</sn> <def>Vassals, collectively; vassalry.</def> <mark>[R.]</mark> <rj><au>Shak.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>5.</sn> <def>Valorous service, such as that performed by a vassal; valor; prowess; courage.</def> <mark>[Obs.]</mark> <rj><au>Chaucer.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vassaless</ent><br/
<hw>Vas"sal*ess</hw>, <pos>n.</pos> <def>A female vassal.</def> <mark>[R.]</mark> <rj><au>Spenser.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vassalry</ent><br/
<hw>Vas"sal*ry</hw> <pr>(?)</pr>, <pos>n.</pos> <def>The body of vassals.</def> <mark>[R.]</mark><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vast</ent><br/
<hw>Vast</hw> <pr>(v<adot/st)</pr>, <pos>a.</pos> <amorph>[<pos>Compar.</pos> <adjf>Vaster</adjf> <pr>(v<adot/st"<etil/r)</pr>; <pos>superl.</pos> <adjf>Vastest</adjf>.]</amorph> <ety>[L. <ets>vastus</ets> empty, waste, enormous, immense: cf. F. <ets>vaste</ets>. See <er>Waste</er>, and cf. <er>Devastate</er>.]</ety><br/
[<source>1913 Webster</source>]</p>
<p><sn>1.</sn> <def>Waste; desert; desolate; lonely.</def> <mark>[Obs.]</mark><br/
[<source>1913 Webster</source>]</p>
<p><q>The empty, <qex>vast</qex>, and wandering air.</q> <rj><qau>Shak.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <def>Of great extent; very spacious or large; also, huge in bulk; immense; enormous; <as>as, the <ex>vast</ex> ocean; <ex>vast</ex> mountains; the <ex>vast</ex> empire of Russia</as>.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>Through the <qex>vast</qex> and boundless deep.</q> <rj><qau>Milton.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>3.</sn> <def>Very great in numbers, quantity, or amount; <as>as, a <ex>vast</ex> army; a <ex>vast</ex> sum of money</as>.</def><br/
[<source>1913 Webster</source>]</p>
<p><sn>4.</sn> <def>Very great in force; mighty; <as>as, <ex>vast</ex> labor</as>.</def><br/
[<source>1913 Webster</source>]</p>
<p><sn>5.</sn> <def>Very great in importance; <as>as, a subject of <ex>vast</ex> concern</as>.</def><br/
[<source>1913 Webster</source>]</p>
<p><syn><b>Syn.</b> -- Enormous; huge; immense; mighty.</syn><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vast</ent><br/
<hw>Vast</hw>, <pos>n.</pos> <def>A waste region; boundless space; immensity.</def> <ldquo/The watery <xex>vast</xex>.<rdquo/ <rj><au>Pope.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><q>Michael bid sound<br/
The archangel trumpet. Through the <qex>vast</qex> of heaven<br/
It sounded.</q> <rj><qau>Milton.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vastation</ent><br/
<hw>Vas*ta"tion</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[L. <ets>vastatio</ets>, fr. <ets>vastare</ets> to lay waste, fr. <ets>vastus</ets> empty, waste.]</ety> <def>A laying waste; waste; depopulation; devastation.</def> <mark>[Obs.]</mark> <rj><au>Bp. Hall.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vastel</ent><br/
<hw>Vas"tel</hw> <pr>(?)</pr>, <pos>n.</pos> <def>See <er>Wastel</er>.</def> <mark>[Obs.]</mark> <rj><au>Fuller.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vastidity</ent><br/
<hw>Vas*tid"i*ty</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[Cf. OF. <ets>vastit<eacute/</ets>, L. <ets>vastitas</ets>.]</ety> <def>Vastness; immensity.</def> <mark>[Obs.]</mark> <ldquo/All the world's <xex>vastidity</xex>.<rdquo/ <rj><au>Shak.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vastitude</ent><br/
<hw>Vas"ti*tude</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[L. <ets>vastitudo</ets>.]</ety> <sn>1.</sn> <def>Vastness; immense extent.</def> <mark>[R.]</mark><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <def>Destruction; vastation.</def> <mark>[Obs.]</mark> <rj><au>Joye.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vastity</ent><br/
<hw>Vast"i*ty</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[L. <ets>vastitas</ets>.]</ety> <def>Vastness.</def> <mark>[Obs.]</mark><br/
[<source>1913 Webster</source>]</p>
<p><q>The huge <qex>vastity</qex> of the world.</q> <rj><qau>Holland.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vastly</ent><br/
<hw>Vast"ly</hw>, <pos>adv.</pos> <def>To a vast extent or degree; very greatly; immensely.</def> <rj><au>Jer. Taylor.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vastness</ent><br/
<hw>Vast"ness</hw>, <pos>n.</pos> <def>The quality or state of being vast.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vasty</ent><br/
<hw>Vas"ty</hw> <pr>(?)</pr>, <pos>a.</pos> <ety>[From <er>Vast</er>.]</ety> <def>Vast; immense.</def> <mark>[R.]</mark><br/
[<source>1913 Webster</source>]</p>
<p><q>I can call spirits from the <qex>vasty</qex> deep.</q> <rj><qau>Shak.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vasum</ent><br/
||<hw>Va"sum</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[L., a vase. See <er>Vase</er>.]</ety> <fld>(Zool.)</fld> <def>A genus including several species of large marine gastropods having massive pyriform shells, with conspicuous folds on the columella.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vat</ent><br/
<hw>Vat</hw> <pr>(v<acr/t)</pr>, <pos>n.</pos> <ety>[A dialectic form for <ets>fat</ets>, OE. <ets>fat</ets>, AS. <ets>f<ae/t</ets>; akin to D. <ets>vat</ets>, OS. <ets>fat</ets>, G. <ets>fass</ets>, OHG. <ets>faz</ets>, Icel. & Sw. <ets>fat</ets>, Dan. <ets>fad</ets>, Lith. <ets>p<uring/das</ets> a pot, and probably to G. <ets>fassen</ets> to seize, to contain, OHG. <ets>fazz<omac/n</ets>, D. <ets>vatten</ets>. Cf. <er>Fat</er> a vat.]</ety> <br/
[<source>1913 Webster</source>]</p>
<p><-- p. 1597 pr=PI --><br/
[<source>1913 Webster</source>]</p>
<p><sn>1.</sn> <def>A large vessel, cistern, or tub, especially one used for holding liquors in an immature state, chemical preparations for dyeing, or for tanning, or for tanning leather, or the like.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>Let him produce his <qex>vats</qex> and tubs, in opposition to heaps of arms and standards.</q> <rj><qau>Addison.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <def>A measure for liquids, and also a dry measure; especially, a liquid measure in Belgium and Holland, corresponding to the hectoliter of the metric system, which contains 22.01 imperial gallons, or 26.4 standard gallons in the United States.</def><br/
[<source>1913 Webster</source>]</p>
<p><note><hand/ The old Dutch grain vat averaged 0.762 Winchester bushel. The old London coal vat contained 9 bushels. The solid-measurement vat of Amsterdam contains 40 cubic feet; the wine vat, 241.57 imperial gallons, and the vat for olive oil, 225.45 imperial gallons.</note><br/
[<source>1913 Webster</source>]</p>
<p><sn>3.</sn> <fld>(Metal.)</fld> <sd>(a)</sd> <def>A wooden tub for washing ores and mineral substances in.</def> <sd>(b)</sd> <def>A square, hollow place on the back of a calcining furnace, where tin ore is laid to dry.</def><br/
[<source>1913 Webster</source>]</p>
<p><sn>4.</sn> <fld>(R. C. Ch.)</fld> <def>A vessel for holding holy water.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vat</ent><br/
<hw>Vat</hw> <pr>(?)</pr>, <pos>v. t.</pos> <vmorph>[<pos>imp. & p. p.</pos> <conjf>Vatted</conjf> <pr>(?)</pr>; <pos>p. pr. & vb. n.</pos> <conjf>Vatting</conjf>.]</vmorph> <def>To put or transfer into a vat.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vatful</ent><br/
<hw>Vat"ful</hw> <pr>(?)</pr>, <pos>n.</pos>; <plu><it>pl.</it> <plw>Vatfuls</plw> <pr>(<?/)</pr>.</plu> <def>As much as a vat will hold; enough to fill a vat.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vatical</ent><br/
<hw>Vat"ic*al</hw> <pr>(?)</pr>, <pos>a.</pos> <ety>[L. <ets>vates</ets> a prophet.]</ety> <def>Of or pertaining to a prophet; prophetical.</def> <rj><au>Bp. Hall.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vatican</ent><br/
<hw>Vat"i*can</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[L. <ets>Vaticanus</ets>, <ets>mons</ets>, or <ets>collis</ets>, <ets>Vaticanus</ets>, the Vatican hill, in Rome, on the western bank of the Tiber: cf. F. <ets>Vatican</ets>, It. <ets>Vaticano</ets>.]</ety> <def>A magnificent assemblage of buildings at Rome, near the church of St. Peter, including the pope's palace, a museum, a library, a famous chapel, etc.</def><br/
[<source>1913 Webster</source>]</p>
<p><note><hand/ The word is often used to indicate the papal authority.</note><br/
[<source>1913 Webster</source>]</p>
<p><cs><col><b>Thunders of the Vatican</b></col>, <cd>the anathemas, or denunciations, of the pope.</cd></cs><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vatican Council</ent><br/
<hw>Vat"i*can Coun"cil</hw>. <fld>(R. C. Ch.)</fld> <def>The council held under Pope Pius IX. in Vatican at Rome, in 1870, which promulgated the dogma of papal infallibility.</def><br/
[<source>Webster 1913 Suppl.</source>]</p>
<p><ent>Vaticanism</ent><br/
<hw>Vat"i*can*ism</hw> <pr>(?)</pr>, <pos>n.</pos> <def>The doctrine of papal supremacy; extreme views in support of the authority of the pope; ultramontanism; -- a term used only by persons who are not Roman Catholics.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vaticanist</ent><br/
<hw>Vat"i*can*ist</hw>, <pos>n.</pos> <def>One who strongly adheres to the papal authority; an ultramontanist.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vaticide</ent><br/
<hw>Vat"i*cide</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[L. <ets>vates</ets> a prophet + <ets>caedere</ets> to kill.]</ety> <def>The murder, or the murderer, of a prophet.</def> <ldquo/The caitiff <xex>vaticide</xex>.<rdquo/ <rj><au>Pope.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vaticinal</ent><br/
<hw>Va*tic"i*nal</hw> <pr>(?)</pr>, <pos>a.</pos> <ety>[See <er>Vaticinate</er>.]</ety> <def>Of or pertaining to prophecy; prophetic.</def> <rj><au>T. Warton.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vaticinate</ent><br/
<hw>Va*tic"i*nate</hw> <pr>(?)</pr>, <pos>v. i. & t.</pos> <ety>[L. <ets>vaticinatus</ets>, p. p. of <ets>vaticinari</ets> to prophesy, fr. <ets>vaticinus</ets> prophetical, fr. <ets>vates</ets> a prophet.]</ety> <def>To prophesy; to foretell; to practice prediction; to utter prophecies.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vaticination</ent><br/
<hw>Va*tic`i*na"tion</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[L. <ets>vaticinatio</ets>.]</ety> <def>Prediction; prophecy.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>It is not a false utterance; it is a true, though an impetuous, <qex>vaticination</qex>.</q> <rj><qau>I. Taylor.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vaticinator</ent><br/
<hw>Va*tic"i*na`tor</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[L.]</ety> <def>One who vaticinates; a prophet.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vaticine</ent><br/
<hw>Vat"i*cine</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[L. <ets>vaticinium</ets>.]</ety> <def>A prediction; a vaticination.</def> <mark>[Obs.]</mark> <rj><au>Holinshed.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vaudeville</ent><br/
||<hw>Vaude"ville</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[F., fr. <ets>Vau-de-vire</ets>, a village in Normandy, where Olivier Basselin, at the end of the 14th century, composed such songs.]</ety> <altsp>[Written also <asp>vaudevil</asp>.]</altsp><br/
[<source>1913 Webster</source>]</p>
<p><sn>1.</sn> <def>A kind of song of a lively character, frequently embodying a satire on some person or event, sung to a familiar air in couplets with a refrain; a street song; a topical song.</def><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <def>A theatrical piece, usually a comedy, the dialogue of which is intermingled with light or satirical songs, set to familiar airs.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>The early <qex>vaudeville</qex>, which is the forerunner of the opera bouffe, was light, graceful, and piquant.</q> <rj><qau>Johnson's Cyc.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>3.</sn> <def>a <er>variety show</er> when performed live in a theater (see above); <as>as, to play in <ex>vaudeville</ex>; a <ex>vaudeville</ex> actor</as>.</def><br/
[<source>Webster 1913 Suppl.</source>]</p>
<p><ent>Vaudois</ent><br/
||<hw>Vau*dois"</hw> <pr>(v<omac/*dw<aum/")</pr>, <pos>n. sing. & pl.</pos> <ety>[F.]</ety> <sn>1.</sn> <def>An inhabitant, or the inhabitants, of the Swiss canton of Vaud.</def><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <def>A modern name of the Waldenses.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vaudoux</ent><br/
<hw>Vau*doux"</hw> <pr>(?)</pr>, <pos>n. & a.</pos> <def>See <er>Voodoo</er>.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vault</ent><br/
<hw>Vault</hw> <pr>(v<add/lt; <it>see Note, below</it>)</pr>, <pos>n.</pos> <ety>[OE. <ets>voute</ets>, OF. <ets>voute</ets>, <ets>volte</ets>, F. <ets>vo<ucir/te</ets>, LL. <ets>volta</ets>, for <ets>voluta</ets>, <ets>volutio</ets>, fr. L. <ets>volvere</ets>, <ets>volutum</ets>, to roll, to turn about. See <er>Voluble</er>, and cf. <er>Vault</er> a leap, <er>Volt</er> a turn, <er>Volute</er>.]</ety><br/
[<source>1913 Webster</source>]</p>
<p><sn>1.</sn> <fld>(Arch.)</fld> <def>An arched structure of masonry, forming a ceiling or canopy.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>The long-drawn aisle and fretted <qex>vault</qex>.</q> <rj><qau>Gray.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <def>An arched apartment; especially, a subterranean room, used for storing articles, for a prison, for interment, or the like; a cell; a cellar.</def> <ldquo/Charnel <xex>vaults</xex>.<rdquo/ <rj><au>Milton.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><q>The silent <qex>vaults</qex> of death.</q> <rj><qau>Sandys.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><q>To banish rats that haunt our <qex>vault</qex>.</q> <rj><qau>Swift.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>3.</sn> <def>The canopy of heaven; the sky.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>That heaven's <qex>vault</qex> should crack.</q> <rj><qau>Shak.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>4.</sn> <ety>[F. <ets>volte</ets>, It. <ets>volta</ets>, originally, a turn, and the same word as <ets>volta</ets> an arch. See the Etymology above.]</ety> <def>A leap or bound.</def> Specifically: -- <sd>(a)</sd> <fld>(Man.)</fld> <def>The bound or leap of a horse; a curvet.</def> <sd>(b)</sd> <def>A leap by aid of the hands, or of a pole, springboard, or the like.</def><br/
[<source>1913 Webster</source>]</p>
<p><note><hand/ The <xex>l</xex> in this word was formerly often suppressed in pronunciation.</note><br/
[<source>1913 Webster</source>]</p>
<p><cs><mcol><col><b>Barrel vault</b></col>, <col><b>Cradle vault</b></col>, <col><b>Cylindrical vault</b></col>, <it>or</it> <col><b>Wagon vault</b></col></mcol> <fld>(Arch.)</fld>, <cd>a kind of vault having two parallel abutments, and the same section or profile at all points. It may be <xex>rampant</xex>, as over a staircase (see <cref>Rampant vault</cref>, under <er>Rampant</er>), or curved in plan, as around the apse of a church.</cd> -- <col><b>Coved vault</b></col>. <fld>(Arch.)</fld> <cd>See under 1st <er>Cove</er>, <pos>v. t.</pos></cd> -- <col><b>Groined vault</b></col> <fld>(Arch.)</fld>, <cd>a vault having groins, that is, one in which different cylindrical surfaces intersect one another, as distinguished from a <xex>barrel</xex>, or <xex>wagon</xex>, <xex>vault</xex>.</cd> -- <col><b>Rampant vault</b></col>. <fld>(Arch.)</fld> <cd>See under <er>Rampant</er>.</cd> -- <col><b>Ribbed vault</b></col> <fld>(Arch.)</fld>, <cd>a vault differing from others in having solid ribs which bear the weight of the vaulted surface. True Gothic vaults are of this character.</cd> -- <col><b>Vault light</b></col>, <cd>a partly glazed plate inserted in a pavement or ceiling to admit light to a vault below.</cd></cs><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vault</ent><br/
<hw>Vault</hw> <pr>(v<add/lt)</pr>, <pos>v. t.</pos> <vmorph>[<pos>imp. & p. p.</pos> <conjf>Vaulted</conjf>; <pos>p. pr. & vb. n.</pos> <conjf>Vaulting</conjf>.]</vmorph> <ety>[OE. <ets>vouten</ets>, OF. <ets>volter</ets>, <ets>vouter</ets>, F. <ets>vo<ucir/ter</ets>. See <er>Vault</er> an arch.]</ety><br/
[<source>1913 Webster</source>]</p>
<p><sn>1.</sn> <def>To form with a vault, or to cover with a vault; to give the shape of an arch to; to arch; <as>as, to <ex>vault</ex> a roof; to <ex>vault</ex> a passage to a court</as>.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>The shady arch that <qex>vaulted</qex> the broad green alley.</q> <rj><qau>Sir W. Scott.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <ety>[See <er>Vault</er>, <pos>v. i.</pos>]</ety> <def>To leap over; esp., to leap over by aid of the hands or a pole; <as>as, to <ex>vault</ex> a fence</as>.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>I will <qex>vault</qex> credit, and affect high pleasures.</q> <rj><qau>Webster (1623).</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vault</ent><br/
<hw>Vault</hw>, <pos>v. i.</pos> <ety>[Cf. OF. <ets>volter</ets>, F. <ets>voltiger</ets>, It. <ets>voltare</ets> to turn. See <er>Vault</er>, <pos>n.</pos>, 4.]</ety><br/
[<source>1913 Webster</source>]</p>
<p><sn>1.</sn> <def>To leap; to bound; to jump; to spring.</def><br/
[<source>1913 Webster</source>]</p>
<p><q><qex>Vaulting</qex> ambition, which o'erleaps itself.</q> <rj><qau>Shak.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><q>Leaning on his lance, he <qex>vaulted</qex> on a tree.</q> <rj><qau>Dryden.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><q>Lucan <qex>vaulted</qex> upon Pegasus with all the heat and intrepidity of youth.</q> <rj><qau>Addison.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <def>To exhibit feats of tumbling or leaping; to tumble.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vaultage</ent><br/
<hw>Vault"age</hw> <pr>(?)</pr>, <pos>n.</pos> <def>Vaulted work; also, a vaulted place; an arched cellar.</def> <mark>[Obs.]</mark> <rj><au>Shak.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vaulted</ent><br/
<hw>Vault"ed</hw>, <pos>a.</pos> <sn>1.</sn> <def>Arched; concave; <as>as, a <ex>vaulted</ex> roof</as>.</def><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <def>Covered with an arch, or vault.</def><br/
[<source>1913 Webster</source>]</p>
<p><sn>3.</sn> <fld>(Bot.)</fld> <def>Arched like the roof of the mouth, as the upper lip of many ringent flowers.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vaulter</ent><br/
<hw>Vault"er</hw> <pr>(?)</pr>, <pos>n.</pos> <def>One who vaults; a leaper; a tumbler.</def> <rj><au>B. Jonson.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vaulting</ent><br/
<hw>Vault"ing</hw>, <pos>n.</pos> <sn>1.</sn> <def>The act of constructing vaults; a vaulted construction.</def><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <def>Act of one who vaults or leaps.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vaulty</ent><br/
<hw>Vault"y</hw> <pr>(?)</pr>, <pos>a.</pos> <def>Arched; concave.</def> <mark>[Obs.]</mark> <ldquo/The <xex>vaulty</xex> heaven.<rdquo/ <rj><au>Shak.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vaunce</ent><br/
<hw>Vaunce</hw> <pr>(?)</pr>, <pos>v. i.</pos> <ety>[See <er>Advance</er>.]</ety> <def>To advance.</def> <mark>[Obs.]</mark> <rj><au>Spenser.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vaunt</ent><br/
<hw>Vaunt</hw> <pr>(v<aum/nt <it>or</it> v<add/nt; 277)</pr>, <pos>v. i.</pos> <vmorph>[<pos>imp. & p. p.</pos> <conjf>Vaunted</conjf>; <pos>p. pr. & vb. n.</pos> <conjf>Vaunting</conjf>.]</vmorph> <ety>[F. <ets>vanter</ets>, LL. <ets>vanitare</ets>, fr. L. <ets>vanus</ets> vain. See <er>Vain</er>.]</ety> <def>To boast; to make a vain display of one's own worth, attainments, decorations, or the like; to talk ostentatiously; to brag.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>Pride, which prompts a man to <qex>vaunt</qex> and overvalue what he is, does incline him to disvalue what he has.</q> <rj><qau>Gov. of Tongue.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vaunt</ent><br/
<hw>Vaunt</hw>, <pos>v. t.</pos> <def>To boast of; to make a vain display of; to display with ostentation. In the latter sense, the term usually used is <contr>flaunt</contr>.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>Charity <qex>vaunteth</qex> not itself, is not puffed up.</q> <rj><qau>1 Cor. xiii. 4.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><q>My vanquisher, spoiled of his <qex>vaunted</qex> spoil.</q> <rj><qau>Milton.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vaunt</ent><br/
<hw>Vaunt</hw>, <pos>n.</pos> <def>A vain display of what one is, or has, or has done; ostentation from vanity; a boast; a brag.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>The spirits beneath, whom I seduced<br/
With other promises and other <qex>vaunts</qex>.</q> <rj><qau>Milton.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vaunt</ent><br/
<hw>Vaunt</hw>, <pos>n.</pos> <ety>[F. <ets>avant</ets> before, fore. See <er>Avant</er>, <er>Vanguard</er>.]</ety> <def>The first part.</def> <mark>[Obs.]</mark> <rj><au>Shak.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vaunt</ent><br/
<hw>Vaunt</hw>, <pos>v. t.</pos> <ety>[See <er>Avant</er>, <er>Advance</er>.]</ety> <def>To put forward; to display.</def> <mark>[Obs.]</mark> <ldquo/<xex>Vaunted</xex> spear.<rdquo/ <rj><au>Spenser.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><q>And what so else his person most may <qex>vaunt</qex>.</q> <rj><qau>Spenser.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vaunt-courier</ent><br/
<hw>Vaunt"-cou`ri*er</hw> <pr>(?)</pr>, <pos>n.</pos> <def>See <er>Van-courier</er>.</def> <mark>[Obs.]</mark> <rj><au>Shak.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vaunter</ent><br/
<hw>Vaunt"er</hw> <pr>(?)</pr>, <pos>n.</pos> <def>One who vaunts; a boaster.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vauntful</ent><br/
<hw>Vaunt"ful</hw> <pr>(?)</pr>, <pos>a.</pos> <def>Given to vaunting or boasting; vainly ostentatious; boastful; vainglorious.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vauntingly</ent><br/
<hw>Vaunt"ing*ly</hw>, <pos>adv.</pos> <def>In a vaunting manner.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vauntmure</ent><br/
<hw>Vaunt"mure`</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[F. <ets>avant-mur</ets>. See <er>Vanguard</er>, and <er>Mure</er>.]</ety> <fld>(Fort.)</fld> <def>A false wall; a work raised in front of the main wall.</def> <altsp>[Written also <asp>vaimure</asp>, and <asp>vamure</asp>.]</altsp> <rj><au>Camden.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vauquelinite</ent><br/
<hw>Vauque"lin*ite</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[So called after the French chemist <etsep>Vauquelin</etsep>, who died in 1829: cf. F. <ets>vauquelinite</ets>.]</ety> <fld>(Min.)</fld> <def>Chromate of copper and lead, of various shades of green.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vaut</ent><br/
<hw>Vaut</hw> <pr>(?)</pr>, <pos>v. i.</pos> <def>To vault; to leap.</def> <mark>[Obs.]</mark> <rj><au>Spenser.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vaut</ent><br/
<hw>Vaut</hw>, <pos>n.</pos> <def>A vault; a leap.</def> <mark>[Obs.]</mark> <rj><au>Spenser.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vauty</ent><br/
<hw>Vaut"y</hw> <pr>(?)</pr>, <pos>a.</pos> <def>Vaulted.</def> <ldquo/The haughty <xex>vauty</xex> welkin.<rdquo/ <mark>[Obs.]</mark> <rj><au>Taylor (1611).</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vavasor</ent><br/
<hw>Vav"a*sor</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[OE. <ets>vavasour</ets>, OF. <ets>vavassor</ets>, <ets>vavassour</ets>, F. <ets>vavasseur</ets>, LL. <ets>vavassor</ets>, probably contr. from <ets>vassus vassorum</ets> vassal of the vassals. See <er>Vassal</er>.]</ety> <fld>(Feud. Law)</fld> <def>The vassal or tenant of a baron; one who held under a baron, and who also had tenants under him; one in dignity next to a baron; a title of dignity next to a baron.</def> <au>Burrill.</au> <ldquo/A worthy <xex>vavasour</xex>.<rdquo/ <au>Chaucer.</au> <altsp>[Also written <asp>vavasour</asp>, <asp>vavassor</asp>, <asp>valvasor</asp>, etc.]</altsp><br/
[<source>1913 Webster</source>]</p>
<p><q><qex>Vavasours</qex> subdivide again to vassals, exchanging land and cattle, human or otherwise, against fealty.</q> <rj><qau>Motley.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vavasory</ent><br/
<hw>Vav"a*so*ry</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[F. <ets>vavassorie</ets>.]</ety> <fld>(Feud. Law)</fld> <def>The quality or tenure of the fee held by a vavasor; also, the lands held by a vavasor.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vaward</ent><br/
<hw>Va"ward`</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[For <ets>vanward</ets>, equivalent to <ets>vanguard</ets>. See <er>Vanguard</er>, <er>Ward</er> guard.]</ety> <def>The fore part; van.</def> <mark>[Obs.]</mark><br/
[<source>1913 Webster</source>]</p>
<p><q>Since we have the <qex>vaward</qex> of the day.</q> <rj><qau>Shak.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vaza parrot</ent><br/
<hw>Va"za par`rot</hw> <pr>(?)</pr>. <fld>(Zool.)</fld> <def>Any one of several species of parrots of the genus <gen>Coracopsis</gen>, native of Madagascar; -- called also <altname>vasa parrot</altname>.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>VCR</ent><br/
<hw>VCR</hw> <pr>(v<emac/"s<emac/*<aum/r`)</pr>, <pos>n.</pos> <def>a <er>videocasette recorder</er>.</def> <mark>[acronym]</mark><br/
[<source>PJC</source>]</p>
<p><ent>Veadar</ent><br/
<hw>Ve"a*dar</hw> <pr>(?)</pr>, <pos>n.</pos> <def>The thirteenth, or intercalary, month of the Jewish ecclesiastical calendar, which is added about every third year.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Veal</ent><br/
<hw>Veal</hw> <pr>(v<emac/l)</pr>, <pos>n.</pos><ety>[OE. <ets>veel</ets>, OF. <ets>veel</ets>, F. <ets>veau</ets>, L. <ets>vitellus</ets>, dim. of <ets>vitulus</ets> a calf; akin to E. <ets>wether</ets>. See <er>Wether</er>, and cf. <er>Vellum</er>, <er>Vituline</er>.]</ety> <def>The flesh of a calf when killed and used for food.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vection</ent><br/
<hw>Vec"tion</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[L. <ets>vectio</ets>, from <ets>vehere</ets>, <ets>vectum</ets>, to carry.]</ety> <def>Vectitation.</def> <mark>[Obs.]</mark><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vectitation</ent><br/
<hw>Vec`ti*ta"tion</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[L. <ets>vectitatus</ets> borne about, fr. <ets>vectare</ets>, v. intens. fr. <ets>vehere</ets>, <ets>vectum</ets>, to carry.]</ety> <def>The act of carrying, or state of being carried.</def> <mark>[Obs.]</mark><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vector</ent><br/
<hw>Vec"tor</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[L., a bearer, carrier. fr. <ets>vehere</ets>, <ets>vectum</ets>, to carry.]</ety> <sn>1.</sn> <def>Same as <er>Radius vector</er>.</def><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <fld>(Math.)</fld> <def>A directed quantity, as a straight line, a force, or a velocity. Vectors are said to be equal when their directions are the same and their magnitudes equal. Cf. <er>Scalar</er>.</def><br/
[<source>1913 Webster</source>]</p>
<p><note><hand/ In a triangle, either side is the <xex>vector sum</xex> of the other two sides taken in proper order; the process finding the vector sum of two or more vectors is <xex>vector addition</xex> (see under <er>Addition</er>).</note><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vecture</ent><br/
<hw>Vec"ture</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[L. <ets>vectura</ets>, from <ets>vehere</ets>, <ets>vectum</ets>, to carry. Cf. <er>Vettura</er>, <er>Voiture</er>.]</ety> <def>The act of carrying; conveyance; carriage.</def> <mark>[Obs.]</mark> <rj><au>Bacon.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Veda</ent><br/
<hw>Ve"da</hw> <pr>(v<amac/"d<adot/<it>or</it> v<emac/"d<adot/; 277)</pr>, <pos>n.</pos> <ety>[Skr. <ets>v<emac/da</ets>, properly, knowledge, from <ets>vid</ets> to know. See <er>Wit</er>.]</ety> <def>The ancient sacred literature of the Hindus; also, one of the four collections, called <stype>Rig-Veda</stype>, <stype>Yajur-Veda</stype>, <stype>Sama-Veda</stype>, and <stype>Atharva-Veda</stype>, constituting the most ancient portions of that literature.</def><br/
[<source>1913 Webster</source>]</p>
<p><note><hand/ The language of the Vedas is usually called <col>Vedic Sanskrit</col>, as distinguished from the later and more settled form called <col>classical Sanskrit</col>.</note><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vedanta</ent><br/
<hw>Ve*dan"ta</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[Skr. <ets>V<emac/danta</ets>.]</ety> <def>A system of philosophy among the Hindus, founded on scattered texts of the Vedas, and thence termed the <ldquo/Anta,<rdquo/ or end or substance.</def> <rj><au>Balfour (Cyc. of India.)</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vedantic</ent><br/
<hw>Ve*dan"tic</hw> <pr>(?)</pr>, <pos>a.</pos> <def>Of or pertaining to the Vedas.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vedantist</ent><br/
<hw>Ve*dan"tist</hw> <pr>(?)</pr>, <pos>n.</pos> <def>One versed in the doctrines of the Vedantas.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Veddahs</ent><br/
<hw>Ved"dahs</hw> <pr>(v<ecr/d"d<adot/z)</pr>, <pos>n. pl.</pos> (Ethnol.) <def>A primitive people of Ceylon.</def> <altsp>[Written also <asp>Weddars</asp>.]</altsp> <rj><au>Encyc Brit.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vedette</ent><br/
<hw>Ve*dette"</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[F. <ets>vedette</ets>, It. <ets>vedetta</ets>, for <ets>veletta</ets> (influenced by <ets>vedere</ets> to see, L. <ets>videre</ets>), from It. <ets>veglia</ets> watch, L. <ets>vigilia</ets>. See <er>Vigil</er>.]</ety> <def>A sentinel, usually on horseback, stationed on the outpost of an army, to watch an enemy and give notice of danger; a vidette.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vedic</ent><br/
<hw>Ve"dic</hw> <pr>(v<amac/"d<icr/k <it>or</it> v<emac/"-)</pr>, <pos>a. </pos> <def>Of or pertaining to the Vedas or one of the Vedas.</def> <rj><au>Max M<uum/ller)</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vedro</ent><br/
<hw>Ve"dro</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[Russ., pail.]</ety> <def>A Russian liquid measure, equal to 3.249 gallons of U. S. standard measure, or 2.706 imperial gallons.</def> <rj><au>McElrath.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Veer</ent><br/
<hw>Veer</hw> <pr>(?)</pr>, <pos>v. i.</pos> <vmorph>[<pos>imp. & p. p.</pos> <conjf>Veered</conjf> <pr>(?)</pr>; <pos>p. pr. & vb. n.</pos> <conjf>Veering</conjf>.]</vmorph> <ety>[F. <ets>virer</ets> (cf. Sp. <ets>virar</ets>, <ets>birar</ets>), LL. <ets>virare</ets>; perhaps fr. L. <ets>vibrare</ets> to brandish, vibrate (cf. <er>Vibrate</er>); or cf. L. <ets>viriae</ets> armlets, bracelets, <ets>viriola</ets> a little bracelet (cf. <er>Ferrule</er>). Cf. <er>Environ</er>.]</ety> <def>To change direction; to turn; to shift; <as>as, wind <ex>veers</ex> to the west or north</as>.</def> <ldquo/His <xex>veering</xex> gait.<rdquo/ <rj><au>Wordsworth.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><q>And as he leads, the following navy <qex>veers</qex>.</q> <rj><qau>Dryden.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><q>an ordinary community which is hostile or friendly as passion or as interest may <qex>veer</qex> about.</q> <rj><qau>Burke.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><cs><col><b>To veer and haul</b></col> <fld>(Naut.)</fld>, <cd>to vary the course or direction; -- said of the wind, which <xex>veers</xex> aft and <xex>hauls</xex> forward. The wind is also said to <xex>veer</xex> when it shifts with the sun.</cd></cs><br/
[<source>1913 Webster</source>]</p>
<p><ent>Veer</ent><br/
<hw>Veer</hw>, <pos>v. t.</pos> <def>To direct to a different course; to turn; to wear; <as>as, to <ex>veer</ex>, or wear, a vessel</as>.</def><br/
[<source>1913 Webster</source>]</p>
<p><cs><col><b>To veer and haul</b></col> <fld>(Naut.)</fld>, <cd>to pull tight and slacken alternately.</cd> <au>Totten.</au> -- <mcol><col><b>To veer away</b></col> <it>or</it> <col><b>To veer out</b></col></mcol> <fld>(Naut.)</fld>, <cd>to let out; to slacken and let run; to pay out; <as>as, <ex>to veer away</ex> the cable; <ex>to veer out</ex> a rope</as>.</cd></cs><br/
[<source>1913 Webster</source>]</p>
<p><ent>Veerable</ent><br/
<hw>Veer"a*ble</hw> <pr>(-<adot/*b'l)</pr>, <pos>a.</pos> <def>Changeable; shifting; as, winds <ex>veerable</ex> to southwest.</def> <rj><au>Dampier.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Veering</ent><br/
<hw>Veer"ing</hw>, <pos>a.</pos> <def>Shifting.</def> -- <wordforms><wf>Veer"ing*ly</wf>, <pos>adv.</pos></wordforms><br/
[<source>1913 Webster</source>]</p>
<p><ent>Veery</ent><br/
<hw>Veer"y</hw> <pr>(?)</pr>, <pos>n.</pos> <fld>(Zool.)</fld> <def>An American thrush (<spn>Turdus fuscescens</spn>) common in the Northern United States and Canada. It is light tawny brown above. The breast is pale buff, thickly spotted with brown. Called also <altname>Wilson's thrush</altname>.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>Sometimes I hear the <qex>veery's</qex> clarion.</q> <rj><qau>Thoreau.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vega</ent><br/
<hw>Ve"ga</hw> <pr>(v<emac/"g<adot/)</pr>, <pos>n.</pos> <fld>(Astron.)</fld> <ety>[Ar. <ets>w<amac/gi'</ets>, properly, falling: cf. F. <ets>W<eacute/ga</ets>.]</ety> <def>A brilliant star of the first magnitude, the brightest of those constituting the constellation Lyra.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vega</ent><br/
||<hw>Ve"ga</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[Sp.]</ety> <def>An open tract of ground; a plain, esp. one which is moist and fertile, as those used for tobacco fields.</def> <mark>[Sp. Amer. & Phil. Islands]</mark><br/
[<source>Webster 1913 Suppl.</source>]</p>
<p><ent>vegan</ent><br/
<hw>ve"gan</hw> <pr>(v<emac/"g<ucr/n <it>or</it> v<emac/j"<ucr/n)</pr>, <pos>n.</pos> <def>A vegetarian who does not eat any animal products, not even fish, eggs, or milk.</def><br/
[<source>PJC</source>]</p>
<p><ent>Vegetability</ent><br/
<hw>Veg`e*ta*bil"i*ty</hw> <pr>(?)</pr>, <pos>n.</pos> <def>The quality or state of being vegetable.</def> <mark>[Obs.]</mark> <rj><au>Sir T. Browne.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vegetable</ent><br/
<hw>Veg`e*ta*ble</hw> <pr>(?)</pr>, <pos>a.</pos> <ety>[F. <ets>v<eacute/g<eacute/table</ets> growing, capable of growing, formerly also, as a noun, a vegetable, from L. <ets>vegetabilis</ets> enlivening, from <ets>vegetare</ets> to enliven, invigorate, quicken, <ets>vegetus</ets> enlivened, vigorous, active, <ets>vegere</ets> to quicken, arouse, to be lively, akin to <ets>vigere</ets> to be lively, to thrive, <ets>vigil</ets> watchful, awake, and probably to E. <ets>wake</ets>, v. See <er>Vigil</er>, <er>Wake</er>, <pos>v.</pos>]</ety><br/
[<source>1913 Webster</source>]</p>
<p><sn>1.</sn> <def>Of or pertaining to plants; having the nature of, or produced by, plants; <as>as, a <ex>vegetable</ex> nature; <ex>vegetable</ex> growths, juices, etc.</as></def><br/
[<source>1913 Webster</source>]</p>
<p><q>Blooming ambrosial fruit<br/
Of <qex>vegetable</qex> gold.</q> <rj><qau>Milton.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <def>Consisting of, or comprising, plants; <as>as, the <ex>vegetable</ex> kingdom</as>.</def><br/
[<source>1913 Webster</source>]</p>
<p><cs><col><b>Vegetable alkali</b></col> <fld>(Chem.)</fld>, <cd>an alkaloid.</cd> -- <col><b>Vegetable brimstone</b></col>. <fld>(Bot.)</fld> <cd>See <cref>Vegetable sulphur</cref>, below.</cd> -- <col><b>Vegetable butter</b></col> <fld>(Bot.)</fld>, <cd>a name of several kinds of concrete vegetable oil; as that produced by the Indian butter tree, the African shea tree, and the <spn>Pentadesma butyracea</spn>, a tree of the order <ord>Guttiferae</ord>, also African. Still another kind is pressed from the seeds of cocoa (<gen>Theobroma</gen>).</cd> -- <col><b>Vegetable flannel</b></col>, <cd>a textile material, manufactured in Germany from pine-needle wool, a down or fiber obtained from the leaves of the <spn>Pinus sylvestris</spn>.</cd> -- <col><b>Vegetable ivory</b></col>. <cd>See <cref>Ivory nut</cref>, under <er>Ivory</er>.</cd> -- <col><b>Vegetable jelly</b></col>. <cd>See <er>Pectin</er>.</cd> -- <col><b>Vegetable kingdom</b></col>. <fld>(Nat. Hist.)</fld> <cd>See the last Phrase, below.</cd> -- <col><b>Vegetable leather</b></col>. <sd>(a)</sd> <fld>(Bot.)</fld> <cd>A shrubby West Indian spurge (<spn>Euphorbia punicea</spn>), with leathery foliage and crimson bracts.</cd> <sd>(b)</sd> <cd>See <cref>Vegetable leather</cref>, under <er>Leather</er>.</cd> -- <col><b>Vegetable marrow</b></col> <fld>(Bot.)</fld>, <cd>an egg-shaped gourd, commonly eight to ten inches long. It is noted for the very tender quality of its flesh, and is a favorite culinary vegetable in England. It has been said to be of Persian origin, but is now thought to have been derived from a form of the American pumpkin.</cd> -- <col><b>Vegetable oyster</b></col> <fld>(Bot.)</fld>, <cd>the oyster plant. See under <er>Oyster</er>.</cd> -- <col><b>Vegetable parchment</b></col>, <cd>papyrine.</cd> -- <col><b>Vegetable sheep</b></col> <fld>(Bot.)</fld>, <cd>a white woolly plant (<spn>Raoulia eximia</spn>) of New Zealand, which grows in the form of large fleecy cushions on the mountains.</cd> -- <col><b>Vegetable silk</b></col>, <cd>a cottonlike, fibrous material obtained from the coating of the seeds of a Brazilian tree (<spn>Chorisia speciosa</spn>). It is used for various purposes, as for stuffing cushions, and the like, but is incapable of being spun on account of a want of cohesion among the fibers.</cd> -- <col><b>Vegetable sponge</b></col>. <cd>See 1st <er>Loof</er>.</cd> -- <col><b>Vegetable sulphur</b></col>, <cd>the fine and highly inflammable spores of the club moss (<spn>Lycopodium clavatum</spn>); witch meal.</cd> -- <col><b>Vegetable tallow</b></col>, <cd>a substance resembling tallow, obtained from various plants; as, <cref>Chinese vegetable tallow</cref>, obtained from the seeds of the tallow tree. <cref>Indian vegetable tallow</cref> is a name sometimes given to piney tallow.</cd> -- <col><b>Vegetable wax</b></col>, <cd>a waxy excretion on the leaves or fruits of certain plants, as the bayberry.</cd></cs><br/
[<source>1913 Webster</source>]</p>
<p><-- p. 1598 pr=PI --><br/
[<source>1913 Webster</source>]</p>
<p><cs><col><b>Vegetable kingdom</b></col> <fld>(Nat. Hist.)</fld>, <cd>that primary division of living things which includes all plants. The classes of the vegetable kingdom have been grouped differently by various botanists. The following is one of the best of the many arrangements of the principal subdivisions.</cd><br/
[<source>1913 Webster</source>]</p>
<p><--- Note: this section was divided into two columns, the right-hand column being delimited and separated from the left-hand column by a long brace on its left side. The portion in the right-hand column of each of these two divisions is instead included here within braces {}. The definitions of the divisions were in the left-hand column, centered on the right-hand segments. -->
I. <subclass><er>Phaenogamia</er></subclass> (called also <er>Phanerogamia</er>). <def>Plants having distinct flowers and true seeds.</def> { 1. <er>Dicotyledons</er> (called also <er>Exogens</er>). -- Seeds with two or more cotyledons. Stems with the pith, woody fiber, and bark concentrically arranged. Divided into two subclasses: <er>Angiosperms</er>, having the woody fiber interspersed with dotted or annular ducts, and the seeds contained in a true ovary; <er>Gymnosperms</er>, having few or no ducts in the woody fiber, and the seeds naked. 2. <er>Monocotyledons</er> (called also <er>Endogens</er>). -- Seeds with single cotyledon. Stems with slender bundles of woody fiber not concentrically arranged, and with no true bark.}<br/
[<source>1913 Webster</source>]</p>
<p>II. <subclass><er>Cryptogamia</er></subclass>. <def>Plants without true flowers, and reproduced by minute spores of various kinds, or by simple cell division.</def> { 1. <er>Acrogens</er>. -- Plants usually with distinct stems and leaves, existing in two alternate conditions, one of which is nonsexual and sporophoric, the other sexual and oophoric. Divided into <er>Vascular Acrogens</er>, or <er>Pteridophyta</er>, having the sporophoric plant conspicuous and consisting partly of vascular tissue, as in Ferns, Lycopods, and Equiseta, and <er>Cellular Acrogens</er>, or <er>Bryophyta</er>, having the sexual plant most conspicuous, but destitute of vascular tissue, as in Mosses and Scale Mosses. 2. <er>Thallogens</er>. -- Plants without distinct stem and leaves, consisting of a simple or branched mass of cellular tissue, or reduced to a single cell. Reproduction effected variously. Divided into <er>Algae</er>, which contain chlorophyll or its equivalent, and which live upon air and water, and <er>Fungi</er>, which contain no chlorophyll, and live on organic matter. (Lichens are now believed to be fungi parasitic on included algae.}
</cs><br/
[<source>1913 Webster</source>]</p>
<p><note><hand/ Many botanists divide the Phaenogamia primarily into Gymnosperms and Angiosperms, and the latter into Dicotyledons and Monocotyledons. Others consider Pteridophyta and Bryophyta to be separate classes. Thallogens are variously divided by different writers, and the places for diatoms, slime molds, and stoneworts are altogether uncertain.<br/
[<source>1913 Webster</source>]</p>
<p> For definitions, see these names in the Vocabulary.</note><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vegetable</ent><br/
<hw>Veg"e*ta*ble</hw> <pr>(?)</pr>, <pos>n.</pos> <sn>1.</sn> <fld>(Biol.)</fld> <def>A plant. See <er>Plant</er>.</def><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <def>A plant used or cultivated for food for man or domestic animals, as the cabbage, turnip, potato, bean, dandelion, etc.; also, the edible part of such a plant, as prepared for market or the table.</def><br/
[<source>1913 Webster</source>]</p>
<p><sn>3.</sn> <def>A person who has permanently lost consciousness, due to damage to the brain, but remains alive; sometimes continued life requires support by machinery such as breathing tubes. Such a person is said to be in a vegetative state.</def><br/
[<source>PJC</source>]</p>
<p><note><hand/ <xex>Vegetables</xex> and <xex>fruits</xex> are sometimes loosely distinguished by the usual need of cooking the former for the use of man, while the latter may be eaten raw; but the distinction often fails, as in the case of quinces, barberries, and other fruits, and lettuce, celery, and other vegetables. Tomatoes if cooked are vegetables, if eaten raw are fruits.</note><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vegetal</ent><br/
<hw>Veg"e*tal</hw> <pr>(?)</pr>, <pos>a.</pos> <ety>[F. <ets>v<eacute/g<eacute/tal</ets>. See <er>Vegetable</er>.]</ety><br/
[<source>1913 Webster</source>]</p>
<p><sn>1.</sn> <def>Of or pertaining to vegetables, or the vegetable kingdom; of the nature of a vegetable; vegetable.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>All creatures <qex>vegetal</qex>, sensible, and rational.</q> <rj><qau>Burton.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <fld>(Biol.)</fld> <def>Of, pertaining to, or designating, that class of vital phenomena, such as digestion, absorption, assimilation, secretion, excretion, circulation, generation, etc., which are common to plants and animals, in distinction from <xex>sensation</xex> and <xex>volition</xex>, which are peculiar to animals.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vegetal</ent><br/
<hw>Veg"e*tal</hw>, <pos>n.</pos> <ety>[F.]</ety> <def>A vegetable.</def> <mark>[R.]</mark> <rj><au>B. Jonson.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vegetality</ent><br/
<hw>Veg`e*tal"i*ty</hw> <pr>(?)</pr>, <pos>n.</pos> <sn>1.</sn> <def>The quality or state of being vegetal, or vegetable.</def> <mark>[R.]</mark><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <fld>(Biol.)</fld> <def>The quality or state of being vegetal, or exhibiting those physiological phenomena which are common to plants and animals. See <er>Vegetal</er>, <pos>a.</pos>, 2.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vegetarian</ent><br/
<hw>Veg`e*ta"ri*an</hw> <pr>(?)</pr>, <pos>n.</pos> <def>One who holds that vegetables and fruits are the only proper food for man. Strict vegetarians eat no meat, eggs, or milk, and are sometimes referred tro as <stype>vegans</stype>.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vegetarian</ent><br/
<hw>Veg`e*ta"ri*an</hw>, <pos>a.</pos> <def>Of or pertaining to vegetarianism; <as>as, a <ex>vegetarian</ex> diet</as>.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vegetarianism</ent><br/
<hw>Veg`e*ta"ri*an*ism</hw> <pr>(?)</pr>, <pos>n.</pos> <def>The theory or practice of living upon vegetables and fruits.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vegetate</ent><br/
<hw>Veg"e*tate</hw> <pr>(?)</pr>, <pos>v. i.</pos> <vmorph>[<pos>imp. & p. p.</pos> <conjf>Vegetated</conjf> <pr>(?)</pr>; <pos>p. pr. & vb. n.</pos> <conjf>Vegetating</conjf>.]</vmorph> <ety>[L. <ets>vegetatus</ets>, p. p. of <ets>vegetare</ets> to enliven. See <er>Vegetable</er>.]</ety><br/
[<source>1913 Webster</source>]</p>
<p><sn>1.</sn> <def>To grow, as plants, by nutriment imbibed by means of roots and leaves; to start into growth; to sprout; to germinate.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>See dying vegetables life sustain,<br/
See life dissolving <qex>vegetate</qex> again.</q> <rj><qau>Pope.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <def>Fig.: To lead a life too low for an animate creature; to do nothing but eat and grow.</def> <rj><au>Cowper.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><q>Persons who . . . would have <qex>vegetated</qex> stupidly in the places where fortune had fixed them.</q> <rj><qau>Jeffrey.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>3.</sn> <fld>(Med.)</fld> <def>To grow exuberantly; to produce fleshy or warty outgrowths; <as>as, a <ex>vegetating</ex> papule</as>.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vegetation</ent><br/
<hw>Veg`e*ta"tion</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[Cf. F. <ets>v<eacute/g<eacute/tation</ets>, L. <ets>vegetatio</ets> an enlivening. See <er>Vegetable</er>.]</ety><br/
[<source>1913 Webster</source>]</p>
<p><sn>1.</sn> <def>The act or process of vegetating, or growing as a plant does; vegetable growth.</def><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <def>The sum of vegetable life; vegetables or plants in general; <as>as, luxuriant <ex>vegetation</ex></as>.</def><br/
[<source>1913 Webster</source>]</p>
<p><sn>3.</sn> <fld>(Med.)</fld> <def>An exuberant morbid outgrowth upon any part, especially upon the valves of the heart.</def><br/
[<source>1913 Webster</source>]</p>
<p><cs><col><b>Vegetation of salts</b></col> <fld>(Old Chem.)</fld>, <cd>a crystalline growth of an arborescent form.</cd></cs><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vegetative</ent><br/
<hw>Veg"e*ta*tive</hw> <pr>(?)</pr>, <pos>a.</pos> <ety>[Cf. F. <ets>v<eacute/g<eacute/tatif</ets>.]</ety><br/
[<source>1913 Webster</source>]</p>
<p><sn>1.</sn> <def>Growing, or having the power of growing, as plants; capable of vegetating.</def><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <def>Having the power to produce growth in plants; <as>as, the <ex>vegetative</ex> properties of soil</as>.</def><br/
[<source>1913 Webster</source>]</p>
<p><sn>3.</sn> <fld>(Biol.)</fld> <def>Having relation to growth or nutrition; partaking of simple growth and enlargement of the systems of nutrition, apart from the sensorial or distinctively animal functions; vegetal.</def><br/
[<source>1913 Webster</source>]</p>
<p>-- <wordforms><wf>Veg"e*ta*tive*ly</wf>, <pos>adv.</pos> -- <wf>Veg"e*ta*tive*ness</wf>, <pos>n.</pos></wordforms><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vegete</ent><br/
<hw>Ve*gete"</hw> <pr>(?)</pr>, <pos>a.</pos> <ety>[L. <ets>vegetus</ets>. See <er>Vegetable</er>.]</ety> <def>Lively; active; sprightly; vigorous.</def> <mark>[Obs.]</mark><br/
[<source>1913 Webster</source>]</p>
<p><q>Even her body was made airy and <qex>vegete</qex>.</q> <rj><qau>Jer. Taylor.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vegetism</ent><br/
<hw>Veg"e*tism</hw> <pr>(?)</pr>, <pos>n.</pos> <def>Vegetal state or characteristic.</def><br/
[<source>Webster 1913 Suppl.</source>]</p>
<p><ent>Vegetive</ent><br/
<hw>Veg"e*tive</hw> <pr>(?)</pr>, <pos>a.</pos> <ety>[See <er>Vegetate</er>, and <er>Vegetative</er>.]</ety> <def>Having the nature of a plant; vegetable; <as>as, <ex>vegetive</ex> life</as>.</def> <mark>[R.]</mark> <rj><au>Tusser.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vegetive</ent><br/
<hw>Veg"e*tive</hw>, <pos>n.</pos> <def>A vegetable.</def> <mark>[Obs.]</mark><br/
[<source>1913 Webster</source>]</p>
<p><q>The blest infusions<br/
That dwell in <qex>vegetives</qex>, in metals, stones.</q> <rj><qau>Shak.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vegeto-animal</ent><br/
<hw>Veg"e*to-an"i*mal</hw> <pr>(?)</pr>, <pos>a.</pos> <fld>(Biol.)</fld> <def>Partaking of the nature both of vegetable and animal matter; -- a term sometimes applied to vegetable albumen and gluten, from their resemblance to similar animal products.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vegetous</ent><br/
<hw>Veg"e*tous</hw> <pr>(?)</pr>, <pos>a.</pos> <ety>[L. <ets>vegetus</ets>. See <er>Vegete</er>.]</ety> <def>Vigorous; lively; active; vegete.</def> <mark>[Obs.]</mark> <rj><au>B. Jonson.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vehemence</ent><br/
<hw>Ve"he*mence</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[L. <ets>vehementia</ets>: cf. F. <ets>v<eacute/h<eacute/mence</ets>.]</ety><br/
[<source>1913 Webster</source>]</p>
<p><sn>1.</sn> <def>The quality or state of being vehement; impetuous force; impetuosity; violence; fury; <as>as, the <ex>vehemence</ex> of the wind; to speak with <ex>vehemence</ex></as>.</def><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <def>Violent ardor; great heat; animated fervor; <as>as, the <ex>vehemence</ex> of love, anger, or other passions</as>.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>I . . . tremble at his <qex>vehemence</qex> of temper.</q> <rj><qau>Addison.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vehemency</ent><br/
<hw>Ve"he*men*cy</hw> <pr>(?)</pr>, <pos>n.</pos> <def>Vehemence.</def> <mark>[R.]</mark><br/
[<source>1913 Webster</source>]</p>
<p><q>The <qex>vehemency</qex> of your affection.</q> <rj><qau>Shak.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vehement</ent><br/
<hw>Ve"he*ment</hw> <pr>(?)</pr>, <pos>a.</pos> <ety>[L. <ets>vehemens</ets>, the first part of which is perhaps akin to <ets>vehere</ets> to carry, and the second <ets>mens</ets> mind: cf. F. <ets>v<eacute/h<eacute/ment</ets>. Cf. <er>Vehicle</er>, and <er>Mental</er>.]</ety><br/
[<source>1913 Webster</source>]</p>
<p><sn>1.</sn> <def>Acting with great force; furious; violent; impetuous; forcible; mighty; <as>as, a <ex>vehement</ex> wind; a <ex>vehement</ex> torrent; a <ex>vehement</ex> fire or heat</as>.</def><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <def>Very ardent; very eager or urgent; very fervent; passionate; <as>as, a <ex>vehement</ex> affection or passion</as>.</def> <ldquo/<xex>Vehement</xex> instigation.<rdquo/ <au>Shak.</au> <ldquo/<xex>Vehement</xex> desire.<rdquo/ <au>Milton.</au><br/
[<source>1913 Webster</source>]</p>
<p><syn><b>Syn.</b> -- Furious; violent; raging; impetuous; passionate; ardent; eager; hot; fervid; burning.</syn><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vehemently</ent><br/
<hw>Ve"he*ment*ly</hw>, <pos>adv.</pos> <def>In a vehement manner.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vehicle</ent><br/
<hw>Ve"hi*cle</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[L. <ets>vehiculum</ets>, fr. <ets>vehere</ets> to carry; akin to E. <ets>way</ets>, <ets>wain</ets>. See <er>Way</er>, <pos>n.</pos>, and cf. <er>Convex</er>, <er>Inveigh</er>, <er>Veil</er>, <er>Vex</er>.]</ety><br/
[<source>1913 Webster</source>]</p>
<p><sn>1.</sn> <def>That in or on which any person or thing is, or may be, carried, as a coach, carriage, wagon, cart, car, sleigh, bicycle, etc.; a means of conveyance; specifically, a means of conveyance upon land.</def><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <def>That which is used as the instrument of conveyance or communication; <as>as, matter is the <ex>vehicle</ex> of energy</as>.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>A simple style forms the best <qex>vehicle</qex> of thought to a popular assembly.</q> <rj><qau>Wirt.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>3.</sn> <fld>(Pharm.)</fld> <def>A substance in which medicine is taken.</def><br/
[<source>1913 Webster</source>]</p>
<p><sn>4.</sn> <fld>(Paint.)</fld> <def>Any liquid with which a pigment is applied, including whatever gum, wax, or glutinous or adhesive substance is combined with it.</def><br/
[<source>1913 Webster</source>]</p>
<p><note><hand/ Water is used in fresco and in water-color painting, the colors being consolidated with gum arabic; size is used in distemper painting. In oil painting, the fixed oils of linseed, nut, and poppy, are used; in encaustic, wax is the vehicle.</note> <rj><au>Fairholt.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>5.</sn> <fld>(Chem.)</fld> <def>A liquid used to spread sensitive salts upon glass and paper for use in photography.</def><br/
[<source>Webster 1913 Suppl.</source>]</p>
<p><ent>Vehicled</ent><br/
<hw>Ve"hi*cled</hw> <pr>(?)</pr>, <pos>a.</pos> <def>Conveyed in a vehicle; furnished with a vehicle.</def> <rj><au>M. Green.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vehicular</ent><br/
<hw>Ve*hic"u*lar</hw> <pr>(?)</pr>, <pos>a.</pos> <ety>[L. <ets>vehicularis</ets>: cf. F. <ets>v<eacute/hiculaire</ets>.]</ety> <def>Of or pertaining to a vehicle; serving as a vehicle; <as>as, a <ex>vehicular</ex> contrivance</as>.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vehiculary</ent><br/
<hw>Ve*hic"u*la*ry</hw> <pr>(?)</pr>, <pos>a.</pos> <def>Vehicular.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vehiculate</ent><br/
<hw>Ve*hic"u*late</hw>, <pos>v. t. & i.</pos> <def>To convey by means of a vehicle; to ride in a vehicle.</def> <rj><au>Carlyle.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vehiculation</ent><br/
<hw>Ve*hic`u*la"tion</hw> <pr>(?)</pr>, <pos>n.</pos> <def>Movement of vehicles.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vehiculatory</ent><br/
<hw>Ve*hic"u*la*to*ry</hw> <pr>(?)</pr>, <pos>a.</pos> <def>Vehicular.</def> <rj><au>Carlyle.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vehme</ent><br/
<ent>Vehm</ent><br/
<mhw>{ ||<hw>Vehm</hw>, ||<hw>Vehme</hw> }</mhw> <pr>(?)</pr>, <pos>n.</pos>; <plu>pl. <plw>Vehme</plw> <pr>(#)</pr></plu>. <ety>[See <er>Vehmgericht</er>.]</ety> <def>A vehmic court.</def><br/
[<source>Webster 1913 Suppl.</source>]</p>
<p><ent>Vehmgericht</ent><br/
||<hw>Vehm"ge*richt</hw> <pr>(?)</pr>, <pos>n.</pos>; <plu>pl. <plw>Vehmgerichte</plw> <pr>(#)</pr></plu>. <ety>[G. <ets>vefm</ets>, <ets>fehm</ets> criminal tribunal + <ets>gerichte</ets> court, judgment. Cf. <er>Vehmic</er>.]</ety> <def>A vehmic court.</def><br/
[<source>Webster 1913 Suppl.</source>]</p>
<p><ent>Vehmic</ent><br/
<hw>Veh"mic</hw> <pr>(v<emac/"m<icr/k <it>or</it> v<amac/"m<icr/k; 277)</pr>, <pos>a.</pos> <ety>[G. <ets>vehm</ets>, <ets>fehm</ets>, <ets>fehme</ets>, a secret tribunal of punishment, MHG. <ets>veime</ets>, <ets>veme</ets>: cf. F. <ets>vehmique</ets>.]</ety> <def>Of, pertaining to, or designating, certain secret tribunals which flourished in Germany from the end of the 12th century to the middle of the 16th, usurping many of the functions of the governments which were too weak to maintain law and order, and inspiring dread in all who came within their jurisdiction.</def> <rj><au>Encyc. Brit.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Veil</ent><br/
<hw>Veil</hw> <pr>(v<amac/l)</pr>, <pos>n.</pos> <ety>[OE. <ets>veile</ets>, OF. <ets>veile</ets>, F. <ets>voile</ets>, L. <ets>velum</ets> a sail, covering, curtain, veil, probably fr. <ets>vehere</ets> to bear, carry, and thus originally, that which bears the ship on. See <er>Vehicle</er>, and cf. <er>Reveal</er>.]</ety> <altsp>[Written also <asp>vail</asp>.]</altsp><br/
[<source>1913 Webster</source>]</p>
<p><sn>1.</sn> <def>Something hung up, or spread out, to intercept the view, and hide an object; a cover; a curtain; esp., a screen, usually of gauze, crape, or similar diaphnous material, to hide or protect the face.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>The <qex>veil</qex> of the temple was rent in twain.</q> <rj><qau>Matt. xxvii. 51.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><q>She, as a <qex>veil</qex> down to the slender waist,<br/
Her unadorn<eacute/d golden tresses wore.</q> <rj><qau>Milton.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <def>A cover; a disguise; a mask; a pretense.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>[I will] pluck the borrowed <qex>veil</qex> of modesty from the so seeming Mistress Page.</q> <rj><qau>Shak.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>3.</sn> <fld>(Bot.)</fld> <sd>(a)</sd> <def>The calyptra of mosses.</def> <sd>(b)</sd> <def>A membrane connecting the margin of the pileus of a mushroom with the stalk; -- called also <altname>velum</altname>.</def><br/
[<source>1913 Webster</source>]</p>
<p><sn>4.</sn> <fld>(Eccl.)</fld> <def>A covering for a person or thing; <as>as, a nun's <ex>veil</ex>; a paten <ex>veil</ex>; an altar <ex>veil</ex>.</as></def><br/
[<source>1913 Webster</source>]</p>
<p><sn>5.</sn> <fld>(Zool.)</fld> <def>Same as <er>Velum</er>, 3.</def><br/
[<source>1913 Webster</source>]</p>
<p><cs><col><b>To take the veil</b></col> <fld>(Eccl.)</fld>, <cd>to receive or be covered with, a veil, as a nun, in token of retirement from the world; to become a nun.</cd></cs><br/
[<source>1913 Webster</source>]</p>
<p><ent>Veil</ent><br/
<hw>Veil</hw> <pr>(?)</pr>, <pos>v. t.</pos> <vmorph>[<pos>imp. & p. p.</pos> <conjf>Veiled</conjf> <pr>(?)</pr>; <pos>p. pr. & vb. n.</pos> <conjf>Veiling</conjf>.]</vmorph> <ety>[Cf. OF. <ets>veler</ets>, F. <ets>voiler</ets>, L. <ets>velarc</ets>. See <er>Veil</er>, <pos>n.</pos>]</ety> <altsp>[Written also <asp>vail</asp>.]</altsp><br/
[<source>1913 Webster</source>]</p>
<p><sn>1.</sn> <def>To throw a veil over; to cover with a veil.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>Her face was <qex>veiled</qex>; yet to my fancied sight,<br/
Love, sweetness, goodness, in her person shined.</q> <rj><qau>Milton.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <def>Fig.: To invest; to cover; to hide; to conceal.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>To keep your great pretenses <qex>veiled</qex>.</q> <rj><qau>Shak.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Veiled</ent><br/
<hw>Veiled</hw> <pr>(?)</pr>, <pos>a.</pos> <def>Covered by, or as by, a veil; hidden.</def> <ldquo/Words used to convey a <xex>veiled</xex> meaning.<rdquo/ <rj><au>Earle.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Veiled plate</ent><br/
<hw>Veiled plate</hw>. <fld>(Photog.)</fld> <def>A fogged plate.</def><br/
[<source>Webster 1913 Suppl.</source>]</p>
<p><ent>Veiling</ent><br/
<hw>Veil"ing</hw> <pr>(?)</pr>, <pos>n.</pos> <def>A veil; a thin covering; also, material for making veils.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Veilless</ent><br/
<hw>Veil"less</hw>, <pos>a.</pos> <def>Having no veil.</def> <rj><au>Tennyson.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vein</ent><br/
<hw>Vein</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[OE. <ets>veine</ets>, F. <ets>veine</ets>, L. <ets>vena</ets>.]</ety> <sn>1.</sn> <fld>(Anat.)</fld> <def>One of the vessels which carry blood, either venous or arterial, to the heart. See <er>Artery</er>, 2.</def><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <fld>(Bot.)</fld> <def>One of the similar branches of the framework of a leaf.</def><br/
[<source>1913 Webster</source>]</p>
<p><sn>3.</sn> <fld>(Zool.)</fld> <def>One of the ribs or nervures of the wings of insects. See <er>Venation</er>.</def><br/
[<source>1913 Webster</source>]</p>
<p><sn>4.</sn> <fld>(Geol. or Mining)</fld> <def>A narrow mass of rock intersecting other rocks, and filling inclined or vertical fissures not corresponding with the stratification; a lode; a dike; -- often limited, in the language of miners, to a mineral vein or lode, that is, to a vein which contains useful minerals or ores.</def><br/
[<source>1913 Webster</source>]</p>
<p><sn>5.</sn> <def>A fissure, cleft, or cavity, as in the earth or other substance.</def> <ldquo/Down to the <xex>veins</xex> of earth.<rdquo/ <rj><au>Milton.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><q>Let the glass of the prisms be free from <qex>veins</qex>.</q> <rj><qau>Sir I. Newton.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>6.</sn> <def>A streak or wave of different color, appearing in wood, and in marble and other stones; variegation.</def><br/
[<source>1913 Webster</source>]</p>
<p><sn>7.</sn> <def>A train of associations, thoughts, emotions, or the like; a current; a course; <as>as, reasoning in the same <ex>vein</ex></as>.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>He can open a <qex>vein</qex> of true and noble thinking.</q> <rj><qau>Swift.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>8.</sn> <def>Peculiar temper or temperament; tendency or turn of mind; a particular disposition or cast of genius; humor; strain; quality; also, manner of speech or action; <as>as, a rich <ex>vein</ex> of humor; a satirical <ex>vein</ex></as>.</def> <rj><au>Shak.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><q>Certain discoursing wits which are of the same <qex>veins</qex>.</q> <rj><qau>Bacon.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><q>Invoke the Muses, and improve my <qex>vein</qex>.</q> <rj><qau>Waller.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vein</ent><br/
<hw>Vein</hw>, <pos>v. t.</pos> <vmorph>[<pos>imp. & p. p.</pos> <conjf>Veined</conjf> <pr>(?)</pr>; <pos>p. pr. & vb. n.</pos> <conjf>Veining</conjf>.]</vmorph> <def>To form or mark with veins; to fill or cover with veins.</def> <rj><au>Tennyson.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Veinal</ent><br/
<hw>Vein"al</hw> <pr>(?)</pr>, <pos>a.</pos> <def>Pertaining to veins; venous.</def> <mark>[R.]</mark><br/
[<source>1913 Webster</source>]</p>
<p><ent>Veined</ent><br/
<hw>Veined</hw> <pr>(?)</pr>, <pos>a.</pos> <sn>1.</sn> <def>Full of veins; streaked; variegated; <as>as, <ex>veined</ex> marble</as>.</def> <ldquo/<xex>Veined</xex> follies.<rdquo/ <rj><au>Ford.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <fld>(Bot.)</fld> <def>Having fibrovascular threads extending throughout the lamina; <as>as, a <ex>veined</ex> leaf</as>.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Veinless</ent><br/
<hw>Vein"less</hw> <pr>(?)</pr>, <pos>a.</pos> <def>Having no veins; <as>as, a <ex>veinless</ex> leaf</as>.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Veinlet</ent><br/
<hw>Vein"let</hw> <pr>(?)</pr>, <pos>n.</pos> <def>A small vein.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Veinous</ent><br/
<hw>Vein"ous</hw> <pr>(?)</pr>, <pos>a.</pos> <def>Marked with veins; veined; veiny.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>The excellent old gentleman's nails are long and leaden, and his hands lean and <qex>veinous</qex>.</q> <rj><qau>Dickens.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vein quartz</ent><br/
<hw>Vein quartz</hw>. <def>Quartz occurring as gangue in a vein.</def><br/
[<source>Webster 1913 Suppl.</source>]</p>
<p><ent>Veinstone</ent><br/
<hw>Vein"stone`</hw> <pr>(?)</pr>, <pos>n.</pos> <fld>(Mining)</fld> <def>The valueless nonmetalliferous mineral or rock material which surrounds the ores in a vein, as quartz, calcite, barite, fluor spar, etc.; gangue; matrix; -- called also <altname>veinstuff</altname>.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Veiny</ent><br/
<hw>Vein"y</hw> <pr>(?)</pr>, <pos>a.</pos> <ety>[From <er>Vein</er>: cf. F. <ets>vein<eacute/</ets>.]</ety> <def>Full of veins; veinous; veined; <as>as, <ex>veiny</ex> marble</as>.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Velar</ent><br/
<hw>Ve"lar</hw> <pr>(?)</pr>, <pos>a.</pos> <ety>[See <er>Velum</er>.]</ety><br/
[<source>1913 Webster</source>]</p>
<p><sn>1.</sn> <def>Of or pertaining to a velum; esp. <fld>(Anat.)</fld> of or pertaining to the soft palate.</def><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <fld>(Phon.)</fld> <def>Having the place of articulation on the soft palate; guttural; <as>as, the <ex>velar</ex> consonants, such as <it>k</it> and hard <it>g</it></as>.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Velarium</ent><br/
||<hw>Ve*la"ri*um</hw> <pr>(?)</pr>, <pos>n.</pos>; <plu><it>pl.</it> <plw>Velaria</plw> <pr>(#)</pr>.</plu> <ety>[L., a covering.]</ety> <fld>(Zool.)</fld> <def>The marginal membrane of certain medusae belonging to the Discophora.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Velate</ent><br/
<hw>Ve"late</hw> <pr>(?)</pr>, <pos>a.</pos> <ety>[L. <ets>velatus</ets>, p. p. of <ets>velare</ets> to veil. See <er>Veil</er>.]</ety> <fld>(Bot.)</fld> <def>Having a veil; veiled.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Veldt</ent><br/
||<hw>Veldt</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[D. <ets>veld</ets>. Cf. <er>Field</er>, <pos>n.</pos>]</ety> <def>A region or tract of land; esp., the open field, thinly forested or with bushes and shrubs; grass country.</def> <mark>[South Africa]</mark><br/
[<source>Webster 1913 Suppl.</source>]</p>
<p><ent>Veldt sore</ent><br/
<hw>Veldt sore</hw>. <fld>(Med.)</fld> <def>An infective sore mostly on the hands and feet, often contracted in walking on the veldt and apparently due to a specific microorganism.</def><br/
[<source>Webster 1913 Suppl.</source>]</p>
<p><ent>Vele</ent><br/
<hw>Vele</hw> <pr>(?)</pr>, <pos>n.</pos> <def>A veil.</def> <mark>[Obs.]</mark> <rj><au>Spenser.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Velella</ent><br/
||<hw>Ve*lel"la</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[NL., dim. from L. <ets>velum</ets> a veil, a sail.]</ety> <fld>(Zool.)</fld> <def>Any species of oceanic Siphonophora belonging to the genus <gen>Velella</gen>.</def><br/
[<source>1913 Webster</source>]</p>
<p><note><hand/ These creatures are brilliantly colored and float at the surface of the sea. They have an oblong, disklike body, supported by a thin chitinous plate, from which rises a thin diagonal crest which acts as a sail. The feeding and reproductive zooids hang down from the under side of the disk.</note><br/
[<source>1913 Webster</source>]</p>
<p><ent>Veliferous</ent><br/
<hw>Ve*lif"er*ous</hw> <pr>(v<esl/*l<icr/f"<etil/r*<ucr/s)</pr>, <pos>a.</pos> <ety>[L. <ets>velifer</ets>; <ets>velum</ets> a sail + <ets>ferre</ets> to bear.]</ety> <def>Carrying or bearing sails.</def> <mark>[Obs.]</mark> <ldquo/<xex>Veliferous</xex> chariots.<rdquo/ <rj><au>Evelyn.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><-- p. 1599 pr=PI --><br/
[<source>1913 Webster</source>]</p>
<p><ent>Veliger</ent><br/
||<hw>Vel"i*ger</hw> <pr>(v<ecr/l"<icr/*j<etil/r)</pr>, <pos>n.</pos> <ety>[NL., fr. L. <ets>velum</ets> a veil + <ets>gerere</ets> bear.]</ety> <fld>(Zool.)</fld> <def>Any larval gastropod or bivalve mollusk in the stage when it is furnished with one or two ciliated membranes for swimming.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Velitation</ent><br/
<hw>Vel`i*ta"tion</hw> <pr>(v<ecr/l`<icr/*t<amac/"sh<ucr/n)</pr>, <pos>n.</pos> <ety>[L. <ets>velitatio</ets>, fr. <ets>velitari</ets>, <ets>velitatus</ets>, to skirmish, from <ets>veles</ets>, <ets>-itis</ets>, a light-armed soldier.]</ety> <def>A dispute or contest; a slight contest; a skirmish.</def> <mark>[R.]</mark> <rj><au>Sir M. Hale.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><q>After a short <qex>velitation</qex> we parted.</q> <rj><qau>Evelyn.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Velivolant</ent><br/
<hw>Ve*liv"o*lant</hw> <pr>(?)</pr>, <pos>a.</pos> <ety>[L. <ets>velivolans</ets>; <ets>velum</ets> a sail + <ets>volare</ets> to fly.]</ety> <def>Flying with sails; passing under full sail.</def> <mark>[R.]</mark><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vell</ent><br/
<hw>Vell</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[Cf. L. <ets>vellus</ets> the skin of a sheep with the wool on it, a fleece, a hide or pelt, or E. <ets>fell</ets> a hide.]</ety> <def>The salted stomach of a calf, used in making cheese; a rennet bag.</def> <mark>[Prov. Eng.]</mark><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vell</ent><br/
<hw>Vell</hw>, <pos>v. i.</pos> <ety>[Cf. <er>Vell</er>, <pos>n.</pos>]</ety> <def>To cut the turf from, as for burning.</def> <mark>[Prov. Eng.]</mark> <rj><au>Halliwell.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Velleity</ent><br/
<hw>Vel*le"i*ty</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[F. <ets>vell<eacute/it<eacute/</ets> (cf. It. <ets>velleit<agrave/</ets>), fr. L. <ets>velle</ets> to will, to be willing.]</ety> <def>The lowest degree of desire; imperfect or incomplete volition.</def> <rj><au>Locke.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vellet</ent><br/
<hw>Vel"let</hw> <pr>(?)</pr>, <pos>n.</pos> <def>Velvet.</def> <mark>[Obs.]</mark> <rj><au>Spenser.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vellicate</ent><br/
<hw>Vel"li*cate</hw> <pr>(?)</pr>, <pos>v. t.</pos> <vmorph>[<pos>imp. & p. p.</pos> <conjf>Vellicated</conjf> <pr>(?)</pr>; <pos>p. pr. & vb. n.</pos> <conjf>Vellicating</conjf>.]</vmorph> <ety>[L. <ets>vellicatus</ets>, p. p. of <ets>vellicare</ets> to twitch, fr. <ets>vellere</ets> to pluck, pull.]</ety> <def>To twitch; to cause to twitch convulsively.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>Convulsions, arising from something <qex>vellicating</qex> a nerve in its extremity, are not very dangerous.</q> <rj><qau>Arbuthnot.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vellicate</ent><br/
<hw>Vel"li*cate</hw>, <pos>v. i.</pos> <def>To move spasmodically; to twitch; <as>as, a nerve <ex>vellicates</ex></as>.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vellication</ent><br/
<hw>Vel`li*ca"tion</hw> <pr>(?)</pr>, <ety>[L. <ets>vellicatio</ets>.]</ety> <sn>1.</sn> <def>The act of twitching, or of causing to twitch.</def><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <fld>(Med.)</fld> <def>A local twitching, or convulsive motion, of a muscular fiber, especially of the face.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vellicative</ent><br/
<hw>Vel"li*ca*tive</hw> <pr>(?)</pr>, <pos>a.</pos> <def>Having the power of vellicating, plucking, or twitching; causing vellication.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vellon</ent><br/
||<hw>Vel*lon"</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[Sp.]</ety> <def>A word occurring in the phrase <cref>real vellon</cref>. See the Note under 1st <er>Real</er>.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vellum</ent><br/
<hw>Vel"lum</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[OE. <ets>velim</ets>, F. <ets>v<eacute/lin</ets>, fr. L. <ets>vitulinus</ets> of a calf, fr. <ets>vitulus</ets> a calf. See <er>Veal</er>.]</ety> <def>A fine kind of parchment, usually made from calfskin, and rendered clear and white, -- used as for writing upon, and for binding books.</def><br/
[<source>1913 Webster</source>]</p>
<p><cs><col><b>Vellum cloth</b></col>, <cd>a fine kind of cotton fabric, made very transparent, and used as a tracing cloth.</cd></cs><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vellumy</ent><br/
<hw>Vel"lum*y</hw> <pr>(?)</pr>, <pos>a.</pos> <def>Resembling vellum.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Velocimeter</ent><br/
<hw>Vel`o*cim"e*ter</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[L. <ets>velox</ets>, <ets>-ocis</ets>, rapid + <ets>-meter</ets>.]</ety> <def>An apparatus for measuring speed, as of machinery or vessels, but especially of projectiles.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Velocipede</ent><br/
<hw>Ve*loc"i*pede</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[L. <ets>velox</ets>, <ets>-ocis</ets>, swift + <ets>pes</ets>, <ets>pedis</ets>, a foot. See <er>Velocity</er>, and <er>Foot</er>.]</ety> <def>A light road carriage propelled by the feet of the rider. Originally it was propelled by striking the tips of the toes on the roadway, but commonly now by the action of the feet on a pedal or pedals connected with the axle of one or more of the wheels, and causing their revolution. They are made in many forms, with two, three, or four wheels. See <er>Bicycle</er>, and <er>Tricycle</er>.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Velocipedist</ent><br/
<hw>Ve*loc"i*pe`dist</hw> <pr>(?)</pr>, <pos>n.</pos> <def>One who rides on a velocipede; a cyclist.</def><br/
[<source>1913 Webster</source> <source>+PJC</source>]</p>
<p><ent>Velocity</ent><br/
<hw>Ve*loc"i*ty</hw> <pr>(?)</pr>, <pos>n.</pos>; <plu><it>pl.</it> <plw>Velocities</plw> <pr>(#)</pr>.</plu> <ety>[L. <ets>velocitas</ets>, from <ets>velox</ets>, <ets>-ocis</ets>, swift, quick; perhaps akin to <ets>volare</ets> to fly (see <er>Volatile</er>): cf. F. <ets>v<eacute/locit<eacute/</ets>.]</ety><br/
[<source>1913 Webster</source>]</p>
<p><sn>1.</sn> <def>Quickness of motion; swiftness; speed; celerity; rapidity; <as>as, the <ex>velocity</ex> of wind; the <ex>velocity</ex> of a planet or comet in its orbit or course; the <ex>velocity</ex> of a cannon ball; the <ex>velocity</ex> of light.</as></def><br/
[<source>1913 Webster</source>]</p>
<p><note><hand/ In such phrases, <xex>velocity</xex> is more generally used than <xex>celerity</xex>. We apply <xex>celerity</xex> to animals; as, a horse or an ostrich runs with <xex>celerity</xex>; but bodies moving in the air or in ethereal space move with greater or less <xex>velocity</xex>, not <xex>celerity</xex>. This usage is arbitrary, and perhaps not universal.</note><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <fld>(Mech.)</fld> <def>Rate of motion; the relation of motion to time, measured by the number of units of space passed over by a moving body or point in a unit of time, usually the number of feet passed over in a second. See the Note under <er>Speed</er>.</def><br/
[<source>1913 Webster</source>]</p>
<p><cs><col><b>Angular velocity</b></col>. <cd>See under <er>Angular</er>.</cd> -- <col><b>Initial velocity</b></col>, <cd>the velocity of a moving body at starting; especially, the velocity of a projectile as it leaves the mouth of a firearm from which it is discharged.</cd> -- <col><b>Relative velocity</b></col>, <cd>the velocity with which a body approaches or recedes from another body, whether both are moving or only one.</cd> -- <col><b>Uniform velocity</b></col>, <cd>velocity in which the same number of units of space are described in each successive unit of time.</cd> -- <col><b>Variable velocity</b></col>, <cd>velocity in which the space described varies from instant to instant, either increasing or decreasing; -- in the former case called <xex>accelerated velocity</xex>, in the latter, <xex>retarded velocity</xex>; the acceleration or retardation itself being also either <xex>uniform</xex> or <xex>variable</xex>.</cd> -- <col><b>Virtual velocity</b></col>. <cd>See under <er>Virtual</er>.</cd></cs><br/
[<source>1913 Webster</source>]</p>
<p><note><hand/ In <xex>variable velocity</xex>, the velocity, strictly, at any given instant, is the rate of motion at that instant, and is expressed by the units of space, which, if the velocity at that instant were continued uniform during a unit of time, would be described in the unit of time; thus, the velocity of a falling body at a given instant is the number of feet which, if the motion which the body has at that instant were continued uniformly for one second, it would pass through in the second. The scientific sense of <xex>velocity</xex> differs from the popular sense in being applied to all rates of motion, however slow, while the latter implies more or less rapidity or quickness of motion.</note><br/
[<source>1913 Webster</source>]</p>
<p><syn><b>Syn.</b> -- Swiftness; celerity; rapidity; fleetness; speed.</syn><br/
[<source>1913 Webster</source>]</p>
<p><ent>Velours</ent><br/
<hw>Ve*lours"</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[F. See <er>Velure</er>.]</ety> <def>One of many textile fabrics having a pile like that of velvet.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Sauce veloute</ent><br/
<ent>Veloute</ent><br/
<mhw>{ ||<hw>Ve*lou`t<eacute/"</hw> <pr>(?)</pr>, <pos>n.</pos>, <it>or</it> <hw>Sauce velout<eacute/</hw> <pr>(?)</pr> }</mhw>. <ety>[F. <ets>velout<eacute/</ets>, lit., velvety.]</ety> <fld>(Cookery)</fld> <def>A white sauce or stock made by boiling down ham, veal, beef, fowl, bouillon, etc., then adding soup stock, seasoning, vegetables, and thickening, and again boiling and straining.</def><br/
[<source>Webster 1913 Suppl.</source>]</p>
<p><ent>Veltfare</ent><br/
<hw>Velt"fare</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[See <er>Fieldfare</er>.]</ety> <fld>(Zool.)</fld> <def>The fieldfare.</def> <mark>[Prov. Eng.]</mark><br/
[<source>1913 Webster</source>]</p>
<p><ent>Velum</ent><br/
||<hw>Ve"lum</hw> <pr>(?)</pr>, <pos>n.</pos>; <plu><it>pl.</it> <plw>Vela</plw> <pr>(#)</pr>.</plu> <ety>[L., an awning, a veil. See <er>Veil</er>.]</ety><br/
[<source>1913 Webster</source>]</p>
<p><sn>1.</sn> <fld>(Anat.)</fld> <def>A curtain or covering; -- applied to various membranous partitions, especially to the soft palate. See under <er>Palate</er>.</def><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <fld>(Bot.)</fld> <sd>(a)</sd> <def>See <er>Veil</er>, <pos>n.</pos>, 3 <sd>(b)</sd>.</def> <sd>(b)</sd> <def>A thin membrane surrounding the sporocarps of quillworts <gen>Isoetes</gen>).</def><br/
[<source>1913 Webster</source>]</p>
<p><sn>3.</sn> <fld>(Zool.)</fld> <def>A veil-like organ or part.</def> Especially: <sd>(a)</sd> <def>The circular membrane that partially incloses the space beneath the umbrella of hydroid medusae.</def> <sd>(b)</sd> <def>A delicate funnel-like membrane around the flagellum of certain Infusoria. See <xex>Illust.</xex> <it>a</it> of <er>Protozoa</er>.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Velure</ent><br/
<hw>Vel"ure</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[F. <ets>velours</ets>, OF. <ets>velous</ets>, from L. <ets>villosus</ets> hairy. See <er>Velvet</er>.]</ety> <sn>1.</sn> <def>Velvet.</def> <mark>[Obs.]</mark> <ldquo/A woman's crupper of <xex>velure</xex>.<rdquo/ <rj><au>Shak.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <def>A substance resembling velvet.</def><br/
[<source>PJC</source>]</p>
<p><ent>Velutina</ent><br/
<hw>Vel`u*ti"na</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[NL. See <er>Velvet</er>.]</ety> <fld>(Zool.)</fld> <def>Any one of several species of marine gastropods belonging to <gen>Velutina</gen> and allied genera.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Velutinous</ent><br/
<hw>Ve*lu"ti*nous</hw> <pr>(?)</pr>, <pos>a.</pos> <ety>[It. <ets>velluto</ets> velvet. See <er>Velvet</er>.]</ety> <fld>(Bot.)</fld> <def>Having the surface covered with a fine and dense silky pubescence; velvety; <as>as, a <ex>velutinous</ex> leaf</as>.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Velverd</ent><br/
<hw>Vel"verd</hw> <pr>(?)</pr>, <pos>n.</pos> <def>The veltfare.</def> <mark>[Prov. Eng.]</mark><br/
[<source>1913 Webster</source>]</p>
<p><ent>Velveret</ent><br/
<hw>Vel`ver*et"</hw> <pr>(?)</pr>, <pos>n.</pos> <def>A kind of velvet having cotton back.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Velvet</ent><br/
<hw>Vel"vet</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[OE. <ets>velouette</ets>, <ets>veluet</ets>, <ets>velwet</ets>; cf. OF. <ets>velluau</ets>, LL. <ets>velluetum</ets>, <ets>vellutum</ets>, It. <ets>velluto</ets>, Sp. <ets>velludo</ets>; all fr. (assumed) LL. <ets>villutus</ets> shaggy, fr L. <ets>villus</ets> shaggy hair; akin to <ets>vellus</ets> a fleece, and E. <ets>wool</ets>. See <er>Wool</er>, and cf. <er>Villous</er>.]</ety><br/
[<source>1913 Webster</source>]</p>
<p><sn>1.</sn> <def>A silk fabric, having a short, close nap of erect threads. Inferior qualities are made with a silk pile on a cotton or linen back, or with other soft fibers such as nylon, acetate, or rayon.</def><br/
[<source>1913 Webster</source> + <source>PJC</source>]</p>
<p><sn>2.</sn> <def>The soft and highly vascular deciduous skin which envelops and nourishes the antlers of deer during their rapid growth.</def><br/
[<source>1913 Webster</source>]</p>
<p><sn>3.</sn> <def>Something likened to velvet{1} in being soft or luxurious; <as>as, a lawn of <ex>velvet</ex></as>.</def><br/
[<source>PJC</source>]</p>
<p><cs><col><b>Cotton velvet</b></col>, <cd>an imitation of velvet, made of cotton.</cd> -- <col><b>Velvet cork</b></col>, <cd>the best kind of cork bark, supple, elastic, and not woody or porous.</cd> -- <col><b>Velvet crab</b></col> <fld>(Zool.)</fld>, <cd>a European crab (<spn>Portunus puber</spn>). When adult the black carapace is covered with a velvety pile. Called also <altname>lady crab</altname>, and <altname>velvet fiddler</altname>.</cd> -- <col><b>Velvet dock</b></col> <fld>(Bot.)</fld>, <cd>the common mullein.</cd> -- <col><b>Velvet duck</b></col>. <fld>(Zool.)</fld> <sd>(a)</sd> <cd>A large European sea duck, or scoter (<spn>Oidemia fusca</spn>). The adult male is glossy, velvety black, with a white speculum on each wing, and a white patch behind each eye.</cd> <sd>(b)</sd> <cd>The American whitewinged scoter. See <er>Scoter</er>.</cd> -- <col><b>Velvet flower</b></col> <fld>(Bot.)</fld>, <cd>love-lies-bleeding. See under <er>Love</er>.</cd> -- <col><b>Velvet grass</b></col> <fld>(Bot.)</fld>, <cd>a tall grass (<spn>Holcus lanatus</spn>) with velvety stem and leaves; -- called also <altname>soft grass</altname>.</cd> -- <col><b>Velvet runner</b></col> <fld>(Zool.)</fld>, <cd>the water rail; -- so called from its quiet, stealthy manner of running.</cd> <mark>[Prov. Eng.]</mark> -- <col><b>Velvet scoter</b></col>. <fld>(Zool.)</fld> <cd>Same as <cref>Velvet duck</cref>, above.</cd> -- <col><b>Velvet sponge</b></col>. <fld>(Zool.)</fld> <cd>See under <er>Sponge</er>.</cd> -- <col><b>in velvet</b></col> <cd>having a coating of velvet{2} over the antlers; in the annual stage where the antlers are still growing; -- of deer.</cd> </cs><br/
[<source>1913 Webster</source> + <source>PJC</source>]</p>
<p><ent>Velvet</ent><br/
<hw>Vel"vet</hw>, <pos>a.</pos> <def>Made of velvet; soft and delicate, like velvet; velvety.</def> <ldquo/ The cowslip's <xex>velvet</xex> head.<rdquo/ <rj><au>Milton.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Velvet</ent><br/
<hw>Vel"vet</hw>, <pos>v. i.</pos> <def>To pain velvet.</def> <mark>[R.]</mark> <rj><au>Peacham.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Velvet</ent><br/
<hw>Vel"vet</hw>, <pos>v. t.</pos> <def>To make like, or cover with, velvet.</def> <mark>[R.]</mark><br/
[<source>1913 Webster</source>]</p>
<p><ent>Velvetbreast</ent><br/
<hw>Vel"vet*breast`</hw> <pr>(?)</pr>, <pos>n.</pos> <fld>(Zool.)</fld> <def>The goosander.</def> <mark>[Local, U. S.]</mark><br/
[<source>1913 Webster</source>]</p>
<p><ent>Velveteen</ent><br/
<hw>Vel`vet*een"</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[Cf. F. <ets>velvantine</ets>. See <er>Velvet</er>.]</ety> <def>A kind of cloth, usually cotton, made in imitation of velvet; cotton velvet.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Velveting</ent><br/
<hw>Vel"vet*ing</hw> <pr>(?)</pr>, <pos>n.</pos> <def>The fine shag or nap of velvet; a piece of velvet; velvet goods.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Velvetleaf</ent><br/
<hw>Vel"vet*leaf`</hw> <pr>(?)</pr>, <pos>n.</pos> <fld>(Bot.)</fld> <def>A name given to several plants which have soft, velvety leaves, as the <spn>Abutilon Avicennae</spn>, the <spn>Cissampelos Pareira</spn>, and the <spn>Lavatera arborea</spn>, and even the common mullein.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Velvety</ent><br/
<hw>Vel"vet*y</hw> <pr>(?)</pr>, <pos>a.</pos> <def>Made of velvet, or like velvet; soft; smooth; delicate.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vena</ent><br/
||<hw>Ve"na</hw> <pr>(?)</pr>, <pos>n.</pos>; <plu><it>pl.</it> <plw>Venae</plw> <pr>(#)</pr>.</plu> <ety>[L. See <er>Vein</er>.]</ety> <def>A vein.</def><br/
[<source>1913 Webster</source>]</p>
<p><cs><col><b>Vena cava</b></col>; <plu><it>pl.</it> <plw>Venae cavae</plw>.</plu> <ety>[L., literally, hollow vein.]</ety> <fld>(Anat.)</fld> <cd>Any one of the great systemic veins connected directly with the heart.</cd>-- <col><b>Vena contracta</b></col>. <ety>[L., literally, contracted vein.]</ety> <fld>(Hydraulics)</fld> <cd>The contracted portion of a liquid jet at and near the orifice from which it issues.</cd> -- <col><b>Vena portae</b></col>; <plu><it>pl.</it> <plw>Venae portae</plw>.</plu> <ety>[L., literally, vein of the entrance.]</ety> <fld>(Anat.)</fld> <cd>The portal vein of the liver. See under <er>Portal</er>.</cd></cs><br/
[<source>1913 Webster</source>]</p>
<p><ent>Venada</ent><br/
<hw>Ve*na"da</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[Cf. Sp. <ets>venado</ets> a deer, stag.]</ety> <fld>(Zool.)</fld> <def>The pudu.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Venal</ent><br/
<hw>Ve"nal</hw> <pr>(v<emac/"n<ait/l)</pr>, <pos>a.</pos> <ety>[L. <ets>vena</ets> a vein.]</ety> <def>Of or pertaining to veins; venous; <as>as, <ex>venal</ex> blood</as>.</def> <mark>[R.]</mark><br/
[<source>1913 Webster</source>]</p>
<p><ent>Venal</ent><br/
<hw>Ve"nal</hw>, <pos>a.</pos> <ety>[L. <ets>venalis</ets>, from <ets>venus</ets> sale; akin to Gr. <grk>'w^nos</grk> price, Skr. <ets>vasna</ets>: cf. F. <ets>v<eacute/nal</ets>.]</ety> <def>Capable of being bought or obtained for money or other valuable consideration; made matter of trade or barter; held for sale; salable; mercenary; purchasable; hireling; <as>as, <ex>venal</ex> services</as>.</def> <ldquo/ Paid court to <xex>venal</xex> beauties.<rdquo/ <rj><au>Macaulay.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><q>The <qex>venal</qex> cry and prepared vote of a passive senate.</q> <rj><qau>Burke.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><syn><b>Syn.</b> -- Mercenary; hireling; vendible.</syn> <usage> -- <er>Venal</er>, <er>Mercenary</er>. One is <xex>mercenary</xex> who is either actually a hireling (as, <xex>mercenary</xex> soldiers, a <xex>mercenary</xex> judge, etc.), or is governed by a sordid love of gain; hence, we speak of <xex>mercenary</xex> motives, a <xex>mercenary</xex> marriage, etc. <xex>Venal</xex> goes further, and supposes either an actual <xex>purchase</xex>, or a readiness to be purchased, which places a person or thing wholly in the power of the purchaser; <as>as, a <ex>venal</ex> press</as>. Brissot played ingeniously on the latter word in his celebrated saying, <ldquo/ My pen is <xex>venal</xex> that it may not be <xex>mercenary</xex>,<rdquo/ meaning that he wrote books, and sold them to the publishers, in order to avoid the necessity of being the hireling of any political party.</usage><br/
[<source>1913 Webster</source>]</p>
<p><q>Thus needy wits a vile revenue made,<br/
And verse became a <qex>mercenary</qex> trade.</q> <rj><qau>Dryden.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><q>This verse be thine, my friend, nor thou refuse<br/
This, from no <qex>venal</qex> or ungrateful muse.</q> <rj><qau>Pope.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Venality</ent><br/
<hw>Ve*nal"i*ty</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[L. <ets>venalitas</ets>: cf. F. <ets>v<eacute/nalit<eacute/</ets>.]</ety> <def>The quality or state of being venal, or purchasable; mercenariness; prostitution of talents, offices, or services, for money or reward; <as>as, the <ex>venality</ex> of a corrupt court; the <ex>venality</ex> of an official.</as></def><br/
[<source>1913 Webster</source>]</p>
<p><q>Complaints of Roman <qex>venality</qex> became louder.</q> <rj><qau>Milton.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Venally</ent><br/
<hw>Ve"nal*ly</hw> <pr>(?)</pr>, <pos>adv.</pos> <def>In a venal manner.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Venantes</ent><br/
||<hw>Ve*nan"tes</hw> <pr>(?)</pr>, <pos>n. pl.</pos> <ety>[NL., fr. L. <ets>venans</ets>, p. pr. of <ets>venari</ets> to hunt.]</ety> <fld>(Zool.)</fld> <def>The hunting spiders, which run after, or leap upon, their prey.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Venary</ent><br/
<hw>Ven"a*ry</hw> <pr>(?)</pr>, <pos>a.</pos> <ety>[LL. <ets>venarius</ets>, fr. L. <ets>venari</ets>, p. p. <ets>venatus</ets>, to hunt.]</ety> <def>Of or, pertaining to hunting.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Venatical</ent><br/
<ent>Venatic</ent><br/
<mhw>{<hw>Ve*nat"ic</hw> <pr>(?)</pr>, <hw>Ve*nat"ic*al</hw> <pr>(?)</pr>, }</mhw> <pos>a.</pos> <ety>[L. <ets>venaticus</ets>, fr. <ets>venatus</ets> hunting, fr. <ets>venari</ets>, p. p. <ets>venatus</ets>, to hunt.]</ety> <def>Of or pertaining to hunting; used in hunting.</def> <mark>[R.]</mark> <ldquo/ <xex>Venatical</xex> pleasure.<rdquo/ <rj><au>Howell.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Venatica</ent><br/
<hw>Ve*nat"i*ca</hw> <pr>(?)</pr>, <pos>n.</pos> <def>See <er>Vinatico</er>.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Venation</ent><br/
<hw>Ve*na"tion</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[L. <ets>vena</ets> a vein.]</ety> <def>The arrangement or system of veins, as in the wing of an insect, or in the leaves of a plant. See <xex>Illust.</xex> in Appendix.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Venation</ent><br/
<hw>Ve*na"tion</hw>, <pos>n.</pos> <ety>[L. <ets>venatio</ets>, fr. <ets>venari</ets>, p. p. <ets>venatus</ets>, to hunt. See <er>Venison</er>.]</ety> <def>The act or art of hunting, or the state of being hunted.</def> <mark>[Obs.]</mark> <rj><au>Sir T. Browne.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Venatorial</ent><br/
<hw>Ven`a*to"ri*al</hw> <pr>(?)</pr>, <pos>a.</pos> <ety>[L. <ets>venatorius</ets>.]</ety> <def>Or or pertaining to hunting; venatic.</def> <mark>[R.]</mark><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vend</ent><br/
<hw>Vend</hw> <pr>(?)</pr>, <pos>v. t.</pos> <vmorph>[<pos>imp. & p. p.</pos> <conjf>Vended</conjf>; <pos>p. pr. & vb. n.</pos> <conjf>Vending</conjf>.]</vmorph> <ety>[F. <ets>vendre</ets>, L. <ets>vendere</ets>, from <ets>venum dare</ets>; <ets>venus</ets> sale + <ets>dare</ets> to give. See 2d <er>Venal</er>, <er>Date</er>, time.]</ety> <def>To transfer to another person for a pecuniary equivalent; to make an object of trade; to dispose of by sale; to sell; <as>as, to <ex>vend</ex> goods; to <ex>vend</ex> vegetables</as>.</def><br/
[<source>1913 Webster</source>]</p>
<p><note><hand/ <xex>Vend</xex> differs from <xex>barter</xex>. We <xex>vend</xex> for money; we <xex>barter</xex> for commodities. <xex>Vend</xex> is used chiefly of wares, merchandise, or other small articles, not of lands and tenements.</note><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vend</ent><br/
<hw>Vend</hw>, <pos>n.</pos> <sn>1.</sn> <def>The act of vending or selling; a sale.</def><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <def>The total sales of coal from a colliery.</def> <mark>[Eng.]</mark><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vendace</ent><br/
<hw>Ven"dace</hw> <pr>(?)</pr>, <pos>n.</pos> <fld>(Zool.)</fld> <def>A European lake whitefish (<spn>Coregonus Willughbii</spn>, or <spn>Coregonus Vandesius</spn>) native of certain lakes in Scotland and England. It is regarded as a delicate food fish. Called also <altname>vendis</altname>.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vendee</ent><br/
<hw>Vend*ee"</hw> <pr>(?)</pr>, <pos>n.</pos> <def>The person to whom a thing is vended, or sold; -- the correlative of <inv>vendor</inv>.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vendemiaire</ent><br/
||<hw>Ven`d<eacute/`miaire"</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[F., fr. L. <ets>vindemia</ets> vintage.]</ety> <def>The first month of the French republican calendar, dating from September 22, 1792.</def><br/
[<source>1913 Webster</source>]</p>
<p><note><hand/ This calendar was substituted for the ordinary calendar, dating from the Christian era, by a decree of the National Convention in 1793. The 22d of September, 1792, which had been fixed upon as the day of the foundation of the republic, was also the date of the new calendar. In this calendar, the year, which began at midnight of the day of the autumnal equinox, was divided into twelve months of thirty days, with five additional days for festivals, and every fourth year six. Each month was divided into three decades of ten days each, the week being abolished. The names of the months in their order were, <xex>Vend<eacute/miaire</xex>, <xex>Brumaire</xex>, <xex>Frimaire</xex> <xex>Nivose</xex>, <xex>Pluviose</xex>, <xex>Ventose</xex>, <xex>Germinal</xex>, <xex>Flor<eacute/al</xex>, <xex>Prairial</xex>, <xex>Messidor</xex>, <xex>Thermidor</xex> (sometimes called <xex>Fervidor</xex>), and <xex>Fructidor</xex>. This calendar was abolished December 31, 1805, and the ordinary one restored January 1, 1806.</note><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vender</ent><br/
<hw>Vend"er</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[From <er>Vend</er>: cf. F. <ets>vendeur</ets>, OF. <ets>vendeor</ets>. Cf. <er>Vendor</er>.]</ety> <def>One who vends; one who transfers the exclusive right of possessing a thing, either his own, or that of another as his agent, for a price or pecuniary equivalent; a seller; a vendor.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vendetta</ent><br/
||<hw>Ven*det"ta</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[It.]</ety> <sn>1.</sn> <def>A blood feud; private revenge for the murder of a kinsman.</def><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <def>Any feud or contention that is bitter and prolonged; however, the deep enmity may be held by only one party to the dispute; <as>as, the former Mayor nurtured a lifelong <ex>vendetta</ex> against the candidate who defeated him</as>.</def><br/
[<source>PJC</source>]</p>
<p><ent>Vendibility</ent><br/
<hw>Vend`i*bil"i*ty</hw> <pr>(?)</pr>, <pos>n.</pos> <def>The quality or state of being vendible, or salable.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vendible</ent><br/
<hw>Vend"i*ble</hw> <pr>(?)</pr>, <pos>a.</pos> <ety>[L. <ets>vendibilis</ets>: cf. OF. <ets>vendible</ets>, F. <ets>vendable</ets>.]</ety> <def>Capable of being vended, or sold; that may be sold; salable.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>The regulating of prices of things <qex>vendible</qex>.</q> <rj><qau>Bacon.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><note><hand/ <xex>Vendible</xex> differs from <xex>marketable</xex>; the latter signifies <xex>proper</xex> or <xex>fit for market</xex>, according to the laws or customs of a place. <xex>Vendible</xex> has no reference to such legal fitness.</note><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vendible</ent><br/
<hw>Vend"i*ble</hw>, <pos>n.</pos> <def>Something to be sold, or offered for sale.</def> -- <wordforms><wf>Vend"i*ble*ness</wf>, <pos>n.</pos> -- <wf>Vend"i*bly</wf>, <pos>adv.</pos></wordforms><br/
[<source>1913 Webster</source>]</p>
<p><ent>Venditate</ent><br/
<hw>Ven"di*tate</hw> <pr>(?)</pr>, <pos>v. t.</pos> <ety>[See <er>Venditation</er>.]</ety> <def>To cry up. as if for sale; to blazon.</def> <mark>[Obs.]</mark> <rj><au>Holland.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Venditation</ent><br/
<hw>Ven`di*ta"tion</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[L. <ets>venditatio</ets>, fr. <ets>venditare</ets>, <ets>venditatum</ets>, to offer again and again for sale, v. freq. of <ets>vendere</ets>. See <er>Vend</er>.]</ety> <def>The act of setting forth ostentatiously; a boastful display.</def> <mark>[Obs.]</mark> <rj><au>B. Jonson.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vendition</ent><br/
<hw>Ven*di"tion</hw> <pr>(v<ecr/n*d<icr/sh"<ucr/n)</pr>, <pos>n.</pos> <ety>[L. <ets>venditio</ets>: cf. F. <ets>vendition</ets>.]</ety> <def>The act of vending, or selling; sale.</def><br/
[<source>1913 Webster</source>]</p>
<p><-- p. 1600 pr=PI --><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vendor</ent><br/
<hw>Vend"or</hw> <pr>(v<ecr/nd"<ocr/r)</pr>, <pos>n.</pos> <ety>[See <er>Vender</er>.]</ety> <def>A vender; a seller; the correlative of <inv>vendee</inv>.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vendor's lien</ent><br/
<hw>Vend"or's lien</hw>. <fld>(Law)</fld> <def>An implied lien (that is, one not created by mortgage or other express agreement) given in equity to a vendor of lands for the unpaid purchase money.</def><br/
[<source>Webster 1913 Suppl.</source>]</p>
<p><ent>Vends</ent><br/
<hw>Vends</hw> <pr>(?)</pr>, <pos>n. pl.</pos> <fld>(Ethnol.)</fld> <def>See <er>Wends</er>.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vendue</ent><br/
<hw>Ven*due"</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[OF. <ets>vendue</ets>, from F. <ets>vendre</ets>, p. p. <ets>vendu</ets>, <ets>vendue</ets>, to sell.]</ety> <def>A public sale of anything, by outcry, to the highest bidder; an auction.</def> <mark>[Obsoles.]</mark><br/
[<source>1913 Webster</source>]</p>
<p><cs><col><b>Vendue master</b></col>, <cd>one who is authorized to sell any property by vendue; an auctioneer.</cd> <mark>[Obsoles.]</mark></cs><br/
[<source>1913 Webster</source>]</p>
<p><ent>Veneer</ent><br/
<hw>Ve*neer"</hw> <pr>(?)</pr>, <pos>v. t.</pos> <vmorph>[<pos>imp. & p. p.</pos> <conjf>Veneered</conjf> <pr>(?)</pr>; <pos>p. pr. & vb. n.</pos> <conjf>Veneering</conjf>.]</vmorph> <ety>[G. <ets>furnieren</ets>, <ets>fourniren</ets>, fr. F. <ets>fournir</ets> to furnish. See <er>Furnish</er>.]</ety> <def>To overlay or plate with a thin layer of wood or other material for outer finish or decoration; <as>as, to <ex>veneer</ex> a piece of furniture with mahogany</as>. Used also figuratively.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>As a rogue in grain<br/
<qex>Veneered</qex> with sanctimonious theory.</q> <rj><qau>Tennyson.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Veneer</ent><br/
<hw>Ve*neer"</hw>, <pos>n.</pos> <ety>[Cf. G. <ets>furnier</ets> or <ets>fournier</ets>. See <er>Veneer</er>, <pos>v. t.</pos>]</ety> <def>A thin leaf or layer of a more valuable or beautiful material for overlaying an inferior one, especially such a thin leaf of wood to be glued to a cheaper wood; hence, external show; gloss; false pretense.</def><br/
[<source>1913 Webster</source>]</p>
<p><cs><col><b>Veneer moth</b></col> <fld>(Zool.)</fld>, <cd>any moth of the genus <gen>Chilo</gen>; -- so called because the mottled colors resemble those of veneering.</cd></cs><br/
[<source>1913 Webster</source>]</p>
<p><ent>Veneering</ent><br/
<hw>Ve*neer"ing</hw>, <pos>n.</pos> <sn>1.</sn> <def>The act or art of one who veneers.</def><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <def>Thin wood or other material used as a veneer.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Venefical</ent><br/
<hw>Ve*nef"ic*al</hw> <pr>(?)</pr>, <pos>a.</pos> <ety>[L. <ets>veneficus</ets>.]</ety> <def>Veneficial.</def> <mark>[Obs.]</mark> <ldquo/<xex>Venefical</xex> instruments.<rdquo/ <rj><au>B. Jonson.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Venefice</ent><br/
<hw>Ven"e*fice</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[L. <ets>veneficium</ets>, fr. <ets>veneficus</ets> poisoning; <ets>venenum</ets> poison + <ets>facere</ets> to make: cf. F. <ets>v<eacute/n<eacute/fice</ets>.]</ety> <def>The act or practice of poisoning.</def> <mark>[Obs.]</mark><br/
[<source>1913 Webster</source>]</p>
<p><ent>Veneficious</ent><br/
<ent>Veneficial</ent><br/
<mhw>{ <hw>Ven`e*fi"cial</hw> <pr>(?)</pr>, <hw>Ven`e*fi"cious</hw> <pr>(?)</pr>, }</mhw> <pos>a.</pos> <def>Acting by poison; used in poisoning or in sorcery.</def> <mark>[Obs.]</mark> <ldquo/An old <xex>veneficious</xex> practice.<rdquo/ <au>Sir T. Browne.</au> -- <wordforms><wf>Ven`e*fi"cious*ly</wf>, <pos>adv.</pos> <mark>[Obs.]</mark></wordforms><br/
[<source>1913 Webster</source>]</p>
<p><ent>Venemous</ent><br/
<hw>Ven"e*mous</hw> <pr>(?)</pr>, <pos>a.</pos> <def>Venomous.</def> <mark>[Obs.]</mark><br/
[<source>1913 Webster</source>]</p>
<p><ent>Venenate</ent><br/
<hw>Ven"e*nate</hw> <pr>(?)</pr>, <pos>v. t.</pos> <ety>[L. <ets>venenatus</ets>, p. p. <ets>venenare</ets> to poison, from <ets>venenum</ets> poison. Cf. <er>Venom</er>.]</ety> <def>To poison; to infect with poison.</def> <mark>[R.]</mark> <rj><au>Harvey.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Venenate</ent><br/
<hw>Ven"e*nate</hw> <pr>(?)</pr>, <pos>a.</pos> <def>Poisoned.</def> <rj><au>Woodward.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Venenation</ent><br/
<hw>Ven`e*na"tion</hw> <pr>(?)</pr>, <pos>n.</pos> <sn>1.</sn> <def>The act of poisoning.</def><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <def>Poison; venom.</def> <mark>[Obs.]</mark> <rj><au>Sir T. Browne.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Venene</ent><br/
<hw>Ve*nene"</hw> <pr>(?)</pr>, <pos>a.</pos> <def>Poisonous; venomous.</def> <mark>[Obs.]</mark><br/
[<source>1913 Webster</source>]</p>
<p><ent>Venenose</ent><br/
<hw>Ven"e*nose`</hw> <pr>(?)</pr>, <pos>a.</pos> <ety>[L. <ets>venenosus</ets>, fr. <ets>venenum</ets> poison. Cf. <er>Venomous</er>.]</ety> <def>Poisonous.</def> <mark>[Obs.]</mark><br/
[<source>1913 Webster</source>]</p>
<p><ent>Venerability</ent><br/
<hw>Ven`er*a*bil"i*ty</hw> <pr>(?)</pr>, <pos>n.</pos> <def>The quality or state of being venerable; venerableness.</def> <rj><au>Dr. H. More.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Venerable</ent><br/
<hw>Ven"er*a*ble</hw> <pr>(?)</pr>, <pos>a.</pos> <ety>[L. <ets>venerabilis</ets>: cf. F. <ets>v<eacute/n<eacute/rable</ets>.]</ety> <sn>1.</sn> <def>Capable of being venerated; worthy of veneration or reverence; deserving of honor and respect; -- generally implying an advanced age; <as>as, a <ex>venerable</ex> magistrate; a <ex>venerable</ex> parent</as>.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>He was a man of eternal self-sacrifice, and that is always <qex>venerable</qex>.</q> <rj><qau>De Quincey.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><q><qex>Venerable</qex> men! you have come down to us from a former generation.</q> <rj><qau>D. Webster.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <def>Rendered sacred by religious or other associations; that should be regarded with awe and treated with reverence; <as>as, the <ex>venerable</ex> walls of a temple or a church</as>.</def><br/
[<source>1913 Webster</source>]</p>
<p><note><hand/ This word is employed in the Church of England as a title for an archdeacon. In the Roman Catholic Church, <xex>venerable</xex> is applied to those who have attained to the lowest of the three recognized degrees of sanctity, but are not among the <xex>beatified</xex>, nor the <xex>canonized</xex>.</note><br/
[<source>1913 Webster</source>]</p>
<p>-- <wordforms><wf>Ven"er*a*ble*ness</wf>, <pos>n.</pos> -- <wf>Ven"er*a*bly</wf>, <pos>adv.</pos></wordforms><br/
[<source>1913 Webster</source>]</p>
<p><ent>Veneracea</ent><br/
||<hw>Ven`e*ra"ce*a</hw> <pr>(?)</pr>, <pos>prop. n. pl.</pos> <ety>[NL. See <er>Venus</er>.]</ety> <fld>(Zool.)</fld> <def>An extensive tribe of bivalve mollusks of which the genus <gen>Venus</gen> is the type. The shells are usually oval, or somewhat heartshaped, with a conspicuous lunule. See <er>Venus</er>.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Venerate</ent><br/
<hw>Ven"er*ate</hw> <pr>(?)</pr>, <pos>v. t.</pos> <vmorph>[<pos>imp. & p. p.</pos> <conjf>Venerated</conjf> <pr>(?)</pr>; <pos>p. pr. & vb. n.</pos> <conjf>Venerating</conjf>.]</vmorph> <ety>[L. <ets>veneratus</ets>, p. p. of <ets>venerari</ets> to venerate; akin to <ets>Venus</ets> Venus, Skr. <ets>van</ets> to like, to wish, and E. <ets>win</ets>some. See <er>Winsome</er>.]</ety> <def>To regard with reverential respect; to honor with mingled respect and awe; to reverence; to revere; <as>as, we <ex>venerate</ex> parents and elders</as>.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>And seemed to <qex>venerate</qex> the sacred shade.</q> <rj><qau>Dryden.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><q>I do not know a man more to be <qex>venerated</qex> for uprightness of heart and loftiness of genius.</q> <rj><qau>Sir W. Scott.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><syn><b>Syn.</b> -- To reverence; revere; adore; respect.</syn><br/
[<source>1913 Webster</source>]</p>
<p><ent>Veneration</ent><br/
<hw>Ven`er*a"tion</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[L. <ets>veneratio</ets>: cf. F. <ets>v<eacute/n<eacute/ration</ets>.]</ety> <def>The act of venerating, or the state of being venerated; the highest degree of respect and reverence; respect mingled with awe; a feeling or sentiment excited by the dignity, wisdom, or superiority of a person, by sacredness of character, by consecration to sacred services, or by hallowed associations.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>We find a secret awe and <qex>veneration</qex> for one who moves about us in a regular and illustrious course of virtue.</q> <rj><qau>Addison.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><syn><b>Syn.</b> -- Awe; reverence; respect. See <er>Reverence</er>.</syn><br/
[<source>1913 Webster</source>]</p>
<p><ent>Venerator</ent><br/
<hw>Ven"er*a`tor</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[L.]</ety> <def>One who venerates.</def> <rj><au>Jer. Taylor</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Venereal</ent><br/
<hw>Ve*ne"re*al</hw> <pr>(?)</pr>, <pos>a.</pos> <ety>[L. <ets>venereus</ets>, <ets>venerius</ets>, fr. <ets>Venus</ets>, <ets>Veneris</ets>, Venus, the goddess of love. See <er>Venerate</er>.]</ety> <sn>1.</sn> <def>Of or pertaining to venery, or sexual love; relating to sexual intercourse.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>Into the snare I fell<br/
Of fair, fallacious looks, <qex>venereal</qex> trains,<br/
Softened with pleasure and voluptuous life.</q> <rj><qau>Milton.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <fld>(Med.)</fld> <sd>(a)</sd> <def>Arising from sexual intercourse; <as>as, a <ex>venereal</ex> disease; <ex>venereal</ex> virus or poison.</as></def> <sd>(b)</sd> <def>Adapted to the cure of venereal diseases; <as>as, <ex>venereal</ex> medicines</as>.</def><br/
[<source>1913 Webster</source>]</p>
<p><sn>3.</sn> <def>Adapted to excite venereal desire; aphrodisiac.</def><br/
[<source>1913 Webster</source>]</p>
<p><sn>4.</sn> <def>Consisting of, or pertaining to, copper, formerly called by chemists <xex>Venus</xex>.</def> <mark>[Obs.]</mark> <rj><au>Boyle.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Venereal</ent><br/
<hw>Ve*ne"re*al</hw>, <pos>n.</pos> <fld>(Med.)</fld> <def>The venereal disease; syphilis.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Venerean</ent><br/
<hw>Ve*ne"re*an</hw> <pr>(?)</pr>, <pos>a.</pos> <ety>[Cf. F. <ets>v<eacute/n<eacute/rien</ets>.]</ety> <def>Devoted to the offices of Venus, or love; venereal.</def> <mark>[Obs.]</mark> <ldquo/I am all <xex>venerean</xex> in feeling.<rdquo/ <rj><au>Chaucer.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Venereous</ent><br/
<hw>Ve*ne"re*ous</hw> <pr>(?)</pr>, <pos>a.</pos> <ety>[L. <ets>venereus</ets>.]</ety> <sn>1.</sn> <def>Venereal; exciting lust; aphrodisiac.</def> <mark>[Obs.]</mark><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <def>Lustful; lascivious; libidinous.</def> <mark>[R.]</mark> <rj><au>Derham.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Venerous</ent><br/
<hw>Ven"er*ous</hw> <pr>(?)</pr>, <pos>a.</pos> <def>Venereous.</def> <mark>[Obs.]</mark> <rj><au>Burton.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Venery</ent><br/
<hw>Ven"er*y</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[L. <ets>Venus</ets>, <ets>Veneris</ets>, the goddess of love.]</ety> <def>Sexual love; sexual intercourse; coition.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>Contentment, without the pleasure of lawful <qex>venery</qex>, is continence; of unlawful, chastity.</q> <rj><qau>Grew.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Venery</ent><br/
<hw>Ven"er*y</hw>, <pos>n.</pos> <ety>[OE. <ets>venerie</ets>, F. <ets>v<eacute/nerie</ets>, fr. OF. <ets>vener</ets> to hunt, L. <ets>venari</ets>. See <er>Venison</er>.]</ety> <def>The art, act, or practice of hunting; the sports of the chase.</def> <ldquo/Beasts of <xex>venery</xex> and fishes.<rdquo/ <rj><au>Sir T. Browne.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><q>I love hunting and <qex>venery</qex>.</q> <rj><qau>Chaucer.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Venesection</ent><br/
<hw>Ve`ne*sec"tion</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[NL. <ets>venaesectio</ets>; L. <ets>vena</ets> vein + <ets>sectio</ets> section.]</ety> <fld>(Med.)</fld> <def>The act or operation of opening a vein for letting blood; bloodletting; phlebotomy.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Venetian</ent><br/
<hw>Ve*ne"tian</hw> <pr>(?)</pr>, <pos>a.</pos> <ety>[Cf. It. <ets>Veneziano</ets>, L. <ets>Venetianus</ets>.]</ety> <def>Of or pertaining to Venice in Italy.</def><br/
[<source>1913 Webster</source>]</p>
<p><cs><col><b>Venetian blind</b></col>, <cd>a blind for windows, doors, etc., made of thin slats, either fixed at a certain angle in the shutter, or movable, and in the latter case so disposed as to overlap each other when closed, and to show a series of open spaces for the admission of air and light when in other positions.</cd> -- <col><b>Venetian carpet</b></col>, <cd>an inexpensive carpet, used for passages and stairs, having a woolen warp which conceals the weft; the pattern is therefore commonly made up of simple stripes.</cd> -- <col><b>Venetian chalk</b></col>, <cd>a white compact talc or steatite, used for marking on cloth, etc.</cd> -- <col><b>Venetian door</b></col> <fld>(Arch.)</fld>, <cd>a door having long, narrow windows or panes of glass on the sides.</cd> -- <col><b>Venetian glass</b></col>, <cd>a kind of glass made by the Venetians, for decorative purposes, by the combination of pieces of glass of different colors fused together and wrought into various ornamental patterns.</cd> -- <col><b>Venetian red</b></col>, <cd>a brownish red color, prepared from sulphate of iron; -- called also <altname>scarlet ocher</altname>.</cd> -- <col><b>Venetian soap</b></col>. <cd>See <cref>Castile soap</cref>, under <er>Soap</er>.</cd> -- <col><b>Venetian sumac</b></col> <fld>(Bot.)</fld>, <cd>a South European tree (<spn>Rhus Cotinus</spn>) which yields the yellow dyewood called <prod>fustet</prod>; -- also called <altname>smoke tree</altname>.</cd> -- <col><b>Venetian window</b></col> <fld>(Arch.)</fld>, <cd>a window consisting of a main window with an arched head, having on each side a long and narrow window with a square head.</cd></cs><br/
[<source>1913 Webster</source>]</p>
<p><ent>Venetian</ent><br/
<hw>Ve*ne"tian</hw>, <pos>n.</pos> <sn>1.</sn> <def>A native or inhabitant of Venice.</def><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <pluf>pl.</pluf> <def>Galligaskins.</def> <mark>[Obs.]</mark><br/
[<source>Webster 1913 Suppl.</source>]</p>
<p><sn>3.</sn> <def>A Venetian blind.</def> <mark>[Colloq.]</mark><br/
[<source>Webster 1913 Suppl.</source>]</p>
<p><ent>Venew</ent><br/
<hw>Ven"ew</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[F. <ets>venue</ets>, lit., an arrival, from <ets>venir</ets>, p. p. <ets>venu</ets>, <ets>venue</ets>, to come. See <er>Venue</er>.]</ety> <def>A bout, or turn, as at fencing; a thrust; a hit; a veney.</def> <mark>[Obs.]</mark> <rj><au>Fuller.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Veney</ent><br/
<hw>Ven"ey</hw> <pr>(?; 277)</pr>, <pos>n.</pos> <ety>[Cf. <er>Venew</er> or <er>Visne</er>.]</ety> <def>A bout; a thrust; a venew.</def> <mark>[Obs.]</mark> <rj><au>Beau. & Fl.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><q>Three <qex>veneys</qex> for a dish of stewed prunes.</q> <rj><qau>Shak.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Venge</ent><br/
<hw>Venge</hw> <pr>(?)</pr>, <pos>v. t.</pos> <ety>[F. <ets>venger</ets>. See <er>Vengeance</er>.]</ety> <def>To avenge; to punish; to revenge.</def> <mark>[Obs.]</mark> <see>See <er>Avenge</er>, and <er>Revenge</er>.</see> <au>Chaucer</au>. <ldquo/To <xex>venge</xex> me, as I may.<rdquo/ <rj><au>Shak.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vengeable</ent><br/
<hw>Venge"a*ble</hw> <pr>(?)</pr>, <pos>a.</pos> <def>Revengeful; deserving revenge.</def> <mark>[Obs.]</mark> <au>Spenser.</au> -- <wordforms><wf>Venge"a*bly</wf>, <pos>adv.</pos> <mark>[Obs.]</mark></wordforms><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vengeance</ent><br/
<hw>Venge"ance</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[F. <ets>vengeance</ets>, fr. <ets>venger</ets> to avenge, L. <ets>vindicare</ets> to lay claim to, defend, avenge, fr. <ets>vindex</ets> a claimant, defender, avenger, the first part of which is of uncertain origin, and the last part akin to <ets>dicere</ets> to say. See <er>Diction</er>, and cf. <er>Avenge</er>, <er>Revenge</er>, <er>Vindicate</er>.]</ety> <sn>1.</sn> <def>Punishment inflicted in return for an injury or an offense; retribution; -- often, in a bad sense, passionate or unrestrained revenge.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>To me belongeth <qex>vengeance</qex> and recompense.</q> <rj><qau>Deut. xxxii. 35.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><q>To execute fierce <qex>vengeance</qex> on his foes.</q> <rj><qau>Milton.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <def>Harm; mischief.</def> <mark>[Obs.]</mark> <rj><au>Shak.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><cs><mcol><col><b>What a vengeance</b></col>, <it>or</it> <col><b>What the vengeance</b></col></mcol>, <cd>what! -- emphatically.</cd> <mark>[Obs.]</mark> <ldquo/But <xex>what a vengeance</xex> makes thee fly!<rdquo/ <au>Hudibras.</au> <ldquo/<xex>What the vengeance</xex>! Could he not speak 'em fair?<rdquo/ <au>Shak.</au> -- <col><b>With a vengeance</b></col>, <sd>(a)</sd> <cd>with great violence; <as>as, to strike <ex>with a vengeance</ex></as>.</cd> <mark>[Colloq.]</mark> <sd>(b)</sd> <cd>with even greater intensity; <as>as, to return one's insult <ex>with a vengeance</ex></as>.</cd></cs><br/
[<source>1913 Webster</source> + <source>PJC</source>]</p>
<p><ent>Vengeancely</ent><br/
<hw>Venge"ance*ly</hw>, <pos>adv.</pos> <def>Extremely; excessively.</def> <mark>[Obs.]</mark> <ldquo/He loves that <xex>vengeancely</xex>.<rdquo/ <rj><au>Beau. & Fl.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vengeful</ent><br/
<hw>Venge"ful</hw> <pr>(?)</pr>, <pos>a.</pos> <def>Vindictive; retributive; revengeful.</def> <ldquo/<xex>Vengeful</xex> ire.<rdquo/ <au>Milton.</au> -- <wordforms><wf>Venge"ful*ly</wf>, <pos>adv.</pos></wordforms><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vengement</ent><br/
<hw>Venge"ment</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[OF. <ets>vengement</ets>.]</ety> <def>Avengement; penal retribution; vengeance.</def> <mark>[Obs.]</mark> <rj><au>Spenser.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Venger</ent><br/
<hw>Ven"ger</hw> <pr>(?)</pr>, <pos>n.</pos> <def>An avenger.</def> <mark>[Obs.]</mark> <rj><au>Spenser.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Veniable</ent><br/
<hw>Ve"ni*a*ble</hw> <pr>(?)</pr>, <pos>a.</pos> <ety>[L. <ets>veniabilis</ets>, fr. <ets>venia</ets> forgiveness, pardon.]</ety> <def>Venial; pardonable.</def> <mark>[Obs.]</mark> <au>Sir T. Browne.</au> -- <wordforms><wf>Ve"ni*a*bly</wf>, <pos>adv.</pos> <mark>[Obs.]</mark></wordforms> <rj><au>Sir T. Browne.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Venial</ent><br/
<hw>Ve"ni*al</hw> <pr>(?)</pr>, <pos>a.</pos> <ety>[OF. <ets>venial</ets>, F. <ets>v<eacute/niel</ets>, L. <ets>venialis</ets>, from <ets>venia</ets> forgiveness, pardon, grace, favor, kindness; akin to <ets>venerari</ets> to venerate. See <er>Venerate</er>.]</ety> <sn>1.</sn> <def>Capable of being forgiven; not heinous; excusable; pardonable; <as>as, a <ex>venial</ex> fault or transgression</as>.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>So they do nothing, 't is a <qex>venial</qex> slip.</q> <rj><qau>Shak.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <def>Allowed; permitted.</def> <mark>[Obs.]</mark> <ldquo/Permitting him the while <xex>venial</xex> discourse unblamed.<rdquo/ <rj><au>Milton.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><cs><col><b>Venial sin</b></col> <fld>(R. C. Theol.)</fld>, <cd>a sin which weakens, but does not wholly destroy, sanctifying grace, as do mortal, or deadly, sins.</cd></cs><br/
[<source>1913 Webster</source>]</p>
<p>-- <wordforms><wf>Ve"ni*al*ly</wf>, <pos>adv.</pos> -- <wf>Ve"ni*al*ness</wf>, <pos>n.</pos></wordforms> <rj><au>Bp. Hall.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Veniality</ent><br/
<hw>Ve`ni*al"i*ty</hw> <pr>(?)</pr>, <pos>n.</pos> <def>The quality or state of being venial; venialness.</def> <rj><au>Jer. Taylor.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Venin</ent><br/
<hw>Ven"in</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[L. <ets>venenum</ets> poison.]</ety> <fld>(Physiol. Chem.)</fld> <def>A toxic substance contained in the venom of poisonous snakes; also, a (supposedly identical) toxic substance obtained by the cleavage of an albumose.</def><br/
[<source>Webster 1913 Suppl.</source>]</p>
<p><ent>Venire facias</ent><br/
||<hw>Ve*ni"re fa"ci*as</hw> <pr>(?)</pr>. <ety>[L., make, or cause, to come.]</ety> <fld>(Law)</fld> <sd>(a)</sd> <def>A judicial writ or precept directed to the sheriff, requiring him to cause a certain number of qualified persons to appear in court at a specified time, to serve as jurors in said court.</def> <sd>(b)</sd> <def>A writ in the nature of a summons to cause the party indicted on a penal statute to appear. Called also <altname>venire</altname>.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Venison</ent><br/
<hw>Ven"i*son</hw> <pr>(?; 277)</pr>, <pos>n.</pos> <ety>[OE. <ets>veneison</ets>, <ets>veneson</ets>, <ets>venison</ets>, OF. <ets>veneison</ets>, F. <ets>venaison</ets>, L. <ets>venatio</ets> hunting, the chase, game, fr. <ets>venari</ets>, p. p. <ets>venatus</ets>, to hunt; perhaps akin to OHG. <ets>weidin<omac/n</ets>, <ets>weidenen</ets>, to pasture, to hunt, G. <ets>weide</ets> pasturage. Cf. <er>Gain</er> to acquire, <er>Venation</er>.]</ety> <sn>1.</sn> <def>Beasts of the chase.</def> <mark>[Obs.]</mark> <rj><au>Fabyan.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <def>Formerly, the flesh of any of the edible beasts of the chase, also of game birds; now, the flesh of animals of the deer kind exclusively.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Venite</ent><br/
||<hw>Ve*ni"te</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[L., come, imperative 2d person pl. So called from its opening word in the Latin version.]</ety> <fld>(Eccl.)</fld> <def>The 95th Psalm, which is said or sung regularly in the public worship of many churches. Also, a musical composition adapted to this Psalm.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Venom</ent><br/
<hw>Ven"om</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[OE. <ets>venim</ets>, OF. <ets>venim</ets>, <ets>venin</ets>, F. <ets>venin</ets>, L. <ets>venenum</ets>. Cf. <er>Venenate</er>.]</ety> <sn>1.</sn> <def>Matter fatal or injurious to life; poison; particularly, the poisonous matter which certain animals, such as serpents, scorpions, bees, etc., secrete in a state of health, and communicate by biting or stinging.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>Or hurtful worm with cankered <qex>venom</qex> bites.</q> <rj><qau>Milton.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <def>Spite; malice; malignity; evil quality.</def> <au>Chaucer.</au> <ldquo/The <xex>venom</xex> of such looks.<rdquo/ <rj><au>Shak.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><syn><b>Syn.</b> -- Venom; virus; bane. See <er>Poison</er>.</syn><br/
[<source>1913 Webster</source>]</p>
<p><ent>Venom</ent><br/
<hw>Ven"om</hw>, <pos>v. t.</pos> <ety>[OE. <ets>venimen</ets>, OF. <ets>venimer</ets>, L. <ets>venenare</ets>. See <er>Venom</er>, <pos>n.</pos>]</ety> <def>To infect with venom; to envenom; to poison.</def> <mark>[R.]</mark> <ldquo/<xex>Venomed</xex> vengeance.<rdquo/ <rj><au>Shak.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Venomous</ent><br/
<hw>Ven"om*ous</hw> <pr>(?)</pr>, <pos>a.</pos> <ety>[OE. <ets>venemous</ets>, <ets>venimous</ets>, F. <ets>venimeux</ets>, L. <ets>venenosus</ets>, fr. <ets>venenum</ets> poison. See <er>Venom</er>, and cf. <er>Venenose</er>.]</ety> <sn>1.</sn> <def>Full of venom; noxious to animal life; poisonous; <as>as, the bite of a serpent may be <ex>venomous</ex></as>.</def><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <fld>(Zool.)</fld> <def>Having a poison gland or glands for the secretion of venom, as certain serpents and insects.</def><br/
[<source>1913 Webster</source>]</p>
<p><sn>3.</sn> <def>Noxious; mischievous; malignant; spiteful; <as>as, a <ex>venomous</ex> progeny; a <ex>venomous</ex> writer</as>.</def><br/
[<source>1913 Webster</source>]</p>
<p><cs><col><b>Venomous snake</b></col> <fld>(Zool.)</fld>, <cd>any serpent which has poison glands and fangs, whether dangerous to man or not. These serpents constitute two tribes, the viperine serpents, or Solenoglypha, and the cobralike serpents, or Proteroglypha. The former have perforated, erectile fangs situated in the front part of the upper jaw, and are without ordinary teeth behind the fangs; the latter have permanently erect and grooved fangs, with ordinary maxillary teeth behind them.</cd></cs><br/
[<source>1913 Webster</source>]</p>
<p>-- <wordforms><wf>Ven"om*ous*ly</wf>, <pos>adv.</pos> -- <wf>Ven"om*ous*ness</wf>, <pos>n.</pos></wordforms><br/
[<source>1913 Webster</source>]</p>
<p><ent>Venose</ent><br/
<hw>Ve*nose"</hw> <pr>(?)</pr>, <pos>a.</pos> <ety>[See <er>Venous</er>.]</ety> <def>Having numerous or conspicuous veins; veiny; <as>as, a <ex>venose</ex> frond</as>.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Venosity</ent><br/
<hw>Ve*nos"i*ty</hw> <pr>(?)</pr>, <pos>n.</pos> <sn>1.</sn> <def>The quality or state of being venous.</def><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <fld>(Med.)</fld> <def>A condition in which the circulation is retarded, and the entire mass of blood is less oxygenated than it normally is.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Venous</ent><br/
<hw>Ven"ous</hw> <pr>(?)</pr>, <pos>a.</pos> <ety>[L. <ets>venosus</ets>, from <ets>vena</ets> a vein. See <er>Vein</er>.]</ety> <sn>1.</sn> <fld>(Anat.)</fld> <def>Of or pertaining to a vein or veins; <as>as, the <ex>venous</ex> circulation of the blood</as>.</def><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <def>Contained in the veins, or having the same qualities as if contained in the veins, that is, having a dark bluish color and containing an insufficient amount of oxygen so as no longer to be fit for oxygenating the tissues; -- said of the blood, and opposed to <xex>arterial</xex>.</def><br/
[<source>1913 Webster</source>]</p>
<p><sn>3.</sn> <def>Marked with veins; veined; <as>as, a <ex>venous</ex> leaf</as>.</def><br/
[<source>1913 Webster</source>]</p>
<p><cs><col><b>Venous leaf</b></col> <fld>(Bot.)</fld>, <cd>a leaf having vessels branching, or variously divided, over its surface.</cd> -- <col><b>Venous hum</b></col> <fld>(Med.)</fld>, <cd>a humming sound, or bruit, heard during auscultation of the veins of the neck in anaemia.</cd> -- <col><b>Venous pulse</b></col> <fld>(Physiol.)</fld>, <cd>the pulse, or rhythmic contraction, sometimes seen in a vein, as in the neck, when there is an obstruction to the passage of blood from the auricles to the ventricles, or when there is an abnormal rigidity in the walls of the greater vessels. There is normally no pulse in a vein.</cd></cs><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vent</ent><br/
<hw>Vent</hw> <pr>(v<ecr/nt)</pr>, <pos>n.</pos> <ety>[F. <ets>vente</ets>, fr. L. <ets>vendere</ets>, <ets>-itum</ets>, to sell; perh. confused with E. <ets>vent</ets> an opening. See <er>Vend</er>.]</ety> <def>Sale; opportunity to sell; market.</def> <mark>[Obs.]</mark> <rj><au>Shelton.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><q>There is no <qex>vent</qex> for any commodity but of wool.</q> <rj><qau>Sir W. Temple.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vent</ent><br/
<hw>Vent</hw>, <pos>v. t.</pos> <def>To sell; to vend.</def> <mark>[Obs.]</mark><br/
[<source>1913 Webster</source>]</p>
<p><q>Therefore did those nations <qex>vent</qex> such spice.</q> <rj><qau>Sir W. Raleigh.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vent</ent><br/
<hw>Vent</hw>, <pos>n.</pos> <ety>[Sp. <ets>venta</ets> a poor inn, sale, market. See <er>Vent</er> sale.]</ety> <def>A baiting place; an inn.</def> <mark>[Obs.]</mark><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vent</ent><br/
<hw>Vent</hw>, <pos>v. i.</pos> <ety>[Cf. F. <ets>venter</ets> to blow, <ets>vent</ets> wind (see <er>Ventilate</er>); but prob influenced by E. <ets>vent</ets> an opening.]</ety> <def>To snuff; to breathe or puff out; to snort.</def> <mark>[Obs.]</mark> <rj><au>Spenser.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vent</ent><br/
<hw>Vent</hw> <pr>(v<ecr/nt)</pr>, <pos>n.</pos> <ety>[OE. <ets>fent</ets>, <ets>fente</ets>, a slit, F. <ets>fente</ets> a slit, cleft, fissure, from <ets>fendre</ets> to split, L. <ets>findere</ets>; but probably confused with F. <ets>vent</ets> wind, L. <ets>ventus</ets>. See <er>Fissure</er>, and cf. <ets>Vent</ets> to snuff.]</ety> <sn>1.</sn> <def>A small aperture; a hole or passage for air or any fluid to escape; <as>as, the <ex>vent</ex> of a cask; the <ex>vent</ex> of a mold; a volcanic <ex>vent</ex>.</as></def><br/
[<source>1913 Webster</source>]</p>
<p><q>Look, how thy wounds do bleed at many <qex>vents</qex>.</q> <rj><qau>Shak.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><q>Long 't was doubtful, both so closely pent,<br/
Which first should issue from the narrow <qex>vent</qex>.</q> <rj><qau>Pope.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> Specifically: --<br/
[<source>1913 Webster</source>]</p>
<p><sd>(a)</sd> <fld>(Zool.)</fld> <def>The anal opening of certain invertebrates and fishes; also, the external cloacal opening of reptiles, birds, amphibians, and many fishes.</def><br/
[<source>1913 Webster</source>]</p>
<p><sd>(b)</sd> <fld>(Gun.)</fld> <def>The opening at the breech of a firearm, through which fire is communicated to the powder of the charge; touchhole.</def><br/
[<source>1913 Webster</source>]</p>
<p><sd>(c)</sd> <fld>(Steam Boilers)</fld> <def>Sectional area of the passage for gases divided by the length of the same passage in feet.</def><br/
[<source>1913 Webster</source>]</p>
<p><sn>3.</sn> <def>Fig.: Opportunity of escape or passage from confinement or privacy; outlet.</def><br/
[<source>1913 Webster</source>]</p>
<p><sn>4.</sn> <def>Emission; escape; passage to notice or expression; publication; utterance.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>Without the <qex>vent</qex> of words.</q> <rj><qau>Milton.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><q>Thou didst make tolerable <qex>vent</qex> of thy travel.</q> <rj><qau>Shak.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><cs><col><b>To give vent to</b></col>, <cd>to suffer to escape; to let out; to pour forth; as, <xex>to give vent to</xex> anger.</cd> -- <col><b>To take vent</b></col>, <cd>to escape; to be made public.</cd> <mark>[R.]</mark> -- <col><b>Vent feather</b></col> <fld>(Zool.)</fld>, <cd>one of the anal, or crissal, feathers of a bird.</cd> -- <col><b>Vent field</b></col> <fld>(Gun.)</fld>, <cd>a flat raised surface around a vent.</cd> -- <col><b>Vent piece</b></col>. <fld>(Gun.)</fld> <sd>(a)</sd> <cd>A bush. See 4th <er>Bush</er>, <pos>n.</pos>, 2.</cd> <sd>(b)</sd> <cd>A breech block.</cd></cs><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vent</ent><br/
<hw>Vent</hw>, <pos>v. t.</pos> <vmorph>[<pos>imp. & p. p.</pos> <conjf>Vented</conjf>; <pos>p. pr. & vb. n.</pos> <conjf>Venting</conjf>.]</vmorph> <sn>1.</sn> <def>To let out at a vent, or small aperture; to give passage or outlet to.</def><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <def>To suffer to escape from confinement; to let out; to utter; to pour forth; <as>as, to <ex>vent</ex> passion or complaint</as>.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>The queen of heaven did thus her fury <qex>vent</qex>.</q> <rj><qau>Dryden.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>3.</sn> <def>To utter; to report; to publish.</def> <mark>[Obs.]</mark><br/
[<source>1913 Webster</source>]</p>
<p><q>By mixing somewhat true to <qex>vent</qex> more lies.</q> <rj><qau>Milton.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><q>Thou hast framed and <qex>vented</qex> very curious orations.</q> <rj><qau>Barrow.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>4.</sn> <def>To scent, as a hound.</def> <mark>[Obs.]</mark> <rj><au>Turbervile.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>5.</sn> <def>To furnish with a vent; to make a vent in; <as>as, to <ex>vent</ex></as>. a mold.</def><br/
[<source>1913 Webster</source>]</p>
<p><-- p. 1601 pr=PI --><br/
[<source>1913 Webster</source>]</p>
<p><ent>Ventage</ent><br/
<hw>Vent"age</hw> <pr>(v<ecr/nt"<asl/j)</pr>, <pos>n.</pos> <def>A small hole, as the stop in a flute; a vent.</def> <rj><au>Shak.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Ventail</ent><br/
<hw>Vent"ail</hw> <pr>(v<ecr/nt"<amac/l)</pr>, <pos>n.</pos> <ety>[OF. <ets>ventaille</ets>, F. <ets>ventail</ets>. See <er>Ventilate</er>, and cf. <er>Aventail</er>.]</ety> <def>That part of a helmet which is intended for the admission of air, -- sometimes in the visor.</def> <rj><au>Spenser.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><q>Her <qex>ventail</qex> up so high that he descried<br/
Her goodly visage and her beauty's pride.</q> <rj><qau>Fairfax.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Venter</ent><br/
<hw>Vent"er</hw> <pr>(?)</pr>, <pos>n.</pos> <def>One who vents; one who utters, reports, or publishes.</def> <mark>[R.]</mark> <rj><au>Barrow.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Venter</ent><br/
||<hw>Vent"er</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[L.]</ety> <sn>1.</sn> <fld>(Anat.)</fld> <sd>(a)</sd> <def>The belly; the abdomen; -- sometimes applied to any large cavity containing viscera.</def> <sd>(b)</sd> <def>The uterus, or womb.</def> <sd>(c)</sd> <def>A belly, or protuberant part; a broad surface; <as>as, the <ex>venter</ex> of a muscle; the <ex>venter</ex>, or anterior surface, of the scapula.</as></def><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <fld>(Zool.)</fld> <def>The lower part of the abdomen in insects.</def><br/
[<source>1913 Webster</source>]</p>
<p><sn>3.</sn> <fld>(Rom. & O. E. Law)</fld> <def>A pregnant woman; a mother; <as>as, A has a son B by one <ex>venter</ex>, and a daughter C by another <ex>venter</ex>; children by different <ex>venters</ex>.</as></def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Venthole</ent><br/
<hw>Vent"hole</hw> <pr>(?)</pr>, <pos>n.</pos> <def>A touchhole; a vent.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Ventiduct</ent><br/
<hw>Ven"ti*duct</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[L. <ets>ventus</ets> wind + <ets>ductus</ets> a leading, conduit, fr. <ets>ducere</ets>, <ets>ductum</ets>, to lead.]</ety> <def>A passage for wind or air; a passage or pipe for ventilating apartments.</def> <rj><au>Gwilt.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Ventilate</ent><br/
<hw>Ven"ti*late</hw> <pr>(?)</pr>, <pos>v. t.</pos> <vmorph>[<pos>imp. & p. p.</pos> <conjf>Ventilated</conjf> <pr>(?)</pr>; <pos>p. pr. & vb. n.</pos> <conjf>Ventilating</conjf>.]</vmorph> <ety>[L. <ets>ventilatus</ets>, p. p. of <ets>ventilare</ets> to toss, brandish in the air, to fan, to winnow, from <ets>ventus</ets> wind; akin to E. <ets>wind</ets>. See <er>Wind</er> rushing air.]</ety> <sn>1.</sn> <def>To open and expose to the free passage of air; to supply with fresh air, and remove impure air from; to air; <as>as, to <ex>ventilate</ex> a room; to <ex>ventilate</ex> a cellar; to <ex>ventilate</ex> a mine.</as></def><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <def>To provide with a vent, or escape, for air, gas, etc.; <as>as, to <ex>ventilate</ex> a mold, or a water-wheel bucket</as>.</def><br/
[<source>1913 Webster</source>]</p>
<p><sn>3.</sn> <def>To change or renew, as the air of a room.</def> <rj><au>Harvey.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>4.</sn> <def>To winnow; to fan; <as>as, to <ex>ventilate</ex> wheat</as>.</def><br/
[<source>1913 Webster</source>]</p>
<p><sn>5.</sn> <def>To sift and examine; to bring out, and subject to penetrating scrutiny; to expose to examination and discussion; <as>as, to <ex>ventilate</ex> questions of policy</as>.</def> <rj><au>Ayliffe.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>6.</sn> <def>To give vent to; to utter; to make public.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>Macaulay took occasion to <qex>ventilate</qex> one of those startling, but not very profound, paradoxes.</q> <rj><qau>J. C. Shairp.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Ventilation</ent><br/
<hw>Ven`ti*la"tion</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[L. <ets>ventilatio</ets>: cf. F. <ets>ventilation</ets>.]</ety> <sn>1.</sn> <def>The act of ventilating, or the state of being ventilated; the art or process of replacing foul air by that which is pure, in any inclosed place, as a house, a church, a mine, etc.; free exposure to air.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>Insuring, for the laboring man, better <qex>ventilation</qex>.</q> <rj><qau>F. W. Robertson.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <def>The act of refrigerating, or cooling; refrigeration; <as>as, <ex>ventilation</ex> of the blood</as>.</def> <mark>[Obs.]</mark> <rj><au>Harvey.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>3.</sn> <def>The act of fanning, or winnowing, for the purpose of separating chaff and dust from the grain.</def><br/
[<source>1913 Webster</source>]</p>
<p><sn>4.</sn> <def>The act of sifting, and bringing out to view or examination; free discussion; public exposure.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>The <qex>ventilation</qex> of these points diffused them to the knowledge of the world.</q> <rj><qau>Bp. Hall.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>5.</sn> <def>The act of giving vent or expression.</def> <ldquo/<xex>Ventilation</xex> of his thoughts.<rdquo/ <rj><au>Sir H. Wotton.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Ventilative</ent><br/
<hw>Ven"ti*la*tive</hw> <pr>(?)</pr>, <pos>a.</pos> <def>Of or pertaining to ventilation; adapted to secure ventilation; ventilating; <as>as, <ex>ventilative</ex> apparatus</as>.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Ventilator</ent><br/
<hw>Ven"ti*la`tor</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[Cf. F. <ets>ventilateur</ets>, L. <ets>ventilator</ets> a winnower.]</ety> <def>A contrivance for effecting ventilation; especially, a contrivance or machine for drawing off or expelling foul or stagnant air from any place or apartment, or for introducing that which is fresh and pure.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Ventose</ent><br/
<hw>Ven*tose"</hw> <pr>(?)</pr>, <pos>n.</pos> <def>A ventouse.</def> <mark>[Obs.]</mark> <rj><au>Holland.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Ventose</ent><br/
<hw>Ven*tose"</hw>, <pos>a.</pos> <ety>[L. <ets>ventosus</ets> windy. See <er>Ventilate</er>.]</ety> <def>Windy; flatulent.</def> <rj><au>Richardson (Dict.).</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Ventose</ent><br/
||<hw>Ven`tose"</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[F. <ets>vent<ocir/se</ets>. See <er>Ventose</er>, <pos>a.</pos>]</ety> <def>The sixth month of the calendar adopted by the first French republic. It began February 19, and ended March 20. See <er>Vend<eacute/miaire</er>.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Ventosity</ent><br/
<hw>Ven*tos"i*ty</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[L. <ets>ventositas</ets>: cf. F. <ets>ventosit<eacute/</ets>. See <er>Ventose</er>, <pos>n.</pos>]</ety> <def>Quality or state of being ventose; windiness; hence, vainglory; pride.</def> <rj><au>Bacon.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Ventouse</ent><br/
<hw>Ven"touse</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[F.]</ety> <def>A cupping glass.</def> <mark>[Obs.]</mark> <rj><au>Chaucer.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Ventouse</ent><br/
<hw>Ven"touse</hw>, <pos>v. t. & i.</pos> <def>To cup; to use a cupping glass.</def> <mark>[Obs.]</mark> <altsp>[Written also <asp>ventuse</asp>.]</altsp> <rj><au>Chaucer.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Ventrad</ent><br/
<hw>Ven"trad</hw> <pr>(?)</pr>, <pos>adv.</pos> <ety>[L. <ets>venter</ets> belly + <ets>ad</ets> to.]</ety> <fld>(Anat.)</fld> <def>Toward the ventral side; on the ventral side; ventrally; -- opposed to <xex>dorsad</xex>.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Ventral</ent><br/
<hw>Ven"tral</hw> <pr>(?)</pr>, <pos>a.</pos> <ety>[L. <ets>ventralis</ets>, fr. <ets>venter</ets> the belly; perhaps akin to G. <ets>wanst</ets>: cf. F. <ets>ventral</ets>.]</ety> <sn>1.</sn> <fld>(Anat.)</fld> <def>Of, pertaining to, or situated near, the belly, or ventral side, of an animal or of one of its parts; hemal; abdominal; <as>as, the <ex>ventral</ex> fin of a fish; the <ex>ventral</ex> root of a spinal nerve</as>; -- opposed to <ant>dorsal</ant>.</def><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <fld>(Bot.)</fld> <sd>(a)</sd> <def>Of or pertaining to that surface of a carpel, petal, etc., which faces toward the center of a flower.</def> <sd>(b)</sd> <def>Of or pertaining to the lower side or surface of a creeping moss or other low flowerless plant. Opposed to <ant>dorsal</ant>.</def><br/
[<source>1913 Webster</source>]</p>
<p><cs><col><b>Ventral fins</b></col> <fld>(Zool.)</fld>, <cd>the posterior pair of fins of a fish. They are often situated beneath the belly, but sometimes beneath the throat.</cd> -- <col><b>Ventral segment</b></col>. <fld>(Acoustics)</fld> <cd>See <er>Loop</er>, <pos>n.</pos>, 5.</cd></cs><br/
[<source>1913 Webster</source>]</p>
<p><ent>Ventricle</ent><br/
<hw>Ven"tri*cle</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[L. <ets>ventriculus</ets> the stomach, a ventricle, dim. of <ets>venter</ets> the belly: cf. F. <ets>ventricule</ets>. See <er>Ventral</er>.]</ety> <sn>1.</sn> <fld>(Anat.)</fld> <def>A cavity, or one of the cavities, of an organ, as of the larynx or the brain; specifically, the posterior chamber, or one of the two posterior chambers, of the heart, which receives the blood from the auricle and forces it out from the heart. See <er>Heart</er>.</def><br/
[<source>1913 Webster</source>]</p>
<p><note><hand/ The principal ventricles of the brain are the <xex>fourth</xex> in the medulla, the <xex>third</xex> in the midbrain, the <xex>first</xex> and <xex>second</xex>, or <xex>lateral</xex>, ventricles in the cerebral hemispheres, all of which are connected with each other, and the <xex>fifth</xex>, or <xex>pseudoc<oe/le</xex>, situated between the hemispheres, in front of, or above, the fornix, and entirely disconnected with the other cavities. See <er>Brain</er>, and <er>C<oe/lia</er>.</note><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <def>The stomach.</def> <mark>[Obs.]</mark><br/
[<source>1913 Webster</source>]</p>
<p><q>Whether I will or not, while I live, my heart beats, and my <qex>ventricle</qex> digests what is in it.</q> <rj><qau>Sir M. Hale.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>3.</sn> <def>Fig.: Any cavity, or hollow place, in which any function may be conceived of as operating.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>These [ideas] are begot on the <qex>ventricle</qex> of memory.</q> <rj><qau>Shak.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Ventricous</ent><br/
<ent>Ventricose</ent><br/
<mhw>{ <hw>Ven"tri*cose`</hw> <pr>(?)</pr>, <hw>Ven"tri*cous</hw> <pr>(?)</pr>, }</mhw> <pos>a.</pos> <ety>[NL. <ets>ventricosus</ets>, fr. L. <ets>venter</ets> belly.]</ety> <fld>(Nat. Hist.)</fld> <def>Swelling out on one side or unequally; bellied; ventricular; <as>as, a <ex>ventricose</ex> corolla</as>.</def><br/
[<source>1913 Webster</source>]</p>
<p><cs><col><b>Ventricose shell</b></col>. <fld>(Zool.)</fld> <sd>(a)</sd> <cd>A spiral shell having the body whorls rounded or swollen in the middle.</cd> <sd>(b)</sd> <cd>A bivalve shell in which the valves are strongly convex.</cd></cs><br/
[<source>1913 Webster</source>]</p>
<p><ent>Ventricular</ent><br/
<hw>Ven*tric"u*lar</hw> <pr>(?)</pr>, <pos>a.</pos> <ety>[Cf. F. <ets>ventriculaire</ets>.]</ety> <def>Of or pertaining to a ventricle; bellied.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Ventriculite</ent><br/
||<hw>Ven*tric"u*lite</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[See <er>Ventriculus</er>.]</ety> <fld>(Paleon.)</fld> <def>Any one of numerous species of siliceous fossil sponges belonging to <gen>Ventriculites</gen> and allied genera, characteristic of the Cretaceous period.</def><br/
[<source>1913 Webster</source>]</p>
<p><note><hand/ Many of them were shaped like vases, others like mushrooms. They belong to the hexactinellids, and are allied to the Venus's basket of modern seas.</note><br/
[<source>1913 Webster</source>]</p>
<p><ent>Ventriculous</ent><br/
<hw>Ven*tric"u*lous</hw> <pr>(?)</pr>, <pos>a.</pos> <ety>[L. <ets>ventriculosus</ets> of the belly.]</ety> <def>Somewhat distended in the middle; ventricular.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Ventriculus</ent><br/
||<hw>Ven*tric"u*lus</hw> <pr>(?)</pr>, <pos>n.</pos>; <plu><it>pl.</it> <plw>Ventriculi</plw> <pr>(#)</pr>.</plu> <ety>[L., belly, dim. fr. <ets>venter</ets> belly.]</ety> <fld>(Zool.)</fld> <sd>(a)</sd> <def>One of the stomachs of certain insects.</def> <sd>(b)</sd> <def>The body cavity of a sponge.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Ventrilocution</ent><br/
<hw>Ven`tri*lo*cu"tion</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[See <er>Ventriloquous</er>.]</ety> <def>Ventriloquism.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Ventriloquial</ent><br/
<hw>Ven`tri*lo"qui*al</hw> <pr>(?)</pr>, <pos>a.</pos> <def>Ventriloquous.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Ventriloquism</ent><br/
<hw>Ven*tril"o*quism</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[See <er>Ventriloquous</er>.]</ety> <def>The act, art, or practice of speaking in such a manner that the voice appears to come, not from the person speaking, but from some other source, as from a dummy held by the ventriloquist, from the opposite side of the room, from the cellar, etc.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Ventriloquist</ent><br/
<hw>Ven*tril"o*quist</hw> <pr>(?)</pr>, <pos>n.</pos> <def>One who practices, or is skilled in, ventriloquism.</def><br/
[<source>1913 Webster</source>]</p>
<p><cs><col><b>Ventriloquist monkey</b></col> <fld>(Zool.)</fld>, <cd>the onappo; -- so called from the character of its cry.</cd></cs><br/
[<source>1913 Webster</source>]</p>
<p><ent>Ventriloquize</ent><br/
<hw>Ven*tril"o*quize</hw> <pr>(?)</pr>, <pos>v. i.</pos> <vmorph>[<pos>imp. & p. p.</pos> <conjf>Ventriloquized</conjf> <pr>(?)</pr>; <pos>p. pr. & vb. n.</pos> <conjf>Ventriloquizing</conjf>.]</vmorph> <def>To practice ventriloquism; to speak like a ventriloquist.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Ventriloquous</ent><br/
<hw>Ven*tril"o*quous</hw> <pr>(?)</pr>, <pos>a.</pos> <ety>[L. <ets>ventriloquus</ets> a ventriloquist; <ets>venter</ets> the belly + <ets>loqui</ets>, p. p. <ets>locutus</ets>, to speak. See <er>Ventral</er>, and <er>Loquacious</er>.]</ety> <def>Of or pertaining to a ventriloquist or ventriloquism.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Ventriloquy</ent><br/
<hw>Ven*tril"o*quy</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[Cf. F. <ets>ventriloquie</ets>.]</ety> <def>Same as <er>Ventriloquism</er>.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Ventrimeson</ent><br/
||<hw>Ven`tri*mes"on</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[NL. See <er>Venter</er>, and <er>Meson</er>.]</ety> <fld>(Anat.)</fld> <def>See <er>Meson</er>.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Ventro-</ent><br/
<hw>Ven"tro-</hw> <pr>(<?/)</pr>. <ety>[L. <ets>venter</ets> belly.]</ety> <def>A combining form used in anatomy to indicate <xex>connection with</xex>, or <xex>relation to</xex>, <xex>the abdomen</xex>; also, <xex>connection with</xex>, <xex>relation to</xex>, or <xex>direction toward</xex>, <xex>the ventral side</xex>; <as>as, <ex>ventro</ex>lateral; <ex>ventro</ex>-inguinal.</as></def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Ventro-inguinal</ent><br/
<hw>Ven`tro-in"gui*nal</hw> <pr>(?)</pr>, <pos>a.</pos> <fld>(Anat.)</fld> <def>Pertaining both to the abdomen and groin, or to the abdomen and inguinal canal; <as>as, <ex>ventro-inguinal</ex> hernia</as>.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Venture</ent><br/
<hw>Ven"ture</hw> <pr>(?; 135)</pr>, <pos>n.</pos> <ety>[Aphetic form of OE. <ets>aventure</ets>. See <er>Adventure</er>.]</ety> <sn>1.</sn> <def>An undertaking of chance or danger; the risking of something upon an event which can not be foreseen with certainty; a hazard; a risk; a speculation.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>I, in this <qex>venture</qex>, double gains pursue.</q> <rj><qau>Dryden.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <def>An event that is not, or can not be, foreseen; an accident; chance; hap; contingency; luck.</def> <rj><au>Bacon.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>3.</sn> <def>The thing put to hazard; a stake; a risk; especially, something sent to sea in trade.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>My <qex>ventures</qex> are not in one bottom trusted.</q> <rj><qau>Shak.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><cs><col><b>At a venture</b></col>, <cd>at hazard; without seeing the end or mark; without foreseeing the issue; at random.</cd></cs><br/
[<source>1913 Webster</source>]</p>
<p><q>A certain man drew a bow <qex>at a venture</qex>.</q> <rj><qau>1 Kings xxii. 34.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><q>A bargain <qex>at a venture</qex> made.</q> <rj><qau>Hudibras.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><note><hand/ The phrase <xex>at a venture</xex> was originally <xex>at aventure</xex>, that is, <xex>at adventure</xex>.</note><br/
[<source>1913 Webster</source>]</p>
<p><ent>Venture</ent><br/
<hw>Ven"ture</hw>, <pos>v. i.</pos> <vmorph>[<pos>imp. & p. p.</pos> <conjf>Ventured</conjf> <pr>(?)</pr>; <pos>p. pr. & vb. n.</pos> <conjf>Venturing</conjf>.]</vmorph> <sn>1.</sn> <def>To hazard one's self; to have the courage or presumption to do, undertake, or say something; to dare.</def> <rj><au>Bunyan.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <def>To make a venture; to run a hazard or risk; to take the chances.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>Who freights a ship to <qex>venture</qex> on the seas.</q> <rj><qau>J. Dryden, Jr.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><cs><mcol><col><b>To venture at</b></col>, <it>or</it> <col><b>To venture on</b></col> <it>or</it> <col><b>To venture upon</b></col></mcol>, <cd>to dare to engage in; to attempt without any certainty of success; <as>as, it is rash <ex>to venture upon</ex> such a project</as>.</cd> <ldquo/When I <xex>venture at</xex> the comic style.<rdquo/ <rj><au>Waller.</au></rj></cs><br/
[<source>1913 Webster</source>]</p>
<p><ent>Venture</ent><br/
<hw>Ven"ture</hw>, <pos>v. t.</pos> <sn>1.</sn> <def>To expose to hazard; to risk; to hazard; <as>as, to <ex>venture</ex> one's person in a balloon</as>.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>I am afraid; and yet I'll <qex>venture</qex> it.</q> <rj><qau>Shak.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <def>To put or send on a venture or chance; <as>as, to <ex>venture</ex> a horse to the West Indies</as>.</def><br/
[<source>1913 Webster</source>]</p>
<p><sn>3.</sn> <def>To confide in; to rely on; to trust.</def> <mark>[R.]</mark><br/
[<source>1913 Webster</source>]</p>
<p><q> A man would be well enough pleased to buy silks of one whom he would not <qex>venture</qex> to feel his pulse.</q> <rj><qau>Addison.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Venturer</ent><br/
<hw>Ven"tur*er</hw> <pr>(?)</pr>, <pos>n.</pos> <sn>1.</sn> <def>One who ventures, or puts to hazard; an adventurer.</def> <rj><au>Beau. & Fl.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <def>A strumpet; a prostitute.</def> <mark>[R.]</mark> <rj><au>J. Webster (1607).</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Venturesome</ent><br/
<hw>Ven"ture*some</hw> <pr>(?)</pr>, <pos>a.</pos> <def>Inclined to venture; not loth to run risk or danger; venturous; bold; daring; adventurous; <as>as, a <ex>venturesome</ex> boy or act</as>.</def> -- <wordforms><wf>Ven"ture*some*ly</wf>, <pos>adv.</pos> -- <wf>Ven"ture*some*ness</wf>, <pos>n.</pos></wordforms><br/
[<source>1913 Webster</source>]</p>
<p><ent>Venturine</ent><br/
<hw>Ven"tur*ine</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[Cf. <er>Aventurine</er>.]</ety> <fld>(Japanning)</fld> <def>Gold powder for covering varnished surfaces.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Venturous</ent><br/
<hw>Ven"tur*ous</hw> <pr>(?)</pr>, <pos>a.</pos> <ety>[Aphetic form of OE. <ets>aventurous</ets>. See <er>Adventurous</er>, <er>Venture</er>, <pos>n.</pos>]</ety> <def>Daring; bold; hardy; fearless; venturesome; adventurous; <as>as, a <ex>venturous</ex> soldier</as>.</def> <rj><au>Spenser.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><q>This said, he paused not, but with <qex>venturous</qex> arm<br/
He plucked, he tasted.</q> <rj><qau>Milton.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p>-- <wordforms><wf>Ven"tur*ous*ly</wf>, <pos>adv.</pos> -- <wf>Ven"tur*ous*ness</wf>, <pos>n.</pos></wordforms><br/
[<source>1913 Webster</source>]</p>
<p><ent>Ventuse</ent><br/
<hw>Ven"tuse</hw> <pr>(?)</pr>, <pos>v. t. & i.</pos> <def>See <er>Ventouse</er>.</def> <mark>[Obs.]</mark><br/
[<source>1913 Webster</source>]</p>
<p><ent>Venue</ent><br/
<hw>Ven"ue</hw> <pr>(v<ecr/n"<usl/)</pr>, <pos>n.</pos> <ety>[F. <ets>venue</ets> a coming, arrival, fr. <ets>venir</ets> to come, L. <ets>venire</ets>; hence, in English, the place whither the jury are summoned to come. See <er>Come</er>, and cf. <er>Venew</er>, <er>Veney</er>.]</ety> <sn>1.</sn> <fld>(Law)</fld> <def>A neighborhood or near place; the place or county in which anything is alleged to have happened; also, the place where an action is laid.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>The twelve men who are to try the cause must be of the same <qex>venue</qex> where the demand is made.</q> <rj><qau>Blackstone.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><note><hand/ In certain cases, the court has power to change the <xex>venue</xex>, which is to direct the trial to be had in a different county from that where the <xex>venue</xex> is laid.</note><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <def>A bout; a hit; a turn. See <er>Venew</er>.</def> <mark>[R.]</mark><br/
[<source>1913 Webster</source>]</p>
<p><cs><col><b>To lay a venue</b></col> <fld>(Law)</fld>, <cd>to allege a place.</cd></cs><br/
[<source>1913 Webster</source>]</p>
<p><ent>Venule</ent><br/
<hw>Ven"ule</hw> <pr>(v<ecr/n"<usl/l)</pr>, <pos>n.</pos> <ety>[L. <ets>venula</ets>, dim. from <ets>vena</ets> vein.]</ety> <def>A small vein; a veinlet; specifically <fld>(Zool.)</fld>, one of the small branches of the veins of the wings in insects.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Venulose</ent><br/
<hw>Ven"u*lose`</hw> <pr>(?)</pr>, <pos>a.</pos> <def>Full of venules, or small veins.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Venus</ent><br/
<hw>Ve"nus</hw> <pr>(v<emac/"n<ucr/s)</pr>, <pos>n.</pos> <ety>[L. <ets>Venus</ets>, <ets>-eris</ets>, the goddess of love, the planet Venus.]</ety> <sn>1.</sn> <fld>(Class. Myth.)</fld> <def>The goddess of beauty and love, that is, beauty or love deified.</def><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <fld>(Anat.)</fld> <def>One of the planets, the second in order from the sun, its orbit lying between that of Mercury and that of the Earth, at a mean distance from the sun of about 67,000,000 miles. Its diameter is 7,700 miles, and its sidereal period 224.7 days. As the morning star, it was called by the ancients <altname>Lucifer</altname>; as the evening star, <altname>Hesperus</altname>.</def><br/
[<source>1913 Webster</source>]</p>
<p><sn>3.</sn> <fld>(Alchem.)</fld> <def>The metal copper; -- probably so designated from the ancient use of the metal in making mirrors, a mirror being still the astronomical symbol of the planet Venus.</def> <mark>[Archaic]</mark><br/
[<source>1913 Webster</source>]</p>
<p><sn>4.</sn> <fld>(Zool.)</fld> <def>Any one of numerous species of marine bivalve shells of the genus <gen>Venus</gen> or family <fam>Veneridae</fam>. Many of these shells are large, and ornamented with beautiful frills; others are smooth, glossy, and handsomely colored. Some of the larger species, as the round clam, or quahog, are valued for food.</def><br/
[<source>1913 Webster</source>]</p>
<p><cs><col><b>Venus's basin</b></col> <fld>(Bot.)</fld>, <cd>the wild teasel; -- so called because the connate leaf bases form a kind of receptacle for water, which was formerly gathered for use in the toilet. Also called <altname>Venus's bath</altname>.</cd> -- <col><b>Venus's basket</b></col> <fld>(Zool.)</fld>, <cd>an elegant, cornucopia-shaped, hexactinellid sponge (<spn>Euplectella speciosa</spn>) native of the East Indies. It consists of glassy, transparent, siliceous fibers interwoven and soldered together so as to form a firm network, and has long, slender, divergent anchoring fibers at the base by means of which it stands erect in the soft mud at the bottom of the sea. Called also <altname>Venus's flower basket</altname>, and <altname>Venus's purse</altname>.</cd> -- <col><b>Venus's comb</b></col>. <sd>(a)</sd> <fld>(Bot.)</fld> <cd>Same as <er>Lady's comb</er>.</cd> <sd>(b)</sd> <fld>(Zool.)</fld> <cd>A species of <gen>Murex</gen> (<spn>Murex tenuispinus</spn>). It has a long, tubular canal, with a row of long, slender spines along both of its borders, and rows of similar spines covering the body of the shell. Called also <altname>Venus's shell</altname>.</cd> -- <col><b>Venus's fan</b></col> <fld>(Zool.)</fld>, <cd>a common reticulated, fanshaped gorgonia (<spn>Gorgonia flabellum</spn>) native of Florida and the West Indies. When fresh the color is purple or yellow, or a mixture of the two.</cd> -- <col><b>Venus's flytrap</b></col>. <fld>(Bot.)</fld> <cd>See <er>Flytrap</er>, 2.</cd> -- <col><b>Venus's girdle</b></col> <fld>(Zool.)</fld>, <cd>a long, flat, ribbonlike, very delicate, transparent and iridescent ctenophore (<spn>Cestum Veneris</spn>) which swims in the open sea. Its form is due to the enormous development of two spheromeres. See <xex>Illust.</xex> in Appendix.</cd> -- <col><b>Venus's hair</b></col> <fld>(Bot.)</fld>, <cd>a delicate and graceful fern (<spn>Adiantum Capillus-Veneris</spn>) having a slender, black and shining stem and branches.</cd> -- <col><b>Venus's hair stone</b></col> <fld>(Min.)</fld>, <cd>quartz penetrated by acicular crystals of rutile.</cd> -- <col><b>Venus's looking-glass</b></col> <fld>(Bot.)</fld>, <cd>an annual plant of the genus <gen>Specularia</gen> allied to the bellflower; -- also called <altname>lady's looking-glass</altname>.</cd> -- <col><b>Venus's navelwort</b></col> <fld>(Bot.)</fld>, <cd>any one of several species of <gen>Omphalodes</gen>, low boraginaceous herbs with small blue or white flowers.</cd> -- <col><b>Venus's pride</b></col> <fld>(Bot.)</fld>, <cd>an old name for Quaker ladies. See under <er>Quaker</er>.</cd> -- <col><b>Venus's purse</b></col>. <fld>(Zool.)</fld> <cd>Same as <cref>Venus's basket</cref>, above.</cd> -- <col><b>Venus's shell</b></col>. <fld>(Zool.)</fld> <sd>(a)</sd> <cd>Any species of Cypraea; a cowrie.</cd> <sd>(b)</sd> <cd>Same as <cref>Venus's comb</cref>, above.</cd> <sd>(c)</sd> <cd>Same as <er>Venus</er>, 4.</cd> -- <col><b>Venus's slipper</b></col>. <sd>(a)</sd> <fld>(Bot.)</fld> <cd>Any plant of the genus <gen>Cypripedium</gen>. See <er>Lady's slipper</er>. <sd>(b)</sd> <fld>(Zool.)</fld> Any heteropod shell of the genus <gen>Carinaria</gen>. See <er>Carinaria</er>.</cd></cs><br/
[<source>1913 Webster</source>]</p>
<p><-- p. 1602 pr=PI --><br/
[<source>1913 Webster</source>]</p>
<p><ent>Venust</ent><br/
<hw>Ve*nust"</hw> <pr>(v<esl/*n<ucr/st")</pr>, <pos>a.</pos> <ety>[L. <ets>venustus</ets>, from <ets>Venus</ets> the goddess of love.]</ety> <def>Beautiful.</def> <mark>[R.]</mark> <rj><au>E. Waterhouse.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Veracious</ent><br/
<hw>Ve*ra"cious</hw> <pr>(?)</pr>, <pos>a.</pos> <ety>[L. <ets>verax</ets>, <ets>-acis</ets>, fr. <ets>verus</ets> true. See <er>Very</er>.]</ety> <sn>1.</sn> <def>Observant of truth; habitually speaking truth; truthful; <as>as, <ex>veracious</ex> historian</as>.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>The Spirit is most perfectly and absolutely <qex>veracious</qex>.</q> <rj><qau>Barrow.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <def>Characterized by truth; true; not false; <as>as, a <ex>veracious</ex> account or narrative</as>.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>The young, ardent soul that enters on this world with heroic purpose, with <qex>veracious</qex> insight, will find it a mad one.</q> <rj><qau>Carlyle.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Veraciously</ent><br/
<hw>Ve*ra"cious*ly</hw>, <pos>adv.</pos> <def>In a veracious manner.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Veracity</ent><br/
<hw>Ve*rac"i*ty</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[Cf. F. <ets>v<eacute/racit<eacute/</ets>.]</ety> <def>The quality or state of being veracious; habitual observance of truth; truthfulness; truth; <as>as, a man of <ex>veracity</ex></as>.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Veranda</ent><br/
<hw>Ve*ran"da</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[A word brought by the English from India; of uncertain origin; cf. Skr. <ets>vara<nsdot/<dsdot/a</ets>, Pg. <ets>varanda</ets>, Sp. <ets>baranda</ets>, Malay <ets>baranda</ets>.]</ety> <fld>(Arch.)</fld> <def>An open, roofed gallery or portico, adjoining a dwelling house, forming an out-of-door sitting room. See <er>Loggia</er>.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>The house was of adobe, low, with a wide <qex>veranda</qex> on the three sides of the inner court.</q> <rj><qau>Mrs. H. H. Jackson.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Veratralbine</ent><br/
<hw>Ver`a*tral"bine</hw> <pr>(?)</pr>, <pos>n.</pos> <fld>(Chem.)</fld> <def>A yellowish amorphous alkaloid extracted from the rootstock of <xex>Veratrum album</xex>.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Veratrate</ent><br/
<hw>Ve*ra"trate</hw> <pr>(?)</pr>, <pos>n.</pos> <fld>(Chem.)</fld> <def>A salt of veratric acid.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Veratria</ent><br/
||<hw>Ve*ra"tri*a</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[NL.]</ety> <fld>(Chem.)</fld> <def>Veratrine.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Veratric</ent><br/
<hw>Ve*ra"tric</hw> <pr>(?)</pr>, <pos>a.</pos> <fld>(Chem.)</fld> <def>Pertaining to, or derived from, plants of the genus Veratrum.</def><br/
[<source>1913 Webster</source>]</p>
<p><cs><col><b>Veratric acid</b></col> <fld>(Chem.)</fld>, <cd>an acid occurring, together with veratrine, in the root of white hellebore (<spn>Veratrum album</spn>), and in sabadilla seed; -- extracted as a white crystalline substance which is related to protocatechuic acid.</cd></cs><br/
[<source>1913 Webster</source>]</p>
<p><ent>Veratrina</ent><br/
||<hw>Ver`a*tri"na</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[NL.]</ety> <fld>(Chem.)</fld> <def>Same as <er>Veratrine</er>.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Veratrine</ent><br/
<hw>Ve*ra"trine</hw> <pr>(?; 277)</pr>, <pos>n.</pos> <ety>[Cf. F. <ets>v<eacute/ratrine</ets>. See <er>Veratrum</er>.]</ety> <fld>(Chem.)</fld> <def>A poisonous alkaloid obtained from the root of hellebore (<gen>Veratrum</gen>) and from sabadilla seeds as a white crystalline powder, having an acrid, burning taste. It is sometimes used externally, as in ointments, in the local treatment of neuralgia and rheumatism. Called also <altname>veratria</altname>, and <altname>veratrina</altname>.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Veratrol</ent><br/
<hw>Ve*ra"trol</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[<ets>Veratric</ets> + <ets>ol</ets>.]</ety> <fld>(Chem.)</fld> <def>A liquid hydrocarbon obtained by the decomposition of veratric acid, and constituting the dimethyl ether of pyrocatechin.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Veratrum</ent><br/
||<hw>Ve*ra"trum</hw> <pr>(?)</pr>, <pos>prop. n.</pos> <ety>[L. <ets>veratrum</ets> hellebore.]</ety> <fld>(Bot.)</fld> <def>A genus of coarse liliaceous herbs having very poisonous qualities.</def><br/
[<source>1913 Webster</source>]</p>
<p><note><hand/ <xex>Veratrum album</xex> of Europe, and <xex>Veratrum viride</xex> of America, are both called <xex>hellebore</xex>. They grow in wet land, have large, elliptical, plicate leaves in three vertical ranks, and bear panicles of greenish flowers.</note><br/
[<source>1913 Webster</source>]</p>
<p><ent>Verb</ent><br/
<hw>Verb</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[F. <ets>verbe</ets>, L. <ets>verbum</ets> a word, verb. See <er>Word</er>.]</ety> <sn>1.</sn> <def>A word; a vocable.</def> <mark>[Obs.]</mark> <rj><au>South.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <fld>(Gram.)</fld> <def>A word which affirms or predicates something of some person or thing; a part of speech expressing being, action, or the suffering of action.</def><br/
[<source>1913 Webster</source>]</p>
<p><note><hand/ A verb is a word whereby the chief action of the mind [the assertion or the denial of a proposition] finds expression. <rj><au>Earle.</au></rj>
</note><br/
[<source>1913 Webster</source>]</p>
<p><cs><mcol><col><b>Active verb</b></col>, <col><b>Auxiliary verb</b></col>, <col><b>Neuter verb</b></col></mcol>, <cd>etc. See <er>Active</er>, <er>Auxiliary</er>, <er>Neuter</er>, etc.</cd></cs><br/
[<source>1913 Webster</source>]</p>
<p><ent>Verbal</ent><br/
<hw>Ver"bal</hw> <pr>(?)</pr>, <pos>a.</pos> <ety>[F., fr. L. <ets>verbalis</ets>. See <er>Verb</er>.]</ety> <sn>1.</sn> <def>Expressed in words, whether spoken or written, but commonly in spoken words; hence, spoken; oral; not written; <as>as, a <ex>verbal</ex> contract; <ex>verbal</ex> testimony.</as></def><br/
[<source>1913 Webster</source>]</p>
<p><q>Made she no <qex>verbal</qex> question?</q> <rj><qau>Shak.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><q>We subjoin an engraving . . . which will give the reader a far better notion of the structure than any <qex>verbal</qex> description could convey to the mind.</q> <rj><qau>Mayhew.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <def>Consisting in, or having to do with, words only; dealing with words rather than with the ideas intended to be conveyed; <as>as, a <ex>verbal</ex> critic; a <ex>verbal</ex> change</as>.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>And loses, though but <qex>verbal</qex>, his reward.</q> <rj><qau>Milton.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><q>Mere <qex>verbal</qex> refinements, instead of substantial knowledge.</q> <rj><qau>Whewell.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>3.</sn> <def>Having word answering to word; word for word; literal; <as>as, a <ex>verbal</ex> translation</as>.</def><br/
[<source>1913 Webster</source>]</p>
<p><sn>4.</sn> <def>Abounding with words; verbose.</def> <mark>[Obs.]</mark> <rj><au>Shak.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>5.</sn> <fld>(Gram.)</fld> <def>Of or pertaining to a verb; <as>as, a <ex>verbal</ex> group</as>; derived directly from a verb; <as>as, a <ex>verbal</ex> noun</as>; used in forming verbs; <as>as, a <ex>verbal</ex> prefix</as>.</def><br/
[<source>1913 Webster</source>]</p>
<p><cs><col><b>Verbal inspiration</b></col>. <cd>See under <er>Inspiration</er>.</cd> -- <col><b>Verbal noun</b></col> <fld>(Gram.)</fld>, <cd>a noun derived directly from a verb or verb stem; a verbal. The term is specifically applied to infinitives, and nouns ending in <xex>-ing</xex>, esp. to the latter. See <er>Gerund</er>, and <er>-ing</er>, 2. See also, <cref>Infinitive mood</cref>, under <er>Infinitive</er>.</cd></cs><br/
[<source>1913 Webster</source>]</p>
<p><ent>Verbal</ent><br/
<hw>Ver"bal</hw>, <pos>n.</pos> <fld>(Gram.)</fld> <def>A noun derived from a verb.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Verbalism</ent><br/
<hw>Ver"bal*ism</hw> <pr>(?)</pr>, <pos>n.</pos> <def>Something expressed verbally; a verbal remark or expression.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Verbalist</ent><br/
<hw>Ver"bal*ist</hw>, <pos>n.</pos> <def>A literal adherent to, or a minute critic of, words; a literalist.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Verbality</ent><br/
<hw>Ver*bal"i*ty</hw> <pr>(?)</pr>, <pos>n.</pos> <def>The quality or state of being verbal; mere words; bare literal expression.</def> <mark>[R.]</mark> <ldquo/More <xex>verbality</xex> than matter.<rdquo/ <rj><au>Bp. Hall.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Verbalization</ent><br/
<hw>Ver`bal*i*za"tion</hw> <pr>(?)</pr>, <pos>n.</pos> <def>The act of verbalizing, or the state of being verbalized.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Verbalize</ent><br/
<hw>Ver"bal*ize</hw> <pr>(?)</pr>, <pos>v. t.</pos> <vmorph>[<pos>imp. & p. p.</pos> <conjf>Verbalized</conjf> <pr>(?)</pr>; <pos>p. pr. & vb. n.</pos> <conjf>Verbalizing</conjf> <pr>(?)</pr>.]</vmorph> <ety>[Cf. F. <ets>verbaliser</ets>.]</ety> <sn>1.</sn> <def>To convert into a verb; to verbify.</def><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <def>To express in words.</def><br/
[<source>PJC</source>]</p>
<p><ent>Verbalize</ent><br/
<hw>Ver"bal*ize</hw>, <pos>v. i.</pos> <def>To be verbose.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Verbally</ent><br/
<hw>Ver"bal*ly</hw>, <pos>adv.</pos> <sn>1.</sn> <def>In a verbal manner; orally.</def><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <def>Word for word; verbatim.</def> <rj><au>Dryden.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Verbarian</ent><br/
<hw>Ver*ba"ri*an</hw> <pr>(?)</pr>, <pos>a.</pos> <def>Of or pertaining to words; verbal.</def> <mark>[R.]</mark> <rj><au>Coleridge.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Verbarian</ent><br/
<hw>Ver*ba"ri*an</hw>, <pos>n.</pos> <def>One who coins words; a neologist.</def> <mark>[R.]</mark><br/
[<source>1913 Webster</source>]</p>
<p><q>Southey gives himself free scope as a <qex>verbarian</qex>.</q> <rj><qau>Fitzed. Hall.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Verbarium</ent><br/
<hw>Ver*ba"ri*um</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[NL., fr. L. <ets>verbum</ets> word.]</ety> <def>A game in word making. See <er>Logomachy</er>, 2.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Verbatim</ent><br/
||<hw>Ver*ba"tim</hw> <pr>(?)</pr>, <pos>adv.</pos> <ety>[LL., fr. L. <ets>verbum</ets> word.]</ety> <def>Word for word; in the same words; verbally; <as>as, to tell a story <ex>verbatim</ex> as another has related it</as>.</def><br/
[<source>1913 Webster</source>]</p>
<p><cs><col><b>Verbatim et literatim</b></col> <ety>[LL.]</ety>, <cd>word for word, and letter for letter.</cd></cs><br/
[<source>1913 Webster</source>]</p>
<p><ent>Verbena</ent><br/
<hw>Ver*be"na</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[L. See <er>Vervain</er>.]</ety> <fld>(Bot.)</fld> <def>A genus of herbaceous plants of which several species are extensively cultivated for the great beauty of their flowers; vervain.</def><br/
[<source>1913 Webster</source>]</p>
<p><note><hand/ Verbena, or vervain, was used by the Greeks, the Romans, and the Druids, in their sacred rites. <rj><au>Brewer.</au></rj>
</note><br/
[<source>1913 Webster</source>]</p>
<p><cs><mcol><col><b>Essence of verbena</b></col>, <col><b>Oil of verbena</b></col></mcol>, <cd>a perfume prepared from the lemon verbena; also, a similar perfume properly called <altname>grass oil</altname>. See <cref>Grass oil</cref>, under <er>Grass</er>.</cd> -- <mcol><col><b>Lemon verbena</b></col>, <it>or</it> <col><b>Sweet verbena</b></col></mcol>, <cd>a shrubby verbenaceous plant (<spn>Lippia citriodora</spn>), with narrow leaves which exhale a pleasant, lemonlike fragrance when crushed.</cd></cs><br/
[<source>1913 Webster</source>]</p>
<p><ent>Verbenaceous</ent><br/
<hw>Ver`be*na"ceous</hw> <pr>(?)</pr>, <pos>a.</pos> <fld>(Bot.)</fld> <def>Of or pertaining to a natural order (<ord>Verbenaceae</ord>) of gamopetalous plants of which Verbena is the type. The order includes also the black and white mangroves, and many plants noted for medicinal use or for beauty of bloom.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Verbenate</ent><br/
<hw>Ver"be*nate</hw> <pr>(?)</pr>, <pos>v. t.</pos> <vmorph>[<pos>imp. & p. p.</pos> <conjf>Verbenated</conjf> <pr>(?)</pr>; <pos>p. pr. & vb. n.</pos> <conjf>Verbenating</conjf>.]</vmorph> <ety>[L. <ets>verbenatus</ets> crowned with a wreath of sacred boughs. See <er>Verbena</er>.]</ety> <def>To strew with verbena, or vervain, as in ancient sacrifices and rites.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Verberate</ent><br/
<hw>Ver"ber*ate</hw> <pr>(?)</pr>, <pos>v. t.</pos> <ety>[L. <ets>verberatus</ets>, p. p. of <ets>verberare</ets> to beat, from <ets>verber</ets> a lash, a whip.]</ety> <def>To beat; to strike.</def> <mark>[Obs.]</mark> <ldquo/The sound . . . rebounds again and <xex>verberates</xex> the skies.<rdquo/ <rj><au>Mir. for Mag.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Verberation</ent><br/
<hw>Ver`ber*a"tion</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[L. <ets>verberatio</ets>: cf. F. <ets>verb<eacute/ration</ets>.]</ety> <sn>1.</sn> <def>The act of verberating; a beating or striking.</def> <rj><au>Arbuthnot.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <def>The impulse of a body, which causes sound.</def> <mark>[R.]</mark><br/
[<source>1913 Webster</source>]</p>
<p><ent>Verbiage</ent><br/
<hw>Ver"bi*age</hw> <pr>(?; 48)</pr>, <pos>n.</pos> <ety>[F. <ets>verbiage</ets>, from OF. <ets>verbe</ets> a word. See <er>Verb</er>.]</ety> <def>The use of many words without necessity, or with little sense; a superabundance of words; verbosity; wordiness.</def><br/
[<source>1913 Webster</source>]</p>
<p><q><qex>Verbiage</qex> may indicate observation, but not thinking.</q> <rj><qau>W. Irving.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><q>This barren <qex>verbiage</qex> current among men.</q> <rj><qau>Tennyson.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Verbify</ent><br/
<hw>Verb"i*fy</hw> <pr>(?)</pr>, <pos>v. t.</pos> <ety>[<ets>Verb</ets> + <ets>-fy</ets>.]</ety> <def>To make into a verb; to use as a verb; to verbalize.</def> <mark>[R.]</mark> <rj><au>Earle.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Verbigerate</ent><br/
<hw>Ver*big"er*ate</hw> <pr>(?)</pr>, <pos>v. i.</pos> <vmorph>[<pos>imp. & p. p.</pos> <conjf>verbigerated</conjf> <pr>(?)</pr>; <pos>p. pr. & vb. n.</pos> <conjf>verbigerating</conjf> <pr>(?)</pr>.]</vmorph> <ety>[L. <ets>verbigerate</ets>, <ets>-atum</ets>, to talk.]</ety> <sn>1.</sn> <def>To talk; chat.</def> <mark>[Obs.]</mark><br/
[<source>Webster 1913 Suppl.</source>]</p>
<p><sn>2.</sn> <fld>(Med.)</fld> <def>To repeat a word or sentence, in speaking or writing, without wishing to do so or in spite of efforts to cease.</def> -- <wordforms><wf>Ver*big`er*a"tion</wf> <pr>(#)</pr>, <pos>n.</pos></wordforms><br/
[<source>Webster 1913 Suppl.</source>]</p>
<p><ent>Verbose</ent><br/
<hw>Ver*bose"</hw> <pr>(?)</pr>, <pos>a.</pos> <ety>[L. <ets>verbosus</ets>, from <ets>verbum</ets> a word. See <er>Verb</er>.]</ety> <def>Abounding in words; using or containing more words than are necessary; tedious by a multiplicity of words; prolix; wordy; <as>as, a <ex>verbose</ex> speaker; a <ex>verbose</ex> argument</as>.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>Too <qex>verbose</qex> in their way of speaking.</q> <rj><qau>Ayliffe.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p>-- <wordforms><wf>Ver*bose"ly</wf>, <pos>adv.</pos> -- <wf>Ver*bose"ness</wf>, <pos>n.</pos></wordforms><br/
[<source>1913 Webster</source>]</p>
<p><ent>Verbosity</ent><br/
<hw>Ver*bos"i*ty</hw> <pr>(?)</pr>, <pos>n.</pos>; <plu><it>pl.</it> <plw>Verbosities</plw> <pr>(#)</pr>.</plu> <ety>[L. <ets>verbositas</ets>: cf. F. <ets>verbosit<eacute/</ets>.]</ety> <def>The quality or state of being verbose; the use of more words than are necessary; prolixity; wordiness; verbiage.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>The worst fault, by far, is the extreme diffuseness and <qex>verbosity</qex> of his style.</q> <rj><qau>Jeffrey.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Verd</ent><br/
<hw>Verd</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[See <er>Vert</er>, <er>Verdant</er>.]</ety> <sn>1.</sn> <fld>(Eng. Forest Law)</fld> <sd>(a)</sd> <def>The privilege of cutting green wood within a forest for fuel.</def> <sd>(b)</sd> <def>The right of pasturing animals in a forest.</def> <rj><au>Burrill.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <def>Greenness; freshness.</def> <mark>[Obs.]</mark> <rj><au>Nares.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Verdancy</ent><br/
<hw>Ver"dan*cy</hw> <pr>(?)</pr>, <pos>n.</pos> <def>The quality or state of being verdant.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Verdant</ent><br/
<hw>Ver"dant</hw> <pr>(?)</pr>, <pos>a.</pos> <ety>[F. <ets>verdoyant</ets>, p. pr. of <ets>verdoyer</ets> to be verdant, to grow green, OF. <ets>verdoier</ets>, <ets>verdeier</ets>, fr. <ets>verd</ets>, <ets>vert</ets>, green, fr. L. <ets>viridis</ets> green, fr. <ets>virere</ets> to be green: cf. OF. <ets>verdant</ets> verdant, L. <ets>viridans</ets>, p. pr. of <ets>viridare</ets> to make green. Cf. <er>Farthingale</er>, <er>Verjuice</er>, <er>Vert</er>.]</ety> <sn>1.</sn> <def>Covered with growing plants or grass; green; fresh; flourishing; <as>as, <ex>verdant</ex> fields; a <ex>verdant</ex> lawn.</as></def><br/
[<source>1913 Webster</source>]</p>
<p><q>Let the earth<br/
Put forth the <qex>verdant</qex> grass.</q> <rj><qau>Milton.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <def>Unripe in knowledge or judgment; unsophisticated; raw; green; <as>as, a <ex>verdant</ex> youth</as>.</def> <mark>[Colloq.]</mark><br/
[<source>1913 Webster</source>]</p>
<p><ent>Verd antique</ent><br/
<hw>Verd` an*tique"</hw> <pr>(?)</pr>. <ety>[F. <ets>vert antique</ets> a kind of marble; <ets>verd</ets>, <ets>vert</ets>, green + <ets>antique</ets> ancient: cf. It. <ets>verde antico</ets>.]</ety> <fld>(Min.)</fld> <sd>(a)</sd> <def>A mottled-green serpentine marble.</def> <sd>(b)</sd> <def>A green porphyry called <altname>oriental verd antique</altname>.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Verdantly</ent><br/
<hw>Ver"dant*ly</hw> <pr>(?)</pr>, <pos>adv.</pos> <def>In a verdant manner.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Verderor</ent><br/
<ent>Verderer</ent><br/
<mhw>{ <hw>Ver"der*er</hw> <pr>(?)</pr>, <hw>Ver"der*or</hw> <pr>(?)</pr>, }</mhw> <pos>n.</pos> <ety>[F. <ets>verdier</ets>, LL. <ets>viridarius</ets>, fr. L. <ets>viridis</ets> green.]</ety> <fld>(Eng. Forest Law)</fld> <def>An officer who has the charge of the king's forest, to preserve the vert and venison, keep the assizes, view, receive, and enroll attachments and presentments of all manner of trespasses.</def> <rj><au>Blackstone.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Verdict</ent><br/
<hw>Ver"dict</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[OE. <ets>verdit</ets>, OF. <ets>verdit</ets>, <ets>veirdit</ets>, LL. <ets>verdictum</ets>, <ets>veredictum</ets>; L. <ets>vere</ets> truly (fr. <ets>verus</ets> true) + <ets>dictum</ets> a saying, a word, fr. <ets>dicere</ets>, dictum, to say. See <er>Very</er>, and <er>Dictum</er>.]</ety> <sn>1.</sn> <fld>(Law)</fld> <def>The answer of a jury given to the court concerning any matter of fact in any cause, civil or criminal, committed to their examination and determination; the finding or decision of a jury on the matter legally submitted to them in the course of the trial of a cause.</def><br/
[<source>1913 Webster</source>]</p>
<p><note><hand/ The decision of a judge or referee, upon an issue of fact, is not called a <xex>verdict</xex>, but a <xex>finding</xex>, or a <xex>finding of fact</xex>. <au>Abbott.</au></note><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <def>Decision; judgment; opinion pronounced; <as>as, to be condemned by the <ex>verdict</ex> of the public</as>.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>These were enormities condemned by the most natural <qex>verdict</qex> of common humanity.</q> <rj><qau>South.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><q>Two generations have since confirmed the <qex>verdict</qex> which was pronounced on that night.</q> <rj><qau>Macaulay.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Verdigris</ent><br/
<hw>Ver"di*gris</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[F. <ets>vert-de-gris</ets>, apparently from <ets>verd</ets>, <ets>vert</ets>, green + <ets>de</ets> of + <ets>gris</ets> gray, but really a corruption of LL. <ets>viride aeris</ets> (equivalent to L. <ets>aerugo</ets>), from L. <ets>viridis</ets> green + <ets>aes</ets>, <ets>aeris</ets>, brass. See <er>Verdant</er>, and 2d <er>Ore</er>.]</ety> <sn>1.</sn> <fld>(Chem.)</fld> <def>A green poisonous substance used as a pigment and drug, obtained by the action of acetic acid on copper, and consisting essentially of a complex mixture of several basic copper acetates.</def><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <def>The green rust formed on copper.</def> <mark>[Colloq.]</mark><br/
[<source>1913 Webster</source>]</p>
<p><note><hand/ This rust is a carbonate of copper, and should not be confounded with true verdigris.</note> <rj><au>U. S. Disp.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><cs><col><b>Blue verdigris</b></col> <fld>(Chem.)</fld>, <cd>a verdigris having a blue color, used as a pigment, etc.</cd> -- <col><b>Distilled verdigris</b></col> <fld>(Old Chem.)</fld>, <cd>an acid copper acetate; -- so called because the acetic acid used in making it was obtained from <ets>distilled</ets> vinegar.</cd> -- <col><b>Verdigris green</b></col>, <cd>clear bluish green, the color of verdigris.</cd></cs><br/
[<source>1913 Webster</source>]</p>
<p><ent>Verdigris</ent><br/
<hw>Ver"di*gris</hw>, <pos>v. t.</pos> <def>To cover, or coat, with verdigris.</def> <mark>[R.]</mark> <ldquo/An old <xex>verdigrised</xex> brass bugle.<rdquo/ <rj><au>Hawthorne.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Verdin</ent><br/
<hw>Ver"din</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[Cf. Sp. <ets>verdino</ets> bright green, F. <ets>verdin</ets> the yellow-hammer.]</ety> <fld>(Zool.)</fld> <def>A small yellow-headed bird (<spn>Auriparus flaviceps</spn>) of Lower California, allied to the titmice; -- called also <altname>goldtit</altname>.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Verdine</ent><br/
<hw>Ver"dine</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[F. <ets>verd</ets>, <ets>vert</ets>, green.]</ety> <fld>(Chem.)</fld> <def>A commercial name for a green aniline dye.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Verdingale</ent><br/
<hw>Ver"din*gale</hw> <pr>(?)</pr>, <pos>n.</pos> <def>See <er>Farthingale</er>.</def> <altsp>[Spelled also <asp>verdingall</asp>.]</altsp> <mark>[Obs.]</mark><br/
[<source>1913 Webster</source>]</p>
<p><ent>Verdit</ent><br/
<hw>Ver"dit</hw> <pr>(?)</pr>, <pos>n.</pos> <def>Verdict.</def> <mark>[Obs.]</mark> <rj><au>Chaucer.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Verditer</ent><br/
<hw>Ver"di*ter</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[F. <ets>vert-de-terre</ets>, literally, green of earth.]</ety> <fld>(Chem.)</fld> <sd>(a)</sd> <def>Verdigris.</def> <mark>[Obs.]</mark> <sd>(b)</sd> <def>Either one of two pigments (called <stype>blue verditer</stype>, and <stype>green verditer</stype>) which are made by treating copper nitrate with calcium carbonate (in the form of lime, whiting, chalk, etc.) They consist of hydrated copper carbonates analogous to the minerals azurite and malachite.</def><br/
[<source>1913 Webster</source>]</p>
<p><cs><col><b>Verditer blue</b></col>, <cd>a pale greenish blue color, like that of the pigment verditer.</cd></cs><br/
[<source>1913 Webster</source>]</p>
<p><ent>Verditure</ent><br/
<hw>Ver"di*ture</hw> <pr>(?; 135)</pr>, <pos>n.</pos> <ety>[Cf. <er>Verditer</er>.]</ety> <def>The faintest and palest green.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Verdoy</ent><br/
<hw>Ver"doy</hw> <pr>(?)</pr>, <pos>a.</pos> <ety>[F. <ets>verdoyer</ets> to become green. See <er>Verdant</er>.]</ety> <fld>(Her.)</fld> <def>Charged with leaves, fruits, flowers, etc.; -- said of a border.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Verdure</ent><br/
<hw>Ver"dure</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[F., fr. L. <ets>viridis</ets> green. See <er>Verdant</er>.]</ety> <def>Green; greenness; freshness of vegetation; <as>as, the <ex>verdure</ex> of the meadows in June</as>.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>A wide expanse of living <qex>verdure</qex>, cultivated gardens, shady groves, fertile cornfields, flowed round it like a sea.</q> <rj><qau>Motley.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Verdured</ent><br/
<hw>Ver"dured</hw> <pr>(?)</pr>, <pos>a.</pos> <def>Covered with verdure.</def> <rj><au>Poe.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Verdureless</ent><br/
<hw>Ver"dure*less</hw> <pr>(?)</pr>, <pos>a.</pos> <def>Destitute of verdure.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Verdurous</ent><br/
<hw>Ver"dur*ous</hw> <pr>(?)</pr>, <pos>a.</pos> <def>Covered with verdure; clothed with the fresh green of vegetation; verdured; verdant; <as>as, <ex>verdurous</ex> pastures</as>.</def> <rj><au>Milton.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Verecund</ent><br/
<hw>Ver"e*cund</hw> <pr>(?)</pr>, <pos>a.</pos> <ety>[L. <ets>verecundus</ets>, fr. <ets>vereri</ets> to feel awe.]</ety> <def>Bashful; modest.</def> <mark>[Obs.]</mark><br/
[<source>1913 Webster</source>]</p>
<p><ent>Verecundious</ent><br/
<hw>Ver`e*cun"di*ous</hw> <pr>(?)</pr>, <pos>a.</pos> <def>Verecund.</def> <mark>[Obs.]</mark> <ldquo/<xex>Verecundious</xex> generosity.<rdquo/ <rj><au>Sir H. Wotton.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Verecundity</ent><br/
<hw>Ver`e*cun"di*ty</hw> <pr>(?)</pr>, <pos>n.</pos> <def>The quality or state of being verecund; modesty.</def> <mark>[Obs.]</mark><br/
[<source>1913 Webster</source>]</p>
<p><ent>Verein</ent><br/
||<hw>Ver*ein"</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[G.]</ety> <def>A union, association, or society; -- used in names of German organizations.</def><br/
[<source>Webster 1913 Suppl.</source>]</p>
<p><ent>Veretillum</ent><br/
||<hw>Ver`e*til"lum</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[L., dim. of <ets>veretrum</ets> the private parts.]</ety> <fld>(Zool.)</fld> <def>Any one of numerous species of club-shaped, compound Alcyonaria belonging to <gen>Veretillum</gen> and allied genera, of the tribe <fam>Pennatulacea</fam>. The whole colony can move about as if it were a simple animal.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vergaloo</ent><br/
<ent>Vergalieu</ent><br/
<mhw>{ <hw>Ver"ga*lieu</hw>, <hw>Ver"ga*loo</hw> }</mhw> <pr>(v<etil/r"g<adot/*l<oomac/)</pr>, <pos>n.</pos> <ety>[Cf. <er>Virgouleuse</er>.]</ety> <fld>(Bot.)</fld> <def>See <er>Virgalieu</er>.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Verge</ent><br/
<hw>Verge</hw> <pr>(v<etil/rj)</pr>, <pos>n.</pos> <ety>[F. <ets>verge</ets>, L. <ets>virga</ets>; perhaps akin to E. <ets>wisp</ets>.]</ety> <sn>1.</sn> <def>A rod or staff, carried as an emblem of authority; <as>as, the <ex>verge</ex>, carried before a dean</as>.</def><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <def>The stick or wand with which persons were formerly admitted tenants, they holding it in the hand, and swearing fealty to the lord. Such tenants were called <xex>tenants by the verge</xex>.</def> <mark>[Eng.]</mark><br/
[<source>1913 Webster</source>]</p>
<p><sn>3.</sn> <fld>(Eng. Law)</fld> <def>The compass of the court of Marshalsea and the Palace court, within which the lord steward and the marshal of the king's household had special jurisdiction; -- so called from the verge, or staff, which the marshal bore.</def><br/
[<source>1913 Webster</source>]</p>
<p><sn>4.</sn> <def>A virgate; a yardland.</def> <mark>[Obs.]</mark><br/
[<source>1913 Webster</source>]</p>
<p><sn>5.</sn> <def>A border, limit, or boundary of a space; an edge, margin, or brink of something definite in extent.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>Even though we go to the extreme <qex>verge</qex> of possibility to invent a supposition favorable to it, the theory . . . implies an absurdity.</q> <rj><qau>J. S. Mill.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><q>But on the horizon's <qex>verge</qex> descried,<br/
Hangs, touched with light, one snowy sail.</q> <rj><qau>M. Arnold.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>6.</sn> <def>A circumference; a circle; a ring.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>The inclusive <qex>verge</qex><br/
Of golden metal that must round my brow.</q> <rj><qau>Shak.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>7.</sn> <fld>(Arch.)</fld> <sd>(a)</sd> <def>The shaft of a column, or a small ornamental shaft.</def> <au>Oxf. Gloss.</au> <sd>(b)</sd> <def>The edge of the tiling projecting over the gable of a roof.</def> <rj><au>Encyc. Brit.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>8.</sn> <fld>(Horol.)</fld> <def>The spindle of a watch balance, especially one with pallets, as in the old vertical escapement. See under <er>Escapement</er>.</def><br/
[<source>1913 Webster</source>]</p>
<p><sn>9.</sn> <fld>(Hort.)</fld> <sd>(a)</sd> <def>The edge or outside of a bed or border.</def> <sd>(b)</sd> <def>A slip of grass adjoining gravel walks, and dividing them from the borders in a parterre.</def><br/
[<source>1913 Webster</source>]</p>
<p><sn>10.</sn> <def>The penis.</def><br/
[<source>1913 Webster</source>]</p>
<p><sn>11.</sn> <fld>(Zool.)</fld> <def>The external male organ of certain mollusks, worms, etc. See <xex>Illustration</xex> in Appendix.</def><br/
[<source>1913 Webster</source>]</p>
<p><syn><b>Syn.</b> -- Border; edge; rim; brim; margin; brink.</syn><br/
[<source>1913 Webster</source>]</p>
<p><-- p. 1603 pr=PI --><br/
[<source>1913 Webster</source>]</p>
<p><ent>Verge</ent><br/
<hw>Verge</hw> <pr>(v<etil/rj)</pr>, <pos>v. i.</pos> <vmorph>[<pos>imp. & p. p.</pos> <conjf>Verged</conjf> <pr>(v<etil/rjd)</pr>; <pos>p. pr. & vb. n.</pos> <conjf>Verging</conjf> <pr>(v<etil/r"j<icr/ng)</pr>.]</vmorph> <ety>[L. <ets>vergere</ets> to bend, turn, incline; cf. Skr. <ets>v<rsdot/j</ets> to turn.]</ety> <sn>1.</sn> <def>To border upon; to tend; to incline; to come near; to approach.</def><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <def>To tend downward; to bend; to slope; <as>as, a hill <ex>verges</ex> to the north</as>.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>Our soul, from original instinct, <qex>vergeth</qex> towards him as its center.</q> <rj><qau>Barrow.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><q>I find myself <qex>verging</qex> to that period of life which is to be labor and sorrow.</q> <rj><qau>Swift.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vergeboard</ent><br/
<hw>Verge"board`</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[<ets>Verge</ets> + <ets>board.</ets> Cf. <er>Bargeboard</er>.]</ety> <fld>(Arch.)</fld> <def>The ornament of woodwork upon the gable of a house, used extensively in the 15th century. It was generally suspended from the edge of the projecting roof (see <er>Verge</er>, <pos>n.</pos>, 4), and in a position parallel to the gable wall. Called also <xex>bargeboard</xex>.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vergency</ent><br/
<hw>Ver"gen*cy</hw> <pr>(?)</pr>, <pos>n.</pos> <sn>1.</sn> <def>The act of verging or approaching; tendency; approach.</def> <mark>[R.]</mark><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <fld>(Opt.)</fld> <def>The reciprocal of the focal distance of a lens, used as measure of the divergence or convergence of a pencil of rays.</def> <mark>[R.]</mark> <rj><au>Humphrey Lloyd.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Verger</ent><br/
<hw>Ver"ger</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[F. <ets>verger</ets>, from <ets>verge</ets> a rod. See 1st <er>Verge</er>.]</ety> <def>One who carries a verge, or emblem of office.</def> Specifically: --<br/
[<source>1913 Webster</source>]</p>
<p><sd>(a)</sd> <def>An attendant upon a dignitary, as on a bishop, a dean, a justice, etc.</def> <mark>[Eng.]</mark> <rj><au>Strype.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><sd>(b)</sd> <def>The official who takes care of the interior of a church building.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Verger</ent><br/
<hw>Ver"ger</hw>, <pos>n.</pos> <ety>[F.]</ety> <def>A garden or orchard.</def> <mark>[Obs.]</mark><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vergette</ent><br/
<hw>Ver`get`t<eacute/"</hw> <pr>(?)</pr>, <pos>a.</pos> <ety>[Cf. F. <ets>verget<eacute/</ets>.]</ety> <def>Divided by pallets, or pales; paly.</def> <rj><au>W. Berry.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vergette</ent><br/
<hw>Ver*gette"</hw> <pr>(?)</pr>, <pos>n.</pos> <fld>(Her.)</fld> <def>A small pale.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Veridical</ent><br/
<hw>Ve*rid"ic*al</hw> <pr>(?)</pr>, <pos>a.</pos> <ety>[L. <ets>veridicus</ets>; <ets>verus</ets> true + <ets>dicere</ets> to say, tell.]</ety> <def>Truth-telling; truthful; veracious.</def> <mark>[R.]</mark> <rj><au>Carlyle.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Verifiable</ent><br/
<hw>Ver"i*fi`a*ble</hw> <pr>(?)</pr>, <pos>a.</pos> <def>Capable of being verified; confirmable.</def> <rj><au>Bp. Hall.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Verification</ent><br/
<hw>Ver`i*fi*ca"tion</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[Cf. F. <ets>v<eacute/rification</ets>.]</ety> <sn>1.</sn> <def>The act of verifying, or the state of being verified; confirmation; authentication.</def><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <fld>(Law)</fld> <sd>(a)</sd> <def>Confirmation by evidence.</def> <sd>(b)</sd> <def>A formal phrase used in concluding a plea.</def><br/
[<source>1913 Webster</source>]</p>
<p><cs><col><b>Verification of an equation</b></col> <fld>(Math.)</fld>, <cd>the operation of testing the equation of a problem, to see whether it expresses truly the conditions of the problem.</cd> <rj><au>Davies & Peck. (Math. Dict.)</au></rj></cs><br/
[<source>1913 Webster</source>]</p>
<p><ent>Verificative</ent><br/
<hw>Ver"i*fi*ca*tive</hw> <pr>(?)</pr>, <pos>a.</pos> <def>Serving to verify; verifying; authenticating; confirming.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Verifier</ent><br/
<hw>Ver"i*fi`er</hw> <pr>(?)</pr>, <pos>n.</pos> <def>One who, or that which, verifies.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Verify</ent><br/
<hw>Ver"i*fy</hw> <pr>(v<ecr/r"<icr/*f<imac/)</pr>, <pos>v. t.</pos> <vmorph>[<pos>imp. & p. p.</pos> <conjf>Verified</conjf> <pr>(?)</pr>; <pos>p. pr. & vb. n.</pos> <conjf>Verifying</conjf>.]</vmorph> <ety>[F. <ets>v<eacute/rifier</ets>, LL. <ets>verificare</ets>, from L. <ets>verus</ets> true + <ets>-ficare</ets> to make. See <er>Very</er>, and <ets>-fy</ets>.]</ety> <sn>1.</sn> <def>To prove to be true or correct; to establish the truth of; to confirm; to substantiate.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>This is <qex>verified</qex> by a number of examples.</q> <rj><qau>Bacon.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><q>So shalt thou best fulfill, best <qex>verify</qex>.<br/
The prophets old, who sung thy endless reign.</q> <rj><qau>Milton.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <def>To confirm or establish the authenticity of by examination or competent evidence; to authenticate; <as>as, to <ex>verify</ex> a written statement; to <ex>verify</ex> an account, a pleading, or the like</as>.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>To <qex>verify</qex> our title with their lives.</q> <rj><qau>Shak.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>3.</sn> <def>To maintain; to affirm; to support.</def> <mark>[Obs.]</mark> <rj><au>Shak.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Veriloquent</ent><br/
<hw>Ve*ril"o*quent</hw> <pr>(?)</pr>, <pos>a.</pos> <ety>[L. <ets>verus</ets> true + <ets>loquens</ets> speaking.]</ety> <def>Speaking truth; truthful.</def> <mark>[Obs.]</mark><br/
[<source>1913 Webster</source>]</p>
<p><ent>Verily</ent><br/
<hw>Ver"i*ly</hw> <pr>(?)</pr>, <pos>adv.</pos> <ety>[From <er>Very</er>.]</ety> <def>In very truth; beyond doubt or question; in fact; certainly.</def> <rj><au>Bacon.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><q>Trust in the Lord and do good; so shalt thou dwell in the land, and <qex>verily</qex> thou shalt be fed.</q> <rj><qau>Ps. xxxvii. 3.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Verine</ent><br/
<hw>Ver"ine</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[Contr. from <ets>ver</ets>atr<ets>ine</ets>.]</ety> <fld>(Chem.)</fld> <def>An alkaloid obtained as a yellow amorphous substance by the decomposition of veratrine.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Verisimilar</ent><br/
<hw>Ver`i*sim"i*lar</hw> <pr>(?)</pr>, <pos>a.</pos> <ety>[L. <ets>verisimilis</ets>; <ets>verus</ets> true + <ets>similis</ets> like, similar. See <er>Very</er>, and <er>Similar</er>.]</ety> <def>Having the appearance of truth; probable; likely.</def> <ldquo/How <xex>verisimilar</xex> it looks.<rdquo/ <rj><au>Carlyle.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Verisimilitude</ent><br/
<hw>Ver`i*si*mil"i*tude</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[L. <ets>verisimilitudo</ets>: cf. OF. <ets>verisimilitude</ets>. See <er>Verisimilar</er>.]</ety> <def>The quality or state of being verisimilar; the appearance of truth; probability; likelihood.</def><br/
[<source>1913 Webster</source>]</p>
<p><q><qex>Verisimilitude</qex> and opinion are an easy purchase; but true knowledge is dear and difficult.</q> <rj><qau>Glanvill.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><q>All that gives <qex>verisimilitude</qex> to a narrative.</q> <rj><qau>Sir. W. Scott.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Verisimility</ent><br/
<hw>Ver`i*si*mil"i*ty</hw> <pr>(?)</pr>, <pos>n.</pos> <def>Verisimilitude.</def> <mark>[Obs.]</mark><br/
[<source>1913 Webster</source>]</p>
<p><q>The <qex>verisimility</qex> or probable truth.</q> <rj><qau>Sir T. Browne.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Verisimilous</ent><br/
<hw>Ver`i*sim"i*lous</hw> <pr>(?)</pr>, <pos>a.</pos> <def>Verisimilar.</def> <mark>[Obs.]</mark><br/
[<source>1913 Webster</source>]</p>
<p><ent>Veritable</ent><br/
<hw>Ver"i*ta*ble</hw> <pr>(?)</pr>, <pos>a.</pos> <ety>[F. <ets>v<eacute/ritable</ets>. See <er>Verity</er>.]</ety> <def>Agreeable to truth or to fact; actual; real; true; genuine.</def> <ldquo/The <xex>veritable</xex> Deity.<rdquo/ <au>Sir W. Hamilton.</au> -- <wordforms><wf>Ver"i*ta*bly</wf>, <pos>adv.</pos></wordforms><br/
[<source>1913 Webster</source>]</p>
<p><ent>Veritas</ent><br/
<hw>Ver"i*tas</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[Cf. F. <ets>v<eacute/ritas</ets>. See <er>Verity</er>.]</ety> <def>The Bureau Veritas. See under <er>Bureau</er>.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Verity</ent><br/
<hw>Ver"i*ty</hw> <pr>(?)</pr>, <pos>n.</pos>; <plu><it>pl.</it> <plw>Verities</plw> <pr>(#)</pr>.</plu> <ety>[F. <ets>v<eacute/rit<eacute/</ets>, L. <ets>veritas</ets>, fr. <ets>verus</ets> true. See <er>Very</er>.]</ety> <sn>1.</sn> <def>The quality or state of being true, or real; consonance of a statement, proposition, or other thing, with fact; truth; reality.</def> <ldquo/The <xex>verity</xex> of certain words.<rdquo/ <rj><au>Shak.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><q>It is a proposition of eternal <qex>verity</qex>, that none can govern while he is despised.</q> <rj><qau>South.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <def>That which is true; a true assertion or tenet; a truth; a reality.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>Mark what I say, which you shall find<br/
By every syllable a faithful <qex>verity</qex>.</q> <rj><qau>Shak.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Verjuice</ent><br/
<hw>Ver"juice`</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[OE. <ets>vergeous</ets>, F. <ets>verjus</ets>, that is, the juice of green fruits; <ets>verd</ets>, <ets>vert</ets>, green + <ets>jus</ets> juice. See <er>Verdant</er>, and <er>Juice</er>.]</ety> <sn>1.</sn> <def>The sour juice of crab apples, of green or unripe grapes, apples, etc.; also, an acid liquor made from such juice.</def><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <def>Tartness; sourness, as of disposition.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vermeil</ent><br/
<hw>Ver"meil</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[F., vermilion, fr. LL. <ets>vermiculus</ets>, fr. L. <ets>vermiculus</ets> a little worm, the coccus Indicus, from <ets>vermis</ets> a worm. See <er>Worm</er>, and cf. <er>Vermicule</er>.]</ety> <sn>1.</sn> <def>Vermilion; also, the color of vermilion, a bright, beautiful red.</def> <mark>[Poetic & R.]</mark><br/
[<source>1913 Webster</source>]</p>
<p><q>In her cheeks the <qex>vermeill</qex> red did show<br/
Like roses in a bed of lilies shed.</q> <rj><qau>Spenser.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <def>Silver gilt or gilt bronze.</def><br/
[<source>1913 Webster</source>]</p>
<p><sn>3.</sn> <def>A liquid composition applied to a gilded surface to give luster to the gold.</def> <rj><au>Knight.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vermeologist</ent><br/
<hw>Ver`me*ol"o*gist</hw> <pr>(?)</pr>, <pos>n.</pos> <def>One who treats of vermes, or worms; a helminthologist.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vermeology</ent><br/
<hw>Ver`me*ol"o*gy</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[L. <ets>vermes</ets> worms + <ets>-logy</ets>.]</ety> <fld>(Zool.)</fld> <def>A discourse or treatise on worms; that part of Zoology which treats of worms; helminthology.</def> <mark>[R.]</mark><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vermes</ent><br/
||<hw>Ver"mes</hw> <pr>(?)</pr>, <pos>n. pl.</pos> <ety>[L. <ets>vermes</ets>, pl. of <ets>vermis</ets> a worm.]</ety> <fld>(Zool.)</fld> <sd>(a)</sd> <def>An extensive artificial division of the animal kingdom, including the parasitic worms, or helminths, together with the nemerteans, annelids, and allied groups. By some writers the branchiopods, the bryzoans, and the tunicates are also included. The name was used in a still wider sense by Linnaeus and his followers.</def> <sd>(b)</sd> <def>A more restricted group, comprising only the helminths and closely allied orders.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vermetid</ent><br/
<hw>Ver"me*tid</hw> <pr>(?)</pr>, <pos>n.</pos> <fld>(Zool.)</fld> <def>Any species of vermetus.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vermetus</ent><br/
||<hw>Ver*me"tus</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[NL., from L. <ets>vermis</ets> worm.]</ety> <fld>(Zool.)</fld> <def>Any one of many species of marine gastropods belonging to <gen>Vermetus</gen> and allied genera, of the family <fam>Vermetidae</fam>. Their shells are regularly spiral when young, but later in life the whorls become separate, and the shell is often irregularly bent and contorted like a worm tube.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vermicelli</ent><br/
<hw>Ver`mi*cel"li</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[It., pl. of <ets>vermicello</ets>, literally, a little worm, dim. of <ets>verme</ets> a worm, L. <ets>vermis</ets>. See <er>Worm</er>, and cf. <er>Vermicule</er>, <er>Vermeil</er>.]</ety> <def>The flour of a hard and small-grained wheat made into dough, and forced through small cylinders or pipes till it takes a slender, wormlike form, whence the Italian name. When the paste is made in larger tubes, it is called <xex>macaroni</xex>.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vermicide</ent><br/
<hw>Ver"mi*cide</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[L. <ets>vermis</ets> a worm + <ets>caedere</ets> to kill.]</ety> <def>A medicine which destroys intestinal worms; a worm killer.</def> <rj><au>Pereira.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vermicious</ent><br/
<hw>Ver*mi"cious</hw> <pr>(?)</pr>, <pos>a.</pos> <ety>[L. <ets>vermis</ets> a worm.]</ety> <def>Of or pertaining to worms; wormy.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vermicular</ent><br/
<hw>Ver*mic"u*lar</hw> <pr>(?)</pr>, <pos>a.</pos> <ety>[L. <ets>vermiculus</ets> a little worm, dim. of <ets>vermis</ets> a worm: cf. F. <ets>vermiculaire</ets>. See <er>Vermicelli</er>.]</ety> <def>Of or pertaining to a worm or worms; resembling a worm; shaped like a worm; especially, resembling the motion or track of a worm; <as>as, the <ex>vermicular</ex>, or peristaltic, motion of the intestines</as>. See <er>Peristaltic</er>.</def> <ldquo/A twisted form <xex>vermicular</xex>.<rdquo/ <rj><au>Cowper.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><cs><col><b>Vermicular work</b></col>. <cd>See under <er>Vermiculated</er>.</cd></cs><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vermiculate</ent><br/
<hw>Ver*mic"u*late</hw> <pr>(?)</pr>, <pos>v. t.</pos> <vmorph>[<pos>imp. & p. p.</pos> <conjf>Vermiculated</conjf> <pr>(?)</pr>; <pos>p. pr. & vb. n.</pos> <conjf>Vermiculating</conjf>.]</vmorph> <ety>[L. <ets>vermiculatus</ets> inlaid so as to resemble the tracks of worms, p. p. of <ets>vermiculari</ets> to be full of worms, <ets>vermiculus</ets> a little worm. See <er>Vermicular</er>.]</ety> <def>To form or work, as by inlaying, with irregular lines or impressions resembling the tracks of worms, or appearing as if formed by the motion of worms.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vermiculate</ent><br/
<hw>Ver*mic"u*late</hw> <pr>(?)</pr>, <pos>a.</pos> <sn>1.</sn> <def>Wormlike in shape; covered with wormlike elevations; marked with irregular fine lines of color, or with irregular wavy impressed lines like worm tracks; <as>as, a <ex>vermiculate</ex> nut</as>.</def><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <def>Crawling or creeping like a worm; hence, insinuating; sophistical.</def> <ldquo/<xex>Vermiculate</xex> questions.<rdquo/ <au>Bacon.</au> <ldquo/<xex>Vermiculate</xex> logic.<rdquo/ <au>R. Choate.</au><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vermiculated</ent><br/
<hw>Ver*mic"u*la`ted</hw> <pr>(?)</pr>, <pos>a.</pos> <def>Made or marked with irregular wavy lines or impressions; vermiculate.</def><br/
[<source>1913 Webster</source>]</p>
<p><cs><mcol><col><b>Vermiculated work</b></col>, <it>or</it> <col><b>Vermicular work</b></col></mcol> <fld>(Arch.)</fld>, <cd>rustic work so wrought as to have the appearance of convoluted worms, or of having been eaten into by, or covered with tracks of, worms.</cd> <rj><au>Gwilt.</au></rj></cs><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vermiculation</ent><br/
<hw>Ver*mic`u*la"tion</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[L. <ets>vermiculatio</ets> a being worm-eaten.]</ety> <sn>1.</sn> <def>The act or operation of moving in the manner of a worm; continuation of motion from one part to another; <as>as, the <ex>vermiculation</ex>, or peristaltic motion, of the intestines</as>.</def><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <def>The act of vermiculating, or forming or inlaying so as to resemble the motion, track, or work of a worm.</def><br/
[<source>1913 Webster</source>]</p>
<p><sn>3.</sn> <def>Penetration by worms; the state of being wormeaten.</def><br/
[<source>1913 Webster</source>]</p>
<p><sn>4.</sn> <fld>(Zool.)</fld> <def>A very fine wavy crosswise color marking, or a patch of such markings, as on the feathers of birds.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vermicule</ent><br/
<hw>Ver"mi*cule</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[L. <ets>vermiculus</ets>, dim. of <ets>vermis</ets> a worm. See <er>Vermicular</er>.]</ety> <def>A small worm or insect larva; also, a wormlike body.</def> <mark>[R.]</mark> <rj><au>Derham.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vermiculite</ent><br/
<hw>Ver*mic"u*lite</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[L. <ets>vermiculus</ets>, dim. of <ets>vermis</ets> worm.]</ety> <fld>(Min.)</fld> <def>A group of minerals having, a micaceous structure. They are hydrous silicates, derived generally from the alteration of some kind of mica. So called because the scales, when heated, open out into wormlike forms.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vermiculous</ent><br/
<ent>Vermiculose</ent><br/
<mhw>{ <hw>Ver*mic"u*lose`</hw> <pr>(?)</pr>, <hw>Ver*mic"u*lous</hw> <pr>(?)</pr>, }</mhw> <pos>a.</pos> <ety>[L. <ets>vermiculosus</ets>. See <er>Vermicule</er>.]</ety> <def>Containing, or full of, worms; resembling worms.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vermiform</ent><br/
<hw>Ver"mi*form</hw> <pr>(?)</pr>, <pos>a.</pos> <ety>[L. <ets>vermis</ets> a worm + <ets>-form</ets>.]</ety> <def>Resembling a worm in form or motions; vermicular; <as>as, the <ex>vermiform</ex> process of the cerebellum</as>.</def><br/
[<source>1913 Webster</source>]</p>
<p><cs><col><b>Vermiform appendix</b></col> <fld>(Anat.)</fld>, <cd>a slender blind process of the caecum in man and some other animals; -- called also <altname>vermiform appendage</altname>, and <altname>vermiform process</altname>. Small solid bodies, such as grape seeds or cherry stones, sometimes lodge in it, causing serious, or even fatal, inflammation. See <xex>Illust.</xex> under <er>Digestion</er>.</cd></cs><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vermiformia</ent><br/
||<hw>Ver`mi*for"mi*a</hw> <pr>(?)</pr>, <pos>n. pl.</pos> <ety>[NL.]</ety> <fld>(Zool.)</fld> <def>A tribe of worms including Phoronis. See <er>Phoronis</er>.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vermifugal</ent><br/
<hw>Ver*mif"u*gal</hw> <pr>(?)</pr>, <pos>a.</pos> <ety>[L. <ets>vermis</ets> a worm + <ets>fugare</ets> to drive away, fr. <ets>fugere</ets> to flee. See <er>Worm</er>, and <er>Fugitive</er>.]</ety> <fld>(Med.)</fld> <def>Tending to prevent, destroy, or expel, worms or vermin; anthelmintic.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vermifuge</ent><br/
<hw>Ver"mi*fuge</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[Cf. F. <ets>vermifuge</ets>. See <er>Vermifugal</er>.]</ety> <fld>(Med.)</fld> <def>A medicine or substance that expels worms from animal bodies; an anthelmintic.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vermil</ent><br/
<hw>Ver"mil</hw> <pr>(?)</pr>, <pos>n.</pos> <def>See <er>Vermeil</er>.</def> <mark>[Obs.]</mark> <rj><au>Spenser.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vermilinguia</ent><br/
||<hw>Ver`mi*lin"gui*a</hw> <pr>(?)</pr>, <pos>n. pl.</pos> <ety>[NL., fr. L. <ets>vermis</ets> worm + <ets>lingua</ets> tongue.]</ety> [Called also <altname>Vermilingues</altname>.] <fld>(Zool.)</fld> <sd>(a)</sd> <def>A tribe of edentates comprising the South American ant-eaters. The tongue is long, slender, exsertile, and very flexible, whence the name.</def> <sd>(b)</sd> <def>A tribe of Old World lizards which comprises the chameleon. They have long, flexible tongues.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vermilion</ent><br/
<hw>Ver*mil"ion</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[F. <ets>vermillon</ets>. See <er>Vermeil</er>.]</ety> <sn>1.</sn> <fld>(Chem.)</fld> <def>A bright red pigment consisting of mercuric sulphide, obtained either from the mineral cinnabar or artificially. It has a fine red color, and is much used in coloring sealing wax, in printing, etc.</def><br/
[<source>1913 Webster</source>]</p>
<p><note><hand/ The kermes insect has long been used for dyeing red or scarlet. It was formerly known as the <xex>worm dye</xex>, <xex>vermiculus</xex>, or <xex>vermiculum</xex>, and the cloth was called <xex>vermiculatia</xex>. Hence came the French <xex>vermeil</xex> for any red dye, and hence the modern name <xex>vermilion</xex>, although the substance it denotes is very different from the kermes, being a compound of mercury and sulphur.</note> <rj><au>R. Hunt.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <def>Hence, a red color like the pigment; a lively and brilliant red; <as>as, cheeks of <ex>vermilion</ex></as>.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vermilion</ent><br/
<hw>Ver*mil"ion</hw>, <pos>v. t.</pos> <def>To color with vermilion, or as if with vermilion; to dye red; to cover with a delicate red.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vermily</ent><br/
<hw>Ver"mi*ly</hw> <pr>(?)</pr>, <pos>n.</pos> <def>Vermeil.</def> <mark>[Obs.]</mark> <rj><au>Spenser.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vermin</ent><br/
<hw>Ver"min</hw> <pr>(?)</pr>, <pos>n. sing. & pl.</pos>; used chiefly as plural. <ety>[OE. <ets>vermine</ets>, F. <ets>vermine</ets>, from L. <ets>vermis</ets> a worm; cf. LL. <ets>vermen</ets> a worm, L. <ets>verminosus</ets> full of worms. See <er>Vermicular</er>, <er>Worm</er>.]</ety> <sn>1.</sn> <def>An animal, in general.</def> <mark>[Obs.]</mark><br/
[<source>1913 Webster</source>]</p>
<p><q>Wherein were all manner of fourfooted beasts of the earth, and <qex>vermin</qex>, and worms, and fowls.</q> <rj><qau>Acts x. 12. (Geneva Bible).</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><q>This crocodile is a mischievous fourfooted beast, a dangerous <qex>vermin</qex>, used to both elements.</q> <rj><qau>Holland.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <def>A noxious or mischievous animal; especially, noxious little animals or insects, collectively, as squirrels, rats, mice, worms, flies, lice, bugs, etc.</def> <ldquo/Cruel hounds or some foul <xex>vermin</xex>.<rdquo/ <rj><au>Chaucer.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><q>Great injuries these <qex>vermin</qex>, mice and rats, do in the field.</q> <rj><qau>Mortimer.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><q>They disdain such <qex>vermin</qex> when the mighty boar of the forest . . . is before them.</q> <rj><qau>Burke.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>3.</sn> <def>Hence, in contempt, noxious human beings.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>You are my prisoners, base <qex>vermin</qex>.</q> <rj><qau>Hudibras.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Verminate</ent><br/
<hw>Ver"mi*nate</hw> <pr>(?)</pr>, <pos>v. i.</pos> <ety>[L. <ets>verminare</ets> to have worms, fr. <ets>vermis</ets> a worm.]</ety> <def>To breed vermin.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vermination</ent><br/
<hw>Ver`mi*na"tion</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[L. <ets>verminatio</ets> the worms, a disease of animals, a crawling, itching pain.]</ety> <sn>1.</sn> <def>The generation or breeding of vermin.</def> <rj><au>Derham.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <def>A griping of the bowels.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Verminly</ent><br/
<hw>Ver"min*ly</hw> <pr>(?)</pr>, <pos>a. & adv.</pos> <def>Resembling vermin; in the manner of vermin.</def> <mark>[Obs.]</mark> <rj><au>Gauden.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Verminous</ent><br/
<hw>Ver"min*ous</hw> <pr>(?)</pr>, <pos>a.</pos> <ety>[L. <ets>verminosus</ets>, fr. <ets>vermis</ets> a worm: cf. F. <ets>vermineux</ets>.]</ety> <sn>1.</sn> <def>Tending to breed vermin; infested by vermin.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>Some . . . <qex>verminous</qex> disposition of the body.</q> <rj><qau>Harvey.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <def>Caused by, or arising from the presence of, vermin; <as>as, <ex>verminous</ex> disease</as>.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Verminously</ent><br/
<hw>Ver"min*ous*ly</hw>, <pos>adv.</pos> <def>In a verminous manner.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vermiparous</ent><br/
<hw>Ver*mip"a*rous</hw> <pr>(?)</pr>, <pos>a.</pos> <ety>[L. <ets>vermis</ets> a worm + <ets>parere</ets> to bring forth.]</ety> <def>Producing or breeding worms.</def> <ldquo/<xex>Vermiparous</xex> animals.<rdquo/ <rj><au>Sir T. Browne.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vermivorous</ent><br/
<hw>Ver*miv"o*rous</hw> <pr>(?)</pr>, <pos>a.</pos> <ety>[L. <ets>vermis</ets> a worm + <ets>vorare</ets> to devour: cf. F. <ets>vermivore</ets>.]</ety> <fld>(Zool.)</fld> <def>Devouring worms; feeding on worms; <as>as, <ex>vermivorous</ex> birds</as>.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vermuth</ent><br/
<hw>Ver"muth</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[F. <ets>vermout</ets>.]</ety> <def>A liqueur made of white wine, absinthe, and various aromatic drugs, used to excite the appetite.</def> <altsp>[Written also <asp>vermouth</asp>.]</altsp><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vernacle</ent><br/
<hw>Ver"na*cle</hw> <pr>(?)</pr>, <pos>n.</pos> <def>See <er>Veronica</er>, 1.</def> <mark>[Obs.]</mark><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vernacular</ent><br/
<hw>Ver*nac"u*lar</hw> <pr>(?)</pr>, <pos>a.</pos> <ety>[L. <ets>vernaculus</ets> born in one's house, native, fr. <ets>verna</ets> a slave born in his master's house, a native, probably akin to Skr. <ets>vas</ets> to dwell, E. <ets>was</ets>.]</ety> <def>Belonging to the country of one's birth; one's own by birth or nature; native; indigenous; -- now used chiefly of language; <as>as, English is our <ex>vernacular</ex> language</as>.</def> <ldquo/A <xex>vernacular</xex> disease.<rdquo/ <rj><au>Harvey.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><q>His skill in the <qex>vernacular</qex> dialect of the Celtic tongue.</q> <rj><qau>Fuller.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><q>Which in our <qex>vernacular</qex> idiom may be thus interpreted.</q> <rj><qau>Pope.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vernacular</ent><br/
<hw>Ver*nac"u*lar</hw>, <pos>n.</pos> <def>The vernacular language; one's mother tongue; often, the common forms of expression in a particular locality, opposed to <contr>literary</contr> or <contr>learned</contr> forms.</def><br/
[<source>1913 Webster</source> + <source>PJC</source>]</p>
<p><ent>Vernacularism</ent><br/
<hw>Ver*nac"u*lar*ism</hw> <pr>(?)</pr>, <pos>n.</pos> <def>A vernacular idiom.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vernacularization</ent><br/
<hw>Ver*nac"u*lar*i*za"tion</hw> <pr>(?)</pr>, <pos>n.</pos> <def>The act or process of making vernacular, or the state of being made vernacular.</def> <rj><au>Fitzed. Hall.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vernacularly</ent><br/
<hw>Ver*nac"u*lar*ly</hw> <pr>(?)</pr>, <pos>adv.</pos> <def>In a vernacular manner; in the vernacular.</def> <rj><au>Earle.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vernaculous</ent><br/
<hw>Ver*nac"u*lous</hw> <pr>(?)</pr>, <pos>a.</pos> <ety>[L. <ets>vernaculus</ets>. See <er>Vernacular</er>.]</ety> <sn>1.</sn> <def>Vernacular.</def> <mark>[Obs.]</mark> <rj><au>Sir T. Browne.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <ety>[L. <ets>vernaculi</ets>, pl., buffoons, jesters.]</ety> <def>Scoffing; scurrilous.</def> <mark>[A Latinism. Obs.]</mark> <ldquo/Subject to the petulancy of every <xex>vernaculous</xex> orator.<rdquo/ <rj><au>B. Jonson.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vernage</ent><br/
<hw>Ver"nage</hw> <pr>(v<etil/r"n<asl/j)</pr>, <pos>n.</pos> <ety>[It. <ets>vernaccia</ets>.]</ety> <def>A kind of sweet wine from Italy.</def> <mark>[Obs.]</mark> <rj><au>Chaucer.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>vernal</ent><br/
<hw>ver"nal</hw> <pr>(v<etil/r"n<ait/l)</pr>, <pos>a.</pos> <ety>[L. <ets>vernalis</ets>, fr. <ets>vernus</ets> vernal, <ets>ver</ets> spring; akin to Gr. <grk>'e`ar</grk>, Skr. <ets>vasanta</ets>, Icel. <ets>v<amac/r</ets>, and E. <ets>Easter</ets>, <ets>east</ets>.]</ety> <sn>1.</sn> <def>Of or pertaining to the spring; appearing in the spring; <as>as, <ex>vernal</ex> bloom</as>.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>And purple all the ground with <qex>vernal</qex> flowers.</q> <rj><qau>Milton.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <def>Fig.: Belonging to youth, the spring of life.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>When after the long <qex>vernal</qex> day of life.</q> <rj><qau>Thomson.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><q>And seems it hard thy <qex>vernal</qex> years<br/
Few <qex>vernal</qex> joys can show?</q> <rj><qau>Keble.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><-- p. 1604 pr=PI --><br/
[<source>1913 Webster</source>]</p>
<p><cs><col><b>Vernal equinox</b></col> <fld>(Astron.)</fld>, <cd>the point of time in each year when the sun crosses the equator when proceeding northward, about March 21, when day and night are of approximately equal duration. The beginning of the Spring season.</cd> -- <col><b>Vernal grass</b></col> <fld>(Bot.)</fld>, <cd>a low, soft grass (<spn>Anthoxanthum odoratum</spn>), producing in the spring narrow spikelike panicles, and noted for the delicious fragrance which it gives to new-mown hay; -- also called <altname>sweet vernal grass</altname>. See <xex>Illust.</xex> in Appendix.</cd> -- <col><b>Vernal signs</b></col> <fld>(Astron.)</fld>, <cd>the signs, Aries, Taurus, and Gemini, in which the sun appears between the vernal equinox and summer solstice.</cd></cs><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vernant</ent><br/
<hw>Ver"nant</hw> <pr>(v<etil/r"n<ait/nt)</pr>, <pos>a.</pos> <ety>[L. <ets>vernans</ets>, p. pr. <ets>vernare</ets> to flourish, from <ets>ver</ets> spring.]</ety> <def>Flourishing, as in spring; vernal.</def> <mark>[Obs.]</mark> <ldquo/<xex>Vernant</xex> flowers.<rdquo/ <rj><au>Milton.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vernate</ent><br/
<hw>Ver"nate</hw> <pr>(?)</pr>, <pos>v. i.</pos> <ety>[See <er>Vernant</er>.]</ety> <def>To become young again.</def> <mark>[Obs.]</mark><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vernation</ent><br/
<hw>Ver*na"tion</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[F. <ets>vernation</ets>: cf. L. <ets>vernatio</ets> the sloughing of the skin of snakes.]</ety> <fld>(Bot.)</fld> <def>The arrangement of the leaves within the leaf bud, as regards their folding, coiling, rolling, etc.; prefoliation.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Verner's law</ent><br/
<hw>Ver"ner's law</hw> <pr>(?)</pr>. <fld>(Philol.)</fld> <def>A statement, propounded by the Danish philologist <person>Karl Verner</person> in 1875, which explains certain apparent exceptions to Grimm's law by the original position of the accent. Primitive Indo-European <xex>k</xex>, <xex>t</xex>, <xex>p</xex>, became first in Teutonic <xex>h</xex>, <xex>th</xex>, <xex>f</xex>, and appear without further change in old Teutonic, if the accent rested on the preceding syllable; but these sounds became voiced and produced <xex>g</xex>, <xex>d</xex>, <xex>b</xex>, if the accent was originally on a different syllable. Similarly <xex>s</xex> either remained unchanged, or it became <xex>z</xex> and later <xex>r</xex>. Example: Skt. sa<it>p</it>t<amac/ (accent on ultima), Gr. <grk>'e`pta</grk>, Gothic si<it>b</it>un (seven). Examples in English are <xex>dead</xex> by the side of <xex>death</xex>, to <xex>rise</xex> and to <xex>rear</xex>.</def><br/
[<source>Webster 1913 Suppl.</source>]</p>
<p><ent>Vernicle</ent><br/
<hw>Ver"ni*cle</hw> <pr>(?)</pr>, <pos>n.</pos> <def>A Veronica. See <er>Veronica</er>, 1.</def> <mark>[Obs.]</mark> <rj><au>Piers Plowman.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><q>A <qex>vernicle</qex> had he sowed upon his cap.</q> <rj><qau>Chaucer.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vernicose</ent><br/
<hw>Ver"ni*cose`</hw> <pr>(?)</pr>, <pos>a.</pos> <ety>[See <er>Varnish</er>.]</ety> <fld>(Bot.)</fld> <def>Having a brilliantly polished surface, as some leaves.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vernier</ent><br/
<hw>Ver"ni*er</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[So named after the inventor, Pierre <etsep>Vernier</etsep>.]</ety> <def>A short scale made to slide along the divisions of a graduated instrument, as the limb of a sextant, or the scale of a barometer, for indicating parts of divisions. It is so graduated that a certain convenient number of its divisions are just equal to a certain number, either one less or one more, of the divisions of the instrument, so that parts of a division are determined by observing what line on the vernier coincides with a line on the instrument.</def><br/
[<source>1913 Webster</source>]</p>
<p><cs><mcol><col><b>Vernier calipers</b></col>, <col><b>Vernier gauge</b></col></mcol>, <cd>a gauge with a graduated bar and a sliding jaw bearing a vernier, used for accurate measurements.</cd> -- <col><b>Vernier compass</b></col>, <cd>a surveyor's compass with a vernier for the accurate adjustment of the zero point in accordance with magnetic variation.</cd> -- <col><b>Vernier transit</b></col>, <cd>a surveyor's transit instrument with a vernier compass.</cd></cs><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vernile</ent><br/
<hw>Ver"nile</hw> <pr>(?)</pr>, <pos>a.</pos> <ety>[L. <ets>vernilis</ets> servile. See <er>Vernacular</er>.]</ety> <def>Suiting a slave; servile; obsequious.</def> <mark>[R.]</mark><br/
[<source>1913 Webster</source>]</p>
<p><q>The example . . . of <qex>vernile</qex> scurrility.</q> <rj><qau>De Quincey.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vernility</ent><br/
<hw>Ver*nil"i*ty</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[L. <ets>vernilitas</ets>.]</ety> <def>Fawning or obsequious behavior; servility.</def> <mark>[R.]</mark> <rj><au>Bailey.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vernine</ent><br/
<hw>Ver"nine</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[<ets>Vern</ets>al + <ets>-ine</ets>.]</ety> <fld>(Chem.)</fld> <def>An alkaloid extracted from the shoots of the vetch, red clover, etc., as a white crystalline substance.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vernish</ent><br/
<hw>Ver"nish</hw> <pr>(?)</pr>, <pos>n. & v.</pos> <def>Varnish.</def> <mark>[Obs.]</mark> <rj><au>Chaucer.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vernonin</ent><br/
<hw>Ver"no*nin</hw> <pr>(?)</pr>, <pos>n.</pos> <fld>(Chem.)</fld> <def>A glucoside extracted from the root of a South African plant of the genus <gen>Vernonia</gen>, as a deliquescent powder, and used as a mild heart tonic.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Veronese</ent><br/
||<hw>Ver`o*nese"</hw> <pr>(?)</pr>, <pos>a.</pos> <ety>[It. <ets>Veronese</ets>.]</ety> <def>Of or pertaining to Verona, in Italy.</def> -- <def2><pos>n. sing. & pl.</pos> <def>A native of Verona; collectively, the people of Verona.</def></def2><br/
[<source>1913 Webster</source>]</p>
<p><ent>Veronica</ent><br/
<hw>Ve*ron"i*ca</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[LL.; -- so called from <ets>Veronica</ets>, a woman who, according to an old legend, as Christ was carrying the cross, wiped his face with a cloth, which received an impression of his countenance; <ets>Veronica</ets> is fr. MGr. <grk>Beroni`kh</grk>, fr. Macedonian <grk>Bereni`kh</grk>, for Gr. <grk>Fereni`kh</grk>, literally, carrying off victory, victorious.]</ety> <sn>1.</sn> <def>A portrait or representation of the face of our Savior on the alleged handkerchief of Saint Veronica, preserved at Rome; hence, a representation of this portrait, or any similar representation of the face of the Savior. Formerly called also <altname>Vernacle</altname>, and <altname>Vernicle</altname>.</def><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <fld>(Bot.)</fld> <def>A genus of scrophulariaceous plants; the speedwell. See <er>Speedwell</er>.</def><br/
[<source>1913 Webster</source>]</p>
<p><note><hand/ Several herbaceous species are common in both Europe and America, most of which have small blue flowers. A few shrubby species from New Zealand are sometimes found in cultivation.</note><br/
[<source>1913 Webster</source>]</p>
<p><ent>Verray</ent><br/
<hw>Ver"ray</hw> <pr>(?)</pr>, <pos>a.</pos> <def>Very; true.</def> <mark>[Obs.]</mark> <rj><au>Chaucer.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Verrayment</ent><br/
<hw>Ver"ray*ment</hw> <pr>(?)</pr>, <pos>adv.</pos> <ety>[OF. <ets>veraiement</ets>. See <er>Very</er>.]</ety> <def>Verily; truly.</def> <mark>[Obs.]</mark> <rj><au>Chaucer.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Verrel</ent><br/
<hw>Ver"rel</hw> <pr>(?)</pr>, <pos>n.</pos> <def>See <er>Ferrule</er>.</def> <mark>[Obs.]</mark><br/
[<source>1913 Webster</source>]</p>
<p><ent>Verriculate</ent><br/
<hw>Ver*ric"u*late</hw> <pr>(?)</pr>, <pos>a.</pos> <ety>[L. <ets>verriculum</ets> a net, seine.]</ety> <fld>(Zool.)</fld> <def>Having thickset tufts of parallel hairs, bristles, or branches.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Verruca</ent><br/
||<hw>Ver*ru"ca</hw> <pr>(?)</pr>, <pos>n.</pos>; <plu>pl. <plw>Verrucae</plw> <pr>(#)</pr></plu>. <ety>[L. Cf. <er>Verrugas</er>.]</ety> <sn>1.</sn> <fld>(Med.)</fld> <def>A wart.</def><br/
[<source>Webster 1913 Suppl.</source>]</p>
<p><sn>2.</sn> <fld>(Zool.)</fld> <def>A wartlike elevation or roughness.</def><br/
[<source>Webster 1913 Suppl.</source>]</p>
<p><ent>Verruciform</ent><br/
<hw>Ver*ru"ci*form</hw> <pr>(?)</pr>, <pos>a.</pos> <ety>[L. <ets>verruca</ets> wart + <ets>-form</ets>.]</ety> <def>Shaped like a wart or warts.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Verrucose</ent><br/
<hw>Ver"ru*cose`</hw> <pr>(?)</pr>, <pos>a.</pos> <ety>[L. <ets>verrucosus</ets>, fr. <ets>verruca</ets> a wart.]</ety> <def>Covered with wartlike elevations; tuberculate; warty; verrucous; <as>as, a <ex>verrucose</ex> capsule</as>.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Verrucous</ent><br/
<hw>Ver"ru*cous</hw> <pr>(?)</pr>, <pos>a.</pos> <def>Verrucose.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Verruculose</ent><br/
<hw>Ver*ru"cu*lose`</hw> <pr>(?)</pr>, <pos>a.</pos> <ety>[L. <ets>verrucula</ets>, dim. of <ets>verruca</ets> a wart.]</ety> <def>Minutely verrucose; <as>as, a <ex>verruculose</ex> leaf or stalk</as>.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Verrugas</ent><br/
||<hw>Ver*ru"gas</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[Sp., warts. Cf. <er>Verruca</er>.]</ety> <fld>(Med.)</fld> <def>An endemic disease occurring in the Andes in Peru, characterized by warty tumors which ulcerate and bleed. It is probably due to a special bacillus, and is often fatal.</def><br/
[<source>Webster 1913 Suppl.</source>]</p>
<p><ent>Vers</ent><br/
<hw>Vers</hw> <pr>(?)</pr>, <pos>n. sing. & pl.</pos> <def>A verse or verses. See <er>Verse</er>, <pos>n.</pos></def> <mark>[Obs.]</mark> <ldquo/Ten <xex>vers</xex> or twelve.<rdquo/ <rj><au>Chaucer.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Versability</ent><br/
<hw>Ver`sa*bil"i*ty</hw> <pr>(?)</pr>, <pos>n.</pos> <def>The quality or state of being versable.</def> <mark>[R.]</mark> <rj><au>Sterne</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Versable</ent><br/
<hw>Ver"sa*ble</hw> <pr>(?)</pr>, <pos>a.</pos> <ety>[L. <ets>versabilis</ets>: cf. F. <ets>versable</ets>. See <er>Versatile</er>.]</ety> <def>Capable of being turned.</def> <mark>[R.]</mark><br/
[<source>1913 Webster</source>]</p>
<p><ent>Versableness</ent><br/
<hw>Ver"sa*ble*ness</hw>, <pos>n.</pos> <def>Versability.</def> <mark>[R.]</mark><br/
[<source>1913 Webster</source>]</p>
<p><ent>Versal</ent><br/
<hw>Ver"sal</hw> <pr>(?)</pr>, <pos>a.</pos> <def>Universal.</def> <mark>[Obs. or Colloq.]</mark> <rj><au>Shak.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Versant</ent><br/
<hw>Ver"sant</hw> <pr>(?)</pr>, <pos>a.</pos> <ety>[L. <ets>versans</ets>, p. pr. of <ets>versare</ets> to turn about frequently, to turn over in the mind, to meditate. See <er>Versatile</er>.]</ety> <def>Familiar; conversant.</def> <mark>[R.]</mark><br/
[<source>1913 Webster</source>]</p>
<p><q>Men not <qex>versant</qex> with courts of justice.</q> <rj><qau>Sydney Smith.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Versant</ent><br/
<hw>Ver"sant</hw>, <pos>n.</pos> <ety>[F.]</ety> <def>The slope of a side of a mountain chain; hence, the general slope of a country; aspect.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Versatile</ent><br/
<hw>Ver"sa*tile</hw> <pr>(?)</pr>, <pos>a.</pos> <ety>[L. <ets>versatilis</ets>, fr. <ets>versare</ets> to turn around, v. freq. of <ets>vertere</ets>: cf. F. <ets>versatile</ets>. See <er>Verse</er>.]</ety> <sn>1.</sn> <def>Capable of being turned round.</def> <rj><au>Harte.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <def>Liable to be turned in opinion; changeable; variable; unsteady; inconstant; <as>as, a <ex>versatile</ex> disposition</as>.</def><br/
[<source>1913 Webster</source>]</p>
<p><sn>3.</sn> <def>Turning with ease from one thing to another; readily applied to a new task, or to various subjects; many-sided; <as>as, <ex>versatile</ex> genius; a <ex>versatile</ex> politician</as>.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>Conspicuous among the youths of high promise . . . was the quick and <qex>versatile</qex> [Charles] Montagu.</q> <rj><qau>Macaulay.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>4.</sn> <fld>(Nat. Hist.)</fld> <def>Capable of turning; freely movable; <as>as, a <ex>versatile</ex> anther, which is fixed at one point to the filament, and hence is very easily turned around; a <ex>versatile</ex> toe of a bird.</as></def><br/
[<source>1913 Webster</source>]</p>
<p>-- <wordforms><wf>Ver"sa*tile*ly</wf>, <pos>adv.</pos> -- -- <wf>Ver"sa*tile*ness</wf>, <pos>n.</pos></wordforms><br/
[<source>1913 Webster</source>]</p>
<p><ent>Versatility</ent><br/
<hw>Ver`sa*til"i*ty</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[Cf. F. <ets>versatilit<eacute/</ets>.]</ety> <def>The quality or state of being versatile; versatileness.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vers de societe</ent><br/
||<hw>Vers` de so`ci<eacute/`t<eacute/"</hw> <pr>(?)</pr>. <ety>[F.]</ety> <def>See <cref>Society verses</cref>, under <er>Society</er>.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Verse</ent><br/
<hw>Verse</hw> <pr>(v<etil/rs)</pr>, <pos>n.</pos> <ety>[OE. <ets>vers</ets>, AS. <ets>fers</ets>, L. <ets>versus</ets> a line in writing, and, in poetry, a verse, from <ets>vertere</ets>, <ets>versum</ets>, to turn, to turn round; akin to E. <ets>worth</ets> to become: cf. F. <ets>vers</ets>. See <er>Worth</er> to become, and cf. <er>Advertise</er>, <er>Averse</er>, <er>Controversy</er>, <er>Convert</er>, <er>Divers</er>, <er>Invert</er>, <er>Obverse</er>, <er>Prose</er>, <er>Suzerain</er>, <er>Vortex</er>.]</ety> <sn>1.</sn> <def>A line consisting of a certain number of metrical feet (see <er>Foot</er>, <pos>n.</pos>, 9) disposed according to metrical rules.</def><br/
[<source>1913 Webster</source>]</p>
<p><note><hand/ Verses are of various kinds, as <stype>hexameter</stype>, <stype>pentameter</stype>, <stype>tetrameter</stype>, etc., according to the number of feet in each. A verse of twelve syllables is called an <stype>Alexandrine</stype>. Two or more verses form a <partof>stanza</partof> or <partof>strophe</partof>.</note><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <def>Metrical arrangement and language; that which is composed in metrical form; versification; poetry.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>Such prompt eloquence<br/
Flowed from their lips in prose or numerous <qex>verse</qex>.</q> <rj><qau>Milton.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><q>Virtue was taught in <qex>verse</qex>.</q> <rj><qau>Prior.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><q><qex>Verse</qex> embalms virtue.</q> <rj><qau>Donne.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>3.</sn> <def>A short division of any composition.</def> Specifically: --<br/
[<source>1913 Webster</source>]</p>
<p><sd>(a)</sd> <def>A stanza; a stave; <as>as, a hymn of four <ex>verses</ex></as>.</def><br/
[<source>1913 Webster</source>]</p>
<p><note><hand/ Although this use of <xex>verse</xex> is common, it is objectionable, because not always distinguishable from the stricter use in the sense of a line.</note><br/
[<source>1913 Webster</source>]</p>
<p><sd>(b)</sd> <fld>(Script.)</fld> <def>One of the short divisions of the chapters in the Old and New Testaments.</def><br/
[<source>1913 Webster</source>]</p>
<p><note><hand/ The author of the division of the Old Testament into <xex>verses</xex> is not ascertained. The New Testament was divided into <xex>verses</xex> by Robert Stephens [or <xex>Estienne</xex>], a French printer. This arrangement appeared for the first time in an edition printed at Geneva, in 1551.</note><br/
[<source>1913 Webster</source>]</p>
<p><sd>(c)</sd> <fld>(Mus.)</fld> <def>A portion of an anthem to be performed by a single voice to each part.</def><br/
[<source>1913 Webster</source>]</p>
<p><sn>4.</sn> <def>A piece of poetry.</def> <ldquo/This <xex>verse</xex> be thine.<rdquo/ <rj><au>Pope.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><cs><col><b>Blank verse</b></col>, <cd>poetry in which the lines do not end in rhymes.</cd> -- <col><b>Heroic verse</b></col>. <cd>See under <er>Heroic</er>.</cd></cs><br/
[<source>1913 Webster</source>]</p>
<p><ent>Verse</ent><br/
<hw>Verse</hw>, <pos>v. t.</pos> <vmorph>[<pos>imp. & p. p.</pos> <conjf>Versed</conjf> <pr>(v<etil/rst)</pr>; <pos>p. pr. & vb. n.</pos> <conjf>Versing</conjf>.]</vmorph> <def>To tell in verse, or poetry.</def> <mark>[Obs.]</mark><br/
[<source>1913 Webster</source>]</p>
<p><q>Playing on pipes of corn and <qex>versing</qex> love.</q> <rj><qau>Shak.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Verse</ent><br/
<hw>Verse</hw>, <pos>v. i.</pos> <def>To make verses; to versify.</def> <mark>[Obs.]</mark><br/
[<source>1913 Webster</source>]</p>
<p><q>It is not rhyming and <qex>versing</qex> that maketh a poet.</q> <rj><qau>Sir P. Sidney.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Versed</ent><br/
<hw>Versed</hw> <pr>(v<etil/rst)</pr>, <pos>a.</pos> <ety>[Cf. F. <ets>vers<eacute/</ets>, L. <ets>versatus</ets>, p. p. of <ets>versari</ets> to turn about frequently, to turn over, to be engaged in a thing, passive of <ets>versare</ets>. See <er>Versant</er>, <pos>a.</pos>]</ety> <def>Acquainted or familiar, as the result of experience, study, practice, etc.; skilled; practiced; knowledgeable; expert.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>Deep <qex>versed</qex> in books and shallow in himself.</q> <rj><qau>Milton.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><q>Opinions . . . derived from studying the Scriptures, wherein he was <qex>versed</qex> beyond any person of his age.</q> <rj><qau>Southey.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><q>These men were <qex>versed</qex> in the details of business.</q> <rj><qau>Macaulay.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Versed</ent><br/
<hw>Versed</hw>, <pos>a.</pos> <ety>[L. <ets>versus</ets> turned, p. p. <ets>vertere</ets>. See 1st <er>Versed</er>.]</ety> <fld>(Math.)</fld> <def>Turned.</def><br/
[<source>1913 Webster</source>]</p>
<p><cs><col><b>Versed sine</b></col>. <cd>See under <er>Sine</er>, and <xex>Illust.</xex> of <er>Functions</er>.</cd></cs><br/
[<source>1913 Webster</source>]</p>
<p><ent>Verseman</ent><br/
<hw>Verse"man</hw> <pr>(v<etil/rs"m<ait/n)</pr>, <pos>n.</pos> <def>Same as <er>Versemonger</er>.</def> <rj><au>Prior.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Versemonger</ent><br/
<hw>Verse"mon`ger</hw> <pr>(v<etil/rs"m<ucr/<nsm/`g<etil/r)</pr>, <pos>n.</pos> <def>A writer of verses; especially, a writer of commonplace poetry; a poetaster; a rhymer; -- used humorously or in contempt.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Verser</ent><br/
<hw>Vers"er</hw> <pr>(v<etil/rs"<etil/r)</pr>, <pos>n.</pos> <def>A versifier.</def> <rj><au>B. Jonson.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Verset</ent><br/
<hw>Vers"et</hw> <pr>(v<etil/rs"<ecr/t)</pr>, <pos>n.</pos> <ety>[F.]</ety> <def>A verse.</def> <mark>[Obs.]</mark> <rj><au>Milton.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Versicle</ent><br/
<hw>Ver"si*cle</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[L. <ets>versiculus</ets>, dim. of <ets>versus</ets>. See <er>Verse</er>.]</ety> <def>A little verse; especially, a short verse or text said or sung in public worship by the priest or minister, and followed by a response from the people.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>The psalms were in number fifteen, . . . being digested into <qex>versicles</qex>.</q> <rj><qau>Strype.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Versicolored</ent><br/
<ent>Versicolor</ent><br/
<mhw>{ <hw>Ver"si*col`or</hw> <pr>(?)</pr>, <hw>Ver"si*col`ored</hw> <pr>(?)</pr>, }</mhw> <pos>a.</pos> <ety>[L. <ets>versicolor</ets>; <ets>versare</ets> to change + <ets>color</ets> color.]</ety> <def>Having various colors; changeable in color.</def> <ldquo/<xex>Versicolor</xex>, sweet-smelling flowers.<rdquo/ <rj><au>Burton.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Versicular</ent><br/
<hw>Ver*sic"u*lar</hw> <pr>(?)</pr>, <pos>a.</pos> <ety>[See <er>Versicle</er>.]</ety> <def>Of or pertaining to verses; designating distinct divisions of a writing.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Versification</ent><br/
<hw>Ver`si*fi*ca"tion</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[L. <ets>versificatio</ets>: cf. F. <ets>versification</ets>.]</ety> <def>The act, art, or practice, of versifying, or making verses; the construction of poetry; metrical composition.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Versificator</ent><br/
<hw>Ver"si*fi*ca`tor</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[L.]</ety> <def>A versifier.</def> <mark>[R.]</mark> <ldquo/The best <xex>versificator</xex> next Virgil.<rdquo/ <rj><au>Dryden.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Versifier</ent><br/
<hw>Ver"si*fi`er</hw> <pr>(?)</pr>, <pos>n.</pos> <sn>1.</sn> <def>One who versifies, or makes verses; <as>as, not every <ex>versifier</ex> is a poet</as>.</def> <rj><au>Dryden.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <def>One who converts into verse; one who expresses in verse the ideas of another written in prose; <as>as, Dr</as>. Watts was a <xex>versifier</xex> of the Psalms.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Versify</ent><br/
<hw>Ver"si*fy</hw> <pr>(?)</pr>, <pos>v. i.</pos> <vmorph>[<pos>imp. & p. p.</pos> <conjf>Versified</conjf> <pr>(?)</pr>; <pos>p. pr. & vb. n.</pos> <conjf>Versifying</conjf> <pr>(?)</pr>.]</vmorph> <ety>[OE. <ets>versifien</ets>, F. <ets>versifier</ets>, L. <ets>versificare</ets>; <ets>versus</ets> a verse + <ets>-ficare</ets> to make. See <er>Verse</er>, and <er>-fy</er>.]</ety> <def>To make verses.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>I'll <qex>versify</qex> in spite, and do my best.</q> <rj><qau>Dryden.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Versify</ent><br/
<hw>Ver"si*fy</hw>, <pos>v. t.</pos> <sn>1.</sn> <def>To relate or describe in verse; to compose in verse.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>I'll <qex>versify</qex> the truth, not poetize.</q> <rj><qau>Daniel.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <def>To turn into verse; to render into metrical form; <as>as, to <ex>versify</ex> the Psalms</as>.</def> <rj><au>Chaucer.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Version</ent><br/
<hw>Ver"sion</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[F., from L. <ets>vertere</ets>, <ets>versum</ets>, to turn, to change, to translate. See <er>Verse</er>.]</ety> <sn>1.</sn> <def>A change of form, direction, or the like; transformation; conversion; turning.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>The <qex>version</qex> of air into water.</q> <rj><qau>Bacon.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <fld>(Med.)</fld> <def>A condition of the uterus in which its axis is deflected from its normal position without being bent upon itself. See <er>Anteversion</er>, and <er>Retroversion</er>.</def><br/
[<source>1913 Webster</source>]</p>
<p><sn>3.</sn> <def>The act of translating, or rendering, from one language into another language.</def><br/
[<source>1913 Webster</source>]</p>
<p><sn>4.</sn> <def>A translation; that which is rendered from another language; <as>as, the Common, or Authorized, <ex>Version</ex> of the Scriptures (see under <er>Authorized</er>); the Septuagint <ex>Version</ex> of the Old Testament</as>.</def><br/
[<source>1913 Webster</source>]</p>
<p><sn>5.</sn> <def>An account or description from a particular point of view, especially as contrasted with another account; <as>as, he gave another <ex>version</ex> of the affair</as>.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Versionist</ent><br/
<hw>Ver"sion*ist</hw>, <pos>n.</pos> <def>One who makes or favors a version; a translator.</def> <mark>[R.]</mark><br/
[<source>1913 Webster</source>]</p>
<p><ent>Verso</ent><br/
<hw>Ver"so</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[L. <ets>versus</ets>, p. p. of <ets>vertere</ets> to turn: cf. F. <ets>verso</ets>.]</ety> <fld>(Print.)</fld> <def>The reverse, or left-hand, page of a book or a folded sheet of paper; -- opposed to <ant>recto</ant>.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Versor</ent><br/
<hw>Ver"sor</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[NL., fr. L. <ets>vertere</ets>, <ets>versus</ets>, to turn. See <er>Version</er>.]</ety> <fld>(Geom.)</fld> <def>The turning factor of a quaternion.</def><br/
[<source>1913 Webster</source>]</p>
<p><note><hand/ The change of one vector into another is considered in quaternions as made up of two operations; 1st, the rotation of the first vector so that it shall be parallel to the second; 2d, the change of length so that the first vector shall be equal to the second. That which expresses in amount and kind the first operation is a <xex>versor</xex>, and is denoted geometrically by a line at right angles to the plane in which the rotation takes place, the length of this line being proportioned to the amount of rotation. That which expresses the second operation is a <xex>tensor</xex>. The product of the versor and tensor expresses the total operation, and is called a <xex>quaternion</xex>. See <er>Quaternion</er>.</note><br/
[<source>1913 Webster</source>]</p>
<p><cs><col><b>Quadrantal versor</b></col>. <cd>See under <er>Quadrantal</er>.</cd></cs><br/
[<source>1913 Webster</source>]</p>
<p><ent>Verst</ent><br/
<hw>Verst</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[Russ. <ets>versta</ets>: cf. F. <ets>verste</ets>.]</ety> <def>A Russian measure of length containing 3,500 English feet.</def> <altsp>[Written also <asp>werst</asp>.]</altsp><br/
[<source>1913 Webster</source>]</p>
<p><ent>Versual</ent><br/
<hw>Ver"su*al</hw> <pr>(?)</pr>, <pos>a.</pos> <def>Of or pertaining to a verse.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Versus</ent><br/
||<hw>Ver"sus</hw> <pr>(?)</pr>, <pos>prep.</pos> <ety>[L., toward, turned in the direction of, from <ets>vertere</ets>, <ets>versum</ets>, to turn. See <er>Verse</er>.]</ety> <def>Against; <as>as, John Doe <ex>versus</ex> Richard Roe</as>; -- chiefly used in legal language, and abbreviated to <abbr>v.</abbr> or <abbr>vs.</abbr></def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Versute</ent><br/
<hw>Ver*sute"</hw> <pr>(?)</pr>, <pos>a.</pos> <ety>[L. <ets>versutus</ets>, fr. <ets>vertere</ets>, <ets>versum</ets>, to turn.]</ety> <def>Crafty; wily; cunning; artful.</def> <mark>[R.]</mark><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vert</ent><br/
<hw>Vert</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[F., green, from L. <ets>viridis</ets>. See <er>Verdant</er>, and cf. <er>Verd</er>.]</ety> <sn>1.</sn> <fld>(Eng. Forest Law)</fld> <sd>(a)</sd> <def>Everything that grows, and bears a green leaf, within the forest; <as>as, to preserve <ex>vert</ex> and venison is the duty of the verderer</as>.</def> <sd>(b)</sd> <def>The right or privilege of cutting growing wood.</def><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <fld>(Her.)</fld> <def>The color green, represented in a drawing or engraving by parallel lines sloping downward toward the right.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Verteber</ent><br/
<hw>Ver"te*ber</hw> <pr>(v<etil/r"t<esl/*b<etil/r)</pr>, <pos>n.</pos> <def>A vertebra.</def> <mark>[Obs.]</mark><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vertebra</ent><br/
||<hw>Ver"te*bra</hw> <pr>(v<etil/r"t<esl/*br<adot/)</pr>, <pos>n.</pos>; <plu><it>pl.</it> <plw>Vertebrae</plw> <pr>(#)</pr>.</plu> <ety>[L. <ets>vertebra</ets>, fr. <ets>vertere</ets> to turn, change. See <er>Verse</er>.]</ety><br/
[<source>1913 Webster</source>]</p>
<p><sn>1.</sn> <fld>(Anat.)</fld> <def>One of the serial segments of the spinal column.</def><br/
[<source>1913 Webster</source>]</p>
<p><note><hand/ In many fishes the <xex>vertebrae</xex> are simple cartilaginous disks or short cylinders, but in the higher vertebrates they are composed of many parts, and the vertebrae in different portions of the same column vary very greatly. A well-developed vertebra usually consists of a more or less cylindrical and solid body, or <xex>centrum</xex>, which is surmounted dorsally by an arch, leaving an opening which forms a part of the canal containing the spinal cord. From this dorsal, or neural, arch spring various processes, or <xex>apophyses</xex>, which have received special names: a dorsal, or neural, spine, <xex>spinous process</xex>, or <xex>neurapophysis</xex>, on the middle of the arch; two anterior and two posterior <xex>articular processes</xex>, or <xex>zygapophyses</xex>; and one or two <xex>transverse processes</xex> on each side. In those vertebrae which bear well-developed ribs, a tubercle near the end of the rib articulates at a <xex>tubercular facet</xex> on the transverse process (<xex>diapophysis</xex>), while the end, or head, of the rib articulates at a more ventral <xex>capitular facet</xex> which is sometimes developed into a second, or ventral, transverse process (<xex>parapophysis</xex>). In vertebrates with well-developed hind limbs, the spinal column is divided into five regions in each of which the vertebrae are specially designated: those vertebrae in front of, or anterior to, the first vertebra which bears ribs connected with the sternum are <xex>cervical</xex>; all those which bear ribs and are back of the cervicals are <xex>dorsal</xex>; the one or more directly supporting the pelvis are sacral and form the sacrum; those between the sacral and dorsal are <xex>lumbar</xex>; and all those back of the sacral are <xex>caudal</xex>, or <xex>coccygeal</xex>. In man there are seven cervical vertebrae, twelve dorsal, five lumbar, five sacral, and usually four, but sometimes five and rarely three, coccygeal.</note><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <fld>(Zool.)</fld> <def>One of the central ossicles in each joint of the arms of an ophiuran.</def><br/
[<source>1913 Webster</source>]</p>
<p><-- p. 1605 pr=PI --><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vertebral</ent><br/
<hw>Ver"te*bral</hw> <pr>(v<etil/r"t<esl/*br<ait/l)</pr>, <pos>a.</pos> <ety>[Cf. F. <ets>vert<eacute/bral</ets>.]</ety> <sn>1.</sn> <fld>(Anat.)</fld> <def>Of or pertaining to a vertebra, vertebrae, or the vertebral column; spinal; rachidian.</def><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <def>Vertebrate.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vertebral</ent><br/
<hw>Ver"te*bral</hw>, <pos>n.</pos> <fld>(Zool.)</fld> <def>A vertebrate.</def> <mark>[R.]</mark><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vertebrally</ent><br/
<hw>Ver"te*bral*ly</hw>, <pos>adv.</pos> <fld>(Anat.)</fld> <def>At or within a vertebra or vertebrae; -- distinguished from <xex>interverterbrally</xex>.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vertebrarterial</ent><br/
<hw>Ver`te*brar*te"ri*al</hw> <pr>(?)</pr>, <pos>a.</pos> <fld>(Anat.)</fld> <def>Of or pertaining to a vertebra or vertebrae and an artery; -- said of the foramina in the transverse processes of cervical vertebrae and of the canal which they form for the vertebral artery and vein.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vertebrata</ent><br/
||<hw>Ver`te*bra"ta</hw> <pr>(?)</pr>, <pos>n. pl.</pos> <ety>[NL.]</ety> <fld>(Zool.)</fld> <def>One of the grand divisions of the animal kingdom, comprising all animals that have a backbone composed of bony or cartilaginous vertebrae, together with Amphioxus in which the backbone is represented by a simple undivided notochord. The Vertebrata always have a dorsal, or neural, cavity above the notochord or backbone, and a ventral, or visceral, cavity below it. The subdivisions or classes of Vertebrata are Mammalia, Aves, Reptilia, Amphibia, Pisces, Marsipobranchia, and Leptocardia.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vertebrate</ent><br/
<hw>Ver"te*brate</hw> <pr>(?)</pr>, <pos>n.</pos> <fld>(Zool.)</fld> <def>One of the Vertebrata.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vertebrated</ent><br/
<ent>Vertebrate</ent><br/
<mhw>{ <hw>Ver"te*brate</hw> <pr>(?)</pr>, <hw>Ver"te*bra`ted</hw> <pr>(?)</pr>, }</mhw> <pos>a.</pos> <ety>[L. <ets>vertebratus</ets>.]</ety> <sn>1.</sn> <fld>(Anat.)</fld> <def>Having a backbone, or vertebral column, containing the spinal marrow, as man, quadrupeds, birds, amphibia, and fishes.</def><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <fld>(Bot.)</fld> <def>Contracted at intervals, so as to resemble the spine in animals.</def> <rj><au>Henslow.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>3.</sn> <fld>(Zool.)</fld> <def>Having movable joints resembling vertebrae; -- said of the arms of ophiurans.</def><br/
[<source>1913 Webster</source>]</p>
<p><sn>4.</sn> <fld>(Zool.)</fld> <def>Of or pertaining to the Vertebrata; -- used only in the form <ex>vertebrate</ex>.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vertebre</ent><br/
<hw>Ver"te*bre</hw> <pr>(?)</pr>, <pos>n.</pos> <fld>(Anat.)</fld> <def>A vertebra.</def> <mark>[Obs.]</mark><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vertebro-</ent><br/
<hw>Ver"te*bro-</hw> <pr>(?)</pr>. <def>A combining form used in anatomy to indicate <xex>connection with</xex>, or <xex>relation to</xex>, <xex>a vertebra</xex>, <xex>vertebrae</xex>, or <xex>the vertebral column</xex>; as in <ex>vertebro</ex>costal.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vertebro-iliac</ent><br/
<hw>Ver"te*bro-il"i*ac</hw> <pr>(?)</pr>, <pos>a.</pos> <fld>(Anat.)</fld> <def>Iliolumbar.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vertex</ent><br/
<hw>Ver"tex</hw> <pr>(?)</pr>, <pos>n.</pos>; <plu><it>pl.</it> E. <plw>Vertexes</plw> <pr>(#)</pr>, L. <plw>Vertices</plw> <pr>(#)</pr>.</plu> <ety>[L. <ets>vertex</ets>, <ets>-icis</ets>, a whirl, top of the head, top, summit, from <ets>vertere</ets> to turn. See <er>Verse</er>, and cf. <er>Vortex</er>.]</ety> <def>A turning point; the principal or highest point; top; summit; crown; apex.</def> Specifically: --<br/
[<source>1913 Webster</source>]</p>
<p><sd>(a)</sd> <fld>(Anat.)</fld> <def>The top, or crown, of the head.</def><br/
[<source>1913 Webster</source>]</p>
<p><sd>(b)</sd> <fld>(Astron.)</fld> <def>The zenith, or the point of the heavens directly overhead.</def><br/
[<source>1913 Webster</source>]</p>
<p><sd>(c)</sd> <fld>(Math.)</fld> <def>The point in any figure opposite to, and farthest from, the base; the terminating point of some particular line or lines in a figure or a curve; the top, or the point opposite the base.</def><br/
[<source>1913 Webster</source>]</p>
<p><note><hand/ The <xex>principal vertex</xex> of a conic section is, in the parabola, the vertex of the axis of the curve: in the ellipse, either extremity of either axis, but usually the left-hand vertex of the transverse axis; in the hyperbola, either vertex, but usually the right-hand vertex of the transverse axis.</note><br/
[<source>1913 Webster</source>]</p>
<p><cs><col><b>Vertex of a curve</b></col> <fld>(Math.)</fld>, <cd>the point in which the axis of the curve intersects it.</cd> -- <col><b>Vertex of an angle</b></col> <fld>(Math.)</fld>, <cd>the point in which the sides of the angle meet.</cd> -- <mcol><col><b>Vertex of a solid</b></col>, <it>or</it> <col><b>Vertex of a surface of revolution</b></col></mcol> <fld>(Math.)</fld>, <cd>the point in which the axis pierces the surface.</cd></cs><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vertical</ent><br/
<hw>Ver"ti*cal</hw> <pr>(?)</pr>, <pos>a.</pos> <ety>[Cf. F. <ets>vertical</ets>. See <er>Vertex</er>.]</ety><br/
[<source>1913 Webster</source>]</p>
<p><sn>1.</sn> <def>Of or pertaining to the vertex; situated at the vertex, or highest point; directly overhead, or in the zenith; perpendicularly above one.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>Charity . . . is the <qex>vertical</qex> top of all religion.</q> <rj><qau>Jer. Taylor.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <def>Perpendicular to the plane of the horizon; upright; plumb; <as>as, a <ex>vertical</ex> line</as>.</def><br/
[<source>1913 Webster</source>]</p>
<p><cs><col><b>Vertical angle</b></col> <fld>(Astron. & Geod.)</fld>, <cd>an angle measured on a vertical circle, called an angle of <xex>elevation</xex>, or <xex>altitude</xex>, when reckoned from the horizon upward, and of <xex>depression</xex> when downward below the horizon.</cd> -- <col><b>Vertical anthers</b></col> <fld>(Bot.)</fld>, <cd>such anthers as stand erect at the top of the filaments.</cd> -- <col><b>Vertical circle</b></col> <fld>(Astron.)</fld>, <cd>an azimuth circle. See under <er>Azimuth</er>.</cd> -- <col><b>Vertical drill</b></col>, <cd>an upright drill. See under <er>Upright</er>.</cd> -- <col><b>Vertical fire</b></col> <fld>(Mil.)</fld>, <cd>the fire, as of mortars, at high angles of elevation.</cd> -- <col><b>Vertical leaves</b></col> <fld>(Bot.)</fld>, <cd>leaves which present their edges to the earth and the sky, and their faces to the horizon, as in the Australian species of Eucalyptus.</cd> -- <col><b>Vertical limb</b></col>, <cd>a graduated arc attached to an instrument, as a theodolite, for measuring vertical angles.</cd> -- <col><b>Vertical line</b></col>. <sd>(a)</sd> <fld>(Dialing)</fld> <cd>A line perpendicular to the horizon.</cd> <sd>(b)</sd> <fld>(Conic Sections)</fld> <cd>A right line drawn on the vertical plane, and passing through the vertex of the cone.</cd> <sd>(c)</sd> <fld>(Surv.)</fld> <cd>The direction of a plumb line; a line normal to the surface of still water.</cd> <sd>(d)</sd> <fld>(Geom., Drawing, etc.)</fld> <cd>A line parallel to the sides of a page or sheet, in distinction from a <xex>horizontal line</xex> parallel to the top or bottom.</cd> -- <col><b>Vertical plane</b></col>. <sd>(a)</sd> <fld>(Conic Sections)</fld> <cd>A plane passing through the vertex of a cone, and through its axis.</cd> <sd>(b)</sd> <fld>(Projections)</fld> <cd>Any plane which passes through a vertical line.</cd> <sd>(c)</sd> <fld>(Persp.)</fld> <cd>The plane passing through the point of sight, and perpendicular to the ground plane, and also to the picture.</cd> -- <col><b>Vertical sash</b></col>, <cd>a sash sliding up and down. Cf. <cref>French sash</cref>, under 3d <er>Sash</er>.</cd> -- <col><b>Vertical steam engine</b></col>, <cd>a steam engine having the crank shaft vertically above or below a vertical cylinder.</cd></cs><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vertical</ent><br/
<hw>Ver"ti*cal</hw>, <pos>n.</pos> <sn>1.</sn> <def>Vertical position; zenith.</def> <mark>[R.]</mark><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <fld>(Math.)</fld> <def>A vertical line, plane, or circle.</def><br/
[<source>1913 Webster</source>]</p>
<p><cs><mcol><col><b>Prime vertical</b></col>, <col><b>Prime vertical dial</b></col></mcol>. <cd>See under <er>Prime</er>, <pos>a.</pos></cd></cs><br/
[<source>1913 Webster</source>]</p>
<p><ent>Verticality</ent><br/
<hw>Ver`ti*cal"i*ty</hw> <pr>(?)</pr>, <pos>n.</pos> <def>The quality or state of being vertical; verticalness.</def> <mark>[R.]</mark><br/
[<source>1913 Webster</source>]</p>
<p><q>The different points of the <qex>verticality</qex>.</q> <rj><qau>Sir T. Browne.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vertically</ent><br/
<hw>Ver"ti*cal*ly</hw> <pr>(?)</pr>, <pos>adv.</pos> <def>In a vertical manner, position, or direction; perpendicularly; <as>as, to look down <ex>vertically</ex>; to raise a thing <ex>vertically</ex></as>.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Verticalness</ent><br/
<hw>Ver"ti*cal*ness</hw>, <pos>n.</pos> <def>Quality or state of being vertical.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Verticil</ent><br/
<hw>Ver"ti*cil</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[L. <ets>verticillus</ets>, dim. of <ets>vertex</ets> a whirl: cf. F. <ets>verticille</ets>. See <er>Vertex</er>.]</ety> <fld>(Bot.)</fld> <def>A circle either of leaves or flowers about a stem at the same node; a whorl.</def> <altsp>[Written also <asp>verticel</asp>.]</altsp><br/
[<source>1913 Webster</source>]</p>
<p><ent>Verticillaster</ent><br/
<hw>Ver`ti*cil*las"ter</hw> <pr>(?)</pr>, <pos>a.</pos> <ety>[NL., fr. L. <ets>verticillus</ets> a whirl + <ets>aster</ets> a star.]</ety> <fld>(Bot.)</fld> <def>A whorl of flowers apparently of one cluster, but composed of two opposite axillary cymes, as in mint. See <xex>Illust.</xex> of <er>Whorl</er>.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Verticillated</ent><br/
<ent>Verticillate</ent><br/
<mhw>{ <hw>Ver*tic"il*late</hw> <pr>(?; 277)</pr>, <hw>Ver*tic"il*la`ted</hw> <pr>(?)</pr>, }</mhw> <pos>a.</pos> <ety>[See <er>Verticil</er>.]</ety> <fld>(Bot. & Zool.)</fld> <def>Arranged in a transverse whorl or whorls like the rays of a wheel; <as>as, <ex>verticillate</ex> leaves of a plant; a <ex>verticillate</ex> shell</as>.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Verticillus</ent><br/
||<hw>Ver`ti*cil"lus</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[L., a whirl.]</ety> <fld>(Bot.)</fld> <def>A whorl; a verticil.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Verticity</ent><br/
<hw>Ver*tic"i*ty</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[Cf. F. <ets>verticit<eacute/</ets>. See <er>Vertex</er>.]</ety> <def>The quality or power of turning; revolution; rotation.</def> <mark>[R.]</mark> <rj><au>Locke.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><q>I hardly believe he hath from elder times unknown the <qex>verticity</qex> of the loadstone.</q> <rj><qau>Sir T. Browne.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Verticle</ent><br/
<hw>Ver"ti*cle</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[L. <ets>verticula</ets> a joint.]</ety> <def>An axis; a hinge; a turning point.</def> <rj><au>E. Waterhouse.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vertiginate</ent><br/
<hw>Ver*tig"i*nate</hw> <pr>(?)</pr>, <pos>a.</pos> <def>Turned round; giddy.</def> <mark>[R.]</mark> <rj><au>Coleridge.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vertiginous</ent><br/
<hw>Ver*tig"i*nous</hw> <pr>(?)</pr>, <pos>a.</pos> <ety>[L. <ets>vertiginosus</ets>, fr. <ets>vertigo</ets> a whirling around, giddiness: cf. F. <ets>vertigineux</ets>. See <er>Vertigo</er>.]</ety> <sn>1.</sn> <def>Turning round; whirling; rotary; revolving; <as>as, a <ex>vertiginous</ex> motion</as>.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>Some <qex>vertiginous</qex> whirl of fortune.</q> <rj><qau>De Quincey.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <def>Affected with vertigo; giddy; dizzy.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>They [the angels] grew <qex>vertiginous</qex>, and fell from the battlements of heaven.</q> <rj><qau>Jer. Taylor.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p>-- <wordforms><wf>Ver*tig"i*nous*ly</wf>, <pos>adv.</pos> -- <wf>Ver*tig"i*nous*ness</wf>, <pos>n.</pos></wordforms><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vertigo</ent><br/
<hw>Ver"ti*go</hw> <pr>(?; 277)</pr>, <pos>n.</pos>; <plu><it>pl.</it> E. <plw>Vertigoes</plw> <pr>(#)</pr>, L. <plw>Vertigines</plw> <pr>(#)</pr>.</plu> <ety>[L., fr. <ets>vertere</ets> to turn. See <er>Verse</er>.]</ety> <sn>1.</sn> <fld>(Med.)</fld> <def>Dizziness or swimming of the head; an affection of the head in which objects, though stationary, appear to move in various directions, and the person affected finds it difficult to maintain an erect posture; giddiness.</def> <rj><au>Quain.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <fld>(Zool.)</fld> <def>Any one of numerous species of small land snails belonging to the genus <gen>Vertigo</gen>, having an elongated or conical spiral shell and usually teeth in the aperture.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vertilinear</ent><br/
<hw>Ver`ti*lin"e*ar</hw> <pr>(?)</pr>, <pos>a.</pos> <ety>[<ets>Verti</ets>cal + <ets>linear</ets>.]</ety> <def>Straight; rectilinear.</def> <mark>[R.]</mark><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vertu</ent><br/
<hw>Ver"tu</hw> <pr>(?)</pr>, <pos>n.</pos> <sn>1.</sn> <def>Virtue; power. See <er>Virtue</er>.</def> <mark>[Obs.]</mark> <rj><au>Chaucer.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <def>See <er>Virtu</er>.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vertuous</ent><br/
<hw>Ver"tu*ous</hw> <pr>(?)</pr>, <pos>a.</pos> <def>Virtuous; powerful.</def> <mark>[Obs.]</mark> <rj><au>Spenser.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Verumontanum</ent><br/
||<hw>Ver`u*mon*ta"num</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[NL.]</ety> <fld>(Anat.)</fld> <def>An elevation, or crest, in the wall of the urethra where the seminal ducts enter it.</def><br/
[<source>1913 Webster</source>]</p>
<p><note><hand/ This is sometimes written <altsp>veru montanum</altsp>.</note><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vervain</ent><br/
<hw>Ver"vain</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[OE. <ets>verveine</ets>, F. <ets>verveine</ets>, fr. L. <ets>verbena</ets>, pl. <ets>verbenae</ets> sacred boughs of laurel, olive, or myrtle, a class of plants; cf. <ets>verbenaca</ets> vervain. Cf. <er>Verbena</er>.]</ety> <fld>(Bot.)</fld> <def>Any plant of the genus <gen>Verbena</gen>.</def><br/
[<source>1913 Webster</source>]</p>
<p><cs><col><b>Vervain mallow</b></col> <fld>(Bot.)</fld>, <cd>a species of mallow (<spn>Malva Alcea</spn>) with rose-colored flowers.</cd></cs><br/
[<source>1913 Webster</source>]</p>
<p><ent>Verve</ent><br/
||<hw>Verve</hw>, <pos>n.</pos> <ety>[F.]</ety> <def>Excitement of imagination such as animates a poet, artist, or musician, in composing or performing; rapture; enthusiasm; spirit; energy.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vervel</ent><br/
<hw>Ver"vel</hw> <pr>(?)</pr>, <pos>n.</pos> <def>See <er>Varvel</er>.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vervet</ent><br/
<hw>Ver"vet</hw> <pr>(?)</pr>, <pos>n.</pos> <fld>(Zool.)</fld> <def>A South African monkey (<spn>Cercopithecus pygerythrus</spn> syn. <spn>Cercopithecus Lelandii</spn>). The upper parts are grayish green, finely specked with black. The cheeks and belly are reddish white.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Very</ent><br/
<hw>Ver"y</hw> <pr>(v<ecr/r"<ycr/)</pr>, <pos>a.</pos> <amorph>[<pos>Compar.</pos> <adjf>Verier</adjf> <pr>(v<ecr/r"<icr/*<etil/r)</pr>; <pos>superl.</pos> <adjf>Veriest</adjf>.]</amorph> <ety>[OE. <ets>verai</ets>, <ets>verray</ets>, OF. <ets>verai</ets>, <ets>vrai</ets>, F. <ets>vrai</ets>, (assumed) LL. <ets>veracus</ets>, for L. <ets>verax</ets> true, veracious, fr. <ets>verus</ets> true; akin to OHG. & OS. <ets>w<amac/r</ets>, G. <ets>wahr</ets>, D. <ets>waar</ets>; perhaps originally, that is or exists, and akin to E. <ets>was</ets>. Cf. <er>Aver</er>, <pos>v. t.</pos>, <er>Veracious</er>, <er>Verdict</er>, <er>Verity</er>.]</ety> <def>True; real; actual; veritable.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>Whether thou be my <qex>very</qex> son Esau or not.</q> <rj><qau>Gen. xxvii. 21.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><q>He that covereth a transgression seeketh love; but he that repeateth a matter separateth <qex>very</qex> friends.</q> <rj><qau>Prov. xvii. 9.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><q>The <qex>very</qex> essence of truth is plainness and brightness.</q> <rj><qau>Milton.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><q>I looked on the consideration of public service or public ornament to be real and <qex>very</qex> justice.</q> <rj><qau>Burke.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><note><hand/ <xex>Very</xex> is sometimes used to make the word with which it is connected emphatic, and may then be paraphrased by <xex>same</xex>, <xex>self-same</xex>, <xex>itself</xex>, and the like. <ldquo/The <xex>very</xex> hand, the <xex>very</xex> words.<rdquo/ <au>Shak.</au> <ldquo/The <xex>very</xex> rats instinctively have quit it.<rdquo/ <au>Shak.</au> <ldquo/Yea, there where <xex>very</xex> desolation dwells.<rdquo/ <au>Milton.</au> <xex>Very</xex> is used occasionally in the comparative degree, and more frequently in the superlative. <ldquo/Was not my lord the <xex>verier</xex> wag of the two?<rdquo/ <au>Shak.</au> <ldquo/The <xex>veriest</xex> hermit in the nation.<rdquo/ <au>Pope.</au> <ldquo/He had spoken the very truth, and transformed it into the <xex>veriest</xex> falsehood.<rdquo/ <au>Hawthorne.</au></note><br/
[<source>1913 Webster</source>]</p>
<p><cs><col><b>Very Reverend</b></col>. <cd>See the Note under <er>Reverend</er>.</cd></cs><br/
[<source>1913 Webster</source>]</p>
<p><ent>Very</ent><br/
<hw>Ver"y</hw> <pr>(v<ecr/r"<ycr/)</pr>, <pos>adv.</pos> <def>In a high degree; to no small extent; exceedingly; excessively; extremely; <as>as, a <ex>very</ex> great mountain; a <ex>very</ex> bright sun; a <ex>very</ex> cold day; the river flows <ex>very</ex> rapidly; he was <ex>very</ex> much hurt</as>.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Very night signals</ent><br/
<ent>Very's night signals</ent><br/
<mhw>{ <hw>Ver"y's night signals</hw>, <it>or</it> <hw>Ver"y night signals</hw> }</mhw> <pr>(?)</pr>. <ety>[After Lieut. <person>Samuel W. <etsep>Very</etsep></person>, who invented the system in 1877.]</ety> <fld>(Naut.)</fld> <def>A system of signaling in which balls of red and green fire are fired from a pistol, the arrangement in groups denoting numbers having a code significance. The pistol used to fire the signal flare is called a <col><b>Very pistol</b></col>.</def><br/
[<source>Webster 1913 Suppl.</source> <source>+PJC</source>]</p>
<p><ent>Vesbium</ent><br/
<hw>Ves"bi*um</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[NL., from L. <ets>Vesuvius</ets>, contr. <ets>Vesbius</ets>, Vesuvius.]</ety> <fld>(Chem.)</fld> <def>A rare metallic element of which little is known. It is said by Scacchi to have been extracted from a yellowish incrustation from the cracks of a Vesuvian lava erupted in 1631.</def> <note>It is not a recognized element. Actual identity?</note><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vese</ent><br/
<hw>Vese</hw> <pr>(v<emac/z)</pr>, <pos>n.</pos> <ety>[Cf. <er>Feese</er>, <pos>n.</pos>]</ety> <def>Onset; rush; violent draught or wind.</def> <mark>[Obs.]</mark> <rj><au>Chaucer.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vesica</ent><br/
||<hw>Ve*si"ca</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[L.]</ety> <def>A bladder.</def><br/
[<source>1913 Webster</source>]</p>
<p><cs><col><b>Vesica piscis</b></col>. <ety>[L., fish bladder.]</ety> <fld>(Eccl. Art)</fld> <cd>A glory, or aureole, of oval shape, or composed of two arcs of circles usually represented as surrounding a divine personage. More rarely, an oval composed of two arcs not representing a glory; a solid oval, etc.</cd></cs><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vesical</ent><br/
<hw>Ves"i*cal</hw> <pr>(?)</pr>, <pos>a.</pos> <ety>[L. <ets>vesica</ets> bladder.]</ety> <fld>(Anat.)</fld> <def>Of or pertaining to the bladder.</def> <rj><au>Dunglison.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vesicant</ent><br/
<hw>Ves"i*cant</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[L. <ets>vesica</ets> blister: cf. F. <ets>v<eacute/sicant</ets>.]</ety> <fld>(Med.)</fld> <def>A vesicatory.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vesicate</ent><br/
<hw>Ves"i*cate</hw> <pr>(?)</pr>, <pos>v. t.</pos> <vmorph>[<pos>imp. & p. p.</pos> <conjf>Vesicated</conjf> <pr>(?)</pr>; <pos>p. pr. & vb. n.</pos> <conjf>Vesicating</conjf>.]</vmorph> <ety>[See <er>Vesicant</er>.]</ety> <fld>(Med.)</fld> <def>To raise little bladders or blisters upon; to inflame and separate the cuticle of; to blister.</def> <rj><au>Wiseman.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vesication</ent><br/
<hw>Ves`i*ca"tion</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[Cf. F. <ets>v<eacute/sication</ets>.]</ety> <fld>(Med.)</fld> <def>The process of vesicating, or of raising blisters.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vesicatory</ent><br/
<hw>Ves"i*ca*to*ry</hw> <pr>(?; 277)</pr>, <pos>a.</pos> <ety>[Cf. F. <ets>v<eacute/sicatoire</ets>.]</ety> <fld>(Med.)</fld> <def>Tending, or having power, to raise a blister.</def> -- <def2><pos>n.</pos> <def>A blistering application or plaster; a vesicant; an epispastic.</def></def2><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vesicle</ent><br/
<hw>Ves"i*cle</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[L. <ets>vesicula</ets>, dim. of <ets>vesica</ets> a bladder, blister; akin to Skr. <ets>vasti</ets> bladder: cf. F. <ets>v<eacute/sicule</ets>.]</ety> <def>A bladderlike vessel; a membranous cavity; a cyst; a cell.</def> Specifically: --<br/
[<source>1913 Webster</source>]</p>
<p><sd>(a)</sd> <fld>(Bot.)</fld> <def>A small bladderlike body in the substance of a vegetable, or upon the surface of a leaf.</def><br/
[<source>1913 Webster</source>]</p>
<p><sd>(b)</sd> <fld>(Med.)</fld> <def>A small, and more or less circular, elevation of the cuticle, containing a clear watery fluid.</def><br/
[<source>1913 Webster</source>]</p>
<p><sd>(c)</sd> <fld>(Anat.)</fld> <def>A cavity or sac, especially one filled with fluid; <as>as, the umbilical <ex>vesicle</ex></as>.</def><br/
[<source>1913 Webster</source>]</p>
<p><sd>(d)</sd> <fld>(Zool.)</fld> <def>A small convex hollow prominence on the surface of a shell or a coral.</def><br/
[<source>1913 Webster</source>]</p>
<p><sd>(e)</sd> <fld>(Geol.)</fld> <def>A small cavity, nearly spherical in form, and usually of the size of a pea or smaller, such as are common in some volcanic rocks. They are produced by the liberation of watery vapor in the molten mass.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vesico-</ent><br/
<hw>Ves"i*co-</hw> <pr>(?)</pr>. <def>A combining form used in anatomy to indicate <xex>connection with</xex>, or <xex>relation to</xex>, <xex>the bladder</xex>; as in <xex>vesico</xex>prostatic, <xex>vesico</xex>vaginal.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vesicoprostatic</ent><br/
<hw>Ves`i*co*pro*stat"ic</hw> <pr>(?)</pr>, <pos>a.</pos> <fld>(Anat.)</fld> <def>Of or pertaining to the bladder and the prostate gland.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vesicouterine</ent><br/
<hw>Ves`i*co*u"ter*ine</hw> <pr>(?)</pr>, <pos>a.</pos> <fld>(Anat.)</fld> <def>Of or pertaining to the bladder and the uterus.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vesicovaginal</ent><br/
<hw>Ves`i*co*vag"i*nal</hw> <pr>(?)</pr>, <pos>a.</pos> <fld>(Anat.)</fld> <def>Of or pertaining to the bladder and the vagina.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vesicula</ent><br/
||<hw>Ve*sic"u*la</hw> <pr>(?)</pr>, <pos>n.</pos>; <plu><it>pl.</it> <plw>Vesiculae</plw> .</plu> <ety>[L., dim. of <ets>vesica</ets>.]</ety> <fld>(Anat. & Med.)</fld> <def>A vesicle.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vesicular</ent><br/
<hw>Ve*sic"u*lar</hw> <pr>(?)</pr>, <pos>a.</pos> <ety>[Cf. F. <ets>v<eacute/siculaire</ets>.]</ety> <sn>1.</sn> <def>Of or pertaining to vesicles; esp., of or pertaining to the air vesicles, or air cells, of the lungs; <as>as, <ex>vesicular</ex> breathing, or normal breathing, in which the air enters freely the air vesicles of the lungs</as>.</def><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <def>Containing, or composed of, vesicles or vesiclelike structures; covered with vesicles or bladders; vesiculate; <as>as, a <ex>vesicular</ex> coral; <ex>vesicular</ex> lava; a <ex>vesicular</ex> leaf</as>.</def><br/
[<source>1913 Webster</source>]</p>
<p><sn>3.</sn> <def>Having the form or structure of a vesicle; <as>as, a <ex>vesicular</ex> body</as>.</def><br/
[<source>1913 Webster</source>]</p>
<p><cs><col><b>Vesicular column</b></col> <fld>(Anat.)</fld>, <cd>a series of nerve cells forming one of the tracts distinguished in the spinal cord; -- also called the <altname>ganglionic column</altname>.</cd> -- <col><b>Vesicular emphysema</b></col> <fld>(Med.)</fld>, <cd>emphysema of the lungs, in which the air vesicles are distended and their walls ruptured.</cd> -- <col><b>Vesicular murmur</b></col> <fld>(Med.)</fld>, <cd>the sound, audible on auscultation of the chest, made by the air entering and leaving the air vesicles of the lungs in respiration.</cd></cs><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vesicularia</ent><br/
||<hw>Ve*sic`u*la"ri*a</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[NL. See <er>Vesicle</er>.]</ety> <fld>(Zool.)</fld> <def>Any one of numerous species of marine Bryozoa belonging to <gen>Vesicularia</gen> and allied genera. They have delicate tubular cells attached in clusters to slender flexible stems.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vesiculata</ent><br/
||<hw>Ve*sic`u*la"ta</hw> <pr>(?)</pr>, <pos>n. pl.</pos> <ety>[NL. See <er>Vesicle</er>.]</ety> <fld>(Zool.)</fld> <def>The campanularian medusae.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vesiculate</ent><br/
<hw>Ve*sic"u*late</hw> <pr>(?)</pr>, <pos>a.</pos> <def>Bladdery; full of, or covered with, bladders; vesicular.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vesiculate</ent><br/
<hw>Ve*sic"u*late</hw> <pr>(?)</pr>, <pos>v. t.</pos> <def>To form vesicles in, as lava.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vesiculation</ent><br/
<hw>Ve*sic`u*la"tion</hw> <pr>(?)</pr>, <pos>n.</pos> <fld>(Geol.)</fld> <def>The state of containing vesicles, or the process by which vesicles are formed.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vesiculitis</ent><br/
||<hw>Ve*sic`u*li"tis</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[NL.; <ets>vesicula</ets> + <ets>-itis</ets>.]</ety> <def>Inflammation of a vesicle.</def><br/
[<source>Webster 1913 Suppl.</source>]</p>
<p><ent>Vesiculous</ent><br/
<ent>Vesiculose</ent><br/
<mhw>{ <hw>Ve*sic"u*lose`</hw> <pr>(?)</pr>, <hw>Ve*sic"u*lous</hw> <pr>(?)</pr>, }</mhw> <pos>a.</pos> <ety>[L. <ets>vesiculosus</ets>: cf. F. <ets>v<eacute/siculeux</ets>.]</ety> <def>Bladdery; vesicular; vesiculate; composed of vesicles; covered with vesicles; <as>as, a <ex>vesiculose</ex> shell</as>.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vespa</ent><br/
||<hw>Ves"pa</hw> <pr>(v<ecr/s"p<adot/)</pr>, <pos>prop. n.</pos> <ety>[L., wasp.]</ety> <fld>(Zool.)</fld> <def>A genus of Hymenoptera including the common wasps and hornets.</def><br/
[<source>1913 Webster</source>]</p>
<p><-- p. 1606 pr=PI --><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vesper</ent><br/
<hw>Ves"per</hw> <pr>(v<ecr/s"p<etil/r)</pr>, <pos>n.</pos> <ety>[L., the evening, the evening star, the west; akin to Gr. <grk>"e`speros</grk>, <grk>"espe`ra</grk>, and perhaps to E. <ets>west</ets>. Cf. <er>Hesperian</er>, <er>Vespers</er>.]</ety> <def>The evening star; Hesper; Venus, when seen after sunset; hence, the evening.</def> <rj><au>Shak.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vesper</ent><br/
<hw>Ves"per</hw>, <pos>a.</pos> <def>Of or pertaining to the evening, or to the service of vespers; <as>as, a <ex>vesper</ex> hymn; <ex>vesper</ex> bells</as>.</def><br/
[<source>1913 Webster</source>]</p>
<p><cs><col><b>Vesper sparrow</b></col>, <cd>the grass finch. See under <er>Grass</er>.</cd></cs><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vesperal</ent><br/
<hw>Ves"per*al</hw> <pr>(?)</pr>, <pos>a.</pos> <def>Vesper; evening.</def> <mark>[R.]</mark><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vespers</ent><br/
<hw>Ves"pers</hw> <pr>(?)</pr>, <pos>n. pl.</pos> <ety>[OF. <ets>vespres</ets>, F. <ets>v<ecir/pres</ets>, LL. <ets>vesperae</ets>, fr. L. <ets>vespera</ets> evening. See <er>Vesper</er>, <pos>n.</pos>]</ety> <fld>(R. C. Ch.)</fld> <sd>(a)</sd> <def>One of the little hours of the Breviary.</def> <sd>(b)</sd> <def>The evening song or service.</def><br/
[<source>1913 Webster</source>]</p>
<p><cs><col><b>Sicilian vespers</b></col>. <cd>See under <er>Sicilian</er>, <pos>a.</pos></cd></cs><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vespertilio</ent><br/
||<hw>Ves`per*til"i*o</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[L., a bat.]</ety> <fld>(Zool.)</fld> <def>A genus of bats including some of the common small insectivorous species of North America and Europe.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vespertiliones</ent><br/
<hw>Ves`per*til`i*o"nes</hw> <pr>(?)</pr>, <pos>n. pl.</pos> <ety>[NL.]</ety> <fld>(Zool.)</fld> <def>A tribe of bats including the common insectivorous bats of America and Europe, belonging to <gen>Vespertilio</gen> and allied genera. They lack a nose membrane.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vespertilionine</ent><br/
<hw>Ves`per*til`i*o"nine</hw> <pr>(?)</pr>, <pos>a.</pos> <fld>(Zool.)</fld> <def>Of or pertaining to the Vespertiliones.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vespertinal</ent><br/
<hw>Ves"per*ti`nal</hw> <pr>(?)</pr>, <pos>a.</pos> <def>Vespertine.</def> <rj><au>Lowell.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vespertine</ent><br/
<hw>Ves"per*tine</hw> <pr>(?)</pr>, <pos>a.</pos> <ety>[L. <ets>vespertinus</ets>. See <er>Vesper</er>.]</ety> <sn>1.</sn> <def>Of or pertaining to the evening; happening or being in the evening.</def> <rj><au>Gray.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <fld>(Bot.)</fld> <def>Blossoming in the evening.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vespiary</ent><br/
<hw>Ves"pi*a*ry</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[L. <ets>vespa</ets> a wasp.]</ety> <def>A nest, or habitation, of insects of the wasp kind.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vespillo</ent><br/
<hw>Ves*pil"lo</hw> <pr>(?)</pr>, <pos>n.</pos>; <plu><it>pl.</it> <plw>Vespilloes</plw> <pr>(#)</pr>.</plu> <ety>[L.]</ety> <fld>(Rom. Antiq.)</fld> <def>One who carried out the dead bodies of the poor at night for burial.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>Like <qex>vespilloes</qex> or grave makers.</q> <rj><qau>Sir T. Browne.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vessel</ent><br/
<hw>Ves"sel</hw>, <pos>n.</pos> <ety>[OF. <ets>vessel</ets>, <ets>veissel</ets>, <ets>vaissel</ets>, <ets>vaissiel</ets>, F. <ets>vaisseau</ets>, fr. L. <ets>vascellum</ets>, dim. of <ets>vasculum</ets>, dim. of <ets>vas</ets> a vessel. Cf. <er>Vascular</er>, <er>Vase</er>.]</ety> <sn>1.</sn> <def>A hollow or concave utensil for holding anything; a hollow receptacle of any kind, as a hogshead, a barrel, a firkin, a bottle, a kettle, a cup, a bowl, etc.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>[They drank] out of these noble <qex>vessels</qex>.</q> <rj><qau>Chaucer.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <def>A general name for any hollow structure made to float upon the water for purposes of navigation; especially, one that is larger than a common rowboat; <as>as, a war <ex>vessel</ex>; a passenger <ex>vessel</ex>.</as></def><br/
[<source>1913 Webster</source>]</p>
<p><q>[He] began to build a <qex>vessel</qex> of huge bulk.</q> <rj><qau>Milton.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>3.</sn> <def>Fig.: A person regarded as receiving or containing something; esp. <fld>(Script.)</fld>, one into whom something is conceived as poured, or in whom something is stored for use; <as>as, <ex>vessels</ex> of wrath or mercy</as>.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>He is a chosen <qex>vessel</qex> unto me.</q> <rj><qau>Acts ix. 15.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><q>[The serpent] fit <qex>vessel</qex>, fittest imp of fraud, in whom<br/
To enter.</q> <rj><qau>Milton.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>4.</sn> <fld>(Anat.)</fld> <def>Any tube or canal in which the blood or other fluids are contained, secreted, or circulated, as the arteries, veins, lymphatics, etc.</def><br/
[<source>1913 Webster</source>]</p>
<p><sn>5.</sn> <fld>(Bot.)</fld> <def>A continuous tube formed from superposed large cylindrical or prismatic cells (tracheae), which have lost their intervening partitions, and are usually marked with dots, pits, rings, or spirals by internal deposition of secondary membranes; a duct.</def><br/
[<source>1913 Webster</source>]</p>
<p><cs><col><b>Acoustic vessels</b></col>. <cd>See under <er>Acoustic</er>.</cd> -- <col><b>Weaker vessel</b></col>, <cd>a woman; -- now applied humorously.</cd> <ldquo/Giving honor unto the wife, as unto the <xex>weaker vessel</xex>.<rdquo/ <au>1 Peter iii. 7.</au> <ldquo/You are the <xex>weaker vessel</xex>.<rdquo/ <rj><au>Shak.</au></rj></cs><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vessel</ent><br/
<hw>Ves"sel</hw>, <pos>v. t.</pos> <def>To put into a vessel.</def> <mark>[Obs.]</mark> <rj><au>Bacon.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vesselful</ent><br/
<hw>Ves"sel*ful</hw> <pr>(?)</pr>, <pos>n.</pos>; <plu><it>pl.</it> <plw>Vesselfuls</plw> <pr>(<?/)</pr>.</plu> <def>As much as a vessel will hold; enough to fill a vessel.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vessets</ent><br/
<ent>Vesses</ent><br/
<mhw>{ <hw>Ves"ses</hw> <pr>(?)</pr>, <hw>Ves"sets</hw> <pr>(?)</pr>, }</mhw> <pos>n.</pos> <def>A kind of worsted; also, a worsted cloth.</def> <mark>[Prov. Eng.]</mark><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vessignon</ent><br/
<ent>Vessicnon</ent><br/
<mhw>{ <hw>Ves"sic*non</hw> <pr>(?)</pr>, <hw>Ves"sig*non</hw> <pr>(?)</pr>, }</mhw> <pos>n.</pos> <ety>[F. <ets>vessigon</ets>, fr. L. <ets>vesica</ets> a bladder, blister.]</ety> <fld>(Far.)</fld> <def>A soft swelling on a horse's leg; a windgall.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vest</ent><br/
<hw>Vest</hw> <pr>(v<ecr/st)</pr>, <pos>n.</pos> <ety>[L. <ets>vestis</ets> a garment, vesture; akin to Goth. <ets>wasti</ets>, and E. <ets>wear</ets>: cf. F. <ets>veste</ets>. See <er>Wear</er> to carry on the person, and cf. <er>Divest</er>, <er>Invest</er>, <er>Travesty</er>.]</ety><br/
[<source>1913 Webster</source>]</p>
<p><sn>1.</sn> <def>An article of clothing covering the person; an outer garment; a vestment; a dress; a vesture; a robe.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>In state attended by her maiden train,<br/
Who bore the <qex>vests</qex> that holy rites require.</q> <rj><qau>Dryden.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <def>Any outer covering; array; garb.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>Not seldom clothed in radiant <qex>vest</qex><br/
Deceitfully goes forth the morn.</q> <rj><qau>Wordsworth.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>3.</sn> <def>Specifically, a waistcoat, or sleeveless body garment, for men, worn under the coat.</def><br/
[<source>1913 Webster</source>]</p>
<p><syn><b>Syn.</b> -- Garment; vesture; dress; robe; vestment; waistcoat.</syn> <usage> -- <er>Vest</er>, <er>Waistcoat</er>. In England, the original word <xex>waistcoat</xex> is generally used for the body garment worn over the shirt and immediately under the coat. In the United States this garment is commonly called a <xex>vest</xex>, and the <xex>waistcoat</xex> is often improperly given to an under-garment.</usage><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vest</ent><br/
<hw>Vest</hw>, <pos>v. t.</pos> <vmorph>[<pos>imp. & p. p.</pos> <conjf>Vested</conjf>; <pos>p. pr. & vb. n.</pos> <conjf>Vesting</conjf>.]</vmorph> <ety>[Cf. L. <ets>vestire</ets>, <ets>vestitum</ets>, OF. <ets>vestir</ets>, F. <ets>v<ecir/tir</ets>. See <er>Vest</er>, <pos>n.</pos>]</ety> <sn>1.</sn> <def>To clothe with, or as with, a vestment, or garment; to dress; to robe; to cover, surround, or encompass closely.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>Came <qex>vested</qex> all in white, pure as her mind.</q> <rj><qau>Milton.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><q>With ether <qex>vested</qex>, and a purple sky.</q> <rj><qau>Dryden.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <def>To clothe with authority, power, or the like; to put in possession; to invest; to furnish; to endow; -- followed by <xex>with</xex> before the thing conferred; <as>as, to <ex>vest</ex> a court with power to try cases of life and death</as>.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>Had I been <qex>vested</qex> with the monarch's power.</q> <rj><qau>Prior.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>3.</sn> <def>To place or give into the possession or discretion of some person or authority; to commit to another; -- with <xex>in</xex> before the possessor; <as>as, the power of life and death is <ex>vested</ex> in the king, or in the courts</as>.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>Empire and dominion was [were] <qex>vested</qex> in him.</q> <rj><qau>Locke.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>4.</sn> <def>To invest; to put; <as>as, to <ex>vest</ex> money in goods, land, or houses</as>.</def> <mark>[R.]</mark><br/
[<source>1913 Webster</source>]</p>
<p><sn>5.</sn> <fld>(Law)</fld> <def>To clothe with possession; <as>as, to <ex>vest</ex> a person with an estate</as>; also, to give a person an immediate fixed right of present or future enjoyment of; <as>as, an estate is <ex>vested</ex> in possession</as>.</def> <rj><au>Bouvier.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vest</ent><br/
<hw>Vest</hw> <pr>(v<ecr/st)</pr>, <pos>v. i.</pos> <def>To come or descend; to be fixed; to take effect, as a title or right; -- followed by <xex>in</xex>; <as>as, upon the death of the ancestor, the estate, or the right to the estate, <ex>vests</ex> in the heir at law</as>.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vesta</ent><br/
<hw>Ves"ta</hw> <pr>(v<ecr/s"t<adot/)</pr>, <pos>n.</pos> <ety>[L. <ets>Vesta</ets>, akin to Gr. <grk>"Esti`a</grk> Vesta, <grk>"esti`a</grk> the hearth of the house, and perhaps to Skr. <ets>ush</ets> to burn (see <ets>East</ets>), or perhaps to Skr. <ets>vas</ets> to dwell, and E. <ets>was</ets>.]</ety> <sn>1.</sn> <fld>(Rom. Myth.)</fld> <def>One of the great divinities of the ancient Romans, identical with the Greek <persfn><it>Hestia</it></persfn>. She was a virgin, and the goddess of the hearth; hence, also, of the fire on it, and the family round it.</def><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <fld>(Astron.)</fld> <def>An asteroid, or minor planet, discovered by <persfn>Olbers</persfn> in 1807.</def><br/
[<source>1913 Webster</source>]</p>
<p><sn>3.</sn> <def>A wax friction match.</def> <rj><au>Simmonds.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vestal</ent><br/
<hw>Ves"tal</hw> <pr>(?)</pr>, <pos>a.</pos> <ety>[L. <ets>Vestalis</ets> belonging to Vesta, vestal. See <er>Vesta</er>.]</ety> <def>Of or pertaining to Vesta, the virgin goddess of the hearth; hence, pure; chaste.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vestal</ent><br/
<hw>Ves"tal</hw>, <pos>n.</pos> <ety>[L. <ets>Vestalis</ets> (sc. <ets>virgo</ets>): cf. F. <ets>vestale</ets>. See <er>Vestal</er>, <pos>a.</pos>]</ety> <sn>1.</sn> <fld>(Rom. Antiq.)</fld> <def>A virgin consecrated to Vesta, and to the service of watching the sacred fire, which was to be perpetually kept burning upon her altar.</def><br/
[<source>1913 Webster</source>]</p>
<p><note><hand/ The <xex>Vestals</xex> were originally four, but afterward six, in number. Their term of service lasted thirty years, the period of admission being from the sixth to the tenth year of the candidate's age.</note><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <def>A virgin; a woman pure and chaste; also, a nun.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>How happy is the blameless <qex>vestal's</qex> lot!</q> <rj><qau>Pope.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vestales</ent><br/
||<hw>Ves*ta"les</hw> <pr>(?)</pr>, <pos>n. pl.</pos> <ety>[NL. See <er>Vestal</er>.]</ety> <fld>(Zool.)</fld> <def>A group of butterflies including those known as virgins, or gossamer-winged butterflies.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vested</ent><br/
<hw>Vest"ed</hw> <pr>(?)</pr>, <pos>a.</pos> <sn>1.</sn> <def>Clothed; robed; wearing vestments.</def> <ldquo/The <xex>vested</xex> priest.<rdquo/ <rj><au>Milton.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <fld>(Law)</fld> <def>Not in a state of contingency or suspension; fixed; <as>as, <ex>vested</ex> rights; <ex>vested</ex> interests</as>.</def><br/
[<source>1913 Webster</source>]</p>
<p><cs><col><b>Vested legacy</b></col> <fld>(Law)</fld>, <cd>a legacy the right to which commences <xex>in praesenti</xex>, and does not depend on a contingency; as, a legacy to one to be paid when he attains to twenty-one years of age is a <xex>vested legacy</xex>, and if the legatee dies before the testator, his representative shall receive it.</cd> <au>Blackstone.</au> -- <col><b>Vested remainder</b></col> <fld>(Law)</fld>, <cd>an estate settled, to remain to a determined person, after the particular estate is spent.</cd> <au>Blackstone.</au> <au>Kent.</au></cs><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vested interest</ent><br/
<hw>Vest"ed interest</hw>. <sn>1.</sn> <def>a special personal interest, usually financial, in an existing system, law, or institution, which hinders a person from making objective decisions regarding that system, law, or institution. A vested interest may be one which benefits a relative, or, in an extended sense, one which defends a person's own reputation or previously expressed views.</def><br/
[<source>PJC</source>]</p>
<p><sn>2.</sn> <def>a right given to an employee by a pension plan, which cannot be taken away.</def><br/
[<source>PJC</source>]</p>
<p><sn>3.</sn> <pluf>pl.</pluf> <def>the persons, corporations, or other groups which benefit most (usually financially) from the existing system of institutions, laws, and customs.</def><br/
[<source>PJC</source>]</p>
<p><ent>Vested school</ent><br/
<hw>Vest"ed school</hw>. <def>In Ireland, a national school which has been built by the aid of grants from the board of Commissioners of National Education and is secured for educational purposes by leases to the commissioners themselves, or to the commissioners and the trustees.</def><br/
[<source>Webster 1913 Suppl.</source>]</p>
<p><ent>Vestiarian</ent><br/
<hw>Ves`ti*a"ri*an</hw> <pr>(?)</pr>, <pos>a.</pos> <ety>[See <er>Vestiary</er>.]</ety> <def>Of or pertaining to a vestiary or vestments.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vestiary</ent><br/
<hw>Ves"ti*a*ry</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[L. <ets>vestiarium</ets>. See <er>Vestry</er>.]</ety> <def>A wardrobe; a robing room; a vestry.</def> <rj><au>Fuller.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vestiary</ent><br/
<hw>Ves"ti*a*ry</hw>, <pos>a.</pos> <def>Pertaining to clothes, or vestments.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vestibular</ent><br/
<hw>Ves*tib"u*lar</hw> <pr>(?)</pr>, <pos>a.</pos> <def>Of or pertaining to a vestibule; like a vestibule.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vestibule</ent><br/
<hw>Ves"ti*bule</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[L. <ets>vestibulum</ets>, of uncertain origin: cf. F. <ets>vestibule</ets>.]</ety> <def>The porch or entrance into a house; a hall or antechamber next the entrance; a lobby; a porch; a hall.</def><br/
[<source>1913 Webster</source>]</p>
<p><cs><col><b>Vestibule of the ear</b></col>. <fld>(Anat.)</fld> <cd>See under <er>Ear</er>.</cd> -- <col><b>Vestibule of the vulva</b></col> <fld>(Anat.)</fld>, <cd>a triangular space between the nymphae, in which the orifice of the urethra is situated.</cd> -- <col><b>Vestibule train</b></col> <fld>(Railroads)</fld>, <cd>a train of passenger cars having the space between the end doors of adjacent cars inclosed, so as to admit of leaving the doors open to provide for intercommunication between all the cars.</cd></cs><br/
[<source>1913 Webster</source>]</p>
<p><syn><b>Syn.</b> -- Hall; passage.</syn> <usage> -- <er>Vestibule</er>, <er>Hall</er>, <er>Passage</er>. A <xex>vestibule</xex> is a small apartment within the doors of a building. A <xex>hall</xex> is the first large apartment beyond the vestibule, and, in the United States, is often long and narrow, serving as a passage to the several apartments. In England, the <xex>hall</xex> is generally square or oblong, and a long, narrow space of entrance is called a <xex>passage</xex>, not a <xex>hall</xex>, as in America. <xex>Vestibule</xex> is often used in a figurative sense to denote a place of entrance. <ldquo/The citizens of Rome placed the images of their ancestors in the <xex>vestibules</xex> of their houses.<rdquo/ <au>Bolingbroke</au></usage><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vestibule</ent><br/
<hw>Ves"ti*bule</hw> <pr>(?)</pr>, <pos>v. t.</pos> <def>To furnish with a vestibule or vestibules.</def> <rj><au>Brander Matthews.</au></rj><br/
[<source>Webster 1913 Suppl.</source>]</p>
<p><ent>Vestibuled train</ent><br/
<hw>Vestibuled train</hw>. <fld>(Railroad)</fld> <def>Same as <cref>Vestibule train</cref>, under <er>Vestibule</er>.</def><br/
[<source>Webster 1913 Suppl.</source>]</p>
<p><ent>Vestibulum</ent><br/
||<hw>Ves*tib"u*lum</hw> <pr>(?)</pr>, <pos>n.</pos>; <plu><it>pl.</it> <plw>Vestibula</plw> <pr>(#)</pr>.</plu> <ety>[L., vestibule.]</ety> <fld>(Zool.)</fld> <def>A cavity into which, in certain bryozoans, the esophagus and anus open.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vestigate</ent><br/
<hw>Ves"ti*gate</hw> <pr>(?)</pr>, <pos>v. t.</pos> <ety>[L. <ets>vestigatus</ets>, p. p. of <ets>vestigare</ets>. See <er>Vestige</er>.]</ety> <def>To investigate.</def> <mark>[Obs.]</mark><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vestige</ent><br/
<hw>Ves"tige</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[F., from L. <ets>vestigium</ets> footprint, trace, sign; the last part (<ets>-stigium</ets>) is probably akin to E. <ets>sty</ets>, v. i. Cf. <er>Investigate</er>.]</ety> <sn>1.</sn> <def>The mark of the foot left on the earth; a track or footstep; a trace; a sign; hence, a faint mark or visible sign left by something which is lost, or has perished, or is no longer present; remains; <as>as, the <ex>vestiges</ex> of ancient magnificence in Palmyra; <ex>vestiges</ex> of former population</as>.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>What <qex>vestiges</qex> of liberty or property have they left?</q> <rj><qau>Burke.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><q>Ridicule has followed the <qex>vestiges</qex> of Truth, but never usurped her place.</q> <rj><qau>Landor.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <fld>(Biol.)</fld> <def>A small, degenerate, or imperfectly developed part or organ which has been more fully developed in some past generation.</def><br/
[<source>Webster 1913 Suppl.</source>]</p>
<p><syn><b>Syn.</b> -- Trace; mark; sign; token.</syn> <usage> -- <er>Vestige</er>, <er>Trace</er>. These words agree in marking some indications of the past, but differ to some extent in their use and application. <xex>Vestige</xex> is used chiefly in a figurative sense, for the remains of something long passed away; <as>as, the <ex>vestiges</ex> of ancient times; <ex>vestiges</ex> of the creation</as>. A <xex>trace</xex> is literally something drawn out in a line, and may be used in this its primary sense, or figuratively, to denote a sign or evidence left by something that has passed by, or ceased to exist. <xex>Vestige</xex> usually supposes some definite object of the past to be left behind; while a <xex>trace</xex> may be a mere indication that something has been present or is present; <as>as, <ex>traces</ex> of former population; a <ex>trace</ex> of poison in a given substance</as>.</usage><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vestigial</ent><br/
<hw>Ves*tig"i*al</hw> <pr>(?)</pr>, <pos>a.</pos> <def>Of or pertaining to a vestige or remnant; like a vestige.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vesting</ent><br/
<hw>Vest"ing</hw> <pr>(?)</pr>, <pos>n.</pos> <def>Cloth for vests; a vest pattern.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vestiture</ent><br/
<hw>Ves"ti*ture</hw> <pr>(?; 135)</pr>, <pos>n.</pos> <ety>[See <er>Vesture</er>.]</ety> <def>Investiture.</def> <mark>[R.]</mark><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vestlet</ent><br/
<hw>Vest"let</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[Dim. of <ets>vest</ets>.]</ety> <fld>(Zool.)</fld> <def>Any one of several species of actinians belonging to the genus <gen>Cerianthus</gen>. These animals have a long, smooth body tapering to the base, and two separate circles of tentacles around the mouth. They form a tough, flexible, feltlike tube with a smooth internal lining, in which they dwell, whence the name.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vestment</ent><br/
<hw>Vest"ment</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[OE. <ets>vestement</ets>, <ets>vestiment</ets>, OF. <ets>vestement</ets>, <ets>vestiment</ets>, F. <ets>v<ecir/tement</ets>, fr. L. <ets>vestimentum</ets>, fr. <ets>vestire</ets> to clothe, fr. <ets>vestis</ets> a garment, clothing. See <er>Vest</er>.]</ety> <def>A covering or garment; some part of clothing or dress</def>; specifically <fld>(Eccl.)</fld>, <def>any priestly garment.</def> <ldquo/Royal <xex>vestiment</xex>.<rdquo/ <au>Chaucer.</au> <ldquo/Priests in holy <xex>vestments</xex>.<rdquo/ <au>Shak.</au><br/
[<source>1913 Webster</source>]</p>
<p><q> The sculptor could not give <qex>vestments</qex> suitable to the quality of the persons represented.</q> <rj><qau>Dryden.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vestry</ent><br/
<hw>Ves"try</hw> <pr>(?)</pr>, <pos>n.</pos>; <plu><it>pl.</it> <plw>Vestries</plw> <pr>(#)</pr>.</plu> <ety>[OE. <ets>vestrye</ets>, F. <ets>vestiaire</ets>, L. <ets>vestiarium</ets>, fr. <ets>vestiarius</ets> belonging to clothes, fr. <ets>vestis</ets> a garment. See <er>Vest</er>, <pos>n.</pos>, and cf. <er>Vestiary</er>.]</ety> <sn>1.</sn> <def>A room appendant to a church, in which sacerdotal vestments and sacred utensils are sometimes kept, and where meetings for worship or parish business are held; a sacristy; -- formerly called <xex>revestiary</xex>.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>He said unto him that was over the <qex>vestry</qex>, Bring forth vestments for all the worshipers of Baal.</q> <rj><qau>2 Kings x. 22.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <fld>(Ch. of Eng.)</fld> <def>A parochial assembly; an assembly of persons who manage parochial affairs; -- so called because usually held in a vestry.</def><br/
[<source>1913 Webster</source>]</p>
<p><sn>3.</sn> <fld>(Prot. Epis. Ch.)</fld> <def>A body, composed of wardens and vestrymen, chosen annually by a parish to manage its temporal concerns.</def><br/
[<source>1913 Webster</source>]</p>
<p><cs><col><b>Metropolitan vestry</b></col>, <cd>in the city of London, and certain specified parishes and places in England, a body composed of householders who pay poor rates. Its duties include the repair of churches, care of highways, the appointment of certain officers, etc.</cd> -- <col><b>Select vestry</b></col>, <cd>a select number of persons chosen in large and populous English parishes to represent and manage the concerns of the parish for one year.</cd> <au>Mozley & W.</au> -- <col><b>Vestry board</b></col> <fld>(Ch. of Eng.)</fld>, <cd>a vestry. See def. 2, above.</cd> -- <col><b>Vestry clerk</b></col>, <cd>an officer chosen by the vestry, who keeps a record of its proceedings; also, in England, one who keeps the parish accounts and books.</cd> -- <col><b>Vestry meeting</b></col>, <cd>the meeting of a vestry or vestry board; also, a meeting of a parish held in a vestry or other place.</cd></cs><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vestryman</ent><br/
<hw>Ves"try*man</hw> <pr>(?)</pr>, <pos>n.</pos>; <plu><it>pl.</it> <plw>Vestrymen</plw> <pr>(<?/)</pr>.</plu> <def>A member of a vestry; especially <fld>(Prot. Epis. Ch.)</fld>, a member other than a warden. See <er>Vestry</er>.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vesture</ent><br/
<hw>Ves"ture</hw> <pr>(?; 135)</pr>, <pos>n.</pos> <ety>[OF. <ets>vesture</ets>, <ets>vesteure</ets>, F. <ets>v<ecir/ture</ets>, LL. <ets>vestitura</ets>, from L. <ets>vestire</ets> to clothe, dress. See <er>Vest</er>, <pos>v. t.</pos>, and cf. <er>Vestiture</er>.]</ety> <sn>1.</sn> <def>A garment or garments; a robe; clothing; dress; apparel; vestment; covering; envelope.</def> <rj><au>Piers Plowman.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><q>Approach, and kiss her sacred <qex>vesture's</qex> hem.</q> <rj><qau>Milton.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><q>Rocks, precipices, and gulfs, appareled with a <qex>vesture</qex> of plants.</q> <rj><qau>Bentley.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><q>There polished chests embroidered <qex>vestures</qex> graced.</q> <rj><qau>Pope.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <fld>(O. Eng. Law)</fld> <sd>(a)</sd> <def>The corn, grass, underwood, stubble, etc., with which land was covered; <as>as, the <ex>vesture</ex> of an acre</as>.</def> <sd>(b)</sd> <def>Seizin; possession.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vestured</ent><br/
<hw>Ves"tured</hw> <pr>(?)</pr>, <pos>a.</pos> <def>Covered with vesture or garments; clothed; enveloped.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>We be <qex>vestured</qex> with poor cloth.</q> <rj><qau>Ld. Berners.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vesuvian</ent><br/
<hw>Ve*su"vi*an</hw> <pr>(?)</pr>, <pos>a.</pos> <ety>[Cf. F. <ets>V<eacute/suvien</ets>, It. <ets>Vesuviano</ets>.]</ety> <def>Of or pertaining to Vesuvius, a volcano near <city>Naples</city>.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vesuvian</ent><br/
<hw>Ve*su"vi*an</hw>, <pos>n.</pos> <ety>[G. <ets>vesuvian</ets>. See <er>Vesuvian</er>, <pos>a.</pos>]</ety> <sn>1.</sn> <fld>(Min.)</fld> <def>Vesuvianite.</def><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <def>A kind of match or fusee for lighting cigars, etc.</def><br/
[<source>Webster 1913 Suppl.</source>]</p>
<p><ent>Vesuvianite</ent><br/
<hw>Ve*su"vi*an*ite</hw> <pr>(?)</pr>, <pos>n.</pos> <fld>(Min.)</fld> <def>A mineral occurring in tetragonal crystals, and also massive, of a brown to green color, rarely sulphur yellow and blue. It is a silicate of alumina and lime with some iron magnesia, and is common at Vesuvius. Also called <altname>idocrase</altname>.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vesuvine</ent><br/
<hw>Ve*su"vine</hw> <pr>(?)</pr>, <pos>n.</pos> <def>A trade name for a brown dyestuff obtained from certain basic azo compounds of benzene; -- called also <altname>Bismarck brown</altname>, <altname>Manchester brown</altname>, etc.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vetch</ent><br/
<hw>Vetch</hw> <pr>(v<ecr/ch)</pr>, <pos>n.</pos> <ety>[Also <ets>fitch</ets>; OE. <ets>ficche</ets>, <ets>feche</ets>, for <ets>veche</ets>, OF. <ets>veche</ets>, <ets>vecce</ets>, <ets>vesche</ets>, <ets>vesce</ets>, F. <ets>vesce</ets>, fr. L. <ets>vicia</ets>.]</ety> <fld>(Bot.)</fld> <def>Any leguminous plant of the genus <gen>Vicia</gen>, some species of which are valuable for fodder. The common species is <spn>Vicia sativa</spn>.</def><br/
[<source>1913 Webster</source>]</p>
<p><note><hand/ The name is also applied to many other leguminous plants of different genera; as the chichling vetch, of the genus <gen>Lathyrus</gen>; the horse vetch, of the genus <gen>Hippocrepis</gen>; the kidney vetch (<spn>Anthyllis vulneraria</spn>); the milk vetch, of the genus <gen>Astragalus</gen>; the licorice vetch, or wild licorice (<spn>Abrus precatorius</spn>).</note><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vetchling</ent><br/
<hw>Vetch"ling</hw> <pr>(v<ecr/ch"l<icr/ng)</pr>, <pos>n.</pos> <ety>[<ets>Vetch</ets> + <ets>-ling</ets>.]</ety> <fld>(Bot.)</fld> <def>Any small leguminous plant of the genus <gen>Lathyrus</gen>, especially <spn>Lathyrus Nissolia</spn>.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vetchy</ent><br/
<hw>Vetch"y</hw> <pr>(?)</pr>, <pos>a.</pos> <sn>1.</sn> <def>Consisting of vetches or of pea straw.</def> <ldquo/A <xex>vetchy</xex> bed.<rdquo/ <rj><au>Spenser.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <def>Abounding with vetches.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Veteran</ent><br/
<hw>Vet"er*an</hw> <pr>(v<ecr/t"<etil/r*<ait/n)</pr>, <pos>a.</pos> <ety>[L. <ets>veteranus</ets>, from <ets>vetus</ets>, <ets>veteris</ets>, old; akin to Gr. <grk>'e`tos</grk> year, Skr. <ets>vatsara</ets>. See <er>Wether</er>.]</ety> <def>Long exercised in anything, especially in military life and the duties of a soldier; long practiced or experienced; <as>as, a <ex>veteran</ex> officer or soldier; <ex>veteran</ex> skill.</as></def><br/
[<source>1913 Webster</source>]</p>
<p><q>The insinuating eloquence and delicate flattery of <qex>veteran</qex> diplomatists and courtiers.</q> <rj><qau>Macaulay.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><-- p. 1607 pr=PI --><br/
[<source>1913 Webster</source>]</p>
<p><ent>Veteran</ent><br/
<hw>Vet"er*an</hw> <pr>(v<ecr/t"<etil/r*<ait/n)</pr>, <pos>n.</pos> <ety>[L. <ets>veteranus</ets> (sc. <ets>miles</ets>): cf. F. <ets>v<eacute/t<eacute/ran</ets>.]</ety> <def>One who has been long exercised in any service or art, particularly in war; one who has had much experience, or has grown old or decrepit in service.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>Ensigns that pierced the foe's remotest lines,<br/
The hardy <qex>veteran</qex> with tears resigns.</q> <rj><qau>Addison.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><note><hand/ In the United States, during the civil war, soldiers who had served through one term of enlistment and had reenlisted were specifically designated <xex>veterans</xex>.</note><br/
[<source>1913 Webster</source>]</p>
<p><ent>Veteranize</ent><br/
<hw>Vet"er*an*ize</hw> <pr>(v<ecr/t"<etil/r*<ait/n*<imac/z)</pr>, <pos>v. i.</pos> <def>To reenlist for service as a soldier.</def> <mark>[U. S.]</mark> <rj><au>Gen. W. T. Sherman.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>veterinarian</ent><br/
<hw>vet`er*i*na"ri*an</hw> <pr>(v<ecr/t`<etil/r*<icr/*n<amac/"r<icr/*<ait/n)</pr>, <pos>n.</pos> <ety>[L. <ets>veterinarius</ets>. See <er>Veterinary</er>.]</ety> <def>One skilled in the treatment of diseases of cattle or domestic animals; a veterinary surgeon. Often abbreviated to <altname>vet</altname>.</def><br/
[<source>1913 Webster</source> + <source>PJC</source>]</p>
<p><ent>Veterinary</ent><br/
<hw>Vet"er*i*na*ry</hw> <pr>(v<ecr/t"<etil/r*<icr/*n<asl/*r<ycr/)</pr>, <pos>a.</pos> <ety>[L. <ets>veterinarius</ets> of or belonging to beasts of burden and draught, fr. <ets>veterinus</ets>, probably originally, of or pertaining to yearlings: cf. F. <ets>v<eacute/t<eacute/rinaire</ets>. See <er>Veteran</er>, <er>Wether</er>.]</ety> <def>Of or pertaining to the art of healing or treating the diseases of domestic animals, as oxen, horses, sheep, various pets, etc.; <as>as, a <ex>veterinary</ex> writer or school</as>.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vetiver</ent><br/
<hw>Vet"i*ver</hw> <pr>(?)</pr>, <pos>n.</pos> <fld>(Bot.)</fld> <def>An East Indian grass (<spn>Andropogon muricatus</spn>); also, its fragrant roots which are much used for making mats and screens. Also called <altname>kuskus</altname>, and <altname>khuskhus</altname>.</def> <altsp>[Sometimes written <asp>vetivert</asp>, and <asp>vitivert</asp>.]</altsp><br/
[<source>1913 Webster</source>]</p>
<p><ent>Veto</ent><br/
<hw>Ve"to</hw> <pr>(v<emac/"t<osl/)</pr>, <pos>n.</pos>; <plu><it>pl.</it> <plw>Vetoes</plw> <pr>(v<emac/"t<omac/z)</pr>.</plu> <ety>[L. <ets>veto</ets> I forbid.]</ety><br/
[<source>1913 Webster</source>]</p>
<p><sn>1.</sn> <def>An authoritative prohibition or negative; a forbidding; an interdiction.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>This contemptuous <qex>veto</qex> of her husband's on any intimacy with her family.</q> <rj><qau>G. Eliot.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> Specifically: --<br/
[<source>1913 Webster</source>]</p>
<p><sd>(a)</sd> <def>A power or right possessed by one department of government to forbid or prohibit the carrying out of projects attempted by another department; especially, in a constitutional government, a power vested in the chief executive to prevent the enactment of measures passed by the legislature. Such a power may be absolute, as in the case of the Tribunes of the People in ancient Rome, or limited, as in the case of the President of the United States. Called also <altname>the veto power</altname>.</def><br/
[<source>1913 Webster</source>]</p>
<p><sd>(b)</sd> <def>The exercise of such authority; an act of prohibition or prevention; <as>as, a <ex>veto</ex> is probable if the bill passes</as>.</def><br/
[<source>1913 Webster</source>]</p>
<p><sd>(c)</sd> <def>A document or message communicating the reasons of the executive for not officially approving a proposed law; -- called also <altname>veto message</altname>.</def> <mark>[U. S.]</mark><br/
[<source>1913 Webster</source>]</p>
<p><note><hand/ <xex>Veto</xex> is not a term employed in the Federal Constitution, but seems to be of popular use only. <au>Abbott.</au></note><br/
[<source>1913 Webster</source>]</p>
<p><ent>Veto</ent><br/
<hw>Ve"to</hw>, <pos>v. t.</pos> <vmorph>[<pos>imp. & p. p.</pos> <conjf>Vetoed</conjf> <pr>(v<emac/"t<omac/d)</pr>; <pos>p. pr. & vb. n.</pos> <conjf>Vetoing</conjf>.]</vmorph> <def>To prohibit; to negative; also, to refuse assent to, as a legislative bill, and thus prevent its enactment; <as>as, to <ex>veto</ex> an appropriation bill</as>.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vetoist</ent><br/
<hw>Ve"to*ist</hw>, <pos>n.</pos> <def>One who uses, or sustains the use of, the veto.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vettura</ent><br/
||<hw>Vet*tu"ra</hw> <pr>(?)</pr>, <pos>n.</pos>; <plu><it>pl.</it> <plw>Vetture</plw> <pr>(#)</pr>.</plu> <ety>[It. <ets>vettura</ets>, fr. L. <ets>vectura</ets> conveyance. Cf. <er>Vecture</er>.]</ety> <def>An Italian four-wheeled carriage, esp. one let for hire; a hackney coach.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vetturino</ent><br/
||<hw>Vet`tu*ri"no</hw> <pr>(?)</pr>, <pos>n.</pos>; <plu><it>pl.</it> <plw>Vetturini</plw> <pr>(#)</pr>.</plu> <ety>[It.]</ety> <sn>1.</sn> <def>One who lets or drives a vettura.</def><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <def>A vettura.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vetust</ent><br/
<hw>Ve*tust"</hw> <pr>(?)</pr>, <pos>a.</pos> <ety>[L. <ets>vetustus</ets> old, ancient.]</ety> <def>Venerable from antiquity; ancient; old.</def> <mark>[Obs.]</mark><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vex</ent><br/
<hw>Vex</hw> <pr>(v<ecr/ks)</pr>, <pos>v. t.</pos> <vmorph>[<pos>imp. & p. p.</pos> <conjf>Vexed</conjf> <pr>(v<ecr/kst)</pr>; <pos>p. pr. & vb. n.</pos> <conjf>Vexing</conjf>.]</vmorph> <ety>[F. <ets>vexer</ets>, L. <ets>vexare</ets>, <ets>vexatum</ets>, to vex, originally, to shake, toss, in carrying, v. intens. fr. <ets>vehere</ets>, <ets>vectum</ets>, to carry. See <er>Vehicle</er>.]</ety> <sn>1.</sn> <def>To toss back and forth; to agitate; to disquiet.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>White curl the waves, and the <qex>vexed</qex> ocean roars.</q> <rj><qau>Pope.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <def>To make angry or annoyed by little provocations; to irritate; to plague; to torment; to harass; to afflict; to trouble; to tease.</def> <ldquo/I will not <xex>vex</xex> your souls.<rdquo/ <rj><au>Shak.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><q>Ten thousand torments <qex>vex</qex> my heart.</q> <rj><qau>Prior.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>3.</sn> <def>To twist; to weave.</def> <mark>[R.]</mark><br/
[<source>1913 Webster</source>]</p>
<p><q>Some English wool, <qex>vexed</qex> in a Belgian loom.</q> <rj><qau>Dryden.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><syn><b>Syn.</b> -- See <er>Tease</er>.</syn><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vex</ent><br/
<hw>Vex</hw>, <pos>v. i.</pos> <def>To be irritated; to fret.</def> <mark>[R.]</mark> <rj><au>Chapman.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vexation</ent><br/
<hw>Vex*a"tion</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[L. <ets>vexatio</ets>: cf. F. <ets>vexation</ets>.]</ety> <sn>1.</sn> <def>The act of vexing, or the state of being vexed; agitation; disquiet; trouble; irritation.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>Passions too violent . . . afford us nothing but <qex>vexation</qex> and pain.</q> <rj><qau>Sir W. Temple.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><q>Those who saw him after a defeat looked in vain for any trace of <qex>vexation</qex>.</q> <rj><qau>Macaulay.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <def>The cause of trouble or disquiet; affliction.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>Your children were <qex>vexation</qex> to your youth.</q> <rj><qau>Shak.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>3.</sn> <def>A harassing by process of law; a vexing or troubling, as by a malicious suit.</def> <rj><au>Bacon.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><syn><b>Syn.</b> -- Chagrin; agitation; mortification; uneasiness; trouble; grief; sorrow; distress. See <er>Chagrin</er>.</syn><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vexatious</ent><br/
<hw>Vex*a"tious</hw> <pr>(?)</pr>, <pos>a.</pos> <ety>[See <er>Vexation</er>.]</ety> <sn>1.</sn> <def>Causing vexation; agitating; afflictive; annoying; <as>as, a <ex>vexatious</ex> controversy; a <ex>vexatious</ex> neighbor</as>.</def> <ldquo/Continual <xex>vexatious</xex> wars.<rdquo/ <rj><au>South.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <def>Full of vexation, trouble, or disquiet; disturbed.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>He leads a <qex>vexatious</qex> life.</q> <rj><qau>Sir K. Digby.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><cs><col><b>Vexatious suit</b></col> <fld>(Law)</fld>, <cd>a suit commenced for the purpose of giving trouble, or without cause.</cd></cs><br/
[<source>1913 Webster</source>]</p>
<p>-- <wordforms><wf>Vex*a"tious*ly</wf>, <pos>adv.</pos> -- <wf>Vex*a"tious*ness</wf>, <pos>n.</pos></wordforms><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vexed</ent><br/
<hw>Vexed</hw> <pr>(?)</pr>, <pos>a.</pos> <sn>1.</sn> <def>Annoyed; harassed; troubled.</def><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <def>Much debated or contested; causing discussion; <as>as, a <ex>vexed</ex> question</as>.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vexer</ent><br/
<hw>Vex"er</hw> <pr>(?)</pr>, <pos>n.</pos> <def>One who vexes or troubles.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vexil</ent><br/
<hw>Vex"il</hw> <pr>(?)</pr>, <pos>n.</pos> <def>A vexillum.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vexillary</ent><br/
<ent>Vexillar</ent><br/
<mhw>{ <hw>Vex"il*lar</hw> <pr>(?)</pr>, <hw>Vex"il*la*ry</hw> <pr>(?)</pr>, }</mhw><ety>[Cf. F. <ets>vexillaire</ets>, L. <ets>vexillarius</ets> a standard bearer.]</ety><br/
[<source>1913 Webster</source>]</p>
<p><sn>1.</sn> <def>Of or pertaining to an ensign or standard.</def><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <fld>(Bot.)</fld> <def>Of or pertaining to the vexillum, or upper petal of papilionaceous flowers.</def><br/
[<source>1913 Webster</source>]</p>
<p><cs><col><b>Vexilary aestivation</b></col> <fld>(Bot.)</fld>, <cd>a mode of aestivation in which one large upper petal folds over, and covers, the other smaller petals, as in most papilionaceous plants.</cd></cs><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vexillary</ent><br/
<hw>Vex"il*la*ry</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[L. <ets>vexillarius</ets>: cf. F. <ets>vexillaire</ets>.]</ety> <def>A standard bearer.</def> <rj><au>Tennyson.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vexillation</ent><br/
<hw>Vex`il*la"tion</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[L. <ets>vexillatio</ets>.]</ety> <fld>(Rom. Antiq.)</fld> <def>A company of troops under one vexillum.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vexillum</ent><br/
||<hw>Vex*il"lum</hw> <pr>(?)</pr>, <pos>n.</pos>; <plu><it>pl.</it> <plw>Vexilla</plw> <pr>(#)</pr>.</plu> <ety>[L., a standard, a flag.]</ety> <sn>1.</sn> <fld>(Rom. Antiq.)</fld> <sd>(a)</sd> <def>A flag or standard.</def> <sd>(b)</sd> <def>A company of troops serving under one standard.</def><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <fld>(Eccl.)</fld> <sd>(a)</sd> <def>A banner.</def> <sd>(b)</sd> <def>The sign of the cross.</def><br/
[<source>1913 Webster</source>]</p>
<p><sn>3.</sn> <fld>(Bot.)</fld> <def>The upper petal of a papilionaceous flower; the standard.</def><br/
[<source>1913 Webster</source>]</p>
<p><sn>4.</sn> <fld>(Zool.)</fld> <def>The rhachis and web of a feather taken together; the vane.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vexingly</ent><br/
<hw>Vex"ing*ly</hw> <pr>(?)</pr>, <pos>adv.</pos> <def>In a vexing manner; so as to vex, tease, or irritate.</def> <rj><au>Tatler.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>V hook</ent><br/
<hw>V" hook`</hw> <pr>(?)</pr>. <fld>(Steam Engine)</fld> <def>A gab at the end of an eccentric rod, with long jaws, shaped like the letter <universbold>V.</universbold></def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Via</ent><br/
||<hw>Vi"a</hw> <pr>(v<imac/"<adot/ <it>or</it> v<emac/"<adot/)</pr>, <pos>n.</pos> <ety>[L. See <er>Way</er>.]</ety> <def>A road or way.</def><br/
[<source>1913 Webster</source>]</p>
<p><cs><col><b>Via Lactea</b></col> <ety>[L.]</ety> <fld>(Astron.)</fld>, <cd>the Milky Way, or Galaxy. See <er>Galaxy</er>, 1.</cd> -- <col><b>Via media</b></col> <ety>[L.]</ety> <fld>(Theol.)</fld>, <cd>the middle way; -- a name applied to their own position by the Anglican high-churchmen, as being between the Roman Catholic Church and what they term extreme Protestantism.</cd></cs><br/
[<source>1913 Webster</source>]</p>
<p><ent>Via</ent><br/
<hw>Vi"a</hw>, <pos>prep.</pos> <ety>[L., ablative of <ets>via</ets> way. See <er>Way</er>.]</ety> <def>By the way of; <as>as, to send a letter <ex>via</ex> Queenstown to London</as>.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Viability</ent><br/
<hw>Vi`a*bil"i*ty</hw> <pr>(?)</pr>, <pos>n.</pos> <def>The quality or state of being viable.</def> Specifically: --<br/
[<source>1913 Webster</source>]</p>
<p><sd>(a)</sd> <fld>(Law)</fld> <def>The capacity of living after birth.</def> <rj><au>Bouvier.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><sd>(b)</sd> <def>The capacity of living, or being distributed, over wide geographical limits; <as>as, the <ex>viability</ex> of a species</as>.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Viable</ent><br/
<hw>Vi"a*ble</hw> <pr>(?)</pr>, <pos>a.</pos> <ety>[F., from <ets>vie</ets> life, L. <ets>vita</ets>. See <er>Vital</er>.]</ety> <fld>(Law)</fld> <def>Capable of living; born alive and with such form and development of organs as to be capable of living; -- said of a newborn, or a prematurely born, infant.</def><br/
[<source>1913 Webster</source>]</p>
<p><q><qex>VIABLE</qex>, Vitae habilis, capable of living. This is said of a child who is born alive in such an advanced state of formation as to be capable of living. Unless be is born <qex>viable</qex> he acquires no rights and cannot transmit them to his heirs, and is considered as if he had never been born.</q> <rj><qau>Bouvier (Law Dictionary, 1856).</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Viaduct</ent><br/
<hw>Vi`a*duct</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[L. <ets>via</ets> a way + <ets>-duct</ets>, as in <ets>aqueduct</ets>: cf. F. <ets>viaduc</ets>. See <er>Via</er>, and <er>Aqueduct</er>.]</ety> <def>A structure of considerable magnitude, usually with arches or supported on trestles, for carrying a road, as a railroad, high above the ground or water; a bridge; especially, one for crossing a valley or a gorge. Cf. <er>Trestlework</er>.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Viage</ent><br/
<hw>Vi"age</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[See <er>Voyage</er>.]</ety> <def>A voyage; a journey.</def> <mark>[Obs.]</mark> <rj><au>Chaucer. Gower.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vial</ent><br/
<hw>Vi"al</hw> <pr>(v<imac/"<ait/l)</pr>, <pos>n.</pos> <ety>[OE. <ets>viole</ets>, <ets>fiole</ets>, F. <ets>fiole</ets>. See <er>Phial</er>.]</ety> <def>A small bottle, usually of glass; a little glass vessel with a narrow aperture intended to be closed with a stopper; <as>as, a <ex>vial</ex> of medicine</as>.</def> <altsp>[Written also <asp>phial</asp>.]</altsp><br/
[<source>1913 Webster</source>]</p>
<p><q>Take thou this <qex>vial</qex>, being then in bed,<br/
And this distilled liquor drink thou off.</q> <rj><qau>Shak.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vial</ent><br/
<hw>Vi"al</hw>, <pos>v. t.</pos> <vmorph>[<pos>imp. & p. p.</pos> <conjf>Vialed</conjf> <pr>(?)</pr> or <conjf>Vialled</conjf>; <pos>p. pr. & vb. n.</pos> <conjf>Vialing</conjf> or <conjf>Vialling</conjf>.]</vmorph> <def>To put in a vial or vials.</def> <ldquo/Precious <xex>vialed</xex> liquors.<rdquo/ <rj><au>Milton.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Viameter</ent><br/
<hw>Vi*am"e*ter</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[L. <ets>via</ets> a way + <ets>-meter</ets>.]</ety> <def>An odometer; -- called also <altname>viatometer</altname>.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Viand</ent><br/
<hw>Vi"and</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[F. <ets>viande</ets> meat, food, LL. <ets>vianda</ets>, <ets>vivanda</ets>, <ets>vivenda</ets>, properly, things to live on, fr. L. <ets>vivere</ets> to live; akin to <ets>vivus</ets> living. See <er>Vivid</er>, and cf. <er>Victuals</er>.]</ety> <def>An article of food; provisions; food; victuals; -- used chiefly in the plural.</def> <rj><au>Cowper.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><q><qex>Viands</qex> of various kinds allure the taste.</q> <rj><qau>Pope.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Viander</ent><br/
<hw>Vi"and*er</hw> <pr>(?)</pr>, <pos>n.</pos> <def>A feeder; an eater; also, one who provides viands, or food; a host.</def> <mark>[Obs.]</mark> <rj><au>Holinshed.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vi-apple</ent><br/
<hw>Vi"-ap`ple</hw> <pr>(?)</pr>, <pos>n.</pos> <def>See <er>Otaheite apple</er>.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Viary</ent><br/
<hw>Vi"a*ry</hw> <pr>(?)</pr>, <pos>a.</pos> <ety>[L. <ets>viarius</ets>, fr. <ets>via</ets> a way, road.]</ety> <def>Of or pertaining to roads; happening on roads.</def> <mark>[Obs.]</mark><br/
[<source>1913 Webster</source>]</p>
<p><ent>Viatecture</ent><br/
<hw>Vi"a*tec`ture</hw> <pr>(?; 135)</pr>, <pos>n.</pos> <ety>[L. <ets>via</ets> way + <ets>-tecture</ets>, as in <ets>architecture</ets>.]</ety> <def>The art of making roads or ways for traveling, including the construction of bridges, canals, viaducts, etc.</def> <mark>[R.]</mark> <rj><au>R. Park.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Viatic</ent><br/
<hw>Vi*at"ic</hw> <pr>(?)</pr>, <pos>a.</pos> <ety>[L. <ets>viaticus</ets>, fr. <ets>via</ets> a way. See <er>Voyage</er>.]</ety> <def>Of or pertaining to a journey or traveling.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Viaticum</ent><br/
<hw>Vi*at"i*cum</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[L., from <ets>viaticus</ets>, a. See <er>Viatic</er>.]</ety> <sn>1.</sn> <fld>(Rom. Antiq.)</fld> <def>An allowance for traveling expenses made to those who were sent into the provinces to exercise any office or perform any service.</def><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <def>Provisions for a journey.</def> <rj><au>Davies (Wit's Pilgr.).</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>3.</sn> <fld>(R. C. Ch.)</fld> <def>The communion, or eucharist, when given to persons in danger of death.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Viatometer</ent><br/
<hw>Vi`a*tom"e*ter</hw> <pr>(?)</pr>, <pos>n.</pos> <def>A viameter.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vibices</ent><br/
||<hw>Vi*bi"ces</hw> <pr>(?)</pr>, <pos>n. pl.</pos> <ety>[L., pl. of <ets>vibex</ets>, <ets>-icis</ets>, the mark of a blow.]</ety> <fld>(Med.)</fld> <def>More or less extensive patches of subcutaneous extravasation of blood.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vibraculum</ent><br/
||<hw>Vi*brac"u*lum</hw> <pr>(?)</pr>, <pos>n.</pos>; <plu><it>pl.</it> <plw>Vibracula</plw> <pr>(#)</pr>.</plu> <ety>[NL., dim. from L. <ets>vibrare</ets> to vibrate.]</ety> <fld>(Zool.)</fld> <def>One of the movable, slender, spinelike organs or parts with which certain bryozoans are furnished. They are regarded as specially modified zooids, of nearly the same nature as Avicularia.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vibrancy</ent><br/
<hw>Vi"bran*cy</hw> <pr>(?)</pr>, <pos>n.</pos> <def>The state of being vibrant; resonance.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vibrant</ent><br/
<hw>Vi"brant</hw> <pr>(?)</pr>, <pos>a.</pos> <ety>[L. <ets>vibrans</ets>, p. pr.: cf. F. <ets>vibrant</ets>. See <er>Vibrate</er>.]</ety> <def>Vibrating; tremulous; resonant; <as>as, <ex>vibrant</ex> drums</as>.</def> <rj><au>Longfellow.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vibrate</ent><br/
<hw>Vi"brate</hw> <pr>(?)</pr>, <pos>v. t.</pos> <vmorph>[<pos>imp. & p. p.</pos> <conjf>Vibrated</conjf> <pr>(?)</pr>; <pos>p. pr. & vb. n.</pos> <conjf>Vibrating</conjf>.]</vmorph> <ety>[L. <ets>vibratus</ets>, p. p. of <ets>vibrare</ets>, v. t. & v. i., to shake, brandish, vibrate; akin to Skr. <ets>vip</ets> to tremble, Icel. <ets>veifa</ets> to wave, vibrate. See <er>Waive</er> and cf. <er>Whip</er>, <pos>v. t.</pos>]</ety> <sn>1.</sn> <def>To brandish; to move to and fro; to swing; <as>as, to <ex>vibrate</ex> a sword or a staff</as>.</def><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <def>To mark or measure by moving to and fro; <as>as, a pendulum <ex>vibrating</ex> seconds</as>.</def><br/
[<source>1913 Webster</source>]</p>
<p><sn>3.</sn> <def>To affect with vibratory motion; to set in vibration.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>Breath vocalized, that is, <qex>vibrated</qex> or undulated, may . . . impress a swift, tremulous motion.</q> <rj><qau>Holder.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><q>Star to star <qex>vibrates</qex> light.</q> <rj><qau>Tennyson.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vibrate</ent><br/
<hw>Vi"brate</hw> <pr>(?)</pr>, <pos>v. i.</pos> <sn>1.</sn> <def>To move to and fro, or from side to side, as a pendulum, an elastic rod, or a stretched string, when disturbed from its position of rest; to swing; to oscillate.</def><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <def>To have the constituent particles move to and fro, with alternate compression and dilation of parts, as the air, or any elastic body; to quiver.</def><br/
[<source>1913 Webster</source>]</p>
<p><sn>3.</sn> <def>To produce an oscillating or quivering effect of sound; <as>as, a whisper <ex>vibrates</ex> on the ear</as>.</def> <rj><au>Pope.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>4.</sn> <def>To pass from one state to another; to waver; to fluctuate; <as>as, a man <ex>vibrates</ex> between two opinions</as>.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vibratile</ent><br/
<hw>Vi"bra*tile</hw> <pr>(?)</pr>, <pos>a.</pos> <ety>[Cf. F. <ets>vibratile</ets>.]</ety> <def>Adapted to, or used in, vibratory motion; having the power of vibrating; vibratory; <as>as, the <ex>vibratile</ex> organs of insects</as>.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vibratility</ent><br/
<hw>Vi`bra*til"i*ty</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[Cf. F. <ets>vibratilit<eacute/</ets>.]</ety> <def>The quality or state of being vibratile; disposition to vibration or oscillation.</def> <rj><au>Rush.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vibration</ent><br/
<hw>Vi*bra"tion</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[L. <ets>vibratio</ets>: cf. F. <ets>vibration</ets>.]</ety> <sn>1.</sn> <def>The act of vibrating, or the state of being vibrated, or in vibratory motion; quick motion to and fro; oscillation, as of a pendulum or musical string.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>As a harper lays his open palm<br/
Upon his harp, to deaden its <qex>vibrations</qex>.</q> <rj><qau>Longfellow.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <fld>(Physics)</fld> <def>A limited reciprocating motion of a particle of an elastic body or medium in alternately opposite directions from its position of equilibrium, when that equilibrium has been disturbed, as when a stretched cord or other body produces musical notes, or particles of air transmit sounds to the ear. The path of the particle may be in a straight line, in a circular arc, or in any curve whatever.</def><br/
[<source>1913 Webster</source>]</p>
<p><note><hand/ <xex>Vibration</xex> and <xex>oscillation</xex> are both used, in mechanics, of the swinging, or rising and falling, motion of a suspended or balanced body; the latter term more appropriately, as signifying such motion produced by gravity, and of any degree of slowness, while the former applies especially to the quick, short motion to and fro which results from elasticity, or the action of molecular forces among the particles of a body when disturbed from their position of rest, as in a spring.</note><br/
[<source>1913 Webster</source>]</p>
<p><cs><col><b>Amplitude of vibration</b></col>, <cd>the maximum displacement of a vibrating particle or body from its position of rest.</cd> -- <col><b>Phase of vibration</b></col>, <cd>any part of the path described by a particle or body in making a complete vibration, in distinction from other parts, as while moving from one extreme to the other, or on one side of the line of rest, in distinction from the opposite. Two particles are said to be in the same <xex>phase</xex> when they are moving in the same direction and with the same velocity, or in corresponding parts of their paths.</cd></cs><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vibratiuncle</ent><br/
<hw>Vi*bra"ti*un`cle</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[Dim. of <ets>vibration</ets>.]</ety> <def>A small vibration.</def> <mark>[R.]</mark> <rj><au>Chambers.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vibrative</ent><br/
<hw>Vi"bra*tive</hw> <pr>(?)</pr>, <pos>a.</pos> <def>Vibrating; vibratory.</def> <ldquo/A <xex>vibrative</xex> motion.<rdquo/ <rj><au>Sir I. Newton.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vibrator</ent><br/
<hw>Vi"bra*tor</hw> <pr>(?)</pr>, <pos>n.</pos> <def>One that vibrates, or causes vibration or oscillation of any kind;</def> <specif>specif.</specif> <sd>(a)</sd> <fld>(Elec.)</fld> (1) <def>A trembler, as of an electric bell.</def> (2) <def>A vibrating reed for transmitting or receiving pulsating currents in a harmonic telegraph system.</def> (3) <def>A device for vibrating the pen of a siphon recorder to diminish frictional resistance on the paper.</def> (4) <def>An oscillator.</def> <sd>(b)</sd> <def>An ink-distributing roller in a printing machine, having an additional vibratory motion.</def> <sd>(a)</sd> <fld>(Music)</fld> <def>A vibrating reed, esp. in a reed organ.</def> <sd>(d)</sd> <fld>(Weaving)</fld> <def>Any of various vibrating devices, as one for slackening the warp as a shed opens.</def> <sd>(e)</sd> <def>An attachment, usually pneumatic, in a molding machine to shake the pattern loose.</def> <sd>(f)</sd> <def>a small electrical device held in the hand, with a motor that causes the device and hand to vibrate, and is used for vibratory massage.</def><br/
[<source>Webster 1913 Suppl.</source> <source>+PJC</source>]</p>
<p><ent>Vibratory</ent><br/
<hw>Vi"bra*to*ry</hw> <pr>(?)</pr>, <pos>a.</pos> <ety>[Cf. F. <ets>vibratoire</ets>.]</ety> <def>Consisting in, or causing, vibration, or oscillation; vibrating; <as>as, a <ex>vibratory</ex> motion; a <ex>vibratory</ex> power</as>.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vibrio</ent><br/
||<hw>Vib"ri*o</hw> <pr>(?)</pr>, <pos>prop. n.</pos>; <plu><it>pl.</it> E. <plw>Vibrios</plw> <pr>(#)</pr>, L. <plw>Vibriones</plw> <pr>(#)</pr>.</plu> <ety>[NL., fr. L. <ets>vibrare</ets> to vibrate, to move by undulations.]</ety> <fld>(Biol.)</fld> <def>A genus of motile bacteria characterized by short, slightly sinuous filaments and an undulatory motion; also, an individual of this genus.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vibrissa</ent><br/
||<hw>Vi*bris"sa</hw> <pr>(?)</pr>, <pos>n.</pos>; <plu><it>pl.</it> <plw>Vibrissae</plw> <pr>(#)</pr>.</plu> <ety>[L. <ets>vibrissae</ets>, pl., the hairs in the nostrils of man, fr. <ets>vibrare</ets> to vibrate; -- so called because touching them tickles a person, and causes him to shake his head.]</ety> <sn>1.</sn> <fld>(Anat.)</fld> <def>One of the specialized or tactile hairs which grow about the nostrils, or on other parts of the face, in many animals, as the so-called whiskers of the cat, and the hairs of the nostrils of man.</def><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <fld>(Zool.)</fld> <def>The bristlelike feathers near the mouth of many birds.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vibrograph</ent><br/
<hw>Vi"bro*graph</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[<ets>Vibrate</ets> + <ets>-graph</ets>.]</ety> <def>An instrument to observe and record vibrations.</def><br/
[<source>Webster 1913 Suppl.</source>]</p>
<p><ent>Vibroscope</ent><br/
<hw>Vi"bro*scope</hw> <pr>(?)</pr>, <pos>n.</pos> <sn>1.</sn> <def>An instrument for observing or tracing vibrations.</def><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <def>An instrument resembling the phenakistoscope.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Viburnum</ent><br/
||<hw>Vi*bur"num</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[L., the wayfaring tree.]</ety> <fld>(Bot.)</fld> <def>A genus of shrubs having opposite, petiolate leaves and cymose flowers, several species of which are cultivated as ornamental plants, as the laurestine and the guelder-rose.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vicar</ent><br/
<hw>Vic"ar</hw> <pr>(v<icr/k"<etil/r)</pr>, <pos>n.</pos> <ety>[OE. <ets>vicar</ets>, <ets>viker</ets>, <ets>vicair</ets>, F. <ets>vicaire</ets>, fr. L. <ets>vicarius</ets>. See <er>Vicarious</er>.]</ety> <sn>1.</sn> <def>One deputed or authorized to perform the functions of another; a substitute in office; a deputy.</def> <mark>[R.]</mark><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <fld>(Eng. Eccl. Law)</fld> <def>The incumbent of an appropriated benefice.</def><br/
[<source>1913 Webster</source>]</p>
<p><note><hand/ The distinction between a <xex>parson</xex> [or <xex>rector</xex>] and <xex>vicar</xex> is this: The <xex>parson</xex> has, for the most part, the whole right to the ecclesiastical dues in his parish; but a <xex>vicar</xex> has generally an appropriator over him, entitled to the best part of the profits, to whom he is in fact perpetual curate with a standing salary. <rj><au>Burrill.</au></rj>
</note><br/
[<source>1913 Webster</source>]</p>
<p><cs><mcol><col><b>Apostolic vicar</b></col>, <it>or</it> <col><b>Vicar apostolic</b></col></mcol>. <fld>(R. C. Ch.)</fld> <sd>(a)</sd> <cd>A bishop to whom the Roman pontiff delegates a portion of his jurisdiction.</cd> <sd>(b)</sd> <cd>Any ecclesiastic acting under a papal brief, commissioned to exercise episcopal authority.</cd> <sd>(c)</sd> <cd>A titular bishop in a country where there is no episcopal see, or where the succession has been interrupted.</cd> -- <col><b>Vicar forane</b></col>. <ety>[Cf. LL. <ets>foraneus</ets> situated outside of the episcopal city, rural. See <er>Vicar</er>, and <er>Foreign</er>.]</ety> <fld>(R. C. Ch.)</fld> <cd>A dignitary or parish priest appointed by a bishop to exercise a limited jurisdiction in a particular town or district of a diocese.</cd> <au>Addis & Arnold.</au> -- <col><b>Vicar-general</b></col>. <sd>(a)</sd> <fld>(Ch. of Eng.)</fld> <cd>The deputy of the <person>Archbishop of Canterbury</person> or York, in whose court the bishops of the province are confirmed.</cd> <au>Encyc. Brit.</au> <sd>(b)</sd> <fld>(R. C. Ch.)</fld> <cd>An assistant to a bishop in the discharge of his official functions.</cd> -- <col><b>Vicar of Jesus Christ</b></col> <fld>(R. C. Ch.)</fld>, <cd>the pope as representing Christ on earth.</cd></cs><br/
[<source>1913 Webster</source>]</p>
<p><-- p. 1608 pr=PI --><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vicarage</ent><br/
<hw>Vic"ar*age</hw> <pr>(v<icr/k"<etil/r*<asl/j; 48)</pr>, <pos>n.</pos> <sn>1.</sn> <def>The benefice of a vicar.</def><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <def>The house or residence of a vicar.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vicarial</ent><br/
<hw>Vi*ca"ri*al</hw> <pr>(v<isl/*k<amac/"<icr/*<ait/l)</pr>, <pos>a.</pos> <ety>[Cf. F. <ets>vicarial</ets>.]</ety> <sn>1.</sn> <def>Of or pertaining to a vicar; <as>as, <ex>vicarial</ex> tithes</as>.</def><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <def>Delegated; vicarious; <as>as, <ex>vicarial</ex> power</as>.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vicarian</ent><br/
<hw>Vi*ca"ri*an</hw> <pr>(?)</pr>, <pos>n.</pos> <def>A vicar.</def> <mark>[Obs.]</mark> <rj><au>Marston.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vicariate</ent><br/
<hw>Vi*ca"ri*ate</hw> <pr>(?)</pr>, <pos>a.</pos> <def>Having delegated power, as a vicar; vicarious.</def> <rj><au>Barrow.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vicariate</ent><br/
<hw>Vi*ca"ri*ate</hw>, <pos>n.</pos> <ety>[LL. <ets>vicariatus</ets>, or F. <ets>vicariat</ets>.]</ety> <def>Delegated office or power; vicarship; the office or oversight of a vicar.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>The <qex>vicariate</qex> of that part of Germany which is governed by the Saxon laws devolved on the elector of Saxony.</q> <rj><qau>Robertson.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vicarious</ent><br/
<hw>Vi*ca"ri*ous</hw> <pr>(v<isl/*k<amac/"<icr/*<ucr/s)</pr>, <pos>a.</pos> <ety>[L. <ets>vicarius</ets>, from <ets>vicis</ets> change, alternation, turn, the position, place, or office of one person as assumed by another; akin to Gr. <grk>e'i`kein</grk> to yield, give way, G. <ets>wechsel</ets> a change, and probably also to E. <ets>weak</ets>. See <er>Weak</er>, and cf. <er>Vice</er>, <pos>prep.</pos>]</ety> <sn>1.</sn> <def>Of or pertaining to a vicar, substitute, or deputy; deputed; delegated; <as>as, <ex>vicarious</ex> power or authority</as>.</def><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <def>Acting or suffering for another; <as>as, a <ex>vicarious</ex> agent or officer</as>.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>The soul in the body is but a subordinate efficient, and <qex>vicarious</qex> . . . in the hands of the Almighty.</q> <rj><qau>Sir M. Hale.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>3.</sn> <def>Performed ,experienced, or suffered in the place of another; substituted; <as>as, a <ex>vicarious</ex> sacrifice; <ex>vicarious</ex> punishment; <ex>vicarious</ex> pleasure</as>.</def><br/
[<source>1913 Webster</source> + <source>PJC</source>]</p>
<p><q>The <qex>vicarious</qex> work of the Great Deliverer.</q> <rj><qau>I. Taylor.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>4.</sn> <fld>(Med.)</fld> <def>Acting as a substitute; -- said of abnormal action which replaces a suppressed normal function; <as>as, <ex>vicarious</ex> hemorrhage replacing menstruation</as>.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vicariously</ent><br/
<hw>Vi*ca"ri*ous*ly</hw>, <pos>adv.</pos> <def>In a vicarious manner.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vicarship</ent><br/
<hw>Vic"ar*ship</hw> <pr>(?)</pr>, <pos>n.</pos> <def>The office or dignity of a vicar.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vicary</ent><br/
<hw>Vic"ar*y</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[L. <ets>vicarius</ets>.]</ety> <def>A vicar.</def> <mark>[Obs.]</mark><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vice</ent><br/
<hw>Vice</hw> <pr>(v<imac/s)</pr>, <pos>n.</pos> <ety>[F., from L. <ets>vitium</ets>.]</ety> <sn>1.</sn> <def>A defect; a fault; an error; a blemish; an imperfection; <as>as, the <ex>vices</ex> of a political constitution; the <ex>vices</ex> of a horse</as>.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>Withouten <qex>vice</qex> of syllable or letter.</q> <rj><qau>Chaucer.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><q>Mark the <qex>vice</qex> of the procedure.</q> <rj><qau>Sir W. Hamilton.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <def>A moral fault or failing; especially, immoral conduct or habit, as in the indulgence of degrading appetites; customary deviation in a single respect, or in general, from a right standard, implying a defect of natural character, or the result of training and habits; a harmful custom; immorality; depravity; wickedness; <as>as, a life of <ex>vice</ex>; the <ex>vice</ex> of intemperance.</as></def><br/
[<source>1913 Webster</source>]</p>
<p><q>I do confess the <qex>vices</qex> of my blood.</q> <rj><qau>Shak.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><q>Ungoverned appetite . . . a brutish <qex>vice</qex>.</q> <rj><qau>Milton.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><q>When <qex>vice</qex> prevails, and impious men bear sway,<br/
The post of honor is a private station.</q> <rj><qau>Addison.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>3.</sn> <def>The buffoon of the old English moralities, or moral dramas, having the name sometimes of one vice, sometimes of another, or of <xex>Vice</xex> itself; -- called also <altname>Iniquity</altname>.</def><br/
[<source>1913 Webster</source>]</p>
<p><note><hand/ This character was grotesquely dressed in a cap with ass's ears, and was armed with a dagger of lath: one of his chief employments was to make sport with the Devil, leaping on his back, and belaboring him with the dagger of lath till he made him roar. The Devil, however, always carried him off in the end.</note> <rj><au>Nares.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><q>How like you the <qex>Vice</qex> in the play?<br/
. . . I would not give a rush for a <qex>Vice</qex> that has not a wooden dagger to snap at everybody.</q> <rj><qau>B. Jonson.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><syn><b>Syn.</b> -- Crime; sin; iniquity; fault. See <er>Crime</er>.</syn><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vice</ent><br/
<hw>Vice</hw>, <pos>n.</pos> <ety>[See <er>Vise</er>.]</ety> <sn>1.</sn> <fld>(Mech.)</fld> <def>A kind of instrument for holding work, as in filing. Same as <er>Vise</er>.</def><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <def>A tool for drawing lead into cames, or flat grooved rods, for casements.</def> <altsp>[Written also <asp>vise</asp>.]</altsp><br/
[<source>1913 Webster</source>]</p>
<p><sn>3.</sn> <def>A gripe or grasp.</def> <mark>[Obs.]</mark> <rj><au>Shak.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vice</ent><br/
<hw>Vice</hw>, <pos>v. t.</pos> <vmorph>[<pos>imp. & p. p.</pos> <conjf>Viced</conjf> <pr>(?)</pr>; <pos>p. pr. & vb. n.</pos> <conjf>Vicing</conjf> <pr>(?)</pr>.]</vmorph> <def>To hold or squeeze with a vice, or as if with a vice.</def> <rj><au>Shak.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><q>The coachman's hand was <qex>viced</qex> between his upper and lower thigh.</q> <rj><qau>De Quincey.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vice</ent><br/
||<hw>Vi"ce</hw> <pr>(?)</pr>, <pos>prep.</pos> <ety>[L., abl. of <ets>vicis</ets> change, turn. See <er>Vicarious</er>.]</ety> <def>In the place of; in the stead; <as>as, A. B. was appointed postmaster <ex>vice</ex> C. D. resigned</as>.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vice</ent><br/
<hw>Vice</hw> <pr>(?)</pr>, <pos>a.</pos> <ety>[Cf. F. <ets>vice-</ets>. See <er>Vice</er>, <pos>prep.</pos>]</ety> <def>Denoting one who in certain cases may assume the office or duties of a superior; designating an officer or an office that is second in rank or authority; <as>as, <ex>vice</ex> president; <ex>vice</ex> agent; <ex>vice</ex> consul, etc</as>.</def><br/
[<source>1913 Webster</source>]</p>
<p><cs><col><b>Vice admiral</b></col>. <ety>[Cf. F. <ets>vice-amiral</ets>.]</ety> <sd>(a)</sd> <cd>An officer holding rank next below an admiral. By the existing laws, the rank of admiral and vice admiral in the United States Navy will cease at the death of the present incumbents.</cd> <sd>(b)</sd> <cd>A civil officer, in Great Britain, appointed by the lords commissioners of the admiralty for exercising admiralty jurisdiction within their respective districts.</cd> -- <col><b>Vice admiralty</b></col>, <cd>the office of a vice admiral.</cd> -- <col><b>Vice-admiralty court</b></col>, <cd>a court with admiralty jurisdiction, established by authority of Parliament in British possessions beyond the seas.</cd> <au>Abbott.</au> -- <col><b>Vice chamberlain</b></col>, <cd>an officer in court next in rank to the lord chamberlain.</cd> <mark>[Eng.]</mark> -- <col><b>Vice chancellor</b></col>. <sd>(a)</sd> <fld>(Law)</fld> <cd>An officer next in rank to a chancellor.</cd> <sd>(b)</sd> <cd>An officer in a university, chosen to perform certain duties, as the conferring of degrees, in the absence of the chancellor.</cd> <sd>(c)</sd> <fld>(R. C. Ch.)</fld> <cd>The cardinal at the head of the Roman Chancery.</cd> -- <col><b>Vice consul</b></col> <ety>[cf. F. <ets>vice-consul</ets>]</ety>, <cd>a subordinate officer, authorized to exercise consular functions in some particular part of a district controlled by a consul.</cd> -- <col><b>Vice king</b></col>, <cd>one who acts in the place of a king; a viceroy.</cd> -- <col><b>Vice legate</b></col> <ety>[cf. F. <ets>vice-l<eacute/gat</ets>]</ety>, <cd>a legate second in rank to, or acting in place of, another legate.</cd> -- <col><b>Vice presidency</b></col>, <cd>the office of vice president.</cd> -- <col><b>Vice president</b></col> <ety>[cf. F. <ets>vice-pr<eacute/sident</ets>]</ety>, <cd>an officer next in rank below a president.</cd></cs><br/
[<source>1913 Webster</source>]</p>
<p><ent>Viced</ent><br/
<hw>Viced</hw> <pr>(?)</pr>, <pos>a.</pos> <def>Vicious; corrupt.</def> <mark>[Obs.]</mark> <rj><au>Shak.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vicegerency</ent><br/
<hw>Vice*ge"ren*cy</hw> <pr>(?)</pr>, <pos>n.</pos> <def>The office of a vicegerent.</def> <rj><au>South.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vicegerent</ent><br/
<hw>Vice*ge"rent</hw> <pr>(?)</pr>, <pos>a.</pos> <ety>[<ets>Vice</ets>, a. + <ets>gerent</ets>: cf. F. <ets>vice-g<eacute/rant</ets>.]</ety> <def>Having or exercising delegated power; acting by substitution, or in the place of another.</def> <rj><au>Milton.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vicegerent</ent><br/
<hw>Vice*ge"rent</hw>, <pos>n.</pos> <def>An officer who is deputed by a superior, or by proper authority, to exercise the powers of another; a lieutenant; a vicar.</def> <rj><au>Bacon.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><q>The symbol and <qex>vicegerent</qex> of the Deity.</q> <rj><qau>C. A. Young.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Viceman</ent><br/
<hw>Vice"man</hw> <pr>(?)</pr>, <pos>n.</pos>; <plu><it>pl.</it> <plw>Vicemen</plw> <pr>(<?/)</pr>.</plu> <def>A smith who works at the vice instead of at the anvil.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vicenary</ent><br/
<hw>Vic"e*na*ry</hw> <pr>(?; 277)</pr>, <pos>a.</pos> <ety>[L. <ets>vicenarius</ets>, fr. <ets>viceni</ets> twenty each; akin to <ets>viginti</ets> twenty.]</ety> <def>Of or pertaining to twenty; consisting of twenty.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vicennial</ent><br/
<hw>Vi*cen"ni*al</hw> <pr>(?)</pr>, <pos>a.</pos> <ety>[L. <ets>vicennium</ets> a period of twenty years; <ets>viceni</ets> twenty + <ets>annus</ets> year.]</ety> <sn>1.</sn> <def>Lasting or comprising twenty years.</def><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <def>Happening once in twenty years; <as>as, a <ex>vicennial</ex> celebration</as>.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vice-regal</ent><br/
<hw>Vice`-re"gal</hw> <pr>(?)</pr>, <pos>a.</pos> <def>Of or pertaining to a viceroy or viceroyalty.</def> <rj><au>Macaulay.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Viceroy</ent><br/
<hw>Vice"roy</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[F. <ets>vice-roi</ets>; pref. <ets>vice-</ets> in the place of (L. <ets>vice</ets>) + <ets>roi</ets> a king, L. <ets>rex</ets>. See <er>Vice</er>, <pos>prep.</pos> and Royal.]</ety> <sn>1.</sn> <def>The governor of a country or province who rules in the name of the sovereign with regal authority, as the king's substitute; <as>as, the <ex>viceroy</ex> of India</as>.</def><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <fld>(Zool.)</fld> <def>A large and handsome American butterfly (<spn>Basilarchia archippus</spn> syn. <spn>Limenitis archippus</spn>). Its wings are orange-red, with black lines along the nervures and a row of white spots along the outer margins. The larvae feed on willow, poplar, and apple trees.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Viceroyalty</ent><br/
<hw>Vice*roy"al*ty</hw> <pr>(?)</pr>, <pos>n.</pos> <def>The dignity, office, or jurisdiction of a viceroy.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Viceroyship</ent><br/
<hw>Vice"roy*ship</hw> <pr>(?)</pr>, <pos>n.</pos> <def>Viceroyalty.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vicety</ent><br/
<hw>Vi"ce*ty</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[From <er>Vice</er> a fault.]</ety> <def>Fault; defect; coarseness.</def> <mark>[Obs.]</mark> <rj><au>B. Jonson.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vichy water</ent><br/
<hw>Vi"chy wa`ter</hw> <pr>(?)</pr>, <pos>prop. n.</pos> <def>A mineral water found at <etsep>Vichy</etsep>, France. It is essentially an effervescent <isa>aqueous solution</isa> of sodium, calcium, and magnesium carbonates, with sodium and potassium chlorides; also, by extension, any artificial or natural water resembling in composition the Vichy water proper. Called also, colloquially, <altname>Vichy</altname>.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Viciate</ent><br/
<hw>Vi"ci*ate</hw> <pr>(?)</pr>, <pos>v. t.</pos> <def>See <er>Vitiate</er>.</def> <mark>[R.]</mark><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vicinage</ent><br/
<hw>Vic"i*nage</hw> <pr>(?; 48)</pr>, <pos>n.</pos> <ety>[OF. <ets>veisinage</ets>, F. <ets>voisinage</ets>, from OF. <ets>veisin</ets>, F. <ets>voisin</ets>, neighboring, a neighbor, L. <ets>vicinus</ets>. See <er>Vicinity</er>.]</ety> <def>The place or places adjoining or near; neighborhood; vicinity; <as>as, a jury must be of the <ex>vicinage</ex></as>.</def> <ldquo/To summon the Protestant gentleman of the <xex>vicinage</xex>.<rdquo/ <rj><au>Macaulay.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><q>Civil war had broken up all the usual ties of <qex>vicinage</qex> and good neighborhood.</q> <rj><qau>Sir W. Scott.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vicinal</ent><br/
<hw>Vic"i*nal</hw> <pr>(?; 277)</pr>, <pos>a.</pos> <ety>[L. <ets>vicinalis</ets>: cf. F. <ets>vicinal</ets>.]</ety> <sn>1.</sn> <def>Near; vicine.</def> <rj><au>T. Warton.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <fld>(Organic Chem.)</fld> <def>Having the substituted groups on the same carbon atom.</def><br/
[<source>PJC</source>]</p>
<p><cs><col><b>Vicinal planes</b></col> <fld>(Min.)</fld>, <cd>subordinate planes on a crystal, which are very near to the fundamental planes in angles, and sometimes take their place. They have in general very complex symbols.</cd></cs><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vicine</ent><br/
<hw>Vic"ine</hw> <pr>(?)</pr>, <pos>a.</pos> <ety>[L. <ets>vicinus</ets>: cf. F. <ets>voisin</ets>.]</ety> <def>Near; neighboring; vicinal.</def> <mark>[R.]</mark> <rj><au>Glanvill.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vicine</ent><br/
<hw>Vic"ine</hw> <pr>(?)</pr>, <pos>n.</pos> <fld>(Chem.)</fld> <def>An <isa>alkaloid</isa> extracted from the seeds of the vetch (<prodby><spn>Vicia sativa</spn></prodby>) as a white crystalline substance.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vicinity</ent><br/
<hw>Vi*cin"i*ty</hw> <pr>(v<icr/*s<icr/n"<icr/*t<ycr/; 277)</pr>, <pos>n.</pos> <ety>[L. <ets>vicinitas</ets>, from <ets>vicinus</ets> neighboring, near, from <ets>vicus</ets> a row of houses, a village; akin to Gr. <grk>o'i^kos</grk> a house, Skr. <ets>v<emac/<cced/a</ets> a house, <ets>vi<cced/</ets> to enter, Goth. <ets>weihs</ets> town: cf. OF. <ets>vicinit<eacute/</ets>. Cf. <er>Diocese</er>, <er>Economy</er>, <er>Parish</er>, <er>Vicinage</er>, <er>Wick</er> a village.]</ety><br/
[<source>1913 Webster</source>]</p>
<p><sn>1.</sn> <def>The quality or state of being near, or not remote; nearness; propinquity; proximity; <as>as, the value of the estate was increased by the <ex>vicinity</ex> of two country seats</as>.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>A <qex>vicinity</qex> of disposition and relative tempers.</q> <rj><qau>Jer. Taylor.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <def>That which is near, or not remote; that which is adjacent to anything; adjoining space or country; neighborhood.</def> <ldquo/The <xex>vicinity</xex> of the sun.<rdquo/ <rj><au>Bentley.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><syn><b>Syn.</b> -- Neighborhood; vicinage. See <er>Neighborhood</er>.</syn><br/
[<source>1913 Webster</source>]</p>
<p><ent>Viciosity</ent><br/
<hw>Vi`ci*os"i*ty</hw> <pr>(?)</pr>, <pos>n.</pos> <def>Vitiosity.</def> <mark>[R.]</mark><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vicious</ent><br/
<hw>Vi"cious</hw> <pr>(?)</pr>, <pos>a.</pos> <ety>[OF. <ets>vicious</ets>, F. <ets>vicieux</ets>, fr. L. <ets>vitiosus</ets>, fr. <ets>vitium</ets> vice. See <er>Vice</er> a fault.]</ety> <sn>1.</sn> <def>Characterized by vice or defects; defective; faulty; imperfect.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>Though I perchance am <qex>vicious</qex> in my guess.</q> <rj><qau>Shak.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><q>The title of these lords was <qex>vicious</qex> in its origin.</q> <rj><qau>Burke.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><q>A charge against Bentley of <qex>vicious</qex> reasoning.</q> <rj><qau>De Quincey.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <def>Addicted to vice; corrupt in principles or conduct; depraved; wicked; <as>as, <ex>vicious</ex> children; <ex>vicious</ex> examples; <ex>vicious</ex> conduct</as>.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>Who . . . heard this heavy curse,<br/
Servant of servants, on his <qex>vicious</qex> race.</q> <rj><qau>Milton.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>3.</sn> <def>Wanting purity; foul; bad; noxious; <as>as, <ex>vicious</ex> air, water, etc</as>.</def> <rj><au>Dryden.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>4.</sn> <def>Not correct or pure; corrupt; <as>as, <ex>vicious</ex> language; <ex>vicious</ex> idioms</as>.</def><br/
[<source>1913 Webster</source>]</p>
<p><sn>5.</sn> <def>Not well tamed or broken; given to bad tricks; unruly; refractory; <as>as, a <ex>vicious</ex> horse</as>.</def><br/
[<source>1913 Webster</source>]</p>
<p><sn>6.</sn> <def>Bitter; spiteful; malignant.</def> <mark>[Colloq.]</mark><br/
[<source>1913 Webster</source>]</p>
<p><syn><b>Syn.</b> -- Corrupt; faulty; wicked; depraved.</syn><br/
[<source>1913 Webster</source>]</p>
<p>-- <wordforms><wf>Vi"cious*ly</wf>, <pos>adv.</pos> -- <wf>Vi"cious*ness</wf>, <pos>n.</pos></wordforms><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vicissitude</ent><br/
<hw>Vi*cis"si*tude</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[L. <ets>vicissitudo</ets>, fr. <ets>vicis</ets> change, turn: cf. F. <ets>vicissitude</ets>. See <er>Vicarious</er>.]</ety><br/
[<source>1913 Webster</source>]</p>
<p><sn>1.</sn> <def>Regular change or succession from one thing to another; alternation; mutual succession; interchange.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>God made two great lights . . . <br/
To illuminate the earth and rule the day<br/
In their <qex>vicissitude</qex>, and rule the night.</q> <rj><qau>Milton.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <def>Irregular change; revolution; mutation.</def><br/
[<source>1913 Webster</source>]</p>
<p><sn>3.</sn> (<it>pl.</it>) <def>Changing conditions of fortune in one's life; life's ups and downs.</def><br/
[<source>PJC</source>]</p>
<p><q>This man had, after many <qex>vicissitudes</qex> of fortune, sunk at last into abject and hopeless poverty.</q> <rj><qau>Macaulay.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vicissitudinary</ent><br/
<hw>Vi*cis`si*tu"di*na*ry</hw> <pr>(?)</pr>, <pos>a.</pos> <def>Subject to vicissitudes.</def> <rj><au>Donne.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vicissitudinous</ent><br/
<hw>Vi*cis`si*tu"di*nous</hw> <pr>(?)</pr>, <pos>a.</pos> <def>Full of, or subject to, changes.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vicissy duck</ent><br/
<hw>Vi*cis"sy duck`</hw> <pr>(?)</pr>. <fld>(Zool.)</fld> <def>A West Indian duck, sometimes domesticated.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vickers' gun</ent><br/
<hw>Vick"ers' gun</hw> <pr>(?)</pr>. <fld>(Ordnance)</fld> <def>One of a system of guns manufactured by the firm of Vickers' Sons, at Sheffield, Eng. now included in <isa>Vickers-Maxim guns</isa>.</def><br/
[<source>Webster 1913 Suppl.</source>]</p>
<p><ent>Vickers-Maxim automatic machine gun</ent><br/
<hw>Vick"ers-Max"im automatic machine gun</hw>. <def>An automatic machine gun in which the mechanism is worked by the recoil, assisted by the pressure of gases from the muzzle, which expand in a gas chamber against a disk attached to the end of the barrel, thus moving the latter to the rear with increased recoil, and against the front wall of the gas chamber, checking the recoil of the system.</def><br/
[<source>Webster 1913 Suppl.</source>]</p>
<p><ent>Vickers-Maxim gun</ent><br/
<hw>Vickers-Maxim gun</hw>. <fld>(Ordnance)</fld> <def>One of a system of ordnance, including machine, quick-fire, coast, and field guns, of all calibers, manufactured by the combined firms of Vickers' Sons of Sheffield and Maxim of Birmingham and elsewhere, England.</def><br/
[<source>Webster 1913 Suppl.</source>]</p>
<p><ent>Vicontiel</ent><br/
<hw>Vi*con"ti*el</hw> <pr>(?)</pr>, <pos>a.</pos> <ety>[From OE. <ets>vicounte</ets> a viscount. See <er>Viscount</er>.]</ety> <fld>(O. Eng. Law)</fld> <def>Of or pertaining to the viscount or sheriff of a county.</def><br/
[<source>1913 Webster</source>]</p>
<p><cs><col><b>Vicontiel rents</b></col>. <cd>See <er>Vicontiels</er>.</cd> -- <col><b>Vicontiel writs</b></col>, <cd>such writs as were triable in the sheriff, or county, court.</cd></cs><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vicontiels</ent><br/
<hw>Vi*con"ti*els</hw> <pr>(?)</pr>, <pos>n. pl.</pos> <ety>[See <er>Vicontiel</er>.]</ety> <fld>(O. Eng. Law)</fld> <def>Things belonging to the sheriff; especially, farms (called also <altname>vicontiel rents</altname>) for which the sheriff used to pay rent to the king.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vicount</ent><br/
<hw>Vi"count</hw> <pr>(?)</pr>, <pos>n.</pos> <def>See <er>Viscount</er>.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Victim</ent><br/
<hw>Vic"tim</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[L. <ets>victima</ets>: cf. F. <ets>victime</ets>.]</ety> <sn>1.</sn> <def>A living being sacrificed to some deity, or in the performance of a religious rite; a creature immolated, or made an offering of.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>Led like a <qex>victim</qex>, to my death I'll go.</q> <rj><qau>Dryden.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <def>A person or thing destroyed or sacrificed in the pursuit of an object, or in gratification of a passion; <as>as, a <ex>victim</ex> to jealousy, lust, or ambition</as>.</def><br/
[<source>1913 Webster</source>]</p>
<p><sn>3.</sn> <def>A person or living creature destroyed by, or suffering grievous injury from, another, from fortune or from accident; <as>as, the <ex>victim</ex> of a defaulter; the <ex>victim</ex> of a railroad accident</as>.</def><br/
[<source>1913 Webster</source>]</p>
<p><sn>4.</sn> <def>Hence, one who is duped, or cheated; a dupe; a gull.</def> <mark>[Colloq.]</mark><br/
[<source>1913 Webster</source>]</p>
<p><ent>Victimate</ent><br/
<hw>Vic"tim*ate</hw>, <pos>v. t.</pos> <ety>[L. <ets>victimatus</ets>, p. p. of <ets>victimare</ets> to sacrifice.]</ety> <def>To make a victim of; to sacrifice; to immolate.</def> <mark>[Obs.]</mark> <rj><au>Bullokar.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Victimize</ent><br/
<hw>Vic"tim*ize</hw> <pr>(?)</pr>, <pos>v. t.</pos> <vmorph>[<pos>imp. & p. p.</pos> <conjf>Victimized</conjf> <pr>(?)</pr>; <pos>p. pr. & vb. n.</pos> <conjf>Victimizing</conjf> <pr>(?)</pr>.]</vmorph> <def>To make a victim of, esp. by deception; to dupe; to cheat.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Victor</ent><br/
<hw>Vic"tor</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[L. <ets>victor</ets>, fr. <ets>vincere</ets>, <ets>victum</ets>, to vanquish, to conquer. See <er>Vanquish</er>.]</ety> <sn>1.</sn> <def>The winner in a contest; one who gets the better of another in any struggle; esp., one who defeats an enemy in battle; a vanquisher; a conqueror; -- often followed by <ptcl>at</ptcl>, rarely by <ptcl>of</ptcl>.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>In love, the <qex>victors</qex> from the vanquished fly;<br/
They fly that wound, and they pursue that die.</q> <rj><qau>Waller.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <def>A destroyer.</def> <mark>[R. & Poetic]</mark><br/
[<source>1913 Webster</source>]</p>
<p><q>There, <qex>victor</qex> of his health, of fortune, friends,<br/
And fame, this lord of useless thousands ends.</q> <rj><qau>Pope.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Victor</ent><br/
<hw>Vic"tor</hw>, <pos>a.</pos> <def>Victorious.</def> <ldquo/The <xex>victor</xex> Greeks.<rdquo/ <rj><au>Pope.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Victoress</ent><br/
<hw>Vic"tor*ess</hw> <pr>(?)</pr>, <pos>n.</pos> <def>A victress.</def> <mark>[Obs.]</mark> <rj><au>Spenser.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Victoria</ent><br/
<hw>Vic*to"ri*a</hw> <pr>(?)</pr>, <pos>prop. n.</pos> <ety>[NL.]</ety> <sn>1.</sn> <fld>(Bot.)</fld> <def>A genus of aquatic plants named in honor of Queen <etsep>Victoria</etsep>. The <spn>Victoria regia</spn> is a native of <country>Guiana</country> and <country>Brazil</country>. Its large, spreading leaves are often over five feet in diameter, and have a rim from three to five inches high; its immense rose-white flowers sometimes attain a diameter of nearly two feet.</def><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <def>A kind of low four-wheeled pleasure carriage, with a calash top, designed for two persons and the driver who occupies a high seat in front.</def><br/
[<source>1913 Webster</source>]</p>
<p><sn>3.</sn> <fld>(Astron.)</fld> <def>An asteroid discovered by Hind in 1850; -- called also <altname>Clio</altname>.</def><br/
[<source>1913 Webster</source>]</p>
<p><sn>4.</sn> <def>One of an American breed of medium-sized white hogs with a slightly dished face and very erect ears.</def><br/
[<source>Webster 1913 Suppl.</source>]</p>
<p><cs><col><b>Victoria cross</b></col>, <cd>a bronze Maltese cross, awarded for valor to members of the British army or navy. It was first bestowed in 1857, at the close of the Crimean war. The recipients also have a pension of <pound/10 a year.</cd> -- <col><b>Victoria green</b></col>. <fld>(Chem.)</fld> <cd>See <cref>Emerald green</cref>, under <er>Green</er>.</cd> -- <col><b>Victoria lily</b></col> <fld>(Bot.)</fld>, <cd>the <cref>Victoria regia</cref>. See def. 1, above.</cd></cs><br/
[<source>1913 Webster</source>]</p>
<p><ent>Victoria crape</ent><br/
<hw>Victoria crape</hw>. <def>A kind of cotton crape.</def><br/
[<source>Webster 1913 Suppl.</source>]</p>
<p><ent>Victorian</ent><br/
<hw>Vic*to"ri*an</hw> <pr>(?)</pr>, <pos>a.</pos> <def>Of or pertaining to the reign of <person>Queen Victoria</person> of England; <as>as, the <ex>Victorian</ex> poets</as>.</def><br/
[<source>1913 Webster</source>]</p>
<p><cs><col><b>Victorian period</b></col>. <cd>See <cref>Dionysian period</cref>, under <er>Dyonysian</er>.</cd></cs><br/
[<source>1913 Webster</source>]</p>
<p><ent>Victorine</ent><br/
<hw>Vic`tor*ine"</hw> <pr>(?)</pr>, <pos>n.</pos> <def>A woman's fur tippet.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Victorious</ent><br/
<hw>Vic*to"ri*ous</hw> <pr>(?)</pr>, <pos>a.</pos> <ety>[L. <ets>victoriosus</ets>: cf. F. <ets>victorieux</ets>. See <er>Victory</er>.]</ety> <def>Of or pertaining to victory, or a victor; being a victor; bringing or causing a victory; conquering; winning; triumphant; <as>as, a <ex>victorious</ex> general; <ex>victorious</ex> troops; a <ex>victorious</ex> day</as>.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>But I shall rise <qex>victorious</qex>, and subdue<br/
My vanquisher.</q> <rj><qau>Milton.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><q>Now are our brows bound with <qex>victorious</qex> wreaths.</q> <rj><qau>Shak.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p>-- <wordforms><wf>Vic*to"ri*ous*ly</wf>, <pos>adv.</pos> -- <wf>Vic*to"ri*ous*ness</wf>, <pos>n.</pos></wordforms><br/
[<source>1913 Webster</source>]</p>
<p><ent>Victorium</ent><br/
<hw>Vic*to"ri*um</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[NL. So named after <etsep>Victoria</etsep>, queen of Great Britain.]</ety> <fld>(Chem.)</fld> <def>A probable chemical element discovered by Sir William Crookes in 1898. Its nitrate is obtained byy practical decomposition and crystallization of yttrium nitrate. At. wt., about 117.</def><-- ??? not an element, or renamed?? --><br/
[<source>Webster 1913 Suppl.</source>]</p>
<p><ent>Victory</ent><br/
<hw>Vic"to*ry</hw> <pr>(?)</pr>, <pos>n.</pos>; <plu><it>pl.</it> <plw>Victories</plw> <pr>(#)</pr>.</plu> <ety>[OE. <ets>victorie</ets>, OF. <ets>victorie</ets>, <ets>victoire</ets>, F. <ets>victoire</ets>, L. <ets>victoria</ets>. See <er>Victor</er>.]</ety> <def>The defeat of an enemy in battle, or of an antagonist in any contest; a gaining of the superiority in any struggle or competition; conquest; triumph; -- the opposite of <ant>defeat</ant>.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>Death is swallowed up in <qex>victory</qex>.</q> <rj><qau>1 Cor. xv. 54.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><q>God on our side, doubt not of <qex>victory</qex>.</q> <rj><qau>Shak.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><q><qex>Victory</qex> may be honorable to the arms, but shameful to the counsels, of a nation.</q> <rj><qau>Bolingbroke.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Victress</ent><br/
<hw>Vic"tress</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[Cf. L. <ets>victrix</ets>.]</ety> <def>A woman who wins a victory; a female victor.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Victrice</ent><br/
<hw>Vic"trice</hw> <pr>(?)</pr>, <pos>n.</pos> <def>A victress.</def> <mark>[R.]</mark> <rj><au>B. Jonson.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Victrix</ent><br/
<hw>Vic"trix</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[L.]</ety> <def>A victress.</def> <rj><au>C. Bront<eacute/.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Victual</ent><br/
<hw>Vict"ual</hw> <pr>(v<icr/t"'l)</pr>, <pos>n.</pos> <sn>1.</sn> <def>Food; -- now used chiefly in the plural. See <er>Victuals</er>.</def> <rj><au>2 Chron. xi. 23. Shak.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><q>He was not able to keep that place three days for lack of <qex>victual</qex>.</q> <rj><qau>Knolles.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><q>There came a fair-hair'd youth, that in his hand<br/
Bare <qex>victual</qex> for the mowers.</q> <rj><qau>Tennyson.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><q>Short allowance of <qex>victual</qex>.</q> <rj><qau>Longfellow.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <def>Grain of any kind.</def> <mark>[Scot.]</mark> <rj><au>Jamieson.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Victual</ent><br/
<hw>Vict"ual</hw> <pr>(v<icr/t"'l)</pr>, <pos>v. t.</pos> <vmorph>[<pos>imp. & p. p.</pos> <conjf>Victualed</conjf> <pr>(v<icr/t"'ld)</pr> or <conjf>Victualled</conjf>; <pos>p. pr. & vb. n.</pos> <conjf>Victualing</conjf> or <conjf>Victualling</conjf>.]</vmorph> <def>To supply with provisions for subsistence; to provide with food; to store with sustenance; <as>as, to <ex>victual</ex> an army; to <ex>victual</ex> a ship</as>.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>I must go <qex>victual</qex> Orleans forthwith.</q> <rj><qau>Shak.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Victualage</ent><br/
<hw>Vict"ual*age</hw> <pr>(v<icr/t"'l*<asl/j; 48)</pr>, <pos>n.</pos> <def>Victuals; food.</def> <mark>[R.]</mark> <ldquo/With my cargo of <xex>victualage</xex>.<rdquo/ <rj><au>C. Bront<eacute/.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><-- p. 1609 pr=PI --><br/
[<source>1913 Webster</source>]</p>
<p><ent>Victualer</ent><br/
<hw>Vict"ual*er</hw> <pr>(v<icr/t"'l*<etil/r)</pr>, <pos>n.</pos> <ety>[F. <ets>victuailleur</ets>.]</ety> <altsp>[Written also <asp>victualler</asp>.]</altsp> <sn>1.</sn> <def>One who furnishes victuals.</def><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <def>One who keeps a house of entertainment; a tavern keeper; an innkeeper.</def> <rj><au>Shak.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>3.</sn> <def>A vessel employed to carry provisions, usually for military or naval use; a provision ship.</def><br/
[<source>1913 Webster</source>]</p>
<p><sn>4.</sn> <def>One who deals in grain; a corn factor.</def> <mark>[Scot.]</mark><br/
[<source>1913 Webster</source>]</p>
<p><cs><col><b>Licensed victualer</b></col>. <cd>See under <er>Licensed</er>.</cd></cs><br/
[<source>1913 Webster</source>]</p>
<p><ent>Victualing</ent><br/
<hw>Vict"ual*ing</hw>, <pos>a.</pos> <def>Of or pertaining to victuals, or provisions; supplying provisions; <as>as, a <ex>victualing</ex> ship</as>.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Victuals</ent><br/
<hw>Vict"uals</hw> <pr>(v<icr/t"'lz)</pr>, <pos>n. pl.</pos> <ety>[OE. <ets>vitaille</ets>, OF. <ets>vitaille</ets>, F. <ets>victuaille</ets>, pl. <ets>victuailles</ets>, fr. L. <ets>victualia</ets>, pl. of. <ets>victualis</ets> belonging to living or nourishment, fr. <ets>victus</ets> nourishment, from <ets>vivere</ets>, <ets>victum</ets>, to live; akin to <ets>vivus</ets> living. See <er>Vivid</er>.]</ety> <def>Food for human beings, esp. when it is cooked or prepared for the table; that which supports human life; provisions; sustenance; meat; viands.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>Then had we plenty of <qex>victuals</qex>.</q> <rj><qau>Jer. xliv. 17.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Victus</ent><br/
||<hw>Vic"tus</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[L.]</ety> <fld>(Zool.)</fld> <def>Food; diet.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vicugna</ent><br/
<ent>Vicuna</ent><br/
<mhw>{ ||<hw>Vi*cu"<ntil/a</hw>, ||<hw>Vi*cu"gna</hw> }</mhw> <pr>(?)</pr>, <pos>n.</pos> <ety>[Sp. <ets>vicu<ntil/a</ets>. Cf. <er>Vigonia</er>.]</ety> <fld>(Zool.)</fld> <def>A South American mammal (<spn>Auchenia vicunna</spn>) native of the elevated plains of the Andes, allied to the llama but smaller. It has a thick coat of very fine reddish brown wool, and long, pendent white hair on the breast and belly. It is hunted for its wool and flesh.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vida finch</ent><br/
<hw>Vid"a finch`</hw> <pr>(?)</pr>. <fld>(Zool.)</fld> <def>The whidah bird.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vidame</ent><br/
||<hw>Vi*dame"</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[F., fr. LL. <ets>vice-dominus</ets>, fr. L. <ets>vice</ets> instead of + <ets>dominus</ets> master, lord.]</ety> <fld>(Fr. Feud. Law)</fld> <def>One of a class of temporal officers who originally represented the bishops, but later erected their offices into fiefs, and became feudal nobles.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vide</ent><br/
||<hw>Vi"de</hw> <pr>(?)</pr>, <def><pos>imperative sing.</pos> of L. <xex>videre</xex>, to see; -- used to direct attention to something; <as>as, <ex>vide supra</ex>, see above</as>.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Videlicet</ent><br/
||<hw>Vi*del"i*cet</hw> <pr>(?)</pr>, <pos>adv.</pos> <ety>[L., contr. fr. <ets>videre licet</ets>, literally, it is easy to see, one may or can see.]</ety> <def>To wit; namely; -- often abbreviated to <abbr>viz.</abbr></def><br/
[<source>1913 Webster</source>]</p>
<p><ent>videocasette</ent><br/
<hw>videocasette</hw> <pos>n.</pos> <def>a casette containing magnetic tape, which can be used in a videocasette recorder to record and play back electronic signals, such as from television programs. The long magnetic tape in the videocasette is moved between two spindles, and a small portion of the tape at any one time is passed over a recording or playback head.</def><br/
[<source>PJC</source>]</p>
<p><ent>videocasette recorder</ent><br/
<hw>videocasette recorder</hw> <pos>n.</pos> <def>an electronic device which can record electronic signals, as from a television program, on magnetic tape contained in a videocassette, and can also play back the recording. It is used, for example, to record television programs broadcast at some particular time, which can then be viewed at any subsequent time by attaching the videocasette recorder to a television receiver and playing the signals throught the television receiver. Also called <altname>VCR</altname>.</def><br/
[<source>PJC</source>]</p>
<p><ent>videotape</ent><br/
<hw>videotape</hw> <pos>n.</pos> <sn>1.</sn> <def>a video recording made on magnetic tape.</def><br/
[<source>WordNet 1.5</source>]</p>
<p><sn>2.</sn> <def>a relatively wide magnetic tape for use in recording visual images and associated sound.</def><br/
[<source>WordNet 1.5</source>]</p>
<p><ent>Vidette</ent><br/
<hw>Vi*dette"</hw> <pr>(?)</pr>, <pos>n.</pos> <fld>(Mil.)</fld> <def>Same as <er>Vedette</er>.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vidonia</ent><br/
<hw>Vi*do"ni*a</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[Cf. Pg. <ets>vidonho</ets> the quality of grapes, Sp. <ets>vedu<ntil/o</ets>.]</ety> <def>A dry white wine, of a tart flavor, produced in Teneriffe; -- called also <altname>Teneriffe</altname>.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Viduage</ent><br/
<hw>Vid"u*age</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[See <er>Vidual</er>.]</ety> <def>The state of widows or of widowhood; also, widows, collectively.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vidual</ent><br/
<hw>Vid"u*al</hw> <pr>(?)</pr>, <pos>a.</pos> <ety>[L. <ets>vidualis</ets>, fr. <ets>vidua</ets> a widow, fr. <ets>viduus</ets> widowed. See <er>Widow</er>.]</ety> <def>Of or pertaining to the state of a widow; widowed.</def> <mark>[R.]</mark> <rj><au>Jer. Taylor.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Viduation</ent><br/
<hw>Vid`u*a"tion</hw> <pr>(?)</pr>, <pos>n.</pos> <def>The state of being widowed or bereaved; loss; bereavement.</def> <mark>[R.]</mark><br/
[<source>1913 Webster</source>]</p>
<p><ent>Viduity</ent><br/
<hw>Vi*du"i*ty</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[L. <ets>viduitas</ets>: cf. F. <ets>viduit<eacute/</ets>.]</ety> <def>Widowhood.</def> <mark>[R.]</mark> <ldquo/Chaste <xex>viduity</xex>.<rdquo/ <rj><au>Ld. Ellenborough.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vie</ent><br/
<hw>Vie</hw> <pr>(v<imac/)</pr>, <pos>v. i.</pos> <vmorph>[<pos>imp. & p. p.</pos> <conjf>Vied</conjf> <pr>(v<imac/d)</pr>; <pos>p. pr. & vb. n.</pos> <conjf>Vying</conjf> <pr>(v<imac/"<icr/ng)</pr>.]</vmorph> <ety>[OE. <ets>vien</ets>, shortened fr. <ets>envien</ets>, OF. <ets>envier</ets> to invite, to challenge, a word used in gambling, L. <ets>invitare</ets> to invite; of uncertain origin. Cf. <er>Invite</er>, <er>Envie</er>.]</ety> <sn>1.</sn> <def>To stake a sum upon a hand of cards, as in the old game of gleek. See <er>Revie</er>.</def> <mark>[Obs.]</mark><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <def>To strive for superiority; to contend; to use emulous effort, as in a race, contest, or competition.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>In a trading nation, the younger sons may be placed in such a way of life as . . . to <qex>vie</qex> with the best of their family.</q> <rj><qau>Addison.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><q>While Waterloo with Cannae's carnage <qex>vies</qex>.</q> <rj><qau>Byron.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vie</ent><br/
<hw>Vie</hw>, <pos>v. t.</pos> <sn>1.</sn> <def>To stake; to wager.</def> <mark>[Obs.]</mark> <rj><au>B. Jonson.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <def>To do or produce in emulation, competition, or rivalry; to put in competition; to bandy.</def> <mark>[Obs.]</mark><br/
[<source>1913 Webster</source>]</p>
<p><q>She hung about my neck; and kiss on kiss<br/
She <qex>vied</qex> so fast.</q> <rj><qau>Shak.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><q>Nor was he set over us to <qex>vie</qex> wisdom with his Parliament, but to be guided by them.</q> <rj><qau>Milton.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><q>And <qex>vying</qex> malice with my gentleness,<br/
Pick quarrels with their only happiness.</q> <rj><qau>Herbert.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vie</ent><br/
<hw>Vie</hw>, <pos>n.</pos> <def>A contest for superiority; competition; rivalry; strife; also, a challenge; a wager.</def> <mark>[Obs.]</mark><br/
[<source>1913 Webster</source>]</p>
<p><q>We 'll all to church together instantly,<br/
And then a <qex>vie</qex> for boys.</q> <rj><qau>J. Fletcher.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vielle</ent><br/
<hw>Vi*elle"</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[F. Cf. <er>Viol</er>.]</ety> <def>An old stringed instrument played upon with a wheel; a hurdy-gurdy.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vienna paste</ent><br/
<hw>Vi*en"na paste`</hw> <pr>(?)</pr>. <fld>(Pharm.)</fld> <def>A caustic application made up of equal parts of caustic potash and quicklime; -- called also <altname>Vienna caustic</altname>.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Viennese</ent><br/
<hw>Vi`en*nese"</hw> <pr>(?)</pr>, <pos>a.</pos> <def>Of or pertaining to Vienna, or the people of Vienna.</def> -- <def2><pos>n. sing. & pl.</pos> <def>An inhabitant, or the inhabitants, of Vienna.</def></def2><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vierkleur</ent><br/
||<hw>Vier"kleur`</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[D., fr. <ets>vier</ets> four + <ets>kleur</ets> color, F. <ets>couleur</ets>.]</ety> <def>The four-colored flag of the South African Republic, or Transvaal, -- red, white, blue, and green.</def><br/
[<source>Webster 1913 Suppl.</source>]</p>
<p><ent>View</ent><br/
<hw>View</hw> <pr>(v<umac/)</pr>, <pos>n.</pos> <ety>[OF. <ets>veue</ets>, F. <ets>vue</ets>, fr. OF. <ets>veoir</ets> to see, p. p. <ets>veu</ets>, F. <ets>voir</ets>, p. p. <ets>vu</ets>, fr. L. <ets>videre</ets> to see. See <er>Vision</er>, and cf. <er>Interview</er>, <er>Purview</er>, <er>Review</er>, <er>Vista</er>.]</ety> <sn>1.</sn> <def>The act of seeing or beholding; sight; look; survey; examination by the eye; inspection.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>Thenceforth I thought thee worth my nearer <qex>view</qex>.</q> <rj><qau>Milton.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><q>Objects near our <qex>view</qex> are thought greater than those of a larger size that are more remote.</q> <rj><qau>Locke.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><q>Surveying nature with too nice a <qex>view</qex>.</q> <rj><qau>Dryden.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <def>Mental survey; intellectual perception or examination; <as>as, a just <ex>view</ex> of the arguments or facts in a case</as>.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>I have with exact <qex>view</qex> perused thee, Hector.</q> <rj><qau>Shak.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>3.</sn> <def>Power of seeing, either physically or mentally; reach or range of sight; extent of prospect.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>The walls of Pluto's palace are in <qex>view</qex>.</q> <rj><qau>Dryden.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>4.</sn> <def>That which is seen or beheld; sight presented to the natural or intellectual eye; scene; prospect; <as>as, the <ex>view</ex> from a window</as>.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>'T is distance lends enchantment to the <qex>view</qex>.</q> <rj><qau>Campbell.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>5.</sn> <def>The pictorial representation of a scene; a sketch, either drawn or painted; <as>as, a fine <ex>view</ex> of Lake George</as>.</def><br/
[<source>1913 Webster</source>]</p>
<p><sn>6.</sn> <def>Mode of looking at anything; manner of apprehension; conception; opinion; judgment; <as>as, to state one's <ex>views</ex> of the policy which ought to be pursued</as>.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>To give a right <qex>view</qex> of this mistaken part of liberty.</q> <rj><qau>Locke.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>7.</sn> <def>That which is looked towards, or kept in sight, as object, aim, intention, purpose, design; <as>as, he did it with a <ex>view</ex> of escaping</as>.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>No man sets himself about anything but upon some <qex>view</qex> or other which serves him for a reason.</q> <rj><qau>Locke.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>8.</sn> <def>Appearance; show; aspect.</def> <mark>[Obs.]</mark><br/
[<source>1913 Webster</source>]</p>
<p><q>[Graces] which, by the splendor of her <qex>view</qex><br/
Dazzled, before we never knew.</q> <rj><qau>Waller.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><cs><col><b>Field of view</b></col>. <cd>See under <er>Field</er>.</cd> -- <col><b>Point of view</b></col>. <cd>See under <er>Point</er>.</cd> -- <col><b>To have in view</b></col>, <cd>to have in mind as an incident, object, or aim; <as>as, <ex>to have</ex> one's resignation <ex>in view</ex></as>.</cd> -- <col><b>View halloo</b></col>, <cd>the shout uttered by a hunter upon seeing the fox break cover.</cd> -- <col><b>View of frankpledge</b></col> <fld>(Law)</fld>, <cd>a court of record, held in a hundred, lordship, or manor, before the steward of the leet.</cd> <au>Blackstone.</au> -- <col><b>View of premises</b></col> <fld>(Law)</fld>, <cd>the inspection by the jury of the place where a litigated transaction is said to have occurred.</cd></cs><br/
[<source>1913 Webster</source>]</p>
<p><ent>View</ent><br/
<hw>View</hw> <pr>(v<umac/)</pr>, <pos>v. t.</pos> <vmorph>[<pos>imp. & p. p.</pos> <conjf>Viewed</conjf> <pr>(v<umac/d)</pr>; <pos>p. pr. & vb. n.</pos> <conjf>Viewing</conjf>.]</vmorph> <sn>1.</sn> <def>To see; to behold; especially, to look at with attention, or for the purpose of examining; to examine with the eye; to inspect; to explore.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>O, let me <qex>view</qex> his visage, being dead.</q> <rj><qau>Shak.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><q>Nearer to <qex>view</qex> his prey, and, unespied,<br/
To mark what of their state he more might learn.</q> <rj><qau>Milton.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <def>To survey or examine mentally; to consider; <as>as, to <ex>view</ex> the subject in all its aspects</as>.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>The happiest youth, <qex>viewing</qex> his progress through.</q> <rj><qau>Shak.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Viewer</ent><br/
<hw>View"er</hw> <pr>(?)</pr>, <pos>n.</pos> <sn>1.</sn> <def>One who views or examines.</def><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <fld>(Law)</fld> <def>A person appointed to inspect highways, fences, or the like, and to report upon the same.</def><br/
[<source>1913 Webster</source>]</p>
<p><sn>3.</sn> <def>The superintendent of a coal mine.</def> <mark>[Eng.]</mark><br/
[<source>1913 Webster</source>]</p>
<p><ent>Viewiness</ent><br/
<hw>View"i*ness</hw> <pr>(?)</pr>, <pos>n.</pos> <def>The quality or state of being viewy, or of having unpractical views.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Viewless</ent><br/
<hw>View"less</hw>, <pos>a.</pos> <def>Not perceivable by the eye; invisible; unseen.</def> <ldquo/<xex>Viewless</xex> winds.<rdquo/ <rj><au>Shak.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><q>Swift through the valves the visionary fair<br/
Repassed, and <qex>viewless</qex> mixed with common air.</q> <rj><qau>Pope.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Viewsome</ent><br/
<ent>Viewly</ent><br/
<mhw>{ <hw>View"ly</hw> <pr>(?)</pr>, <hw>View"some</hw> <pr>(?)</pr>, }</mhw> <pos>a.</pos> <def>Pleasing to the sight; sightly.</def> <mark>[Prov. Eng.]</mark><br/
[<source>1913 Webster</source>]</p>
<p><ent>Viewy</ent><br/
<hw>View"y</hw> <pr>(?)</pr>, <pos>a.</pos> <sn>1.</sn> <def>Having peculiar views; fanciful; visionary; unpractical; <as>as, a <ex>viewy</ex> person</as>.</def><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <def>Spectacular; pleasing to the eye or the imagination.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>A government intent on showy absurdities and <qex>viewy</qex> enterprises rather than solid work.</q> <rj><qau>London Spectator.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vifda</ent><br/
<hw>Vif"da</hw> <pr>(?)</pr>, <pos>n.</pos> <def>In the Orkney and Shetland Islands, beef and mutton hung and dried, but not salted.</def> <mark>[Scot.]</mark> <altsp>[Written also <asp>vivda</asp>.]</altsp> <rj><au>Jamieson.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vigesimal</ent><br/
<hw>Vi*ges"i*mal</hw> <pr>(?)</pr>, <pos>a.</pos> <ety>[L. <ets>vigesimus</ets> twentieth, from <ets>viginti</ets> twenty.]</ety> <def>Twentieth; divided into, or consisting of, twenties or twenty parts.</def> <rj><au>Tylor.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vigesimation</ent><br/
<hw>Vi*ges`i*ma"tion</hw> <pr>(?)</pr>, <pos>n.</pos> <def>The act of putting to death every twentieth man.</def> <mark>[R.]</mark><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vigesimo-quarto</ent><br/
<hw>Vi*ges"i*mo-quar"to</hw> <pr>(?)</pr>, <pos>a.</pos> <ety>[L. <ets>vigesimus quartus</ets> twenty-fourth. Cf. <er>Duodecimo</er>.]</ety> <def>Having twenty-four leaves to a sheet; <as>as, a <ex>vigesimo-quarto</ex> form, book, leaf, size, etc</as>.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vigesimo-quarto</ent><br/
<hw>Vi*ges"i*mo-quar"to</hw>, <pos>n.</pos>; <plu><it>pl.</it> <plw>-tos</plw> <pr>(<?/)</pr>.</plu> <def>A book composed of sheets each of which is folded into twenty-four leaves; hence, indicating more or less definitely a size of book so made; -- usually written <it>24mo</it>, or <it>24<deg/</it>.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vigil</ent><br/
<hw>Vig"il</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[OE. <ets>vigile</ets>, L. <ets>vigilia</ets>, from <ets>vigil</ets> awake, watchful, probably akin to E. <ets>wake</ets>: cf. F. <ets>vigile</ets>. See <er>Wake</er>, <pos>v. i.</pos>, and cf. <er>Reveille</er>, <er>Surveillance</er>, <er>Vedette</er>, <er>Vegetable</er>, <er>Vigor</er>.]</ety> <sn>1.</sn> <def>Abstinence from sleep, whether at a time when sleep is customary or not; the act of keeping awake, or the state of being awake; sleeplessness; wakefulness; watch.</def> <ldquo/Worn out by the labors and <xex>vigils</xex> of many months.<rdquo/ <rj><au>Macaulay.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><q>Nothing wears out a fine face like the <qex>vigils</qex> of the card table and those cutting passions which attend them.</q> <rj><qau>Addison.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <def>Hence, devotional watching; waking for prayer, or other religious exercises.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>So they in heaven their odes and <qex>vigils</qex> tuned.</q> <rj><qau>Milton.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><q>Be sober and keep <qex>vigil</qex>,<br/
The Judge is at the gate.</q> <rj><qau>Neale (Rhythm of St. Bernard).</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>3.</sn> <fld>(Eccl.)</fld> <sd>(a)</sd> <def>Originally, the watch kept on the night before a feast.</def> <sd>(b)</sd> <def>Later, the day and the night preceding a feast.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>He that shall live this day, and see old age,<br/
Will yearly on the <qex>vigil</qex> feast his neighbors,<br/
And say, <ldquo/To-morrow is St. Crispian.<rdquo/</q> <rj><qau>Shak.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><sd>(c)</sd> <def>A religious service performed in the evening preceding a feast.</def><br/
[<source>1913 Webster</source>]</p>
<p><cs><mcol><col><b>Vigils of flowers</b></col> <it>or</it> <col><b>Watchings of flowers</b></col></mcol> <fld>(Bot.)</fld>, <cd>a peculiar faculty belonging to the flowers of certain plants of opening and closing their petals at certain hours of the day.</cd> <mark>[R.]</mark></cs><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vigilance</ent><br/
<hw>Vig"i*lance</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[L. <ets>vigilantia</ets>: cf. F. <ets>vigilance</ets>.]</ety> <sn>1.</sn> <def>The quality or state of being vigilant; forbearance of sleep; wakefulness.</def><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <def>Watchfulness in respect of danger; care; caution; circumspection.</def> <rj><au>Cowper.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><q>And flaming ministers to watch and tend<br/
Their earthly charge; of these the <qex>vigilance</qex><br/
I dread.</q> <rj><qau>Milton.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>3.</sn> <def>Guard; watch.</def> <mark>[Obs.]</mark> <ldquo/In at this gate none pass the <xex>vigilance</xex> here placed.<rdquo/ <rj><au>Milton.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><cs><col><b>Vigilance committee</b></col>, <cd>a volunteer committee of citizens for the oversight and protection of any interest, esp. one organized for the summary suppression and punishment of crime, as when the processes of law appear inadequate.</cd></cs><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vigilancy</ent><br/
<hw>Vig"i*lan*cy</hw> <pr>(?)</pr>, <pos>n.</pos> <def>Vigilance.</def> <mark>[Obs.]</mark> <rj><au>Fuller.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vigilant</ent><br/
<hw>Vig"i*lant</hw> <pr>(?)</pr>, <pos>a.</pos> <ety>[L. <ets>vigilans</ets>, <ets>-antis</ets>, p. pr. of <ets>vigilare</ets> to watch, fr. <ets>vigil</ets> awake: cf. F. <ets>vigilant</ets>. See <er>Vigil</er>.]</ety> <def>Attentive to discover and avoid danger, or to provide for safety; wakeful; watchful; circumspect; wary.</def> <ldquo/Be sober, be <xex>vigilant</xex>.<rdquo/ <rj><au>1 Pet. v. 8.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><q>Sirs, take your places, and be <qex>vigilant</qex>.</q> <rj><qau>Shak.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vigilantly</ent><br/
<hw>Vig"i*lant*ly</hw>, <pos>adv.</pos> <def>In a vigilant manner.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vigily</ent><br/
<hw>Vig"i*ly</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[L. <ets>vigilia</ets>.]</ety> <def>A vigil.</def> <mark>[Obs.]</mark> <rj><au>Chaucer.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vigintivirate</ent><br/
<hw>Vi`gin*tiv"i*rate</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[L. <ets>vigintiviratus</ets>, fr. <ets>vigintiviri</ets>; <ets>viginti</ets> twenty + <ets>vir</ets> a man.]</ety> <def>The office of the <xex>vigintiviri</xex>, a body of officers of government consisting of twenty men; also, the vigintiviri.</def> <mark>[R.]</mark><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vignette</ent><br/
<hw>Vi*gnette"</hw> <pr>(?; 277)</pr>, <pos>n.</pos> <ety>[F. <ets>vignette</ets>, fr. <ets>vigne</ets> a vine. See <er>Vine</er>, and cf. <er>Vinette</er>.]</ety> <sn>1.</sn> <fld>(Arch.)</fld> <def>A running ornament consisting of leaves and tendrils, used in Gothic architecture.</def><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <def>A decorative design, originally representing vine branches or tendrils, at the head of a chapter, of a manuscript or printed book, or in a similar position; hence, by extension, any small picture in a book; hence, also, as such pictures are often without a definite bounding line, any picture, as an engraving, a photograph, or the like, which vanishes gradually at the edge.</def><br/
[<source>1913 Webster</source>]</p>
<p><sn>3.</sn> <def>A picture, illustration, or depiction in words, esp. one of a small or dainty kind.</def><br/
[<source>Webster 1913 Suppl.</source>]</p>
<p><ent>Vignette</ent><br/
<hw>Vi*gnette"</hw>, <pos>v. t.</pos> <def>To make, as an engraving or a photograph, with a border or edge insensibly fading away.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vignetter</ent><br/
<hw>Vi*gnett"er</hw> <pr>(?)</pr>, <pos>n.</pos> <sn>1.</sn> <def>A device used by photographers in printing vignettes, consisting of a screen of paper or glass with a central aperture the edges of which become opaque by insensible gradations.</def><br/
[<source>Webster 1913 Suppl.</source>]</p>
<p><sn>2.</sn> <def>A maker of vignettes.</def><br/
[<source>Webster 1913 Suppl.</source>]</p>
<p><ent>Vigonia</ent><br/
<hw>Vi*go"ni*a</hw> <pr>(?)</pr>, <pos>a.</pos> <ety>[Cf. F. <ets>vigogne</ets> vicu<ntil/a. See <er>Vicu<ntil/a</er>.]</ety> <def>Of or pertaining to the vicu<ntil/a; characterizing the vicu<ntil/a; -- said of the wool of that animal, used in felting hats, and for other purposes.</def> <rj><au>Prescott.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vigor</ent><br/
<hw>Vig"or</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[OE. <ets>vigour</ets>, <ets>vigor</ets>, OF. <ets>vigor</ets>, <ets>vigur</ets>, <ets>vigour</ets>, F. <ets>vigueur</ets>, fr. L. <ets>vigor</ets>, fr. <ets>vigere</ets> to be lively or strong. See <er>Vegetable</er>, <er>Vigil</er>.]</ety> <sn>1.</sn> <def>Active strength or force of body or mind; capacity for exertion, physically, intellectually, or morally; force; energy.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>The <qex>vigor</qex> of this arm was never vain.</q> <rj><qau>Dryden.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <def>Strength or force in animal or vegetable nature or action; <as>as, a plant grows with <ex>vigor</ex></as>.</def><br/
[<source>1913 Webster</source>]</p>
<p><sn>3.</sn> <def>Strength; efficacy; potency.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>But in the fruithful earth . . . <br/
His beams, unactive else, their <qex>vigor</qex> find.</q> <rj><qau>Milton.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><note><hand/ <xex>Vigor</xex> and its derivatives commonly imply <xex>active</xex> strength, or the power of action and exertion, in distinction from <xex>passive</xex> strength, or strength to endure.</note><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vigor</ent><br/
<hw>Vig"or</hw>, <pos>v. t.</pos> <def>To invigorate.</def> <mark>[Obs.]</mark> <rj><au>Feltham.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vigorite</ent><br/
<hw>Vig"or*ite</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[L. <ets>vigor</ets> strength.]</ety> <def>An explosive containing nitroglycerin. It is used in blasting.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vigoroso</ent><br/
||<hw>Vig`o*ro"so</hw> <pr>(?)</pr>, <pos>a. & adv.</pos> <ety>[It.]</ety> <fld>(Mus.)</fld> <def>Vigorous; energetic; with energy; -- a direction to perform a passage with energy and force.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vigorous</ent><br/
<hw>Vig"or*ous</hw> <pr>(?)</pr>, <pos>a.</pos> <ety>[Cf. OF. <ets>vigoros</ets>, F. <ets>vigoureux</ets>, LL. <ets>vigorosus</ets>.]</ety> <sn>1.</sn> <def>Possessing vigor; full of physical or mental strength or active force; strong; lusty; robust; <as>as, a <ex>vigorous</ex> youth; a <ex>vigorous</ex> plant</as>.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>Famed for his valor, young,<br/
At sea successful, <qex>vigorous</qex> and strong.</q> <rj><qau>Waller.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <def>Exhibiting strength, either of body or mind; powerful; strong; forcible; energetic; <as>as, <ex>vigorous</ex> exertions; a <ex>vigorous</ex> prosecution of a war</as>.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>The beginnings of confederacies have been always <qex>vigorous</qex> and successful.</q> <rj><qau>Davenant.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p>-- <wordforms><wf>Vig"or*ous*ly</wf>, <pos>adv.</pos> -- <wf>Vig"or*ous*ness</wf>, <pos>n.</pos></wordforms><br/
[<source>1913 Webster</source>]</p>
<p><ent>Viking</ent><br/
<hw>Vi"king</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[Icel. <ets>v<imac/kingr</ets>, fr. <ets>v<imac/k</ets> a bay, inlet.]</ety> <def>One belonging to the pirate crews from among the Northmen, who plundered the coasts of Europe in the eighth, ninth, and tenth centuries.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>Of grim <qex>Vikings</qex>, and the rapture<br/
Of the sea fight, and the capture,<br/
And the life of slavery.</q> <rj><qau>Longfellow.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><note><hand/ <xex>Viking</xex> differs in meaning from <xex>sea king</xex>, with which it is frequently confounded. <ldquo/The <xex>sea king</xex> was a man connected with a royal race, either of the small kings of the country, or of the Haarfager family, and who, by right, received the title of king as soon he took the command of men, although only of a single ship's crew, and without having any land or kingdom . . . <xex>Vikings</xex> were merely pirates, alternately peasants and pirates, deriving the name of <xex>viking</xex> from the <xex>vicks</xex>, <xex>wicks</xex>, or inlets, on the coast in which they harbored with their long ships or rowing galleys.<rdquo/</note> <rj><au>Laing.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vilany</ent><br/
<hw>Vil"a*ny</hw> <pr>(v<icr/l"<adot/*n<ycr/)</pr>, <pos>n.</pos> <def>Villainy.</def> <mark>[Obs.]</mark> <rj><au>Chaucer.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vilayet</ent><br/
<hw>Vi`la*yet"</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[Turk., from Ar. <ets>wil<amac/yah</ets>.]</ety> <def>One of the chief administrative divisions or provinces of the Ottoman Empire; -- formerly called <xex>eyalet</xex>.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vild</ent><br/
<hw>Vild</hw> <pr>(?)</pr>, <pos>a.</pos> <ety>[As if the p. p. of a verb to <ets>vile</ets>. See <er>Vile</er>, <pos>a.</pos>]</ety> <def>Vile.</def> <mark>[Obs.]</mark> <ldquo/That <xex>vild</xex> race.<rdquo/ <au>Spenser.</au> -- <wordforms><wf>Vild"ly</wf>, <pos>adv.</pos> <mark>[Obs.]</mark></wordforms> <rj><au>Spenser.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vile</ent><br/
<hw>Vile</hw> <pr>(v<imac/l)</pr>, <pos>a.</pos> <amorph>[<pos>Comp.</pos> <adjf>Viler</adjf> <pr>(v<imac/l"<etil/r)</pr>; <pos>superl.</pos> <adjf>Vilest</adjf>.]</amorph> <ety>[OE. <ets>vil</ets>, F. <ets>vil</ets>, from L. <ets>vilis</ets> cheap, worthless, vile, base.]</ety><br/
[<source>1913 Webster</source>]</p>
<p><sn>1.</sn> <def>Low; base; worthless; mean; despicable.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>A poor man in <qex>vile</qex> raiment.</q> <rj><qau>James ii. 2.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><q>The craft either of fishing, which was Peter's, or of making tents, which was Paul's, were [was] more <qex>vile</qex> than the science of physic.</q> <rj><qau>Ridley.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><q>The inhabitants account gold but as a <qex>vile</qex> thing.</q> <rj><qau>Abp. Abbot.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <def>Morally base or impure; depraved by sin; hateful in the sight of God and men; sinful; wicked; bad.</def> <ldquo/Such <xex>vile</xex> base practices.<rdquo/ <rj><au>Shak.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><q>Behold, I am <qex>vile</qex>; what shall I answer thee ?</q> <rj><qau>Job xl. 4.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><syn><b>Syn.</b> -- See <er>Base</er>.</syn><br/
[<source>1913 Webster</source>]</p>
<p>-- <wordforms><wf>Vile"ly</wf>, <pos>adv.</pos> -- <wf>Vile"ness</wf>, <pos>n.</pos></wordforms><br/
[<source>1913 Webster</source>]</p>
<p><ent>Viled</ent><br/
<hw>Viled</hw> <pr>(v<imac/ld)</pr>, <pos>a.</pos> <ety>[See <er>Vild</er>.]</ety> <def>Abusive; scurrilous; defamatory; vile.</def> <mark>[Obs.]</mark> <ldquo/<xex>Viled</xex> speeches.<rdquo/ <rj><au>Hayward.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vileyns</ent><br/
<hw>Vil"eyns</hw> <pr>(?)</pr>, <pos>a.</pos> <ety>[See <er>Villain</er>.]</ety> <def>Villainous.</def> <mark>[Obs.]</mark> <ldquo/<xex>Vileyns</xex> sinful deeds make a churl.<rdquo/ <au>Chaucer.</au><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vilification</ent><br/
<hw>Vil`i*fi*ca"tion</hw> <pr>(v<icr/l`<icr/*f<icr/*k<amac/"sh<ucr/n)</pr>, <pos>n.</pos> <def>The act of vilifying or defaming; abuse.</def> <rj><au>South.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vilifier</ent><br/
<hw>Vil"i*fi`er</hw> <pr>(v<icr/l"<icr/*f<imac/`<etil/r)</pr>, <pos>n.</pos> <def>One who vilifies or defames.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vilify</ent><br/
<hw>Vil"i*fy</hw> <pr>(v<icr/l"<icr/*f<imac/)</pr>, <pos>v. t.</pos> <vmorph>[<pos>imp. & p. p.</pos> <conjf>Vilified</conjf> <pr>(?)</pr>; <pos>p. pr. & vb. n.</pos> <conjf>Vilifying</conjf>.]</vmorph> <ety>[L. <ets>vilis</ets> vile + <ets>-fy</ets>; cf. L. <ets>vilificare</ets> to esteem of little value.]</ety> <sn>1.</sn> <def>To make vile; to debase; to degrade; to disgrace.</def> <mark>[R.]</mark><br/
[<source>1913 Webster</source>]</p>
<p><q>When themselves they <qex>vilified</qex><br/
To serve ungoverned appetite.</q> <rj><qau>Milton.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <def>To degrade or debase by report; to defame; to traduce; to calumniate.</def> <rj><au>I. Taylor.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><q>Many passions dispose us to depress and <qex>vilify</qex> the merit of one rising in the esteem of mankind.</q> <rj><qau>Addison.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>3.</sn> <def>To treat as vile; to despise.</def> <mark>[Obs.]</mark><br/
[<source>1913 Webster</source>]</p>
<p><q>I do <qex>vilify</qex> your censure.</q> <rj><qau>Beau. & Fl.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><-- p. 1610 --><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vilipend</ent><br/
<hw>Vil"i*pend</hw> <pr>(?)</pr>, <pos>v. t.</pos> <ety>[L. <ets>vilipendere</ets>; <ets>vilis</ets> vile + <ets>pendere</ets> to weigh, to value: cf. F. <ets>vilipender</ets>.]</ety> <def>To value lightly; to depreciate; to slight; to despise.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>To vilipend the art of portrait painting.</q> <rj><qau>Longfellow.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vilipendency</ent><br/
<hw>Vil"i*pend"en*cy</hw> <pr>(?)</pr>, <pos>n.</pos> <def>Disesteem; slight; disparagement.</def> <mark>[R.]</mark> <rj><au>E. Waterhouse.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vility</ent><br/
<hw>Vil"i*ty</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[L. <ets>vilitas</ets>: cf. F. <ets>vilet<eacute/</ets>, <ets>vilit<eacute/</ets>, OF. <ets>vilt<eacute/</ets>.]</ety> <def>Vileness; baseness.</def> <mark>[Obs.]</mark> <rj><au>Kennet.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vill</ent><br/
<hw>Vill</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[OF. <ets>ville</ets>, <ets>vile</ets>, a village, F. <ets>ville</ets> a town, city. See <er>Villa</er>.]</ety> <def>A small collection of houses; a village.</def> <ldquo/Every manor, town, or <xex>vill</xex>.<rdquo/ <rj><au>Sir M. Hale.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><q>Not should e'er the crested fowl<br/
From thorp or <qex>vill</qex> his matins sound for me.</q> <rj><qau>Wordsworth.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><note><hand/ A word of various significations in English, law; as, a manor; a tithing; a town; a township; a parish; a part of a parish; a village. The original meaning of <xex>vill</xex>, in England, seems to have been derived from the Roman sense of the term <xex>villa</xex>, a <xex>single country residence</xex> or farm; a manor. Later, the term was applied only to a collection of houses more than two, and hence came to comprehend towns. <xex>Burrill</xex>. The statute of Exeter, 14 Edward I., mentions <xex>entire-vills</xex>, <xex>demivills</xex>, and <xex>hamlets</xex>.</note><br/
[<source>1913 Webster</source>]</p>
<p><ent>Villa</ent><br/
<hw>Vil"la</hw> <pr>(?)</pr>, <pos>n.</pos>; <plu><it>pl.</it> <plw>Villas</plw> <pr>(#)</pr>.</plu> <ety>[L. <ets>villa</ets>, LL. also village, dim. of L. <ets>vicus</ets> a village: cf. It. & F. <ets>villa</ets>. See <er>Vicinity</er>, and cf. <er>Vill</er>, <er>Village</er>, <er>Villain</er>.]</ety> <def>A country seat; a country or suburban residence of some pretensions to elegance.</def> <rj><au>Dryden. Cowper.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Village</ent><br/
<hw>Vil"lage</hw> <pr>(?; 48)</pr>, <pos>n.</pos> <ety>[F., fr. L. <ets>villaticus</ets> belonging to a country house or villa. See <er>Villa</er>, and cf. <er>Villatic</er>.]</ety> <def>A small assemblage of houses in the country, less than a town or city.</def><br/
[<source>1913 Webster</source>]</p>
<p><cs><col><b>Village cart</b></col>, <cd>a kind of two-wheeled pleasure carriage without a top.</cd></cs><br/
[<source>1913 Webster</source>]</p>
<p><syn><b>Syn.</b> -- <er>Village</er>, <er>Hamlet</er>, <er>Town</er>, <er>City</er>.</syn> <usage> In England, a <xex>hamlet</xex> denotes a collection of houses, too small to have a parish church. A <xex>village</xex> has a church, but no market. A <xex>town</xex> has both a market and a church or churches. A <xex>city</xex> is, in the legal sense, an incorporated borough town, which is, or has been, the place of a bishop's see. In the United States these distinctions do not hold.</usage><br/
[<source>1913 Webster</source>]</p>
<p><ent>Villager</ent><br/
<hw>Vil"la*ger</hw> <pr>(?)</pr>, <pos>n.</pos> <def>An inhabitant of a village.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>Brutus had rather be a <qex>villager</qex><br/
Than to repute himself a son of Rome<br/
Under these hard condition.</q> <rj><qau>Shak.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Villagery</ent><br/
<hw>Vil"lage*ry</hw> <pr>(?)</pr>, <pos>n.</pos> <def>Villages; a district of villages.</def> <mark>[Obs.]</mark> <ldquo/The maidens of the <xex>villagery</xex>.<rdquo/ <rj><au>Shak.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Villain</ent><br/
<hw>Vil"lain</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[OE. <ets>vilein</ets>, F. <ets>vilain</ets>, LL. <ets>villanus</ets>, from <ets>villa</ets> a village, L. <ets>villa</ets> a farm. See <er>Villa</er>.]</ety><br/
[<source>1913 Webster</source>]</p>
<p><sn>1.</sn> <fld>(Feudal Law)</fld> <def>One who holds lands by a base, or servile, tenure, or in villenage; a feudal tenant of the lowest class, a bondman or servant.</def> <altsp>[In this sense written also <asp>villan</asp>, and <asp>villein</asp>.]</altsp><br/
[<source>1913 Webster</source>]</p>
<p><q>If any of my ansectors was a tenant, and a servant, and held his lands as a <qex>villain</qex> to his lord, his posterity also must do so, though accidentally they become noble.</q> <rj><qau>Jer. Taylor.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><note><hand/ <xex>Villains</xex> were of two sorts; <xex>villains regardant</xex>, that is, annexed to the manor (LL. <xex>adscripti glebae</xex>); and <xex>villains in gross</xex>, that is, annexed to the person of their lord, and transferable from one to another. <rj><au>Blackstone.</au></rj>
</note><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <def>A baseborn or clownish person; a boor.</def> <mark>[R.]</mark><br/
[<source>1913 Webster</source>]</p>
<p><q>Pour the blood of the <qex>villain</qex> in one basin, and the blood of the gentleman in another, what difference shall there be proved?</q> <rj><qau>Becon.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>3.</sn> <def>A vile, wicked person; a man extremely depraved, and capable or guilty of great crimes; a deliberate scoundrel; a knave; a rascal; a scamp.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>Like a <qex>villain</qex> with a smiling cheek.</q> <rj><qau>Shak.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><q>Calm, thinking <qex>villains</qex>, whom no faith could fix.</q> <rj><qau>Pope.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Villain</ent><br/
<hw>Vil"lain</hw>, <pos>a.</pos> <ety>[F. <ets>vilain</ets>.]</ety> <def>Villainous.</def> <mark>[R.]</mark> <rj><au>Shak.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Villain</ent><br/
<hw>Vil"lain</hw>, <pos>v. t.</pos> <def>To debase; to degrade.</def> <mark>[Obs.]</mark> <rj><au>Sir T. More.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Villainous</ent><br/
<hw>Vil"lain*ous</hw> <pr>(?)</pr>, <pos>a.</pos> <ety>[Written also <ets>villanous</ets>.]</ety><br/
[<source>1913 Webster</source>]</p>
<p><sn>1.</sn> <def>Base; vile; mean; depraved; <as>as, a <ex>villainous</ex> person or wretch</as>.</def><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <def>Proceeding from, or showing, extreme depravity; suited to a villain; <as>as, a <ex>villainous</ex> action</as>.</def><br/
[<source>1913 Webster</source>]</p>
<p><sn>3.</sn> <def>Sorry; mean; mischievous; -- in a familiar sense.</def> <ldquo/A <xex>villainous</xex> trick of thine eye.<rdquo/ <rj><au>Shak.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><cs><col><b>Villainous judgment</b></col> <fld>(O. E. Law)</fld>, <cd>a judgment that casts reproach on the guilty person.</cd></cs><br/
[<source>1913 Webster</source>]</p>
<p>--- <wordforms><wf>Vil"lain*ous*ly</wf>, <pos>adv.</pos> <wf>Vil"lain*ous*ness</wf>, <pos>n.</pos></wordforms><br/
[<source>1913 Webster</source>]</p>
<p><ent>Villainy</ent><br/
<hw>Vil"lain*y</hw> <pr>(?)</pr>, <pos>n.</pos>; <plu><it>pl.</it> <plw>Villainies</plw> <pr>(#)</pr>.</plu> <ety>[OE. <ets>vilanie</ets>, OF. <ets>vilanie</ets>, <ets>vilainie</ets>, <ets>vileinie</ets>, <ets>vilanie</ets>, LL. <ets>villania</ets>. See <er>Villain</er>, <pos>n.</pos>]</ety> <altsp>[Written also <asp>villany</asp>.]</altsp> <sn>1.</sn> <def>The quality or state of being a villain, or villainous; extreme depravity; atrocious wickedness; <as>as, the <ex>villainy</ex> of the seducer</as>.</def> <ldquo/Lucre of <xex>vilanye</xex>.<rdquo/ <rj><au>Chaucer.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><q>The commendation is not in his wit, but in his <qex>villainy</qex>.</q> <rj><qau>Shak.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <def>Abusive, reproachful language; discourteous speech; foul talk.</def> <mark>[Archaic]</mark><br/
[<source>1913 Webster</source>]</p>
<p><q>He never yet not <qex>vileinye</qex> ne said<br/
In all his life, unto no manner wight.</q> <rj><qau>Chaucer.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><q>In our modern language, it [foul language] is termed <qex>villainy</qex>, as being proper for rustic boors, or men of coarsest education and employment.</q> <rj><qau>Barrow.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><q><qex>Villainy</qex> till a very late day expressed words foul and disgraceful to the utterer much oftener than deeds.</q> <rj><qau>Trench.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>3.</sn> <def>The act of a villain; a deed of deep depravity; a crime.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>Such <qex>villainies</qex> roused Horace into wrath.</q> <rj><qau>Dryden.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><q>That execrable sum of all <qex>villainies</qex> commonly called a slave trade.</q> <rj><au>John Wesley.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Villakin</ent><br/
<hw>Vil"la*kin</hw> <pr>(?)</pr>, <pos>n.</pos> <def>A little villa.</def> <mark>[R.]</mark> <rj><au>Gay.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Villan</ent><br/
<hw>Vil"lan</hw> <pr>(?)</pr>, <pos>n.</pos> <def>A villain.</def> <mark>[R.]</mark><br/
[<source>1913 Webster</source>]</p>
<p><ent>Villanage</ent><br/
<hw>Vil"lan*age</hw> <pr>(?; 48)</pr>, <pos>n.</pos> <ety>[OF. <ets>villenage</ets>, <ets>vilenage</ets>. See <er>Villain</er>.]</ety> <sn>1.</sn> <fld>(Feudal Law)</fld> <def>The state of a villain, or serf; base servitude; tenure on condition of doing the meanest services for the lord.</def> <altsp>[In this sense written also <asp>villenage</asp>, and <asp>villeinage</asp>.]</altsp><br/
[<source>1913 Webster</source>]</p>
<p><q>I speak even now as if sin were condemned in a perpetual <qex>villanage</qex>, never to be manumitted.</q> <rj><qau>Milton.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><q>Some faint traces of <qex>villanage</qex> were detected by the curious so late as the days of the Stuarts.</q> <rj><qau>Macaulay.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <def>Baseness; infamy; villainy.</def> <mark>[Obs.]</mark> <rj><au>Dryden.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Villanel</ent><br/
<hw>Vil`la*nel"</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[See <er>Villanelle</er>.]</ety> <def>A ballad.</def> <mark>[Obs.]</mark> <rj><au>Cotton.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Villanella</ent><br/
||<hw>Vil`la*nel"la</hw> <pr>(?)</pr>, <pos>n.</pos>; <plu><it>pl.</it> <plw>Villanelle</plw> <pr>(#)</pr>.</plu> <ety>[It., a pretty country girl.]</ety> <fld>(Mus.)</fld> <def>An old rustic dance, accompanied with singing.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Villanelle</ent><br/
||<hw>Vil`la*nelle"</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[F.]</ety> <def>A poem written in tercets with but two rhymes, the first and third verse of the first stanza alternating as the third verse in each successive stanza and forming a couplet at the close.</def> <rj><au>E. W. Gosse.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Villanette</ent><br/
<hw>Vil`la*nette"</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[Dim. of <ets>villa</ets>; formed on the analogy of the French.]</ety> <def>A small villa.</def> <mark>[R.]</mark><br/
[<source>1913 Webster</source>]</p>
<p><ent>Villanize</ent><br/
<hw>Vil"lan*ize</hw> <pr>(?)</pr>, <pos>v. t.</pos> <vmorph>[<pos>imp. & p. p.</pos> <conjf>Villanized</conjf>; <pos>p. pr. & vb. n.</pos> <conjf>Villanizing</conjf> <pr>(?)</pr>.]</vmorph> <def>To make vile; to debase; to degrade; to revile.</def> <mark>[R.]</mark><br/
[<source>1913 Webster</source>]</p>
<p><q>Were virtue by descent, a noble name<br/
Could never <qex>villanize</qex> his father's fame.</q> <rj><qau>Dryden.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Villanizer</ent><br/
<hw>Vil"lan*i`zer</hw> <pr>(?)</pr>, <pos>n.</pos> <def>One who villanizes.</def> <mark>[R.]</mark><br/
[<source>1913 Webster</source>]</p>
<p><ent>Villanousness</ent><br/
<ent>Villanously</ent><br/
<ent>Villanous</ent><br/
<mhw><hw>Vil"lan*ous</hw> <pr>(?)</pr>, <pos>a.</pos> <hw>Vil"lan*ous*ly</hw>, <pos>adv.</pos>, <hw>Vil"lan*ous*ness</hw>, <pos>n.</pos>,</mhw> <def>See <er>Villainous</er>, etc.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Villany</ent><br/
<hw>Vil"lan*y</hw> <pr>(?)</pr>, <pos>n.</pos> <def>See <er>Villainy</er>.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Villatic</ent><br/
<hw>Vil*lat"ic</hw> <pr>(?)</pr>, <pos>a.</pos> <ety>[L. <ets>villaticus</ets> belonging to a country house. See <er>Village</er>.]</ety> <def>Of or pertaining to a farm or a village; rural.</def> <ldquo/Tame <xex>villatic</xex> fowl.<rdquo/ <rj><au>Milton.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Villein</ent><br/
<hw>Vil"lein</hw> <pr>(?)</pr>, <pos>n.</pos> <fld>(Feudal Law)</fld> <def>See <er>Villain</er>, 1.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Villenage</ent><br/
<hw>Vil"len*age</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[See <er>Villanage</er>.]</ety> <fld>(Feudal Law)</fld> <def>Villanage.</def> <rj><au>Blackstone.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Villenous</ent><br/
<hw>Vil"len*ous</hw> <pr>(?)</pr>, <pos>a.</pos> <def>Of or pertaining to a villein.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Villi</ent><br/
||<hw>Vil"li</hw> <pr>(?)</pr>, <pos>n.</pos>, <def><pluf>pl.</pluf> of <er>Villus</er>.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Villiform</ent><br/
<hw>Vil"li*form</hw> <pr>(?)</pr>, <pos>a.</pos> <ety>[<ets>Villus</ets> + <ets>-form</ets>.]</ety> <def>Having the form or appearance of villi; like close-set fibers, either hard or soft; <as>as, the teeth of perch are <ex>villiform</ex></as>.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Villose</ent><br/
<hw>Vil*lose"</hw> <pr>(?)</pr>, <pos>a.</pos> <fld>(Bot.)</fld> <def>See <er>Villous</er>.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Villosity</ent><br/
<hw>Vil*los"i*ty</hw> <pr>(?)</pr>, <pos>n.</pos> <sn>1.</sn> <def>State of being villous.</def><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <fld>(Bot.)</fld> <def>A coating of long, slender hairs.</def><br/
[<source>1913 Webster</source>]</p>
<p><sn>3.</sn> <fld>(Anat.)</fld> <def>A villus.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Villous</ent><br/
<hw>Vil"lous</hw> <pr>(?)</pr>, <pos>a.</pos> <ety>[L. <ets>villosus</ets>: cf. F. <ets>villeux</ets>. Cf. <er>Velvet</er>.]</ety> <sn>1.</sn> <def>Abounding in, or covered with, fine hairs, or a woolly substance; shaggy with soft hairs; nappy.</def><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <fld>(Anat.)</fld> <def>Furnished or clothed with villi.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Villus</ent><br/
||<hw>Vil"lus</hw> <pr>(?)</pr>, <pos>n.</pos>; <plu><it>pl.</it> <plw>Villi</plw> <pr>(#)</pr>.</plu> <ety>[L., shaggy hair, a tuft of hair.]</ety> <sn>1.</sn> <fld>(Anat.)</fld> <def>One of the minute papillary processes on certain vascular membranes; a villosity; <as>as, <ex>villi</ex> cover the lining of the small intestines of many animals and serve to increase the absorbing surface</as>.</def><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <pluf>pl.</pluf> <fld>(Bot.)</fld> <def>Fine hairs on plants, resembling the pile of velvet.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vim</ent><br/
<hw>Vim</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[L., accusative of <ets>vis</ets> strength.]</ety> <def>Power; force; energy; spirit; activity; vigor.</def> <mark>[Colloq.]</mark><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vimen</ent><br/
||<hw>Vi"men</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[L., a twig.]</ety> <fld>(Bot.)</fld> <def>A long, slender, flexible shoot or branch.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Viminal</ent><br/
<hw>Vim"i*nal</hw> <pr>(?; 277)</pr>, <pos>a.</pos> <ety>[L. <ets>viminalis</ets> pertaining to osiers, fr. <ets>vimen</ets> a pliant twig, osier.]</ety> <def>Of or pertaining to twigs; consisting of twigs; producing twigs.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vimineous</ent><br/
<hw>Vi*min"e*ous</hw> <pr>(?; 277)</pr>, <pos>a.</pos> <ety>[L. <ets>vimineus</ets>, fr. <ets>vimen</ets> pliant twig.]</ety> <sn>1.</sn> <def>Of or pertaining to twigs; made of pliant twigs.</def> <ldquo/In the hive's <xex>vimineous</xex> dome.<rdquo/ <rj><au>Prior.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <fld>(Bot.)</fld> <def>Producing long, slender twigs or shoots.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vinaceous</ent><br/
<hw>Vi*na"ceous</hw> <pr>(?; 277)</pr>, <pos>a.</pos> <ety>[L. <ets>vinaceus</ets>. See <er>Vine</er>.]</ety> <sn>1.</sn> <def>Belonging to, or like, wine or grapes.</def><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <def>Of the color of wine, especially of red wine.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vinaigrette</ent><br/
<hw>Vin`ai*grette"</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[F., fr. <ets>vinaigre</ets> vinegar.]</ety> <sn>1.</sn> <fld>(Cookery)</fld> <def>A sauce, made of vinegar, oil, and other ingredients, -- used esp. for cold meats.</def><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <def>A small perforated box for holding aromatic vinegar contained in a sponge, or a smelling bottle for smelling salts; -- called also <altname>vinegarette</altname>.</def><br/
[<source>1913 Webster</source>]</p>
<p><sn>3.</sn> <def>A small, two-wheeled vehicle, like a Bath chair, to be drawn or pushed by a boy or man.</def> <mark>[R.]</mark><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vinaigrous</ent><br/
<hw>Vin"ai*grous</hw> <pr>(?)</pr>, <pos>a.</pos> <ety>[F. <ets>vinaigre</ets> vinegar.]</ety> <sn>1.</sn> <def>Resembling vinegar; sour.</def><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <mark>[Fig.]</mark>: <def>Unamiable; morose.</def> <rj><au>Carlyle.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vinasse</ent><br/
<hw>Vi*nasse"</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[F.]</ety> <fld>(Chem.)</fld> <def>The waste liquor remaining in the process of making beet sugar, -- used in the manufacture of potassium carbonate.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vinatico</ent><br/
<hw>Vi*nat"i*co</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[Pg. <ets>vinhatico</ets>.]</ety> <def>Madeira mahogany; the coarse, dark-colored wood of the <spn>Persea Indica</spn>.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vincentian</ent><br/
<hw>Vin*cen"tian</hw> <pr>(?)</pr>, <pos>a.</pos> <def>Of or pertaining to <person>Saint <etsep>Vincent</etsep> de Paul</person>, or founded by him.</def> <mark>[R.]</mark><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vincentian</ent><br/
<hw>Vin*cen"tian</hw>, <pos>n.</pos> <fld>(R. C. Ch.)</fld> <sd>(a)</sd> <def>Same as <er>Lazarist</er>.</def> <sd>(b)</sd> <def>A member of certain charitable sisterhoods.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vincetoxin</ent><br/
<hw>Vin`ce*tox"in</hw> <pr>(?)</pr>, <pos>n.</pos> <fld>(Chem.)</fld> <def>A glucoside extracted from the root of the white swallowwort (<spn>Vincetoxicum officinale</spn>, a plant of the Asclepias family) as a bitter yellow amorphous substance; -- called also <altname>asclepiadin</altname>, and <altname>cynanchin</altname>.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vincibility</ent><br/
<hw>Vin`ci*bil"i*ty</hw> <pr>(?)</pr>, <pos>n.</pos> <def>The quality or state of being vincible, vincibleness.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vincible</ent><br/
<hw>Vin"ci*ble</hw> <pr>(?)</pr>, <pos>a.</pos> <ety>[L. <ets>vincibilis</ets>, fr. <ets>vincere</ets> to vanquish, conquer: cf. F. <ets>vincible</ets>. See <er>Victor</er>.]</ety> <def>Capable of being overcome or subdued; conquerable.</def> <ldquo/He, not <xex>vincible</xex> in spirit . . . drew his sword.<rdquo/ <au>Hayward.</au> <ldquo/<xex>Vincible</xex> by human aid.<rdquo/ <au>Paley.</au><br/
[<source>1913 Webster</source>]</p>
<p><cs><col><b>Vincible ignorance</b></col> <fld>(Theol.)</fld>, <cd>ignorance within the individual's control and for which, therefore, he is responsible before God.</cd></cs><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vincibleness</ent><br/
<hw>Vin"ci*ble*ness</hw>, <pos>n.</pos> <def>The quality or state of being vincible.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vincture</ent><br/
<hw>Vinc"ture</hw> <pr>(?; 135)</pr>, <pos>n.</pos> <ety>[L. <ets>vinctura</ets>, fr. <ets>vincire</ets>, <ets>vinctum</ets>, to bind.]</ety> <def>A binding.</def> <mark>[Obs.]</mark><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vinculum</ent><br/
||<hw>Vin"cu*lum</hw> <pr>(?)</pr>, <pos>n.</pos>; <plu><it>pl.</it> L. <plw>Vincula</plw> <pr>(#)</pr>, E. <plw>Vinculums</plw> <pr>(#)</pr>.</plu> <ety>[L., from <ets>vincire</ets>, <ets>vinctum</ets>, to bind.]</ety> <sn>1.</sn> <def>A bond of union; a tie.</def><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <fld>(Math.)</fld> <def>A straight, horizontal mark placed over two or more members of a compound quantity, which are to be subjected to the same operation, as in the expression <mathex>x<exp>2</exp> + y<exp>2</exp> - <vinc>x + y</vinc></mathex>.</def>
<-- the same effect is more usually obtained by enclosing the expression under the vinculum in parentheses. --><br/
[<source>1913 Webster</source>]</p>
<p><sn>3.</sn> <fld>(Anat.)</fld> <def>A band or bundle of fibers; a fraenum.</def><br/
[<source>1913 Webster</source>]</p>
<p><sn>4.</sn> <fld>(Zool.)</fld> <def>A commissure uniting the two main tendons in the foot of certain birds.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vindemial</ent><br/
<hw>Vin*de"mi*al</hw> <pr>(?)</pr>, <pos>a.</pos> <ety>[L. <ets>vindemialis</ets>, fr. <ets>vindemia</ets> a vintage. See <er>Vintage</er>.]</ety> <def>Of or pertaining to a vintage, or grape harvest.</def> <mark>[R.]</mark><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vindemiate</ent><br/
<hw>Vin*de"mi*ate</hw> <pr>(?)</pr>, <pos>v. i.</pos> <ety>[L. <ets>vindemiare</ets>. See <er>Vindemial</er>.]</ety> <def>To gather the vintage.</def> <mark>[Obs.]</mark> <rj><au>Evelyn.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vindemiation</ent><br/
<hw>Vin*de`mi*a"tion</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[LL. <ets>vindemiatio</ets>.]</ety> <def>The operation of gathering grapes.</def> <mark>[Obs.]</mark> <rj><au>Bailey.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vindicable</ent><br/
<hw>Vin"di*ca*ble</hw> <pr>(?)</pr>, <pos>a.</pos> <def>Capable of being vindicated.</def> -- <wordforms><wf>Vin`di*ca*bil"i*ty</wf> <pr>(#)</pr>, <pos>n.</pos></wordforms><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vindicate</ent><br/
<hw>Vin"di*cate</hw> <pr>(?)</pr>, <pos>v. t.</pos> <vmorph>[<pos>imp. & p. p.</pos> <conjf>Vindicated</conjf> <pr>(?)</pr>; <pos>p. pr. & vb. n.</pos> <conjf>Vindicating</conjf>.]</vmorph> <ety>[L. <ets>vindicatus</ets>, p. p. of <ets>vindicare</ets> to lay claim to, defend, avenge. See <er>Vengeance</er>.]</ety> <sn>1.</sn> <def>To lay claim to; to assert a right to; to claim.</def> <mark>[R.]</mark><br/
[<source>1913 Webster</source>]</p>
<p><q>Is thine alone the seed that strews the plain?<br/
The birds of heaven shall <qex>vindicate</qex> their grain.</q> <rj><qau>Pope.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <def>To maintain or defend with success; to prove to be valid; to assert convincingly; to sustain against assault; <as>as, to <ex>vindicate</ex> a right, claim, or title</as>.</def><br/
[<source>1913 Webster</source>]</p>
<p><sn>3.</sn> <def>To support or maintain as true or correct, against denial, censure, or objections; to defend; to justify.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>When the respondent denies any proposition, the opponent must directly <qex>vindicate</qex> . . . that proposition.</q> <rj><qau>I. Watts.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><q>Laugh where we must, be candid where we can,<br/
But <qex>vindicate</qex> the ways of God to man.</q> <rj><qau>Pope.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>4.</sn> <def>To maintain, as a law or a cause, by overthrowing enemies.</def> <rj><au>Milton.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>5.</sn> <def>To liberate; to set free; to deliver.</def> <mark>[Obs.]</mark><br/
[<source>1913 Webster</source>]</p>
<p><q>I am confident he deserves much more<br/
That <qex>vindicates</qex> his country from a tyrant<br/
Than he that saves a citizen.</q> <rj><qau>Massinger.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>6.</sn> <def>To avenge; to punish; <as>as, a war to <ex>vindicate</ex> or punish infidelity</as>.</def> <mark>[Obs.]</mark> <rj><au>Bacon.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><q>God is more powerful to exact subjection and to <qex>vindicate</qex> rebellion.</q> <rj><qau>Bp. Pearson.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><syn><b>Syn.</b> -- To assert; maintain; claim. See <er>Assert</er>.</syn><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vindication</ent><br/
<hw>Vin`di*ca"tion</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[L. <ets>vindicatio</ets> a laying claim, defense, vindication. See <er>Vindicate</er>.]</ety> <sn>1.</sn> <def>The act of vindicating, or the state of being vindicated; defense; justification against denial or censure; <as>as, the <ex>vindication</ex> of opinions; his <ex>vindication</ex> is complete</as>.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>Occasion for the <qex>vindication</qex> of this passage in my book.</q> <rj><qau>Locke.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <fld>(Civil Law)</fld> <def>The claiming a thing as one's own; the asserting of a right or title in, or to, a thing.</def> <rj><au>Burrill.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vindicative</ent><br/
<hw>Vin"di*ca*tive</hw> <pr>(?; 277)</pr>, <pos>a.</pos> <ety>[Cf. F. <ets>vindicatif</ets>. Cf. <er>Vindictive</er>.]</ety> <sn>1.</sn> <def>Tending to vindicate; vindicating; <as>as, a <ex>vindicative</ex> policy</as>.</def><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <def>Revengeful; vindictive.</def> <mark>[Obs.]</mark><br/
[<source>1913 Webster</source>]</p>
<p><q><qex>Vindicative</qex> persons live the life of witches, who, as they are mischievous, so end they infortunate.</q> <rj><qau>Bacon.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p>-- <wordforms><wf>Vin"di*ca*tive*ness</wf>, <pos>n.</pos></wordforms><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vindicator</ent><br/
<hw>Vin"di*ca`tor</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[LL., an avenger.]</ety> <def>One who vindicates; one who justifies or maintains.</def> <rj><au>Locke.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vindicatory</ent><br/
<hw>Vin"di*ca*to*ry</hw> <pr>(?)</pr>, <pos>a.</pos> <sn>1.</sn> <def>Tending or serving to vindicate or justify; justificatory; vindicative.</def><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <def>Inflicting punishment; avenging; punitory.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>The afflictions of Job were no <qex>vindicatory</qex> punishments to take vengeance of his sins.</q> <rj><qau>Abp. Bramhall.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vindictive</ent><br/
<hw>Vin*dic"tive</hw> <pr>(?)</pr>, <pos>a.</pos> <ety>[For <ets>vindicative</ets>, confused with L. <ets>vindicta</ets> revenge, punishment, fr. <ets>vindicare</ets> to vindicate. Cf. <er>Vindicative</er>.]</ety> <sn>1.</sn> <def>Disposed to revenge; prompted or characterized by revenge; revengeful.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>I am <qex>vindictive</qex> enough to repel force by force.</q> <rj><qau>Dryden.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <def>Punitive.</def> <mark>[Obs.]</mark><br/
[<source>1913 Webster</source>]</p>
<p><cs><col><b>Vindictive damages</b></col>. <fld>(Law)</fld> <cd>See under <er>Damage</er>, <pos>n.</pos></cd></cs><br/
[<source>1913 Webster</source>]</p>
<p>-- <wordforms><wf>Vin*dic"tive*ly</wf>, <pos>adv.</pos> -- <wf>Vin*dic"tive*ness</wf>, <pos>n.</pos></wordforms><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vine</ent><br/
<hw>Vine</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[F. <ets>vigne</ets>, L. <ets>vinea</ets> a vineyard, vine from <ets>vineus</ets> of or belonging to wine, <ets>vinum</ets> wine, grapes. See <er>Wine</er>, and cf. <er>Vignette</er>.]</ety> <fld>(Bot.)</fld> <sd>(a)</sd> <def>Any woody climbing plant which bears grapes.</def> <sd>(b)</sd> <def>Hence, a climbing or trailing plant; the long, slender stem of any plant that trails on the ground, or climbs by winding round a fixed object, or by seizing anything with its tendrils, or claspers; a creeper; <as>as, the hop <ex>vine</ex>; the bean <ex>vine</ex>; the <ex>vines</ex> of melons, squashes, pumpkins, and other cucurbitaceous plants.</as></def><br/
[<source>1913 Webster</source>]</p>
<p><q>There shall be no grapes on the <qex>vine</qex>.</q> <rj><qau>Jer. viii. 13.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><q>And one went out into the field to gather herbs, and found a wild <qex>vine</qex>, and gathered thereof wild gourds.</q> <rj><qau>2 Kings iv. 89.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><cs><col><b>Vine apple</b></col> <fld>(Bot.)</fld>, <cd>a small kind of squash.</cd> <au>Roger Williams.</au> -- <col><b>Vine beetle</b></col> <fld>(Zool.)</fld>, <cd>any one of several species of beetles which are injurious to the leaves or branches of the grapevine. Among the more important species are the grapevine fidia (see <er>Fidia</er>), the spotted Pelidnota (<spn>Pelidnota punctata</spn>) (see <er>Rutilian</er>), the vine fleabeetle (<spn>Graptodera chalybea</spn>), the rose beetle (see under <er>Rose</er>), the vine weevil, and several species of <gen>Colaspis</gen> and <gen>Anomala</gen>.</cd> -- <col><b>Vine borer</b></col>. <fld>(Zool.)</fld> <sd>(a)</sd> <cd>Any one of several species of beetles whose larvae bore in the wood or pith of the grapevine, especially <spn>Sinoxylon basilare</spn>, a small species the larva of which bores in the stems, and <spn>Ampeloglypter sesostris</spn>, a small reddish brown weevil (called also <altname>vine weevil</altname>), which produces knotlike galls on the branches.</cd> <sd>(b)</sd> <cd>A clearwing moth (<spn>Aegeria polistiformis</spn>), whose larva bores in the roots of the grapevine and is often destructive.</cd> -- <col><b>Vine dragon</b></col>, <cd>an old and fruitless branch of a vine.</cd> <mark>[Obs.]</mark> <au>Holland.</au> -- <col><b>Vine forester</b></col> <fld>(Zool.)</fld>, <cd>any one of several species of moths belonging to <gen>Alypia</gen> and allied genera, whose larvae feed on the leaves of the grapevine.</cd> -- <col><b>Vine fretter</b></col> <fld>(Zool.)</fld>, <cd>a plant louse, esp. the phylloxera that injuries the grapevine.</cd> -- <col><b>Vine grub</b></col> <fld>(Zool.)</fld>, <cd>any one of numerous species of insect larvae that are injurious to the grapevine.</cd> -- <col><b>Vine hopper</b></col> <fld>(Zool.)</fld>, <cd>any one of several species of leaf hoppers which suck the sap of the grapevine, especially <spn>Erythroneura vitis</spn>. See <xex>Illust.</xex> of <cref>Grape hopper</cref>, under <er>Grape</er>.</cd> -- <col><b>Vine inchworm</b></col> <fld>(Zool.)</fld>, <cd>the larva of any species of geometrid moths which feed on the leaves of the grapevine, especially <spn>Cidaria diversilineata</spn>.</cd> -- <col><b>Vine-leaf rooer</b></col> <fld>(Zool.)</fld>, <cd>a small moth (<spn>Desmia maculalis</spn>) whose larva makes a nest by rolling up the leaves of the grapevine. The moth is brownish black, spotted with white.</cd> -- <col><b>Vine louse</b></col> <fld>(Zool.)</fld>, <cd>the phylloxera.</cd> -- <col><b>Vine mildew</b></col> <fld>(Bot.)</fld>, <cd>a fungous growth which forms a white, delicate, cottony layer upon the leaves, young shoots, and fruit of the vine, causing brown spots upon the green parts, and finally a hardening and destruction of the vitality of the surface. The plant has been called <spn>Oidium Tuckeri</spn>, but is now thought to be the conidia-producing stage of an <gen>Erysiphe</gen>.</cd> -- <col><b>Vine of Sodom</b></col> <fld>(Bot.)</fld>, <cd>a plant named in the Bible (<au>Deut. xxxii. 32</au>), now thought to be identical with the apple of Sodom. See <cref>Apple of Sodom</cref>, under <er>Apple</er>.</cd> -- <col><b>Vine sawfly</b></col> <fld>(Zool.)</fld>, <cd>a small black sawfiy (<spn>Selandria vitis</spn>) whose larva feeds upon the leaves of the grapevine. The larvae stand side by side in clusters while feeding.</cd> -- <col><b>Vine slug</b></col> <fld>(Zool.)</fld>, <cd>the larva of the vine sawfly.</cd> -- <col><b>Vine sorrel</b></col> <fld>(Bot.)</fld>, <cd>a climbing plant (<spn>Cissus acida</spn>) related to the grapevine, and having acid leaves. It is found in Florida and the West Indies.</cd> -- <col><b>Vine sphinx</b></col> <fld>(Zool.)</fld>, <cd>any one of several species of hawk moths. The larvae feed on grapevine leaves.</cd> -- <col><b>Vine weevil</b></col>. <fld>(Zool.)</fld> <cd>See <cref>Vine borer</cref> <sd>(a)</sd> above, and <cref>Wound gall</cref>, under <er>Wound</er>.</cd></cs><br/
[<source>1913 Webster</source>]</p>
<p><-- p. 1611 --><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vineal</ent><br/
<hw>Vi"ne*al</hw> <pr>(?)</pr>, <pos>a.</pos> <ety>[L. <ets>vinealis</ets>.]</ety> <def>Of or pertaining to vines; containing vines.</def> <mark>[R.]</mark> <rj><au>Sir T. Browne.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vine-clad</ent><br/
<hw>Vine"-clad`</hw> <pr>(?)</pr>, <pos>a.</pos> <def>Covered with vines.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vined</ent><br/
<hw>Vined</hw> <pr>(?)</pr>, <pos>a.</pos> <def>Having leaves like those of the vine; ornamented with vine leaves.</def> <ldquo/<xex>Vined</xex> and figured columns.<rdquo/ <rj><au>Sir H. Wotton.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vinedresser</ent><br/
<hw>Vine"dress`er</hw> <pr>(?)</pr>, <pos>n.</pos> <def>One who cultivates, prunes, or cares for, grapevines; a laborer in a vineyard.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>The sons of the shall be your plowmen and your <qex>vinedressers</qex>.</q> <rj><qau>Isa. lxi. 5.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vinegar</ent><br/
<hw>Vin"e*gar</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[OE. <ets>vinegre</ets>, F. <ets>vinaigre</ets>; vin wine (L. <ets>vinum</ets>) + <ets>aigre</ets> sour. See <er>Wine</er>, and <er>Eager</er>, <pos>a.</pos>]</ety> <sn>1.</sn> <def>A sour liquid used as a condiment, or as a preservative, and obtained by the spontaneous (<xex>acetous</xex>) fermentation, or by the artificial oxidation, of wine, cider, beer, or the like.</def><br/
[<source>1913 Webster</source>]</p>
<p><note><hand/ The characteristic sourness of vinegar is due to acetic acid, of which it contains from three to five per cent. Wine vinegar contains also tartaric acid, citric acid, etc.</note><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <def>Hence, anything sour; -- used also metaphorically.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>Here's the challenge: . . . I warrant there's <qex>vinegar</qex> and pepper in't.</q> <rj><qau>Shak.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><cs><col><b>Aromatic vinegar</b></col>, <cd>strong acetic acid highly flavored with aromatic substances.</cd> -- <col><b>Mother of vinegar</b></col>. <cd>See 4th <er>Mother</er>.</cd> -- <col><b>Radical vinegar</b></col>, <cd>acetic acid.</cd> -- <col><b>Thieves' vinegar</b></col>. <cd>See under <er>Thief</er>.</cd> -- <col><b>Vinegar eel</b></col> <fld>(Zool.)</fld>, <cd>a minute nematode worm (<spn>Leptodera oxophila</spn>, or <spn>Anguillula acetiglutinis</spn>), commonly found in great numbers in vinegar, sour paste, and other fermenting vegetable substances; -- called also <altname>vinegar worm</altname>.</cd> -- <col><b>Vinegar lamp</b></col> <fld>(Chem.)</fld>, <cd>a fanciful name of an apparatus designed to oxidize alcohol to acetic acid by means of platinum.</cd> -- <col><b>Vinegar plant</b></col>. <cd>See 4th <er>Mother</er>.</cd> -- <col><b>Vinegar tree</b></col> <fld>(Bot.)</fld>, <cd>the stag-horn sumac (<spn>Rhus typhina</spn>), whose acid berries have been used to intensify the sourness of vinegar.</cd> -- <col><b>Wood vinegar</b></col>. <cd>See under <er>Wood</er>.</cd></cs><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vinegar</ent><br/
<hw>Vin"e*gar</hw>, <pos>v. t.</pos> <def>To convert into vinegar; to make like vinegar; to render sour or sharp.</def> <mark>[Obs.]</mark><br/
[<source>1913 Webster</source>]</p>
<p><q>Hoping that he hath <qex>vinegared</qex> his senses<br/
As he was bid.</q> <rj><qau>B. Jonson.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vinegarette</ent><br/
<hw>Vin`e*gar*ette"</hw> <pr>(?)</pr>, <pos>n.</pos> <def>See <er>Vinaigrette</er>, <pos>n.</pos>, 2.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vinegar fly</ent><br/
<hw>Vin"e*gar fly</hw>. <def>Any of several fruit flies, esp. <spn>Drosophila ampelopophila</spn>, which breed in imperfectly sealed preserves and in pickles.</def><br/
[<source>Webster 1913 Suppl.</source>]</p>
<p><ent>Vinegarroon</ent><br/
<hw>Vin`e*gar*roon"</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[Cf. Sp. <ets>vinagre</ets> vinegar.]</ety> <def>A whip scorpion, esp. a large Mexican species (<spn>Thelyphonus giganteus</spn>) popularly supposed to be very venomous; -- from the odor that it emits when alarmed.</def><br/
[<source>Webster 1913 Suppl.</source>]</p>
<p><ent>Vinegary</ent><br/
<hw>Vin"e*gar*y</hw> <pr>(?)</pr>, <pos>a.</pos> <def>Having the nature of vinegar; sour; unamiable.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Viner</ent><br/
<hw>Vin"er</hw> <pr>(?)</pr>, <pos>n.</pos> <def>A vinedresser.</def> <mark>[Obs.]</mark><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vinery</ent><br/
<hw>Vin"er*y</hw> <pr>(?)</pr>, <pos>n.</pos> <sn>1.</sn> <def>A vineyard.</def> <mark>[Obs.]</mark> <ldquo/The <xex>vinery</xex> of Ramer.<rdquo/ <rj><au>Fabyan.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <def>A structure, usually inclosed with glass, for rearing and protecting vines; a grapery.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vinette</ent><br/
<hw>Vi*nette"</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[Cf. <er>Vignette</er>.]</ety> <def>A sprig or branch.</def> <mark>[Archaic]</mark> <rj><au>Halliwell.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vinewed</ent><br/
<hw>Vin"ewed</hw> <pr>(?)</pr>, <pos>a.</pos> <def>Same as <er>Vinnewed</er>.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vineyard</ent><br/
<hw>Vine"yard</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[For OE. <ets>winyard</ets>, AS. <ets>w<imac/ngeard</ets>; influenced by E. <ets>vine</ets>. See <er>Wine</er>, and <er>Yard</er> an inclosure.]</ety> <def>An inclosure or yard for grapevines; a plantation of vines producing grapes.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vineyardist</ent><br/
<hw>Vine"yard*ist</hw>, <pos>n.</pos> <def>One who cultivates a vineyard.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vingt et un</ent><br/
||<hw>Vingt` et` un"</hw> <pr>(?)</pr>. <ety>[F., twenty and one.]</ety> <def>A game at cards, played by two or more persons. The fortune of each player depends upon obtaining from the dealer such cards that the sum of their pips, or spots, is twenty-one, or a number near to it; -- also called <altname>blackjack</altname>, or <altname>twenty-one</altname>.</def> <note>There are several variations (such as <stype>Caribbean blackjack</stype>). In the most common variation played in casinos, there is one dealer who plays for the house, and up to seven players. The players and dealer each receive two cards, the dealer's cards usually being one face up and one face down. The players each in turn decide whether they will request additional cards (<ldquo/hit<rdquo/), the objective being to reach a sum of card values as close as possible to twenty-one, without exceeding that number. If, on hitting, the player's total card values exceed 21, he has <ldquo/busted<rdquo/, and lost his bet. Otherwise, the player wins only if his total card values exceed those of the dealer. <ldquo/picture cards<rdquo/ (or <ldquo/face cards<rdquo/, being the jack, queen, and king) are counted as having a value of ten. The ace may count as one or eleven, at the player's option. Other than to hit, there are also other possible actions by the player, such as to <ldquo/double down<rdquo/ (receive only one additional card, while doubling the initial bet), or to <ldquo/split<rdquo/ (if the first two cards have the same value).</note><br/
[<source>1913 Webster</source> <source>+PJC</source>]</p>
<p><ent>Vingtun</ent><br/
<hw>Vingt`un"</hw> <pr>(?)</pr>, <pos>n.</pos> <def>Contraction for <er>Vingt et un</er>.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vinic</ent><br/
<hw>Vin"ic</hw> <pr>(?)</pr>, <pos>a.</pos> <fld>(Chem.)</fld> <def>Of or pertaining to wine; <as>as, <ex>vinic</ex> alcohol</as>.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Viniculture</ent><br/
<hw>Vin"i*cul`ture</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[L. <ets>vinum</ets> wine + <ets>cultura</ets> culture.]</ety> <def>The cultivation of the vine, esp. for making wine; viticulture.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vinification</ent><br/
<hw>Vin`i*fi*ca"tion</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[L. <ets>vinum</ets> wine + E. <ets>-fication</ets>.]</ety> <def>The conversion of a fruit juice or other saccharine solution into alcohol by fermentation.</def><br/
[<source>Webster 1913 Suppl.</source>]</p>
<p><ent>Vinnewed</ent><br/
<hw>Vin"newed</hw> <pr>(?)</pr>, <pos>a.</pos> <ety>[See <er>Fenowed</er>.]</ety> <def>Moldy; musty.</def> <altsp>[Written also <asp>vinewed</asp>.]</altsp> <mark>[Obs. or Prov. Eng.]</mark><br/
[<source>1913 Webster</source>]</p>
<p>-- <wordforms><wf>Vin"newed*ness</wf>, <pos>n.</pos> <mark>[Obs.]</mark></wordforms><br/
[<source>1913 Webster</source>]</p>
<p><q>Many of Chaucer's words are become, as it were, <qex>vinnewed</qex> and hoary with over-long lying.</q> <rj><qau>F. Beaumont.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vinny</ent><br/
<hw>Vin"ny</hw> <pr>(?)</pr>, <pos>a.</pos> <def>Vinnewed.</def> <mark>[Obs. or Prov. Eng.]</mark><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vinolency</ent><br/
<hw>Vin"o*len*cy</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[L. <ets>vinolentina</ets>. See <er>Vinolent</er>.]</ety> <def>Drunkennes.</def> <mark>[Obs.]</mark><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vinolent</ent><br/
<hw>Vin"o*lent</hw> <pr>(?)</pr>, <pos>a.</pos> <ety>[L. <ets>vinolentus</ets>, fr. <ets>vinum</ets> wine.]</ety> <def>Given to wine; drunken; intemperate.</def> <mark>[Obs.]</mark> <rj><au>Chaucer.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vinometer</ent><br/
<hw>Vin*om"e*ter</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[L. <ets>vinum</ets> vine + <ets>-meter</ets>.]</ety> <def>An instrument for determining the strength or purity of wine by measuring its density.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vin ordinaire</ent><br/
||<hw>Vin` or`di`naire"</hw> <pr>(?)</pr>. <ety>[F., lit., common wine.]</ety> <def>A cheap claret, used as a table wine in France.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vinose</ent><br/
<hw>Vi*nose"</hw> <pr>(?)</pr>, <pos>a.</pos> <def>Vinous.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vinosity</ent><br/
<hw>Vi*nos"i*ty</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[L. <ets>vinositas</ets>: cf. F. <ets>vinosit<eacute/</ets>.]</ety> <def>The quality or state of being vinous.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vinous</ent><br/
<hw>Vi"nous</hw> <pr>(?)</pr>, <pos>a.</pos> <ety>[L. <ets>vinosus</ets>, fr. <ets>vinum</ets> wine: cf. F. <ets>vineux</ets>. See <er>Wine</er>.]</ety> <def>Of or pertaining to wine; having the qualities of wine; <as>as, a <ex>vinous</ex> taste</as>.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vinquish</ent><br/
<hw>Vin"quish</hw> <pr>(?)</pr>, <pos>n.</pos> <fld>(Far.)</fld> <def>See <er>Vanquish</er>, <pos>n.</pos></def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vintage</ent><br/
<hw>Vint"age</hw> <pr>(?; 48)</pr>, <pos>n.</pos> <ety>[Corrupted by influence of <ets>vintner</ets>, <ets>vintry</ets>, from OE. <ets>vindage</ets>, <ets>vendage</ets>, for <ets>vendange</ets>, OF. <ets>vendenge</ets>, F. <ets>vendange</ets>, from L. <ets>vindemia</ets>; <ets>vinum</ets> wine, grapes + <ets>demere</ets> to take off; <ets>de + emere</ets>, originally, to take. See <er>Wine</er>, <er>Redeem</er>, and cf. <er>Vindemial</er>.]</ety> <sn>1.</sn> <def>The produce of the vine for one season, in grapes or in wine; <as>as, the <ex>vintage</ex> is abundant; the <ex>vintage</ex> of 1840</as>.</def><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <def>The act or time of gathering the crop of grapes, or making the wine for a season.</def><br/
[<source>1913 Webster</source>]</p>
<p><cs><col><b>Vintage spring</b></col>, <cd>a wine fount.</cd> -- <col><b>Vintage time</b></col>, <cd>the time of gathering grapes and making wine.</cd> <rj><au>Milton.</au></rj></cs><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vintager</ent><br/
<hw>Vint"a*ger</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[From <er>Vintage</er>: cf. F. <ets>vendangeur</ets>.]</ety> <def>One who gathers the vintage.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vintaging</ent><br/
<hw>Vint"a*ging</hw> <pr>(?)</pr>, <pos>n.</pos> <def>The act of gathering the vintage, or crop of grapes.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vintner</ent><br/
<hw>Vint"ner</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[OE. <ets>vintener</ets>, <ets>viniter</ets>, OF. <ets>vinetier</ets>, <ets>vinotier</ets>, LL. <ets>vinetarius</ets>, fr. L. <ets>vinetum</ets> a vineyard, fr. <ets>vinum</ets> wine. See <er>Wine</er>.]</ety> <def>One who deals in wine; a wine seller, or wine merchant.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vintry</ent><br/
<hw>Vint"ry</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[OE. <ets>viniterie</ets>, from OF. <ets>vinotier</ets>, <ets>vinetier</ets>, wine merchant. See <er>Vintner</er>.]</ety> <def>A place where wine is sold.</def> <mark>[Obs.]</mark> <rj><au>Ainsworth.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vinum</ent><br/
||<hw>Vi"num</hw> <pr>(?)</pr>, <pos>n.</pos>; <plu>pl. <plw>Vina</plw> <pr>(#)</pr></plu>. <ety>[L. See <er>Wine</er>.]</ety> <def>Wine, -- chiefly used in <fld>Pharmacy</fld> in the name of solutions of some medicinal substance in wine; as: <col><b>vina medicata</b></col>, medicated wines; <col><b>vinum opii</b></col>, wine of opium.</def><br/
[<source>Webster 1913 Suppl.</source>]</p>
<p><ent>Viny</ent><br/
<hw>Vin"y</hw> <pr>(?)</pr>, <pos>a.</pos> <def>Of or pertaining to vines; producing, or abounding in, vines.</def> <rj><au>P. Fletcher.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vinyl</ent><br/
<hw>Vi"nyl</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[L. <ets>vinum</ets> wine + <ets>-yl</ets>.]</ety> <fld>(Chem.)</fld> <def>The hypothetical radical <chform>C2H3</chform>, regarded as the characteristic residue of ethylene and that related series of unsaturated hydrocarbons with which the allyl compounds are homologous.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Viol</ent><br/
<hw>Vi"ol</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[F. <ets>viole</ets>; cf. Pr. <ets>viola</ets>, <ets>viula</ets>, Sp., Pg., & It. <ets>viola</ets>, LL. <ets>vitula</ets>; of uncertain origin; perhaps from L. <ets>vitulari</ets> to celebrate a festival, keep holiday, be joyful, perhaps originally, to sacrifice a calf (<ets>vitulus</ets>; cf. <er>Veal</er>). Cf. <er>Fiddle</er>, <er>Vielle</er>, 2d <er>Viola</er>, <er>Violin</er>.]</ety><br/
[<source>1913 Webster</source>]</p>
<p><sn>1.</sn> <fld>(Mus.)</fld> <def>A stringed musical instrument formerly in use, of the same form as the violin, but larger, and having six strings, to be struck with a bow, and the neck furnished with frets for stopping the strings.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>Me softer airs befit, and softer strings<br/
Of lute, or <qex>viol</qex> still, more apt for mournful things.</q> <rj><qau>Milton.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><note><hand/ The name is now applied as a general term to designate instruments of the violin kind, as <xex>tenor viol</xex>, <xex>bass viol</xex>, etc.</note><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <fld>(Naut.)</fld> <def>A large rope sometimes used in weighing anchor.</def> <altsp>[Written also <asp>voyal</asp>, and <asp>voyal</asp>.]</altsp> <rj><au>Totten.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Viola</ent><br/
||<hw>Vi"o*la</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[L., a violet. See <er>Violet</er>.]</ety> <fld>(Bot.)</fld> <def>A genus of polypetalous herbaceous plants, including all kinds of violets.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Viola</ent><br/
<hw>Vi"o*la</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[It. See <er>Viol</er>.]</ety> <fld>(Mus.)</fld> <def>An instrument in form and use resembling the violin, but larger, and a fifth lower in compass.</def><br/
[<source>1913 Webster</source>]</p>
<p><cs>||<col><b>Viola da braccio</b></col> <ety>[It., viol for the arm]</ety>, <cd>the tenor viol, or viola, a fifth lower than the violin. Its part is written in the alto clef, hence it is sometimes called the <altname>alto</altname>.</cd> -- ||<col><b>Viola da gamba</b></col> <ety>[It., viol for the leg]</ety>, <cd>an instrument resembling the viola, but larger, and held between the knees. It is now rarely used.</cd> -- ||<col><b>Viola da spalla</b></col> <ety>[It., viol for the shoulder]</ety>, <cd>an instrument formerly used, resembling the viola, and intermediate in size between the viola and the viola da gamba.</cd> -- ||<col><b>Viola di amore</b></col> <ety>[It., viol of love: cf. F. <ets>viole d'amour</ets>]</ety>, <cd>a viol, larger than the viola, having catgut strings upon, and brass or steel wires under, the keyboard. These, sounding sympathetically with the strings, yield a peculiarly soft and silvery sound. It is now seldom used.</cd></cs><br/
[<source>1913 Webster</source>]</p>
<p><ent>Violable</ent><br/
<hw>Vi"o*la*ble</hw> <pr>(?)</pr>, <pos>a.</pos> <ety>[L. <ets>violabilis</ets>: cf. F. <ets>violable</ets>. See <er>Violate</er>.]</ety> <def>Capable of being violated, broken, or injured.</def> -- <wordforms><wf>Vi"o*la*bly</wf>, <pos>adv.</pos></wordforms><br/
[<source>1913 Webster</source>]</p>
<p><ent>Violaceous</ent><br/
<hw>Vi`o*la"ceous</hw> <pr>(?)</pr>, <pos>a.</pos> <ety>[L. <ets>violaceus</ets>, fr. <ets>viola</ets> a violet.]</ety> <sn>1.</sn> <def>Resembling violets in color; bluish purple.</def><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <fld>(Bot.)</fld> <def>Of or pertaining to a natural order of plants, of which the violet is the type. It contains about twenty genera and two hundred and fifty species.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Violaniline</ent><br/
<hw>Vi`o*lan"i*line</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[<ets>Viol</ets>et + <ets>aniline</ets>.]</ety> <fld>(Chem.)</fld> <def>A dyestuff of the induline group, made from aniline, and used as a substitute for indigo in dyeing wool and silk a violet-blue or a gray-blue color.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Violantin</ent><br/
<hw>Vi`o*lan"tin</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[See <er>Violuric</er>.]</ety> <fld>(Chem.)</fld> <def>A complex nitrogenous substance, produced as a yellow crystalline substance, and regarded as a complex derivative of barbituric acid.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Violaquercitrin</ent><br/
<hw>Vi`o*la*quer"cit*rin</hw> <pr>(?)</pr>, <pos>n.</pos> <fld>(Chem.)</fld> <def>A yellow crystalline glucoside obtained from the pansy (<spn>Viola tricolor</spn>), and decomposing into glucose and quercitrin.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Violascent</ent><br/
<hw>Vi`o*las"cent</hw> <pr>(?)</pr>, <pos>a.</pos> <def>Violescent.</def> <mark>[R.]</mark><br/
[<source>1913 Webster</source>]</p>
<p><ent>Violate</ent><br/
<hw>Vi"o*late</hw> <pr>(?)</pr>, <pos>v. t.</pos> <vmorph>[<pos>imp. & p. p.</pos> <conjf>Violates</conjf> <pr>(?)</pr>; <pos>p. pr. & vb. n.</pos> <conjf>Violating</conjf>.]</vmorph> <ety>[L. <ets>violatus</ets>, p. p. of <ets>violare</ets> to violate, fr. <ets>vis</ets> strength, force. See <er>Violent</er>.]</ety> <sn>1.</sn> <def>To treat in a violent manner; to abuse.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>His wife Boadicea <qex>violated</qex> with stripes, his daughters with rape.</q> <rj><qau>Milton.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <def>To do violence to, as to anything that should be held sacred or respected; to profane; to desecrate; to break forcibly; to trench upon; to infringe.</def><br/
[<source>1913 Webster</source>]</p>
<p><q><qex>Violated</qex> vows<br/
'Twixt the souls of friend and friend.</q> <rj><qau>Shak.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><q>Oft have they <qex>violated</qex><br/
The temple, oft the law, with foul affronts.</q> <rj><qau>Milton.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>3.</sn> <def>To disturb; to interrupt.</def> <ldquo/Employed, it seems, to <xex>violate</xex> sleep.<rdquo/ <rj><au>Milton.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>4.</sn> <def>To commit rape on; to ravish; to outrage.</def><br/
[<source>1913 Webster</source>]</p>
<p><syn><b>Syn.</b> -- To injure; disturb; interrupt; infringe; transgress; profane; deflour; debauch; dishonor.</syn><br/
[<source>1913 Webster</source>]</p>
<p><ent>Violation</ent><br/
<hw>Vi`o*la"tion</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[L. <ets>violatio</ets>: cf. F. <ets>violation</ets>.]</ety> <def>The act of violating, treating with violence, or injuring; the state of being violated.</def> Specifically: --<br/
[<source>1913 Webster</source>]</p>
<p><sd>(a)</sd> <def>Infringement; transgression; nonobservance; as, the <xex>violation</xex> of law or positive command, of covenants, promises, etc.</def> <ldquo/The <xex>violation</xex> of my faith.<rdquo/ <rj><au>Shak.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><sd>(b)</sd> <def>An act of irreverence or desecration; profanation or contemptuous treatment of sacred things; <as>as, the <ex>violation</ex> of a church</as>.</def> <rj><au>Udall.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><sd>(c)</sd> <def>Interruption, as of sleep or peace; disturbance.</def><br/
[<source>1913 Webster</source>]</p>
<p><sd>(d)</sd> <def>Ravishment; rape; outrage.</def> <rj><au>Shak.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Violative</ent><br/
<hw>Vi"o*la*tive</hw> <pr>(?)</pr>, <pos>a.</pos> <def>Violating, or tending to violate.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Violator</ent><br/
<hw>Vi"o*la`tor</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[L.]</ety> <def>One who violates; an infringer; a profaner; a ravisher.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Viole</ent><br/
<hw>Vi"ole</hw> <pr>(?)</pr>, <pos>n.</pos> <def>A vial.</def> <mark>[Obs.]</mark> <rj><au>Chaucer.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Violence</ent><br/
<hw>Vi"o*lence</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[F., fr. L. <ets>violentia</ets>. See <er>Violent</er>.]</ety> <sn>1.</sn> <def>The quality or state of being violent; highly excited action, whether physical or moral; vehemence; impetuosity; force.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>That seal<br/
You ask with such a <qex>violence</qex>, the king,<br/
Mine and your master, with his own hand gave me.</q> <rj><qau>Shak.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><q>All the elements<br/
At least had gone to wrack, disturbed and torn<br/
With the <qex>violence</qex> of this conflict.</q> <rj><qau>Milton.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <def>Injury done to that which is entitled to respect, reverence, or observance; profanation; infringement; unjust force; outrage; assault.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>Do <qex>violence</qex> to do man.</q> <rj><qau>Luke iii. 14.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><q>We can not, without offering <qex>violence</qex> to all records, divine and human, deny an universal deluge.</q> <rj><qau>T. Burnet.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><q>Looking down, he saw<br/
The whole earth filled with <qex>violence</qex>.</q> <rj><qau>Milton.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>3.</sn> <def>Ravishment; rape; constupration.</def><br/
[<source>1913 Webster</source>]</p>
<p><cs><col><b>To do violence on</b></col>, <cd>to attack; to murder.</cd> <ldquo/She . . . <xex>did violence on</xex> herself.<rdquo/ <au>Shak.</au> -- <col><b>To do violence to</b></col>, <cd>to outrage; to injure; <as>as, he <ex>does violence to</ex> his own opinions</as>.</cd></cs><br/
[<source>1913 Webster</source>]</p>
<p><syn><b>Syn.</b> -- Vehemence; outrage; fierceness; eagerness; violation; infraction; infringement; transgression; oppression.</syn><br/
[<source>1913 Webster</source>]</p>
<p><ent>Violence</ent><br/
<hw>Vi"o*lence</hw>, <pos>v. t.</pos> <def>To assault; to injure; also, to bring by violence; to compel.</def> <mark>[Obs.]</mark> <rj><au>B. Jonson.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Violent</ent><br/
<hw>Vi"o*lent</hw> <pr>(?)</pr>, <pos>a.</pos> <ety>[F., from L. <ets>violentus</ets>, from <ets>vis</ets> strength, force; probably akin to Gr. <?/ a muscle, strength.]</ety> <sn>1.</sn> <def>Moving or acting with physical strength; urged or impelled with force; excited by strong feeling or passion; forcible; vehement; impetuous; fierce; furious; severe; <as>as, a <ex>violent</ex> blow; the <ex>violent</ex> attack of a disease.</as></def><br/
[<source>1913 Webster</source>]</p>
<p><q>Float upon a wild and <qex>violent</qex> sea.</q> <rj><qau>Shak.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><q>A <qex>violent</qex> cross wind from either coast.</q> <rj><qau>Milton.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <def>Acting, characterized, or produced by unjust or improper force; outrageous; unauthorized; <as>as, a <ex>violent</ex> attack on the right of free speech</as>.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>To bring forth more <qex>violent</qex> deeds.</q> <rj><qau>Milton.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><q>Some <qex>violent</qex> hands were laid on Humphrey's life.</q> <rj><qau>Shak.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>3.</sn> <def>Produced or effected by force; not spontaneous; unnatural; abnormal.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>These <qex>violent</qex> delights have <qex>violent</qex> ends.</q> <rj><qau>Shak.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><q>No <qex>violent</qex> state can be perpetual.</q> <rj><qau>T. Burnet.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><q>Ease would recant<br/
Vows made in pain, as <qex>violent</qex> and void.</q> <rj><qau>Milton.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><cs><col><b>Violent presumption</b></col> <fld>(Law)</fld>, <cd>presumption of a fact that arises from proof of circumstances which necessarily attend such facts.</cd> -- <col><b>Violent profits</b></col> <fld>(Scots Law)</fld>, <cd>rents or profits of an estate obtained by a tenant wrongfully holding over after warning. They are recoverable in a process of removing.</cd></cs><br/
[<source>1913 Webster</source>]</p>
<p><syn><b>Syn.</b> -- Fierce; vehement; outrageous; boisterous; turbulent; impetuous; passionate; severe; extreme.</syn><br/
[<source>1913 Webster</source>]</p>
<p><ent>Violent</ent><br/
<hw>Vi"o*lent</hw>, <pos>n.</pos> <def>An assailant.</def> <mark>[Obs.]</mark> <rj><au>Dr. H. More.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Violent</ent><br/
<hw>Vi"o*lent</hw>, <pos>v. t.</pos> <ety>[Cf. F. <ets>violenter</ets>.]</ety> <def>To urge with violence.</def> <mark>[Obs.]</mark> <rj><au>Fuller.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Violent</ent><br/
<hw>Vi"o*lent</hw>, <pos>v. i.</pos> <def>To be violent; to act violently.</def> <mark>[Obs.]</mark><br/
[<source>1913 Webster</source>]</p>
<p><q>The grief is fine, full, perfect, that I taste,<br/
And <qex>violenteth</qex> in a sense as strong<br/
As that which causeth it.</q> <rj><qau>Shak.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Violently</ent><br/
<hw>Vi"o*lent*ly</hw>, <pos>adv.</pos> <def>In a violent manner.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Violescent</ent><br/
<hw>Vi`o*les"cent</hw> <pr>(?)</pr>, <pos>a.</pos> <ety>[L. <ets>viola</ets> a violet.]</ety> <def>Tending to a violet color; violascent.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Violet</ent><br/
<hw>Vi"o*let</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[F. <ets>violette</ets> a violet (cf. <ets>violet</ets> violet-colored), dim. of OF. <ets>viole</ets> a violet, L. <ets>viola</ets>; akin to Gr. <?/. Cf. <er>Iodine</er>.]</ety> <sn>1.</sn> <fld>(Bot.)</fld> <def>Any plant or flower of the genus <gen>Viola</gen>, of many species. The violets are generally low, herbaceous plants, and the flowers of many of the species are blue, while others are white or yellow, or of several colors, as the pansy (<spn>Viola tricolor</spn>).</def><br/
[<source>1913 Webster</source>]</p>
<p><note><hand/ The cultivated sweet violet is <spn>Viola odorata</spn> of Europe. The common blue violet of the eastern United States is <spn>Viola cucullata</spn>; the sand, or bird-foot, violet is <spn>Viola pedata</spn>.</note><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <def>The color of a violet, or that part of the spectrum farthest from red. It is the most refrangible part of the spectrum.</def><br/
[<source>1913 Webster</source>]</p>
<p><sn>3.</sn> <def>In art, a color produced by a combination of red and blue in equal proportions; a bluish purple color.</def> <rj><au>Mollett.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>4.</sn> <fld>(Zool.)</fld> <def>Any one of numerous species of small violet-colored butterflies belonging to <gen>Lycaena</gen>, or <gen>Rusticus</gen>, and allied genera.</def><br/
[<source>1913 Webster</source>]</p>
<p><-- p. 1612 --><br/
[<source>1913 Webster</source>]</p>
<p><cs><col><b>Corn violet</b></col>. <cd>See under <er>Corn</er>.</cd> -- <col><b>Dame's violet</b></col>. <fld>(Bot.)</fld> <cd>See <er>Damewort</er>.</cd> -- <col><b>Dogtooth violet</b></col>. <fld>(Bot.)</fld> <cd>See under <er>Dogtooth</er>.</cd> -- <col><b>Water violet</b></col> <fld>(Bot.)</fld>, <cd>an aquatic European herb (<spn>Hottonia palustris</spn>) with pale purplish flowers and pinnatifid leaves.</cd></cs><br/
[<source>1913 Webster</source>]</p>
<p><ent>Violet</ent><br/
<hw>Vi"o*let</hw> <pr>(?)</pr>, <pos>a.</pos> <ety>[Cf. F. <ets>violet</ets>. See <er>Violet</er>, <pos>n.</pos>]</ety> <def>Dark blue, inclining to red; bluish purple; having a color produced by red and blue combined.</def><br/
[<source>1913 Webster</source>]</p>
<p><cs><col><b>Violet shell</b></col> <fld>(Zool.)</fld>, <cd>any species of Ianthina; -- called also <altname>violet snail</altname>. See <er>Ianthina</er>.</cd> -- <col><b>Violet wood</b></col>, <cd>a name given to several kinds of hard purplish or reddish woods, as king wood, myall wood, and the wood of the <spn>Andira violacea</spn>, a tree of Guiana.</cd></cs><br/
[<source>1913 Webster</source>]</p>
<p><ent>Violet-ear</ent><br/
<hw>Vi"o*let-ear`</hw>, <pos>n.</pos> <def>Any tropical humming bird of the genus <gen>Petasophora</gen>, having violet or purplish ear tufts.</def><br/
[<source>Webster 1913 Suppl.</source>]</p>
<p><ent>Violet-tip</ent><br/
<hw>Vi"o*let-tip"</hw> <pr>(?)</pr>, <pos>n.</pos> <fld>(Zool.)</fld> <def>A very handsome American butterfly (<spn>Polygonia interrogationis</spn>). Its wings are mottled with various shades of red and brown and have violet tips.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Violin</ent><br/
<hw>Vi`o*lin"</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[It. <ets>violino</ets>, dim. of <ets>viola</ets>. See <er>Viol</er>.]</ety> <fld>(Mus.)</fld> <def>A small instrument with four strings, played with a bow; a fiddle.</def><br/
[<source>1913 Webster</source>]</p>
<p><note><hand/ The violin is distinguished for the brilliancy and gayety, as well as the power and variety, of its tones, and in the orchestra it is the leading and most important instrument.</note><br/
[<source>1913 Webster</source>]</p>
<p><ent>Violine</ent><br/
<hw>Vi"o*line</hw> <pr>(?)</pr>, <pos>n.</pos> <fld>(Chem.)</fld> <sd>(a)</sd> <def>A pale yellow amorphous substance of alkaloidal nature and emetic properties, said to have been extracted from the root and foliage of the violet (<gen>Viola</gen>).</def> <sd>(b)</sd> <def>Mauve aniline. See under <er>Mauve</er>.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Violinist</ent><br/
<hw>Vi`o*lin"ist</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[Cf. F. <ets>violiniste</ets>, <ets>violoniste</ets>, It. <ets>violonista</ets>.]</ety> <def>A player on the violin.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Violist</ent><br/
<hw>Vi"ol*ist</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[Cf. F. <ets>violiste</ets>.]</ety> <def>A player on the viol.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Violoncellist</ent><br/
<hw>Vi`o*lon*cel"list</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[Cf. F. <ets>violoncelliste</ets>, It. <ets>violoncellista</ets>.]</ety> <def>A player on the violoncello.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Violoncello</ent><br/
<hw>Vi`o*lon*cel"lo</hw> <pr>(?; 277)</pr>, <pos>n.</pos> <ety>[It. <ets>violoncello</ets>, dim. of <ets>violone</ets> a bass viol. See <er>Violone</er>.]</ety> <fld>(Mus.)</fld> <def>A stringed instrument of music; a bass viol of four strings, or a bass violin with long, large strings, giving sounds an octave lower than the viola, or tenor or alto violin.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Violone</ent><br/
||<hw>Vi`o*lo"ne</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[It. <ets>violone</ets>, augment. of <ets>viola</ets> a viol. See <er>Viol</er>.]</ety> <fld>(Mus.)</fld> <def>The largest instrument of the bass-viol kind, having strings tuned an octave below those of the violoncello; the contrabasso; -- called also <altname>double bass</altname>.</def> <altsp>[Written also <asp>violono</asp>.]</altsp><br/
[<source>1913 Webster</source>]</p>
<p><ent>Violous</ent><br/
<hw>Vi"o*lous</hw> <pr>(?)</pr>, <pos>a.</pos> <def>Violent.</def> <mark>[Obs.]</mark> <rj><au>J. Fletcher.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Violuric</ent><br/
<hw>Vi`o*lu"ric</hw> <pr>(?)</pr>, <pos>a.</pos> <ety>[<ets>Viol</ets>et + barbit<ets>uric</ets>.]</ety> <fld>(Chem.)</fld> <def>Of, pertaining to, or designating, a complex nitroso derivative of barbituric acid. It is obtained as a white or yellow crystalline substance, and forms characteristic yellow, blue, and violet salts.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>VIOXX</ent><br/
<hw>VI`OXX</hw> <pr>(v<imac/"<ocr/ks)</pr>, <pos>prop. n.</pos> <ety>[Trade name, used by <company>Merck & Co.</company>]</ety> <fld>(Chem. & Med.)</fld> <def>A trade name for <er>rofecoxib</er>.</def> <mark>[Trade name]</mark><br/
[<source>PJC</source>]</p>
<p><ent>viper</ent><br/
<hw>vi"per</hw> <pr>(v<imac/"p<etil/r)</pr>, <pos>n.</pos> <ety>[F. <ets>vip<egrave/re</ets>, L. <ets>vipera</ets>, probably contr. fr. <ets>vivipera</ets>; <ets>vivus</ets> alive + <ets>parere</ets> to bring forth, because it was believed to be the only serpent that brings forth living young. Cf. <er>Quick</er>, <pos>a.</pos>, <er>Parent</er>, <er>Viviparous</er>, <er>Wivern</er>, <er>Weever</er>.]</ety><br/
[<source>1913 Webster</source>]</p>
<p><sn>1.</sn> <fld>(Zool.)</fld> <def>Any one of numerous species of Old World venomous snakes belonging to <gen>Vipera</gen>, <gen>Clotho</gen>, <gen>Daboia</gen>, and other genera of the family <fam>Viperidae</fam>.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>There came a <qex>viper</qex> out of the heat, and fastened on his hand.</q> <rj><qau>Acts xxviii. 3.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><note><hand/ Among the best-known species are the European adder (<spn>Pelias berus</spn>), the European asp (<spn>Vipera aspis</spn>), the African horned viper (<spn>Vipera cerastes</spn>), and the Indian viper (<spn>Daboia Russellii</spn>).</note><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <def>A dangerous, treacherous, or malignant person.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>Who committed<br/
To such a <qex>viper</qex> his most sacred trust<br/
Of secrecy.</q> <rj><qau>Milton.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>3.</sn> <def>Loosely, any venomous or presumed venomous snake.</def><br/
[<source>PJC</source>]</p>
<p><cs><col><b>Horned viper</b></col>. <fld>(Zool.)</fld> <cd>See <er>Cerastes</er>.</cd> -- <col><b>Red viper</b></col> <fld>(Zool.)</fld>, <cd>the copperhead.</cd> -- <col><b>Viper fish</b></col> <fld>(Zool.)</fld>, <cd>a small, slender, phosphorescent deep-sea fish (<spn>Chauliodus Sloanii</spn>). It has long ventral and dorsal fins, a large mouth, and very long, sharp teeth.</cd> -- <col><b>Viper's bugloss</b></col> <fld>(Bot.)</fld>, <cd>a rough-leaved biennial herb (<spn>Echium vulgare</spn>) having showy purplish blue flowers. It is sometimes cultivated, but has become a pestilent weed in fields from New York to Virginia. Also called <altname>blue weed</altname>.</cd> -- <col><b>Viper's grass</b></col> <fld>(Bot.)</fld>, <cd>a perennial composite herb (<spn>Scorzonera Hispanica</spn>) with narrow, entire leaves, and solitary heads of yellow flowers. The long, white, carrot-shaped roots are used for food in Spain and some other countries. Called also <altname>viper grass</altname>.</cd></cs><br/
[<source>1913 Webster</source>]</p>
<p><ent>Viperina</ent><br/
||<hw>Vi`per*i"na</hw> <pr>(?)</pr>, <pos>n. pl.</pos> <fld>(Zool.)</fld> <def>See <er>Viperoidea</er>.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Viperine</ent><br/
<hw>Vi"per*ine</hw> <pr>(?; 277)</pr>, <pos>a.</pos> <ety>[L. <ets>viperinus</ets>: cf. F. <ets>vip<eacute/rin</ets>.]</ety> <def>Of or pertaining to a viper or vipers; resembling a viper.</def><br/
[<source>1913 Webster</source>]</p>
<p><cs><col><b>Viperine snake</b></col>. <fld>(Zool.)</fld> <sd>(a)</sd> <cd>Any venomous snake of the family <fam>Viperidae</fam>.</cd> <sd>(b)</sd> <cd>A harmless snake resembling a viper in form or color, esp. <spn>Tropidonotus viperinus</spn>, a small European species which resembles the viper in color.</cd></cs><br/
[<source>1913 Webster</source>]</p>
<p><ent>Viperish</ent><br/
<hw>Vi"per*ish</hw>, <pos>a.</pos> <def>Somewhat like a viper; viperous.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Viperoid</ent><br/
<hw>Vi"per*oid</hw> <pr>(?)</pr>, <pos>a.</pos> <ety>[<ets>Viper</ets> + <ets>-oid</ets>.]</ety> <fld>(Zool.)</fld> <def>Like or pertaining to the vipers.</def><br/
[<source>Webster 1913 Suppl.</source>]</p>
<p><ent>Viperoides</ent><br/
<ent>Viperoidea</ent><br/
<mhw>{ ||<hw>Vi`per*oi"de*a</hw> <pr>(?)</pr>, <hw>Vi`per*oi"des</hw> <pr>(?)</pr>, }</mhw> <pos>n. pl.</pos> <ety>[NL. See <er>Viper</er>, and <er>-oid</er>.]</ety> <fld>(Zool.)</fld> <def>A division of serpents which includes the true vipers of the Old World and the rattlesnakes and moccasin snakes of America; -- called also <altname>Viperina</altname>.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Viperous</ent><br/
<hw>Vi"per*ous</hw> <pr>(?)</pr>, <pos>a.</pos> <def>Having the qualities of a viper; malignant; venomous; <as>as, a <ex>viperous</ex> tongue</as>.</def> <ldquo/This <xex>viperous</xex> slander.<rdquo/ <au>Shak.</au> -- <wordforms><wf>Vi"per*ous*ly</wf>, <pos>adv.</pos></wordforms><br/
[<source>1913 Webster</source>]</p>
<p><ent>Viraginian</ent><br/
<hw>Vi`ra*gin"i*an</hw> <pr>(?)</pr>, <pos>a.</pos> <def>Of or pertaining to a virago; having the qualities of a virago.</def> <rj><au>Milton.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Viraginity</ent><br/
<hw>Vi`ra*gin"i*ty</hw> <pr>(?)</pr>, <pos>n.</pos> <def>The qualities or characteristics of a virago.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Virago</ent><br/
<hw>Vi*ra"go</hw> <pr>(?; 277)</pr>, <pos>n.</pos>; <plu><it>pl.</it> <plw>Viragoes</plw> <pr>(#)</pr>.</plu> <ety>[L. <ets>virago</ets>, <ets>-intis</ets>, from <ets>vir</ets> a man. See <er>Virile</er>.]</ety> <sn>1.</sn> <def>A woman of extraordinary stature, strength, and courage; a woman who has the robust body and masculine mind of a man; a female warrior.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>To arms! to arms! the fierce <qex>virago</qex> cries.</q> <rj><qau>Pope.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <def>Hence, a mannish woman; a bold, turbulent woman; a termagant; a vixen.</def><br/
[<source>1913 Webster</source>]</p>
<p><q><qex>Virago</qex> . . . serpent under femininity.</q> <rj><qau>Chaucer.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vire</ent><br/
<hw>Vire</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[OF. <ets>vire</ets>, fr. <ets>virer</ets> to turn. Cf. <er>Veer</er>, <er>Vireton</er>.]</ety> <def>An arrow, having a rotary motion, formerly used with the crossbow. Cf. <er>Vireton</er>.</def> <rj><au>Gower.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Virelay</ent><br/
<hw>Vir"e*lay</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[F. <ets>virelai</ets>; <ets>virer</ets> to turn + <ets>lai</ets> a song, a lay.]</ety> <def>An ancient French song, or short poem, wholly in two rhymes, and composed in short lines, with a refrain.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>Of such matter made he many lays,<br/
Songs, complains, roundels, <qex>virelayes</qex>.</q> <rj><qau>Chaucer.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><q>To which a lady sung a <qex>virelay</qex>.</q> <rj><qau>Dryden.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><note><hand/ <ldquo/The <xex>virelay</xex> admitted only two rhymes, and, after employing one for some time, the poet was <xex>virer</xex>, or to turn, to the other.<rdquo/</note> <rj><au>Nares.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Virent</ent><br/
<hw>Vi"rent</hw> <pr>(?)</pr>, <pos>a.</pos> <ety>[L. <ets>virens</ets>, p. pr. of <ets>virere</ets> to be green.]</ety> <def>Green; not withered.</def> <mark>[R.]</mark> <rj><au>Sir T. Browne.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vireo</ent><br/
<hw>Vir"e*o</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[L., a species of bird.]</ety> <fld>(Zool.)</fld> <def>Any one of numerous species of American singing birds belonging to <gen>Vireo</gen> and allied genera of the family <fam>Vireonidae</fam>. In many of the species the back is greenish, or olive-colored. Called also <altname>greenlet</altname>.</def><br/
[<source>1913 Webster</source>]</p>
<p><note><hand/ In the Eastern United States the most common species are the white-eyed vireo (<spn>Vireo Noveboracensis</spn>), the red-eyed vireo (<spn>Vireo olivaceus</spn>), the blue-headed, or solitary, vireo (<spn>Vireo solitarius</spn>), the warbling vireo (<spn>Vireo gilvus</spn>), and the yellow-throated vireo (<spn>Vireo flavifrons</spn>). All these are noted for the sweetness of their songs.</note><br/
[<source>1913 Webster</source>]</p>
<p><ent>Virescence</ent><br/
<hw>Vi*res"cence</hw> <pr>(?)</pr>, <fld>(Bot.)</fld> <def>The act or state of becoming green through the formation of chlorophyll.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Virescent</ent><br/
<hw>Vi*res"cent</hw> <pr>(?)</pr>, <pos>a.</pos> <ety>[L. <ets>virescens</ets>, p. pr. of <ets>virescere</ets> to grow green, verb incho. fr. <ets>virere</ets> to be green.]</ety> <def>Beginning to be green; slightly green; greenish.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vireton</ent><br/
<hw>Vir"e*ton</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[F. See <er>Vire</er>.]</ety> <def>An arrow or bolt for a crossbow having feathers or brass placed at an angle with the shaft to make it spin in flying.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Virgalieu</ent><br/
<hw>Vir"ga*lieu</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[Cf. <er>Virgouleuse</er>.]</ety> <fld>(Bot.)</fld> <def>A valuable kind of pear, of an obovate shape and with melting flesh of delicious flavor; -- more properly called <xex>White Doyenn<eacute/</xex>.</def> <altsp>[Written also <asp>virgaloo</asp>, <asp>vergalieu</asp>, <asp>vergaloo</asp>, etc.]</altsp><br/
[<source>1913 Webster</source>]</p>
<p><ent>Virgate</ent><br/
<hw>Vir"gate</hw> <pr>(?)</pr>, <pos>a.</pos> <ety>[L. <ets>virgatus</ets> made of twigs, fr. <ets>virga</ets> a twig, rod. See <er>Verge</er> a rod.]</ety> <fld>(Bot.)</fld> <def>Having the form of a straight rod; wand-shaped; straight and slender.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Virgate</ent><br/
<hw>Vir"gate</hw>, <pos>n.</pos> <ety>[LL. <ets>virgata</ets>, <ets>virgata terrae</ets>, so much land as <ets>virga terrae</ets>, a land measure, contains, fr. L. <ets>virga</ets> a twig, rod.]</ety> <def>A yardland, or measure of land varying from fifteen to forty acres.</def> <mark>[Obs.]</mark> <rj><au>T. Warton.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Virgated</ent><br/
<hw>Vir"ga*ted</hw> <pr>(?)</pr>, <pos>a.</pos> <ety>[L. <ets>virgatus</ets> striped. See <er>Virgate</er>, <pos>a.</pos>]</ety> <def>Striped; streaked.</def> <mark>[Obs.]</mark><br/
[<source>1913 Webster</source>]</p>
<p><ent>Virge</ent><br/
<hw>Virge</hw> <pr>(?)</pr>, <pos>n.</pos> <def>A wand. See <er>Verge</er>.</def> <mark>[Obs.]</mark><br/
[<source>1913 Webster</source>]</p>
<p><ent>Virger</ent><br/
<hw>Vir"ger</hw> <pr>(?)</pr>, <pos>n.</pos> <def>See <er>Verger</er>.</def> <mark>[Obs.]</mark><br/
[<source>1913 Webster</source>]</p>
<p><ent>Virgilian</ent><br/
<hw>Vir*gil"i*an</hw> <pr>(?)</pr>, <pos>a.</pos> <ety>[L. <ets>Virgilianus</ets>, better <ets>Vergilianus</ets>.]</ety> <def>Of or pertaining to Virgil, the Roman poet; resembling the style of Virgil.</def> <altsp>[Spelt also <asp>Vergilian</asp>.]</altsp><br/
[<source>1913 Webster</source>]</p>
<p><q>The rich <qex>Virgilian</qex> rustic measure<br/
Of Lari Maxume.</q> <rj><qau>Tennyson.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Virgin</ent><br/
<hw>Vir"gin</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[L. <ets>virgo</ets>, <ets>-inis</ets>: cf. OF. <ets>virgine</ets>, <ets>virgene</ets>, <ets>virge</ets>, <ets>vierge</ets>, F. <ets>vierge</ets>.]</ety> <sn>1.</sn> <def>A woman who has had no carnal knowledge of man; a maid.</def><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <def>A person of the male sex who has not known sexual indulgence.</def> <mark>[Archaic]</mark> <rj><au>Wyclif.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><q>These are they which were not defiled with women; for they are <qex>virgins</qex>.</q> <rj><qau>Rev. xiv. 4.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><q>He his flesh hath overcome;<br/
He was a <qex>virgin</qex>, as he said.</q> <rj><qau>Gower.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>3.</sn> <fld>(Astron.)</fld> <def>See <er>Virgo</er>.</def><br/
[<source>1913 Webster</source>]</p>
<p><sn>4.</sn> <fld>(Zool.)</fld> <def>Any one of several species of gossamer-winged butterflies of the family <fam>Lycaenidae</fam>.</def><br/
[<source>1913 Webster</source>]</p>
<p><sn>5.</sn> <fld>(Zool.)</fld> <def>A female insect producing eggs from which young are hatched, though there has been no fecundation by a male; a parthenogenetic insect.</def><br/
[<source>1913 Webster</source>]</p>
<p><cs><mcol><col><b>The Virgin</b></col>, <it>or</it> <col><b>The Blessed Virgin</b></col></mcol>, <cd>the Virgin Mary, the Mother of <person>Jesus Christ</person>.</cd> -- <col><b>Virgin's bower</b></col> <fld>(Bot.)</fld>, <cd>a name given to several climbing plants of the genus <gen>Clematis</gen>, as <spn>Clematis Vitalba</spn> of Europe, and <spn>Clematis Virginiana</spn> of North America.</cd></cs><br/
[<source>1913 Webster</source>]</p>
<p><ent>Virgin</ent><br/
<hw>Vir"gin</hw> <pr>(?)</pr>, <pos>a.</pos> <sn>1.</sn> <def>Being a virgin; chaste; of or pertaining to a virgin; becoming a virgin; maidenly; modest; indicating modesty; <as>as, a <ex>virgin</ex> blush</as>.</def> <ldquo/<xex>Virgin</xex> shame.<rdquo/ <rj><au>Cowley.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><q>Innocence and <qex>virgin</qex> modesty . . . <br/
That would be wooed, and unsought be won.</q> <rj><qau>Milton.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <def>Pure; undefiled; unmixed; fresh; new; <as>as, <ex>virgin</ex> soil; <ex>virgin</ex> gold.</as></def> <ldquo/<xex>Virgin</xex> Dutch.<rdquo/ <rj><au>G. W. Cable.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><q>The white cold <qex>virgin</qex> snow upon my heart.</q> <rj><qau>Shak.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><q>A few ounces of mutton, with a little <qex>virgin</qex> oil.</q> <rj><qau>Landor.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>3.</sn> <def>Not yet pregnant; impregnant.</def> <rj><au>Milton.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Virgin</ent><br/
<hw>Vir"gin</hw>, <pos>v. i.</pos> <def>To act the virgin; to be or keep chaste; -- followed by <xex>it</xex>. See <er>It</er>, 5.</def> <mark>[Obs.]</mark> <ldquo/My true lip hath <xex>virgined</xex> it e'er since [that kiss].<rdquo/ <rj><au>Shak.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Virginal</ent><br/
<hw>Vir"gin*al</hw> <pr>(?)</pr>, <pos>a.</pos> <ety>[L. <ets>virginalis</ets>: cf. F. <ets>virginal</ets>.]</ety> <def>Of or pertaining to a virgin; becoming a virgin; maidenly.</def> <ldquo/Chastity and honor <xex>virginal</xex>.<rdquo/ <rj><au>Spenser.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><cs><col><b>Virginal generation</b></col> <fld>(Biol.)</fld>, <cd>parthenogenesis.</cd> -- <col><b>Virginal membrane</b></col> <fld>(Anat.)</fld>, <cd>the hymen.</cd></cs><br/
[<source>1913 Webster</source>]</p>
<p><ent>Virginal</ent><br/
<hw>Vir"gin*al</hw>, <pos>n.</pos> <ety>[Cf. F. <ets>virginale</ets>; -- probably so called from being used by young girls, or <ets>virgins</ets>.]</ety> <fld>(Mus.)</fld> <def>An instrument somewhat resembling the spinet, but having a rectangular form, like the small piano. It had strings and keys, but only one wire to a note. The instrument was used in the sixteenth century, but is now wholly obsolete. It was sometimes called a <xex>pair of virginals</xex>.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Virginal</ent><br/
<hw>Vir"gin*al</hw>, <pos>v. i.</pos> <def>To play with the fingers, as if on a virginal; to tap or pat.</def> <mark>[Obs.]</mark> <ldquo/Still <xex>virginaling</xex> upon his palm!<rdquo/ <rj><au>Shak.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Virginhood</ent><br/
<hw>Vir"gin*hood</hw> <pr>(?)</pr>, <pos>n.</pos> <def>Virginity; maidenhood.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Virginia</ent><br/
<hw>Vir*gin"i*a</hw> <pr>(?)</pr>, <pos>n.</pos> <def>One of the States of the United States of America.</def> -- <def2><pos>a.</pos> <def>Of or pertaining to the State of Virginia.</def></def2><br/
[<source>1913 Webster</source>]</p>
<p><cs><col><b>Virginia cowslip</b></col> <fld>(Bot.)</fld>, <cd>the American lungwort (<spn>Mertensia Virginica</spn>).</cd> -- <col><b>Virginia creeper</b></col> <fld>(Bot.)</fld>, <cd>a common ornamental North American woody vine (<spn>Ampelopsis quinquefolia</spn>), climbing extensively by means of tendrils; -- called also <altname>woodbine</altname>, and <altname>American ivy</altname>.</cd> <mark>[U. S.]</mark> -- <col><b>Virginia fence</b></col>. <cd>See <cref>Worm fence</cref>, under <er>Fence</er>.</cd> -- <col><b>Virginia nightingale</b></col> <fld>(Zool.)</fld>, <cd>the cardinal bird. See under <er>Cardinal</er>.</cd> -- <col><b>Virginia quail</b></col> <fld>(Zool.)</fld>, <cd>the bobwhite.</cd> -- <col><b>Virginia reel</b></col>, <cd>an old English contradance; -- so called in the United States.</cd> <au>Bartlett.</au> -- <col><b>Virginia stock</b></col>. <fld>(Bot.)</fld> <cd>See <er>Mahon stock</er>.</cd></cs><br/
[<source>1913 Webster</source>]</p>
<p><ent>Virginity</ent><br/
<hw>Vir*gin"i*ty</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[OE. <ets>virgintee</ets>, F. <ets>virginit<eacute/</ets>, L. <ets>virginitas</ets>.]</ety> <sn>1.</sn> <def>The quality or state of being a virgin; undefiled purity or chastity; maidenhood.</def><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <def>The unmarried life; celibacy.</def> <mark>[Obs.]</mark> <rj><au>Chaucer.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Virgo</ent><br/
<hw>Vir"go</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[L. <ets>virgo</ets> a virgin, the constellation Virgo in the zodiac. See <er>Virgin</er>.]</ety> <fld>(Astron.)</fld> <sd>(a)</sd> <def>A sign of the zodiac which the sun enters about the 21st of August, marked thus [<Virgo/] in almanacs.</def> <sd>(b)</sd> <def>A constellation of the zodiac, now occupying chiefly the sign Libra, and containing the bright star Spica.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Virgouleuse</ent><br/
<hw>Vir"gou*leuse</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[F. <ets>virgouleuse</ets>, from the village of <ets>Virgoul<eacute/e</ets>, near Limoges.]</ety> <fld>(Bot.)</fld> <def>An old French variety of pear, of little value.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Virgularian</ent><br/
<hw>Vir`gu*la"ri*an</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[From. L. <ets>virgula</ets> a small rod.]</ety> <fld>(Zool.)</fld> <def>Any one of numerous species of long, slender Alcyonaria belonging to <gen>Virgularia</gen> and allied genera of the family <fam>Virgularidae</fam>. These corals are allied to the sea-pens, but have a long rodlike rhachis inclosing a slender, round or square, calcareous axis. The polyps are arranged in transverse rows or clusters along each side of the rhachis.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Virgulate</ent><br/
<hw>Vir"gu*late</hw> <pr>(?)</pr>, <pos>a.</pos> <def>Shaped like a little twig or rod.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Virgule</ent><br/
<hw>Vir"gule</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[F. <ets>virgule</ets>, fr. L. <ets>virgula</ets>, dim. of <ets>virga</ets>. See <er>Verge</er> a rod.]</ety> <def>A comma.</def> <mark>[R.]</mark><br/
[<source>1913 Webster</source>]</p>
<p><q>In the MSS. of Chaucer, the line is always broken by a caesura in the middle, which is pointed by a <qex>virgule</qex>.</q> <rj><qau>Hallam.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Virial</ent><br/
<hw>Vir"i*al</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[L. <ets>vis</ets>, <ets>viris</ets>, force.]</ety> <fld>(Physics)</fld> <def>A certain function relating to a system of forces and their points of application, -- first used by Clausius in the investigation of problems in molecular physics.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Virid</ent><br/
<hw>Vir"id</hw> <pr>(?)</pr>, <pos>a.</pos> <ety>[L. <ets>viridis</ets> green. See <er>Verdant</er>.]</ety> <def>Green.</def> <mark>[Obs.]</mark><br/
[<source>1913 Webster</source>]</p>
<p><q>The <qex>virid</qex> marjoram<br/
Her sparkling beauty did but see.</q> <rj><qau>Crompton.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Viridescence</ent><br/
<hw>Vir`i*des"cence</hw> <pr>(?)</pr>, <pos>n.</pos> <def>Quality or state of being viridescent.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Viridescent</ent><br/
<hw>Vir`i*des"cent</hw> <pr>(?)</pr>, <pos>a.</pos> <ety>[L. <ets>viridescens</ets>, p. pr. of <ets>viridescere</ets> to grow green.]</ety> <def>Slightly green; greenish.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Viridine</ent><br/
<hw>Vir"i*dine</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[L. <ets>viridis</ets> green.]</ety> <fld>(Chem.)</fld> <def>A greenish, oily, nitrogenous hydrocarbon, <chform>C12H19N7</chform>, obtained from coal tar, and probably consisting of a mixture of several metameric compounds which are higher derivatives of the base pyridine.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Viridite</ent><br/
<hw>Vir"i*dite</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[L. <ets>viridis</ets> green.]</ety> <fld>(Min.)</fld> <def>A greenish chloritic mineral common in certain igneous rocks, as diabase, as a result of alternation.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Viridity</ent><br/
<hw>Vi*rid"i*ty</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[L. <ets>viriditas</ets>, fr. <ets>viridis</ets> green: cf. F. <ets>viridit<eacute/</ets>. See <er>Verdant</er>.]</ety> <sn>1.</sn> <def>Greenness; verdure; the color of grass and foliage.</def><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <def>Freshness; soundness.</def> <mark>[Obs.]</mark> <rj><au>Evelyn.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Viridness</ent><br/
<hw>Vir"id*ness</hw> <pr>(?)</pr>, <pos>n.</pos> <def>Viridity; greenness.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Virile</ent><br/
<hw>Vi"rile</hw> <pr>(?; 277)</pr>, <pos>a.</pos> <ety>[L. <ets>virilis</ets>, fr. <ets>vir</ets> a man; akin to AS. <ets>wer</ets>: cf. F. <ets>viril</ets>. See <er>Werewolf</er>, <er>World</er>, and cf. <er>Decemvir</er>, <er>Virago</er>, <er>Virtue</er>.]</ety> <def>Having the nature, properties, or qualities, of an adult man; characteristic of developed manhood; hence, masterful; forceful; specifically, capable of begetting; -- opposed to <xex>womanly</xex>, <xex>feminine</xex>, and <xex>puerile</xex>; <as>as, <ex>virile</ex> age, <ex>virile</ex> power, <ex>virile</ex> organs</as>.</def><br/
[<source>1913 Webster</source>]</p>
<p><-- p. 1613 --><br/
[<source>1913 Webster</source>]</p>
<p><ent>Virility</ent><br/
<hw>Vi*ril"i*ty</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[L. <ets>virilitas</ets>: cf. F. <ets>virilit<eacute/</ets>.]</ety> <def>The quality or state of being virile; developed manhood; manliness; specif., the power of procreation; <as>as, exhaustion</as>.</def> <ldquo/<xex>Virility</xex> of visage.<rdquo/ <rj><au>Holland.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Viripotent</ent><br/
<hw>Vi*rip"o*tent</hw> <pr>(?)</pr>, <pos>a.</pos> <ety>[L. <ets>vir</ets> man + <ets>potens</ets> fit for.]</ety> <def>Developed in manhood; hence, able to beget; marriageable.</def> <mark>[Obs.]</mark><br/
[<source>1913 Webster</source>]</p>
<p><q>Being not of ripe years, not <qex>viripotent</qex>.</q> <rj><qau>Holinshed.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Virmilion</ent><br/
<hw>Vir*mil"ion</hw> <pr>(?)</pr>, <pos>n.</pos> <def>See <er>Vermilion</er>.</def> <mark>[R.]</mark><br/
[<source>1913 Webster</source>]</p>
<p><ent>Virole</ent><br/
<hw>Vi*role"</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[F., a ferrule. See <er>Ferrule</er>.]</ety> <fld>(Her.)</fld> <def>A ring surrounding a bugle or hunting horn.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Viroled</ent><br/
<hw>Vi*roled"</hw> <pr>(?)</pr>, <pos>a.</pos> <fld>(Her.)</fld> <def>Furnished with a virole or viroles; -- said of a horn or a bugle when the rings are of different tincture from the rest of the horn.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Virose</ent><br/
<hw>Vi*rose"</hw> <pr>(?)</pr>, <pos>a.</pos> <ety>[L. <ets>virosus</ets>. See <er>Virus</er>.]</ety> <def>Having a nauseous odor; fetid; poisonous.</def> <mark>[R.]</mark><br/
[<source>1913 Webster</source>]</p>
<p><ent>Virtu</ent><br/
<hw>Vir*tu"</hw> <pr>(?; 277)</pr>, <pos>n.</pos> <ety>[It. <ets>virt<ugrave/</ets> virtue, excellence, from L. <ets>virtus</ets>. See <er>Virtue</er>.]</ety> <def>A love of the fine arts; a taste for curiosities.</def> <rj><au>J. Spence.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><cs><mcol><col><b>An article of virtu</b></col>, <it>or</it> <col><b>a piece of virtu</b></col></mcol> <cd>an object of art or antiquity; a curiosity, such as those found in museums or private collections.</cd></cs><br/
[<source>1913 Webster</source>]</p>
<p><q>I had thoughts, in my chambers to place it in view,<br/
To be shown to my friends as a piece of <qex>virt<ugrave/</qex>.</q> <rj><qau>Goldsmith.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Virtual</ent><br/
<hw>Vir"tu*al</hw> <pr>(?; 135)</pr>, <pos>a.</pos> <ety>[Cf. F. <ets>virtuel</ets>. See <er>Virtue</er>.]</ety> <sn>1.</sn> <def>Having the power of acting or of invisible efficacy without the agency of the material or sensible part; potential; energizing.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>Heat and cold have a <qex>virtual</qex> transition, without communication of substance.</q> <rj><qau>Bacon.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><q>Every kind that lives,<br/
Fomented by his <qex>virtual</qex> power, and warmed.</q> <rj><qau>Milton.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <def>Being in essence or effect, not in fact; <as>as, the <ex>virtual</ex> presence of a man in his agent or substitute</as>.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>A thing has a <qex>virtual</qex> existence when it has all the conditions necessary to its actual existence.</q> <rj><qau>Fleming.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><q>To mask by slight differences in the manners a <qex>virtual</qex> identity in the substance.</q> <rj><qau>De Quincey.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><cs><col><b>Principle of virtual velocities</b></col> <fld>(Mech.)</fld>, <cd>the law that when several forces are in equilibrium, the algebraic sum of their virtual moments is equal to zero.</cd> -- <col><b>Virtual focus</b></col> <fld>(Opt.)</fld>, <cd>the point from which rays, having been rendered divergent by reflection of refraction, appear to issue; the point at which converging rays would meet if not reflected or refracted before they reach it.</cd> - <col><b>Virtual image</b></col>. <fld>(Optics)</fld> <cd>See under <er>Image</er>.</cd> -- <col><b>Virtual moment</b></col> (of a force) <fld>(Mech.)</fld>, <cd>the product of the intensity of the force multiplied by the virtual velocity of its point of application; -- sometimes called <altname>virtual work</altname>.</cd> -- <col><b>Virtual velocity</b></col> <fld>(Mech.)</fld>, <cd>a minute hypothetical displacement, assumed in analysis to facilitate the investigation of statical problems. With respect to any given force of a number of forces holding a material system in equilibrium, it is the projection, upon the direction of the force, of a line joining its point of application with a new position of that point indefinitely near to the first, to which the point is conceived to have been moved, without disturbing the equilibrium of the system, or the connections of its parts with each other. Strictly speaking, it is not a velocity but a length.</cd> -- <col><b>Virtual work</b></col>. <fld>(Mech.)</fld> <cd>See <cref>Virtual moment</cref>, above.</cd></cs><br/
[<source>1913 Webster</source>]</p>
<p><ent>Virtuality</ent><br/
<hw>Vir`tu*al"i*ty</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[Cf. F. <ets>virtualit<eacute/</ets>.]</ety> <sn>1.</sn> <def>The quality or state of being virtual.</def><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <def>Potentiality; efficacy; potential existence.</def> <mark>[Obs.]</mark><br/
[<source>1913 Webster</source>]</p>
<p><q>In one grain of corn, there lieth dormant a <qex>virtuality</qex> of many other.</q> <rj><qau>Sir T. Browne.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Virtually</ent><br/
<hw>Vir"tu*al*ly</hw> <pr>(?)</pr>, <pos>adv.</pos> <def>In a virtual manner; in efficacy or effect only, and not actually; to all intents and purposes; practically.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Virtuate</ent><br/
<hw>Vir"tu*ate</hw> <pr>(?)</pr>, <pos>v. t.</pos> <def>To make efficacious; to give virtue of efficacy.</def> <mark>[Obs.]</mark> <rj><au>Harvey.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Virtue</ent><br/
<hw>Vir"tue</hw> <pr>(?; 135)</pr>, <pos>n.</pos> <ety>[OE. <ets>vertu</ets>, F. <ets>vertu</ets>, L. <ets>virtus</ets> strength, courage, excellence, virtue, fr. <ets>vir</ets> a man. See <er>Virile</er>, and cf. <er>Virtu</er>.]</ety> <sn>1.</sn> <def>Manly strength or courage; bravery; daring; spirit; valor.</def> <mark>[Obs.]</mark> <rj><au>Shak.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><q>Built too strong<br/
For force or <qex>virtue</qex> ever to expugn.</q> <rj><qau>Chapman.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <def>Active quality or power; capacity or power adequate to the production of a given effect; energy; strength; potency; efficacy; <as>as, the <ex>virtue</ex> of a medicine</as>.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>Jesus, immediately knowing in himself that <qex>virtue</qex> had gone out of him, turned him about.</q> <rj><qau>Mark v. 30.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><q>A man was driven to depend for his security against misunderstanding, upon the pure <qex>virtue</qex> of his syntax.</q> <rj><qau>De Quincey.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><q>The <qex>virtue</qex> of his midnight agony.</q> <rj><qau>Keble.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>3.</sn> <def>Energy or influence operating without contact of the material or sensible substance.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>She moves the body which she doth possess,<br/
Yet no part toucheth, but by <qex>virtue's</qex> touch.</q> <rj><qau>Sir. J. Davies.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>4.</sn> <def>Excellence; value; merit; meritoriousness; worth.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>I made <qex>virtue</qex> of necessity.</q> <rj><qau>Chaucer.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><q>In the Greek poets, . . . the economy of poems is better observed than in Terence, who thought the sole grace and <qex>virtue</qex> of their fable the sticking in of sentences.</q> <rj><qau>B. Jonson.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>5.</sn> <def>Specifically, moral excellence; integrity of character; purity of soul; performance of duty.</def><br/
[<source>1913 Webster</source>]</p>
<p><q><qex>Virtue</qex> only makes our bliss below.</q> <rj><qau>Pope.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><q>If there's Power above us,<br/
And that there is all nature cries aloud<br/
Through all her works, he must delight in <qex>virtue</qex>.</q> <rj><qau>Addison.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>6.</sn> <def>A particular moral excellence; <as>as, the <ex>virtue</ex> of temperance, of charity, etc</as>.</def> <ldquo/The very <xex>virtue</xex> of compassion.<rdquo/ <au>Shak.</au> <ldquo/Remember all his <xex>virtues</xex>.<rdquo/ <au>Addison.</au><br/
[<source>1913 Webster</source>]</p>
<p><sn>7.</sn> <def>Specifically: Chastity; purity; especially, the chastity of women; virginity.</def><br/
[<source>1913 Webster</source>]</p>
<p><q><it>H.</it> I believe the girl has <qex>virtue</qex>.<br/
<it>M.</it> And if she has, I should be the last man in the world to attempt to corrupt it.</q> <rj><qau>Goldsmith.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>8.</sn> <pluf>pl.</pluf> <def>One of the orders of the celestial hierarchy.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>Thrones, dominations, princedoms, <qex>virtues</qex>, powers.</q> <rj><qau>Milton.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><cs><col><b>Cardinal virtues</b></col>. <cd>See under <er>Cardinal</er>, <pos>a.</pos></cd> -- <mcol><col><b>In virtue of</b></col>, <it>or</it> <col><b>By virtue of</b></col></mcol>, <cd>through the force of; by authority of.</cd> <ldquo/He used to travel through Greece <xex>by virtue of</xex> this fable, which procured him reception in all the towns.<rdquo/ <au>Addison.</au> <ldquo/This they shall attain, partly <xex>in virtue of</xex> the promise made by God, and partly <xex>in virtue of</xex> piety.<rdquo/ <au>Atterbury.</au> -- <col><b>Theological virtues</b></col>, <cd>the three virtues, faith, hope, and charity. See <au>1 Cor. xiii. 13.</au></cd></cs><br/
[<source>1913 Webster</source>]</p>
<p><ent>Virtueless</ent><br/
<hw>Vir"tue*less</hw> <pr>(?)</pr>, <pos>a.</pos> <def>Destitute of virtue; without efficacy or operating qualities; powerless.</def><br/
[<source>1913 Webster</source>]</p>
<p><q><qex>Virtueless</qex> she wished all herbs and charms.</q> <rj><qau>Fairfax.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Virtuosity</ent><br/
<hw>Vir`tu*os"i*ty</hw> <pr>(?)</pr>, <pos>n.</pos> <sn>1.</sn> <def>The quality or state of being a virtuoso; in a bad sense, the character of one in whom mere artistic feeling or aesthetic cultivation takes the place of religious character; sentimentalism.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>This famous passage . . . over which the <qex>virtuosity</qex> of modern times, rejoicing in evil, has hung so fondly.</q> <rj><qau>C. Kingsley.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <def>Virtuosos, collectively.</def> <rj><au>Carlyle.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>3.</sn> <def>An art or study affected by virtuosos.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Virtuoso</ent><br/
<hw>Vir`tu*o"so</hw> <pr>(?)</pr>, <pos>n.</pos>; <plu><it>pl.</it> <plw>Virtuosos</plw> <pr>(#)</pr>; It. <plw>Virtuosi</plw> <pr>(#)</pr>.</plu> <ety>[It. See <er>Virtuous</er>.]</ety> <sn>1.</sn> <def>One devoted to virtu; one skilled in the fine arts, in antiquities, and the like; a collector or ardent admirer of curiosities, etc.</def><br/
[<source>1913 Webster</source>]</p>
<p><q><qex>Virtuoso</qex> the Italians call a man who loves the noble arts, and is a critic in them.</q> <rj><qau>Dryden.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <fld>(Mus.)</fld> <def>A performer on some instrument, as the violin or the piano, who excels in the technical part of his art; a brilliant concert player.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Virtuosoship</ent><br/
<hw>Vir`tu*o"so*ship</hw>, <pos>n.</pos> <def>The condition, pursuits, or occupation of a virtuoso.</def> <rj><au>Bp. Hurd.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Virtuous</ent><br/
<hw>Vir"tu*ous</hw> <pr>(?; 135)</pr>, <pos>a.</pos> <ety>[OE. <ets>vertuous</ets>, OF. <ets>vertuos</ets>, <ets>vertuous</ets>, F. <ets>vertueux</ets>, fr. L. <ets>Virtuous</ets>. See <er>Virtue</er>, and cf. <er>Virtuoso</er>.]</ety> <sn>1.</sn> <def>Possessing or exhibiting virtue.</def> Specifically: --<br/
[<source>1913 Webster</source>]</p>
<p><sd>(a)</sd> <def>Exhibiting manly courage and strength; valorous; valiant; brave.</def> <mark>[Obs.]</mark><br/
[<source>1913 Webster</source>]</p>
<p><q>Old Priam's son, amongst them all, was chiefly <qex>virtuous</qex>.</q> <rj><qau>Chapman.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><sd>(b)</sd> <def>Having power or efficacy; powerfully operative; efficacious; potent.</def> <mark>[Obs.]</mark> <rj><au>Chaucer.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><q>Lifting up his <qex>virtuous</qex> staff on high,<br/
He smote the sea, which calm<eacute/d was with speed.</q> <rj><qau>Spenser.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><q>Every <qex>virtuous</qex> plant and healing herb.</q> <rj><qau>Milton.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><sd>(c)</sd> <def>Having moral excellence; characterized by morality; upright; righteous; pure; <as>as, a <ex>virtuous</ex> action</as>.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>The <qex>virtuous</qex> mind that ever walks attended<br/
By a strong siding champion, conscience.</q> <rj><qau>Milton.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <def>Chaste; pure; -- applied especially to women.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>Mistress Ford . . . the <qex>virtuous</qex> creature, that hath the jealous fool to her husband.</q> <rj><qau>Shak.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p>-- <wordforms><wf>Vir"tu*ous*ly</wf>, <pos>adv.</pos> -- <wf>Vir"tu*ous*ness</wf>, <pos>n.</pos></wordforms><br/
[<source>1913 Webster</source>]</p>
<p><ent>Virulency</ent><br/
<ent>Virulence</ent><br/
<mhw>{ <hw>Vir"u*lence</hw> <pr>(?)</pr>, <hw>Vir"u*len*cy</hw> <pr>(?)</pr>, }</mhw> <pos>n.</pos> <ety>[Cf. F. <ets>virulence</ets>, L. <ets>virulentia</ets> an offensive odor, a stench.]</ety> <sn>1.</sn> <def>The quality or state of being virulent or venomous; poisonousness; malignancy.</def><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <def>Extreme bitterness or malignity of disposition.</def> <ldquo/Refuted without satirical <xex>virulency</xex>.<rdquo/ <rj><au>Barrow.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><q>The <qex>virulence</qex> of one declaimer, or the profundities and sublimities of the other.</q> <rj><qau>I. Taylor.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Virulent</ent><br/
<hw>Vir"u*lent</hw> <pr>(?)</pr>, <pos>a.</pos> <ety>[L. <ets>virulentus</ets>, fr. <ets>virus</ets> poison: cf. F. <ets>virulent</ets>. See <er>Virus</er>.]</ety> <sn>1.</sn> <def>Extremely poisonous or venomous; very active in doing injury.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>A contagious disorder rendered more <qex>virulent</qex> by uncleanness.</q> <rj><qau>Sir W. Scott.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <def>Very bitter in enmity; actuated by a desire to injure; malignant; <as>as, a <ex>virulent</ex> invective</as>.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Virulented</ent><br/
<hw>Vir"u*lent*ed</hw>, <pos>a.</pos> <def>Made virulent; poisoned.</def> <mark>[Obs.]</mark><br/
[<source>1913 Webster</source>]</p>
<p><ent>Virulently</ent><br/
<hw>Vir"u*lent*ly</hw>, <pos>adv.</pos> <def>In a virulent manner.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Virus</ent><br/
<hw>Vi"rus</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[L., a slimy liquid, a poisonous liquid, poison, stench; akin to Gr. <?/ poison, Skr. <ets>visha</ets>. Cf. <er>Wizen</er>, <pos>v. i.</pos>]</ety> <sn>1.</sn> <fld>(Med.)</fld> <def>Contagious or poisonous matter, as of specific ulcers, the bite of snakes, etc.; -- applied to organic poisons.</def> <mark>[Archaic]</mark><br/
[<source>1913 Webster</source> <source>+PJC</source>]</p>
<p><sn>2.</sn> <def>the causative agent of a disease, .</def> <mark>[obsolescent]</mark><br/
[<source>PJC</source>]</p>
<p><sn>3.</sn> <def>any of numerous submicroscopic complex organic objects which have genetic material and may be considered as living organisms but have no proper cell membrane, and thus cannot by themselves perform metabolic processes, requiring entry into a host cell in order to multiply. The simplest viruses have no lipid envelope and may be considered as complex aggregates of molecules, sometimes only a nucleic acid (DNA or RNA) and a coat protein. They are sometimes viewed as being on the borderline between living and nonliving objects. They are smaller than living cells in size, usually between 20 and 300 nm; thus they pass through standard filters, and were previously referred to as <altname>filterable virus</altname>. The manifestations of disease caused by multiplication of viruses in cells may be due to destruction of the cells caused by subversion of the cellular metabolic processes by the virus, or by synthesis of a virus-specific toxin. Viruses may infect animals, plants, or microorganisms; those infecting bacteria are also called <stype>bacteriophages</stype>. Certain bacteriophages may be non-destructive and benign in the host; -- see <er>bacteriophage</er>.</def><br/
[<source>1913 Webster</source> <source>+PJC</source>]</p>
<p><sn>4.</sn> <def>Fig.: Any morbid corrupting quality in intellectual or moral conditions; something that poisons the mind or the soul; <as>as, the <ex>virus</ex> of obscene books</as>.</def><br/
[<source>1913 Webster</source>]</p>
<p><sn>5.</sn> <fld>(Computers)</fld> <def>a program or segment of program code that may make copies of itself (replicate), attach itself to other programs, and perform unwanted actions within a computer; also called <altname>computer virus</altname> or <altname>virus program</altname>. Such programs are almost always introduced into a computer without the knowledge or assent of its owner, and are often malicious, causing destructive actions such as erasing data on disk, but sometime only annoying, causing peculiar objects to appear on the display. The form of sociopathic mental disease that causes a programmer to write such a program has not yet been given a name. Compare <contr>trojan horse{3}</contr>.</def><br/
[<source>PJC</source>]</p>
<p><ent>Vis</ent><br/
||<hw>Vis</hw> <pr>(?)</pr>, <pos>n.</pos> <sn>1.</sn> <def>Force; power.</def><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <fld>(Law)</fld> <sd>(a)</sd> <def>Physical force.</def> <sd>(b)</sd> <def>Moral power.</def><br/
[<source>1913 Webster</source>]</p>
<p><cs><col><b>Principle of vis viva</b></col> <fld>(Mech.)</fld>, <cd>the principle that the difference between the aggregate work of the accelerating forces of a system and that of the retarding forces is equal to one half the <xex>vis viva</xex> accumulated or lost in the system while the work is being done.</cd> -- <col><b>Vis impressa</b></col> <ety>[L.]</ety> <fld>(Mech.)</fld>, <cd>force exerted, as in moving a body, or changing the direction of its motion; impressed force.</cd> -- <col><b>Vis inertiae</b></col>. <ety>[L.]</ety> <sd>(a)</sd> <cd>The resistance of matter, as when a body at rest is set in motion, or a body in motion is brought to rest, or has its motion changed, either in direction or in velocity.</cd> <sd>(b)</sd> <cd>Inertness; inactivity.</cd> <note><xex>Vis intertiae</xex> and <xex>inertia</xex> are not strictly synonymous. The former implies the <xex>resistance</xex> itself which is given, while the latter implies merely the <xex>property</xex> by which it is given.</note> -- <col><b>Vis mortua</b></col> <ety>[L.]</ety> <fld>(Mech.)</fld>, <cd>dead force; force doing no active work, but only producing pressure.</cd> -- <mcol><col><b>Vis vitae</b></col>, <it>or</it> <col><b>Vis vitalis</b></col></mcol> <ety>[L.]</ety> <fld>(Physiol.)</fld>, <cd>vital force.</cd> -- <col><b>Vis viva</b></col> <ety>[L.]</ety> <fld>(Mech.)</fld>, <cd>living force; the force of a body moving against resistance, or doing work, in distinction from <xex>vis mortua</xex>, or dead force; the kinetic energy of a moving body; the capacity of a moving body to do work by reason of its being in motion. See <cref>Kinetic energy</cref>, in the Note under <er>Energy</er>. The term <xex>vis viva</xex> is not usually understood to include that part of the kinetic energy of the body which is due to the vibrations of its molecules.</cd></cs><br/
[<source>1913 Webster</source>]</p>
<p><ent>Visa</ent><br/
||<hw>Vi"sa</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[F.]</ety> <def>A written stamp or document obtained by a citizen of one country from the proper authorities of another country, denoting that that person's passport has been examined, and that the person who bears the visa is permitted to enter or pass through the second country. It is usually in the form of an endorsement on the passport of the person seeking permission to enter a foreign country; however, in some cases a separate document is issued that does not create a mark in the passport. Same as <er>Vis<eacute/</er>.</def><br/
[<source>1913 Webster</source> + <source>PJC</source>]</p>
<p><ent>Visa</ent><br/
<ent>Visa card</ent><br/
<mhw><hw>Vi"sa</hw> <pr>(v<emac/"z<adot/)</pr>, <hw>Vi"sa card`</hw> <pr>(v<emac/"z<adot/ k<aum/rd`)</pr></mhw>, <pos>prop. n.</pos> <def>A credit card issued with the Trade Name <ldquo/Visa<rdquo/ on it; <as>as, he charged the dinner to his <ex>Visa</ex></as>. Visa is a competitor of <contr>Master Card</contr>, <contr>Discover</contr>, <contr>MBNA</contr>, and <contr>American Express</contr>, and other credit card companies.</def><br/
[<source>PJC</source>]</p>
<p><ent>Visa</ent><br/
<hw>Vi"sa</hw>, <pos>v. t.</pos> <vmorph>[<pos>imp. & p. p.</pos> <conjf>Visaed</conjf> <pr>(?)</pr>; <pos>p. pr. & vb. n.</pos> <conjf>Visaing</conjf>.]</vmorph> <def>To indorse, after examination, with the word <xex>vis<eacute/</xex>, as a passport; to vis<eacute/.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Visage</ent><br/
<hw>Vis"age</hw> <pr>(?; 48)</pr>, <pos>n.</pos> <ety>[F. <ets>visage</ets>, from L. <ets>visus</ets> a seeing, a look, fr. <ets>videre</ets>, <ets>visum</ets>, to see. See <er>Vision</er>.]</ety> <def>The face, countenance, or look of a person or an animal; -- chiefly applied to the human face.</def> <au>Chaucer.</au> <ldquo/A <xex>visage</xex> of demand.<rdquo/ <au>Shak.</au><br/
[<source>1913 Webster</source>]</p>
<p><q>His <qex>visage</qex> was so marred more than any man.</q> <rj><qau>Isa. lii. 14.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><q>Love and beauty still that <qex>visage</qex> grace.</q> <rj><qau>Waller.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Visage</ent><br/
<hw>Vis"age</hw> <pr>(?; 48)</pr>, <pos>v. t.</pos> <def>To face.</def> <mark>[Obs.]</mark> <rj><au>Chaucer.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Visaged</ent><br/
<hw>Vis"aged</hw> <pr>(?)</pr>, <pos>a.</pos> <def>Having a visage.</def> <rj><au>Shak.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Visard</ent><br/
<hw>Vis"ard</hw> <pr>(?)</pr>, <pos>n.</pos> <def>A mask. See <er>Visor</er>.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Visard</ent><br/
<hw>Vis"ard</hw>, <pos>v. t.</pos> <def>To mask.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vis-a-vis</ent><br/
||<hw>Vis`-a-vis"</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[F., opposite, face to face.]</ety> <sn>1.</sn> <def>One who, or that which, is face to face with another; esp., one who faces another in dancing.</def><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <def>A carriage in which two persons sit face to face. Also, a form of sofa with seats for two persons, so arranged that the occupants are face to face while sitting on opposite sides.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vis-a-vis</ent><br/
<hw>Vis`-a-vis"</hw>, <pos>adv.</pos> <def>Face to face.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Visayan</ent><br/
<hw>Vi*sa"yan</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[Cf. Sp. <ets>Bisayo</ets> a Visayan.]</ety> <def>A member of the most numerous of the native races of the Philippines, occupying the Visayan Islands and the northern coast Mindanao; also, their language. The Visayans possessed a native culture and alphabet.</def><br/
[<source>Webster 1913 Suppl.</source>]</p>
<p><ent>Viscacha</ent><br/
<ent>Viz-cacha</ent><br/
<mhw>||<hw>Vis*ca"cha</hw> <pr>(?)</pr>, ||<hw>Viz-ca"cha</hw> <pr>(<?/)</pr></mhw>, <pos>n.</pos> <ety>[Sp.]</ety> <fld>(Zool.)</fld> <def>A large burrowing South American rodent (<spn>Lagostomus trichodactylus</spn>) allied to the chinchillas, but much larger. Its fur is soft and rather long, mottled gray above, white or yellowish white beneath. There is a white band across the muzzle, and a dark band on each cheek. It inhabits grassy plains, and is noted for its extensive burrows and for heaping up miscellaneous articles at the mouth of its burrows. Called also <altname>biscacha</altname>, <altname>bizcacha</altname>, <altname>vischacha</altname>, <altname>vishatscha</altname>.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Viscera</ent><br/
||<hw>Vis"ce*ra</hw> <pr>(?)</pr>, <pos>n.</pos>, <def><pos>pl.</pos> of <er>Viscus</er>.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Visceral</ent><br/
<hw>Vis"cer*al</hw> <pr>(?)</pr>, <pos>a.</pos> <ety>[Cf. F. <ets>visc<eacute/ral</ets>, LL. <ets>visceralis</ets>.]</ety> <sn>1.</sn> <fld>(Anat.)</fld> <def>Of, pertaining to, or affecting the viscera; splanchnic.</def><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <def>Fig.: Having deep sensibility.</def> <mark>[R.]</mark> <rj><au>Bp. Reynolds.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>3.</sn> <def>proceeding from emotion or instinct rather than from intellect; deeply emotional; -- <as>as, a <ex>visceral</ex> reaction</as>.</def><br/
[<source>PJC</source>]</p>
<p><sn>4.</sn> <def>dealing with coarse or base emotions; -- <as>as, a <ex>visceral</ex> literary style</as>.</def> <au>[RHUD]</au><br/
[<source>PJC</source>]</p>
<p><cs><col><b>Visceral arches</b></col> <fld>(Anat.)</fld>, <cd>the bars or ridges between the visceral clefts.</cd> -- <mcol><col><b>Visceral cavity</b></col> <it>or</it> <col><b>Visceral tube</b></col></mcol> <fld>(Anat.)</fld>, <cd>the ventral cavity of a vertebrate, which contains the alimentary canal, as distinguished from the dorsal, or cerebro-spinal, canal.</cd> -- <col><b>Visceral clefts</b></col> <fld>(Anat.)</fld>, <cd>transverse clefts on the sides just back of the mouth in the vertebrate embryo, which open into the pharyngeal portion of the alimentary canal, and correspond to the branchial clefts in adult fishes.</cd></cs><br/
[<source>1913 Webster</source>]</p>
<p><ent>Viscerate</ent><br/
<hw>Vis"cer*ate</hw> <pr>(?)</pr>, <pos>v. t.</pos> <vmorph>[<pos>imp. & p. p.</pos> <conjf>Viscerated</conjf> <pr>(?)</pr>; <pos>p. pr. & vb. n.</pos> <conjf>Viscerating</conjf>.]</vmorph> <def>To deprive of the viscera, or entrails; to eviscerate; to disembowel.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Visceroskeletal</ent><br/
<hw>Vis`cer*o*skel"e*tal</hw> <pr>(?)</pr>, <pos>a.</pos> <fld>(Anat.)</fld> <def>Of or pertaining to the framework, or skeleton, or skeleton, of the viscera; <as>as, the <ex>visceroskeletal</ex> system of muscles</as>.</def> <rj><au>Mivart.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Viscid</ent><br/
<hw>Vis"cid</hw> <pr>(?)</pr>, <pos>a.</pos> <ety>[L. <ets>viscidus</ets>, fr. <ets>viscum</ets> the mistletoe, birdlime made from the berries of the mistletoe; akin to Gr. <?/: cf. F. <ets>viscide</ets>.]</ety> <def>Sticking or adhering, and having a ropy or glutinous consistency; viscous; glutinous; sticky; tenacious; clammy; <as>as, turpentine, tar, gums, etc., are more or less <ex>viscid</ex>.</as></def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Viscidity</ent><br/
<hw>Vis*cid"i*ty</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[Cf. F. <ets>viscidit<eacute/</ets>.]</ety> <def>The quality or state of being viscid; also, that which is viscid; glutinous concretion; stickiness.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Viscin</ent><br/
<hw>Vis"cin</hw> <pr>(?)</pr>, <pos>n.</pos> <fld>(Chem.)</fld> <def>A clear, viscous, tasteless substance extracted from the mucilaginous sap of the mistletoe (<spn>Viscum album</spn>), holly, etc., and constituting an essential ingredient of birdlime.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Viscoidal</ent><br/
<hw>Vis*coid"al</hw> <pr>(?)</pr>, <pos>a.</pos> <def>Somewhat viscous. Cf. <er>Mobile</er>, <pos>a.</pos>, 2.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Viscosimeter</ent><br/
<hw>Vis`co*sim"e*ter</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[<ets>Viscosity</ets> + <ets>-meter</ets>.]</ety> <def>An instrument for measuring the degree of viscosity of liquids, as solutions of gum.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Viscosity</ent><br/
<hw>Vis*cos"i*ty</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[Cf. F. <ets>viscosit<eacute/</ets>, LL. <ets>viscositas</ets>.]</ety> <sn>1.</sn> <def>The quality or state of being viscous.</def><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <fld>(Physics)</fld> <def>A property possessed by a viscous fluid, being a resistance to the forces causing a fluid to flow, caused by interactions between the molecules of the fluid and between the fluid and the walls of the conduit through which it moves; also, a measure of such a property.</def><br/
[<source>1913 Webster</source> <source>+PJC</source>]</p>
<p><ent>Viscount</ent><br/
<hw>Vis"count`</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[OE. <ets>vicounte</ets>, OF. <ets>visconte</ets>, <ets>vescunte</ets>, F. <ets>vicomte</ets>, LL. <ets>vicecomes</ets>; L. <ets>vice</ets> (see <er>Vice</er>, <pos>a.</pos>) + <ets>comes</ets> a companion, LL., a count. See <er>Count</er>.]</ety> <sn>1.</sn> <fld>(O. Eng. Law)</fld> <def>An officer who formerly supplied the place of the count, or earl; the sheriff of the county.</def><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <def>A nobleman of the fourth rank, next in order below an earl and next above a baron; also, his degree or title of nobility. See <er>Peer</er>, <pos>n.</pos>, 3.</def> <mark>[Eng.]</mark> <rj><au>Chaucer.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Viscountcy</ent><br/
<hw>Vis"count`cy</hw> <pr>(?)</pr>, <pos>n.</pos> <def>The dignity or jurisdiction of a viscount.</def> <rj><au>Sir B. Burke.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Viscountess</ent><br/
<hw>Vis"count`ess</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[F. <ets>vicomtesse</ets>, LL. <ets>vicecomitissa</ets>.]</ety> <def>The wife of a viscount.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Viscounty</ent><br/
<ent>Viscountship</ent><br/
<mhw>{ <hw>Vis"count`ship</hw>, <hw>Vis"count`y</hw> <pr>(?)</pr>, }</mhw> <pos>n.</pos> <ety>[F. <ets>vicomt<eacute/</ets>.]</ety> <def>The quality, rank, or office of a viscount.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Viscous</ent><br/
<hw>Vis"cous</hw> <pr>(?)</pr>, <pos>a.</pos> <ety>[L. <ets>viscosus</ets>. See <er>Viscid</er>.]</ety> <def>Adhesive or sticky, and having a ropy or glutinous consistency; viscid; glutinous; clammy; tenacious; <as>as, a <ex>viscous</ex> juice</as>.</def> -- <wordforms><wf>Vis"cous*ness</wf>, <pos>n.</pos></wordforms><br/
[<source>1913 Webster</source>]</p>
<p><note><hand/ There is no well-defined distinction in meaning between <xex>viscous</xex> and <xex>viscid</xex>.</note><br/
[<source>1913 Webster</source>]</p>
<p><ent>Viscum</ent><br/
||<hw>Vis"cum</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[L.]</ety> <sn>1.</sn> <fld>(Bot.)</fld> <def>A genus of parasitic shrubs, including the mistletoe of Europe.</def><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <def>Birdlime, which is often made from the berries of the European mistletoe.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Viscus</ent><br/
||<hw>Vis"cus</hw> <pr>(?)</pr>, <pos>n.</pos>; <plu><it>pl.</it> <plw>Viscera</plw> <pr>(#)</pr>.</plu> <ety>[L., perhaps akin to E. <ets>viscid</ets>.]</ety> <fld>(Anat.)</fld> <def>One of the organs, as the brain, heart, or stomach, in the great cavities of the body of an animal; -- especially used in the plural, and applied to the organs contained in the abdomen.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vise</ent><br/
<hw>Vise</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[F. <ets>vis</ets> a screw, winding stairs, OF. <ets>vis</ets>, <ets>viz</ets>, fr. L. <ets>vitis</ets> a vine; probably akin to E. <ets>withy</ets>.]</ety> <def>An instrument consisting of two jaws, closing by a screw, lever, cam, or the like, for holding work, as in filing.</def> <altsp>[Written also <asp>vice</asp>.]</altsp><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vise</ent><br/
||<hw>Vi*s<eacute/"</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[F. <ets>vis<eacute/</ets>, p. p. of <ets>viser</ets> to put a visa to, fr. L. <ets>visus</ets> seen, p. p. of <ets>videre</ets> to see.]</ety> <def>A document or an indorsement made on a passport by the proper authorities of certain countries, denoting that the passport has been examined, and that the person who bears it is permitted to proceed on her journey. Same as <er>visa</er>; -- an older spelling now used less frequently than <altname>visa</altname>.</def><br/
[<source>1913 Webster</source> + <source>PJC</source>]</p>
<p><-- p. 1614 --><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vise</ent><br/
<hw>Vi*s<eacute/"</hw> <pr>(?)</pr>, <pos>v. t.</pos> <vmorph>[<pos>imp. & p. p.</pos> <conjf>Vis<eacute/ed</conjf> <pr>(?)</pr>; <pos>p. pr. & vb. n.</pos> <conjf>Vis<eacute/ing</conjf>.]</vmorph> <def>To examine and indorse, as a passport; to visa.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vishnu</ent><br/
||<hw>Vish"nu</hw> <pr>(v<icr/sh"n<oomac/)</pr>, <pos>n.</pos> <ety>[Skr. <ets>Vish<nsdot/u</ets>, from <ets>vish</ets> to pervade., to extend through nature.]</ety> <fld>(Hindu Myth.)</fld> <def>A divinity of the modern Hindu trimurti, or trinity. He is regarded as the preserver, while Brahma is the creator, and Siva the destroyer of the creation.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Visibility</ent><br/
<hw>Vis`i*bil"i*ty</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[L. <ets>visibilitas</ets>: cf. F. <ets>visibilit<eacute/</ets>.]</ety> <def>The quality or state of being visible.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Visible</ent><br/
<hw>Vis"i*ble</hw> <pr>(?)</pr>, <pos>a.</pos> <ety>[L. <ets>visibilis</ets>, fr. <ets>videre</ets>, <ets>visum</ets>, to see: cf. F. <ets>visible</ets>. See <er>Vision</er>.]</ety> <sn>1.</sn> <def>Perceivable by the eye; capable of being seen; perceptible; in view; <as>as, a <ex>visible</ex> star; the least spot is <ex>visible</ex> on white paper.</as></def><br/
[<source>1913 Webster</source>]</p>
<p><q>Maker of heaven and earth, and of all things <qex>visible</qex> and invisible.</q> <rj><qau>Bk. of Com. Prayer.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><q>Virtue made <qex>visible</qex> in outward grace.</q> <rj><qau>Young.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <def>Noticeable; apparent; open; conspicuous.</def> <rj><au>Shak.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><q>The factions at court were greater, or more <qex>visible</qex>, than before.</q> <rj><qau>Clarendon.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><cs><col><b>Visible church</b></col> <fld>(Theol.)</fld>, <cd>the apparent church of Christ on earth; the whole body of professed believers in Christ, as contradistinguished from the <xex>invisible</xex>, or real, church, consisting of sanctified persons.</cd> -- <col><b>Visible horizon</b></col>. <cd>Same as <cref>Apparent horizon</cref>, under <er>Apparent</er>.</cd></cs><br/
[<source>1913 Webster</source>]</p>
<p>-- <wordforms><wf>Vis"i*ble*ness</wf>, <pos>n.</pos> -- <wf>Vis"i*bly</wf>, <pos>adv.</pos></wordforms><br/
[<source>1913 Webster</source>]</p>
<p><ent>Visible speech</ent><br/
<hw>Vis"i*ble speech"</hw>. <fld>(Phon.)</fld> <def>A system of characters invented by Prof. Alexander Melville Bell to represent all sounds that may be uttered by the speech organs, and intended to be suggestive of the position of the organs of speech in uttering them.</def><br/
[<source>Webster 1913 Suppl.</source>]</p>
<p><ent>Visigoth</ent><br/
<hw>Vis"i*goth</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[L. <ets>Visegothae</ets>, pl. Cf. <er>West</er>, and <er>Goth</er>.]</ety> <def>One of the West Goths. See the Note under <er>Goth</er>.</def> -- <wordforms><wf>Vis`i*goth"ic</wf> <pr>(#)</pr>, <pos>a.</pos></wordforms><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vision</ent><br/
<hw>Vi"sion</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[OE. <ets>visioun</ets>, F. <ets>vision</ets>, fr. L. <ets>visio</ets>, from <ets>videre</ets>, <ets>visum</ets>, to see: akin to Gr. <?/ to see, <?/ I know, and E. <ets>wit</ets>. See <er>Wit</er>, <pos>v.</pos>, and cf. <er>Advice</er>, <er>Clairvoyant</er>, <er>Envy</er>, <er>Evident</er>, <er>Provide</er>, <er>Revise</er>, <er>Survey</er>, <er>View</er>, <er>Visage</er>, <er>Visit</er>.]</ety> <sn>1.</sn> <def>The act of seeing external objects; actual sight.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>Faith here is turned into <qex>vision</qex> there.</q> <rj><qau>Hammond.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <fld>(Physiol.)</fld> <def>The faculty of seeing; sight; one of the five senses, by which colors and the physical qualities of external objects are appreciated as a result of the stimulating action of light on the sensitive retina, an expansion of the optic nerve.</def><br/
[<source>1913 Webster</source>]</p>
<p><sn>3.</sn> <def>That which is seen; an object of sight.</def> <rj><au>Shak.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>4.</sn> <def>Especially, that which is seen otherwise than by the ordinary sight, or the rational eye; a supernatural, prophetic, or imaginary sight; an apparition; a phantom; a specter; <as>as, the <ex>visions</ex> of Isaiah</as>.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>The baseless fabric of this <qex>vision</qex>.</q> <rj><qau>Shak.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><q>No dreams, but <qex>visions</qex> strange.</q> <rj><qau>Sir P. Sidney.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>5.</sn> <def>Hence, something unreal or imaginary; a creation of fancy.</def> <rj><au>Locke.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><cs><col><b>Arc of vision</b></col> <fld>(Astron.)</fld>, <cd>the arc which measures the least distance from the sun at which, when the sun is below the horizon, a star or planet emerging from his rays becomes visible.</cd> -- <col><b>Beatific vision</b></col> <fld>(Theol.)</fld>, <cd>the immediate sight of God in heaven.</cd> -- <col><b>Direct vision</b></col> <fld>(Opt.)</fld>, <cd>vision when the image of the object falls directly on the yellow spot (see under <er>Yellow</er>); also, vision by means of rays which are not deviated from their original direction.</cd> -- <col><b>Field of vision</b></col>, <cd>field of view. See under <er>Field</er>.</cd> -- <col><b>Indirect vision</b></col> <fld>(Opt.)</fld>, <cd>vision when the rays of light from an object fall upon the peripheral parts of the retina.</cd> -- <mcol><col><b>Reflected vision</b></col>, <it>or</it> <col><b>Refracted vision</b></col></mcol>, <cd>vision by rays reflected from mirrors, or refracted by lenses or prisms, respectively.</cd> -- <col><b>Vision purple</b></col>. <fld>(Physiol.)</fld> <cd>See <cref>Visual purple</cref>, under <er>Visual</er>.</cd></cs><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vision</ent><br/
<hw>Vi"sion</hw>, <pos>v. t.</pos> <vmorph>[<pos>imp. & p. p.</pos> <conjf>Visioned</conjf> <pr>(?)</pr>; <pos>p. pr. & vb. n.</pos> <conjf>Visioning</conjf>.]</vmorph> <def>To see in a vision; to dream.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>For them no <qex>visioned</qex> terrors daunt,<br/
Their nights no fancied specters haunt.</q> <rj><qau>Sir W. Scott.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Visional</ent><br/
<hw>Vi"sion*al</hw> <pr>(?)</pr>, <pos>a.</pos> <def>Of or pertaining to a vision.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Visionariness</ent><br/
<hw>Vi"sion*a*ri*ness</hw> <pr>(?)</pr>, <pos>n.</pos> <def>The quality or state of being visionary.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Visionary</ent><br/
<hw>Vi"sion*a*ry</hw> <pr>(?)</pr>, <pos>a.</pos> <ety>[Cf. F. <ets>visionnaire</ets>.]</ety> <sn>1.</sn> <def>Of or pertaining to a visions or visions; characterized by, appropriate to, or favorable for, visions.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>The <qex>visionary</qex> hour<br/
When musing midnight reigns.</q> <rj><qau>Thomson.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <def>Affected by phantoms; disposed to receive impressions on the imagination; given to reverie; apt to receive, and act upon, fancies as if they were realities.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>Or lull to rest the <qex>visionary</qex> maid.</q> <rj><qau>Pope.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>3.</sn> <def>Existing in imagination only; not real; fanciful; imaginary; having no solid foundation; <as>as, <ex>visionary</ex> prospect; a <ex>visionary</ex> scheme or project</as>.</def> <rj><au>Swift.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><syn><b>Syn.</b> -- Fanciful; fantastic; unreal. See <er>Fanciful</er>.</syn><br/
[<source>1913 Webster</source>]</p>
<p><ent>Visionary</ent><br/
<hw>Vi"sion*a*ry</hw>, <pos>n.</pos>; <plu><it>pl.</it> <plw>Visionaries</plw> <pr>(<?/)</pr>.</plu> <sn>1.</sn> <def>One whose imagination is disturbed; one who sees visions or phantoms.</def><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <def>One whose imagination overpowers his reason and controls his judgment; an unpractical schemer; one who builds castles in the air; a daydreamer.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Visioned</ent><br/
<hw>Vi"sioned</hw> <pr>(?)</pr>, <pos>a.</pos> <def>Having the power of seeing visions; inspired; also, seen in visions.</def> <mark>[R.]</mark> <rj><au>Shelley.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Visionist</ent><br/
<hw>Vi"sion*ist</hw> <pr>(?)</pr>, <pos>n.</pos> <def>A visionary.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Visionless</ent><br/
<hw>Vi"sion*less</hw>, <pos>a.</pos> <def>Destitute of vision; sightless.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Visit</ent><br/
<hw>Vis"it</hw> <pr>(?)</pr>, <pos>v. t.</pos> <vmorph>[<pos>imp. & p. p.</pos> <conjf>Visited</conjf>; <pos>p. pr. & vb. n.</pos> <conjf>Visiting</conjf>.]</vmorph> <ety>[F. <ets>visiter</ets>, L. <ets>visitare</ets>, fr. <ets>visere</ets> to go to see, to visit, fr. <ets>videre</ets>, <ets>visum</ets> to see. See <er>Vision.</er>]</ety><br/
[<source>1913 Webster</source>]</p>
<p><sn>1.</sn> <def>To go or come to see, as for the purpose of friendship, business, curiosity, etc.; to attend; to call upon; <as>as, the physician <ex>visits</ex> his patient</as>.</def><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> Specifically: <def>To go or come to see for inspection, examination, correction of abuses, etc.; to examine, to inspect; <as>as, a bishop <ex>visits</ex> his diocese; a superintendent <ex>visits</ex> persons or works under his charge.</as></def><br/
[<source>1913 Webster</source>]</p>
<p><sn>3.</sn> <fld>(Script.)</fld> <def>To come to for the purpose of chastising, rewarding, comforting; to come upon with reward or retribution; to appear before or judge; <as>as, to <ex>visit</ex> in mercy; to <ex>visit</ex> one in wrath.</as></def><br/
[<source>1913 Webster</source>]</p>
<p><q>[God] hath <qex>visited</qex> and redeemed his people.</q> <rj><qau>Like i. 68.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Visit</ent><br/
<hw>Vis"it</hw> <pr>(?)</pr>, <pos>v. i.</pos> <def>To make a visit or visits; to maintain visiting relations; to practice calling on others.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Visit</ent><br/
<hw>Vis"it</hw>, <pos>n.</pos> <ety>[Cf. F. <ets>visite</ets>. See <er>Visit</er>, <pos>v. t.</pos>, and cf. <er>Visite</er>.]</ety> <sn>1.</sn> <def>The act of visiting, or going to see a person or thing; a brief stay of business, friendship, ceremony, curiosity, or the like, usually longer than a call; <as>as, a <ex>visit</ex> of civility or respect; a <ex>visit</ex> to Saratoga; the <ex>visit</ex> of a physician.</as></def><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <def>The act of going to view or inspect; an official or formal inspection; examination; visitation; <as>as, the <ex>visit</ex> of a trustee or inspector</as>.</def><br/
[<source>1913 Webster</source>]</p>
<p><cs><col><b>Right of visit</b></col> <fld>(Internat. Law)</fld>, <cd>the right of visitation. See <er>Visitation</er>, 4.</cd></cs><br/
[<source>1913 Webster</source>]</p>
<p><ent>Visitable</ent><br/
<hw>Vis"it*a*ble</hw> <pr>(?)</pr>, <pos>a.</pos> <def>Liable or subject to be visited or inspected.</def> <ldquo/All hospitals built since the Reformation are <xex>visitable</xex> by the king or lord chancellor.<rdquo/ <rj><au>Ayliffe.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Visitant</ent><br/
<hw>Vis"it*ant</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[L. <ets>visitans</ets>, <ets>-antis</ets>; p. pr.: cf. F. <ets>visitant</ets>.]</ety> <def>One who visits; a guest; a visitor.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>When the <qex>visitant</qex> comes again, he is no more a stranger.</q> <rj><qau>South.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Visitant</ent><br/
<hw>Vis"it*ant</hw>, <pos>a.</pos> <def>Visiting.</def> <rj><au>Wordsworth.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Visitation</ent><br/
<hw>Vis`it*a"tion</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[L. <ets>visitatio</ets>: cf. F. <ets>visitation</ets>.]</ety> <sn>1.</sn> <def>The act of visiting, or the state of being visited; access for inspection or examination.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>Nothing but peace and gentle <qex>visitation</qex>.</q> <rj><qau>Shak.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <def>Specifically: The act of a superior or superintending officer who, in the discharge of his office, visits a corporation, college, etc., to examine into the manner in which it is conducted, and see that its laws and regulations are duly observed and executed; <as>as, the <ex>visitation</ex> of a diocese by a bishop</as>.</def><br/
[<source>1913 Webster</source>]</p>
<p><sn>3.</sn> <def>The object of a visit.</def> <mark>[Obs.]</mark> <ldquo/O flowers, . . . my early <xex>visitation</xex> and my last.<rdquo/ <rj><au>Milton.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>4.</sn> <fld>(Internat. Law)</fld> <def>The act of a naval commander who visits, or enters on board, a vessel belonging to another nation, for the purpose of ascertaining her character and object, but without claiming or exercising a right of searching the vessel. It is, however, usually coupled with the <xex>right of search</xex> (see under <er>Search</er>), visitation being used for the purpose of search.</def><br/
[<source>1913 Webster</source>]</p>
<p><sn>5.</sn> <def>Special dispensation; communication of divine favor and goodness, or, more usually, of divine wrath and vengeance; retributive calamity; retribution; judgment.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>What will ye do in the day of <qex>visitation</qex>?</q> <rj><qau>Isa. x. 3.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>6.</sn> <fld>(Eccl.)</fld> <def>A festival in honor of the visit of the Virgin Mary to Elisabeth, mother of John the Baptist, celebrated on the second of July.</def><br/
[<source>1913 Webster</source>]</p>
<p><cs><col><b>The Order of the Visitation of Our Lady</b></col> <fld>(R. C. Ch.)</fld>, <cd>a religious community of nuns, founded at Annecy, in Savoy, in 1610, and in 1808 established in the United States. In America these nuns are devoted to the education of girls.</cd></cs><br/
[<source>1913 Webster</source>]</p>
<p><ent>Visitatorial</ent><br/
<hw>Vis`it*a*to"ri*al</hw> <pr>(?)</pr>, <pos>a.</pos> <ety>[Cf. LL. <ets>visitator</ets> a bishop temporarily put in place of another.]</ety> <def>Of or pertaining to visitation, or a judicial visitor or superintendent; visitorial.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>An archdeacon has <qex>visitatorial</qex> power.</q> <rj><qau>Ayliffe.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><q>The queen, however, still had over the church a <qex>visitatorial</qex> power of vast and undefined extent.</q> <rj><qau>Macaulay.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Visite</ent><br/
<hw>Vi*site"</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[F. See <er>Visit</er>, <pos>n.</pos>]</ety> <def>A light cape or short cloak of silk or lace worn by women in summer.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Visiter</ent><br/
<hw>Vis"it*er</hw> <pr>(?)</pr>, <pos>n.</pos> <def>A visitor.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Visiting</ent><br/
<hw>Vis"it*ing</hw>, <def><pos>a. & vb. n.</pos> from <er>Visit</er>.</def><br/
[<source>1913 Webster</source>]</p>
<p><cs><col><b>Visiting ant</b></col>. <fld>(Zool.)</fld> <cd>See <cref>Driver ant</cref>, under <er>Driver</er>.</cd> -- <col><b>Visiting book</b></col>, <cd>a book in which a record of visits received, made, and to be made, is kept.</cd> <au>Thackeray.</au> -- <col><b>Visiting card</b></col>. <cd>See under <er>Card</er>.</cd></cs><br/
[<source>1913 Webster</source>]</p>
<p><ent>Visitor</ent><br/
<hw>Vis"it*or</hw> <pr>(?)</pr>. <ety>[Cf. F. <ets>visiteur</ets>.]</ety> <altsp>[Written also <asp>visiter</asp>.]</altsp> <sn>1.</sn> <def>One who visits; one who comes or goes to see another, as in civility or friendship.</def> <ldquo/This great flood of <xex>visitors</xex>.<rdquo/ <rj><au>Shak.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <def>A superior, or a person lawfully appointed for the purpose, who makes formal visits of inspection to a corporation or an institution. See <er>Visit</er>, <pos>v. t.</pos>, 2, and <er>Visitation</er>, <pos>n.</pos>, 2.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>The king is the <qex>visitor</qex> of all lay corporations.</q> <rj><qau>Blackstone.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Visitorial</ent><br/
<hw>Vis`it*o"ri*al</hw> <pr>(?)</pr>, <pos>a.</pos> <def>Same as <er>Visitatorial</er>.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Visive</ent><br/
<hw>Vi"sive</hw> <pr>(?)</pr>, <pos>a.</pos> <ety>[Cf. F. <ets>visif</ets>, LL. <ets>visivus</ets>. See <er>Vision</er>.]</ety> <def>Of or pertaining to the sight; visual.</def> <mark>[Obs.]</mark><br/
[<source>1913 Webster</source>]</p>
<p><q>I can not satisfy myself how men should be so little surprised about this <qex>visive</qex> faculty.</q> <rj><qau>Berkeley.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vis major</ent><br/
||<hw>Vis ma"jor</hw>. <ety>[L. <ets>major</ets> greater.]</ety> <fld>(Law)</fld> <def>A superior force which under certain circumstances is held to exempt from contract obligations; inevitable accident; -- a civil-law term used as nearly equivalent to, but broader than, the common-law term <cref>act of God</cref> (which see).</def><br/
[<source>Webster 1913 Suppl.</source>]</p>
<p><ent>Visne</ent><br/
<hw>Visne</hw> <pr>(?; 277)</pr>, <pos>n.</pos> <ety>[OF. <ets>visn<eacute/</ets>, <ets>veisin<eacute/</ets>, <ets>visnet</ets>, neighborhood, LL. <ets>vicinatus</ets>, fr. L. <ets>vicunus</ets> neighboring, a neighbor. See <er>Vicinity</er>.]</ety> <fld>(Law)</fld> <def>Neighborhood; vicinity; venue. See <er>Venue</er>.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Visnomy</ent><br/
<hw>Vis"no*my</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[Contr. fr. <ets>physiognomy</ets>.]</ety> <def>Face; countenance.</def> <mark>[Colloq.]</mark> <rj><au>Spenser. Lamb.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vison</ent><br/
<hw>Vi"son</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[F.]</ety> <fld>(Zool.)</fld> <def>The mink.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Visor</ent><br/
<hw>Vis"or</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[OE. <ets>visere</ets>, F. <ets>visi<egrave/re</ets>, fr. OF. <ets>vis</ets>. See <er>Visage</er>, <er>Vision</er>.]</ety> <altsp>[Written also <asp>visar</asp>, <asp>visard</asp>, <asp>vizard</asp>, and <asp>vizor</asp>.]</altsp> <sn>1.</sn> <def>A part of a helmet, arranged so as to lift or open, and so show the face. The openings for seeing and breathing are generally in it.</def><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <def>A mask used to disfigure or disguise.</def> <ldquo/My very <xex>visor</xex> began to assume life.<rdquo/ <rj><au>Shak.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><q>My weaker government since, makes you pull off the <qex>visor</qex>.</q> <rj><qau>Sir P. Sidney.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>3.</sn> <def>The fore piece of a cap, projecting over, and protecting the eyes.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Visored</ent><br/
<hw>Vis"ored</hw> <pr>(?)</pr>, <pos>a.</pos> <def>Wearing a visor; masked.</def><br/
[<source>1913 Webster</source>]</p>
<p><q><qex>Visored</qex> falsehood and base forgery.</q> <rj><qau>Milton.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vista</ent><br/
<hw>Vis"ta</hw> <pr>(?)</pr>, <pos>n.</pos>; <plu><it>pl.</it> <plw>Vistas</plw> <pr>(#)</pr>.</plu> <ety>[It., sight, view, fr. <ets>vedere</ets>, p. p. <ets>visto</ets>, <ets>veduto</ets>, to see, fr. L. <ets>videre</ets>, <ets>visum</ets>. See <er>View</er>, <er>Vision</er>.]</ety> <def>A view; especially, a view through or between intervening objects, as trees; a view or prospect through an avenue, or the like; hence, the trees or other objects that form the avenue.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>The finished garden to the view<br/
Its <qex>vistas</qex> opens, and its alleys green.</q> <rj><qau>Thomson.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><q>In the groves of their academy, at the end of every <qex>vista</qex>, you see nothing but the gallows.</q> <rj><qau>Burke.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><q>The shattered tower which now forms a <qex>vista</qex> from his window.</q> <rj><qau>Sir W. Scott.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Visto</ent><br/
<hw>Vis"to</hw> <pr>(?)</pr>, <pos>n.</pos> <def>A vista; a prospect.</def> <mark>[R.]</mark> <rj><au>Gay.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><q>Through the long <qex>visto</qex> of a thousand years.</q> <rj><qau>Young.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Visual</ent><br/
<hw>Vis"u*al</hw> <pr>(?)</pr>, <pos>a.</pos> <ety>[L. <ets>visualis</ets>, from <ets>visus</ets> a seeing, sight: cf. F. <ets>visuel</ets>. See <er>Vision</er>.]</ety> <sn>1.</sn> <def>Of or pertaining to sight; used in sight; serving as the instrument of seeing; <as>as, the <ex>visual</ex> nerve</as>.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>The air,<br/
Nowhere so clear, sharpened his <qex>visual</qex> ray.</q> <rj><qau>Milton.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <def>That can be seen; visible.</def> <mark>[R.]</mark><br/
[<source>1913 Webster</source>]</p>
<p><cs><col><b>Visual angle</b></col>. <fld>(Opt.)</fld> <cd>See under <er>Angle</er>.</cd> -- <col><b>Visual cone</b></col> <fld>(Persp.)</fld>, <cd>a cone whose vertex is at the point of sight, or the eye.</cd> -- <col><b>Visual plane</b></col>, <cd>any plane passing through the point of sight.</cd> -- <col><b>Visual point</b></col>, <cd>the point at which the visual rays unite; the position of the eye.</cd> -- <col><b>Visual purple</b></col> <fld>(Physiol.)</fld>, <cd>a photochemical substance, of a purplish red color, contained in the retina of human eyes and in the eyes of most animals. It is quickly bleached by light, passing through the colors, red, orange, and yellow, and then disappearing. Also called <altname>rhodopsin</altname>, and <altname>vision purple</altname>. See <er>Optography</er>.</cd> -- <col><b>Visual ray</b></col>, <cd>a line from the eye, or point of sight.</cd> -- <col><b>Visual white</b></col> <fld>(Physiol.)</fld>, <cd>the final product in the action of light on visual purple. It is reconverted into visual purple by the regenerating action of the choroidal epithelium.</cd> -- <col><b>Visual yellow</b></col> <fld>(Physiol.)</fld>, <cd>a product intermediate between visual purple and visual white, formed in the photochemical action of light on visual purple.</cd></cs><br/
[<source>1913 Webster</source>]</p>
<p><ent>Visualize</ent><br/
<hw>Vis"u*al*ize</hw> <pr>(?)</pr>, <pos>v. t.</pos> <sn>1.</sn> <def>To make visual, or visible.</def> <altsp>[Written also <asp>visualise</asp>.]</altsp><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <def>to see in the imagination; to form a mental image of.</def><br/
[<source>1913 Webster</source> <source>+PJC</source>]</p>
<p><q>No one who has not seen them [glaciers] can possibly <qex>visualize</qex> them.</q> <rj><qau>Lubbock.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Visualize</ent><br/
<hw>Vis"u*al*ize</hw> <pr>(?)</pr>, <pos>v. i.</pos> <def>To form a mental image of something not present before the eye at the time.</def><br/
[<source>Webster 1913 Suppl.</source>]</p>
<p><ent>Visualizer</ent><br/
<hw>Vis"u*al*iz`er</hw> <pr>(?)</pr>, <pos>n.</pos> <def>One who visualizes or is proficient in visualization;</def> <specif>esp.</specif> <fld>(Physiol.)</fld>, <def>one whose mental imagery is prevailingly visualization.</def><br/
[<source>Webster 1913 Suppl.</source>]</p>
<p><ent>Vitaille</ent><br/
<hw>Vi*taille</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[See <er>Victuals</er>.]</ety> <def>Food; victuals.</def> <mark>[Obs.]</mark> <rj><au>Piers Plowman. Chaucer.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vital</ent><br/
<hw>Vi"tal</hw> <pr>(?)</pr>, <pos>a.</pos> <ety>[F., fr. L. <ets>vitalis</ets>, fr. <ets>vita</ets> life; akin to <ets>vivere</ets> to live. See <er>Vivid</er>.]</ety> <sn>1.</sn> <def>Belonging or relating to life, either animal or vegetable; <as>as, <ex>vital</ex> energies; <ex>vital</ex> functions; <ex>vital</ex> actions.</as></def><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <def>Contributing to life; necessary to, or supporting, life; <as>as, <ex>vital</ex> blood</as>.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>Do the heavens afford him <qex>vital</qex> food?</q> <rj><qau>Spenser.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><q>And <qex>vital</qex> virtue infused, and <qex>vital</qex> warmth.</q> <rj><qau>Milton.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>3.</sn> <def>Containing life; living.</def> <ldquo/Spirits that live throughout, <xex>vital</xex> in every part.<rdquo/ <rj><au>Milton.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>4.</sn> <def>Being the seat of life; being that on which life depends; mortal.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>The dart flew on, and pierced a <qex>vital</qex> part.</q> <rj><qau>Pope.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>5.</sn> <def>Very necessary; highly important; essential.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>A competence is <qex>vital</qex> to content.</q> <rj><qau>Young.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>6.</sn> <def>Capable of living; in a state to live; viable.</def> <mark>[R.]</mark><br/
[<source>1913 Webster</source>]</p>
<p><q>Pythagoras and Hippocrates . . . affirm the birth of the seventh month to be <qex>vital</qex>.</q> <rj><qau>Sir T. Browne.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><cs><col><b>Vital air</b></col>, <cd>oxygen gas; -- so called because essential to animal life.</cd> <mark>[Obs.]</mark> -- <col><b>Vital capacity</b></col> <fld>(Physiol.)</fld>, <cd>the breathing capacity of the lungs; -- expressed by the number of cubic inches of air which can be forcibly exhaled after a full inspiration.</cd> -- <col><b>Vital force</b></col>. <fld>(Biol.)</fld> <cd>See under <er>Force</er>. The vital forces, according to Cope, are nerve force (<xex>neurism</xex>), growth force (<xex>bathmism</xex>), and thought force (<xex>phrenism</xex>), all under the direction and control of the vital principle. Apart from the phenomena of consciousness, vital actions no longer need to be considered as of a mysterious and unfathomable character, nor vital force as anything other than a form of physical energy derived from, and convertible into, other well-known forces of nature.</cd> -- <col><b>Vital functions</b></col> <fld>(Physiol.)</fld>, <cd>those functions or actions of the body on which life is directly dependent, as the circulation of the blood, digestion, etc.</cd> -- <col><b>Vital principle</b></col>, <cd>an immaterial force, to which the functions peculiar to living beings are ascribed.</cd> -- <col><b>Vital statistics</b></col>, <cd>statistics respecting the duration of life, and the circumstances affecting its duration.</cd> -- <col><b>Vital tripod</b></col>. <fld>(Physiol.)</fld> <cd>See under <er>Tripod</er>.</cd> -- <col><b>Vital vessels</b></col> <fld>(Bot.)</fld>, <cd>a name for latex tubes, now disused. See <er>Latex</er>.</cd></cs><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vital</ent><br/
<hw>Vi"tal</hw>, <pos>n.</pos> <def>A vital part; one of the vitals.</def> <mark>[R.]</mark><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vitalic</ent><br/
<hw>Vi*tal"ic</hw> <pr>(?)</pr>, <pos>a.</pos> <def>Pertaining to life; vital.</def> <mark>[R.]</mark><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vitalism</ent><br/
<hw>Vi"tal*ism</hw> <pr>(?)</pr>, <pos>n.</pos> <fld>(Biol.)</fld> <def>The doctrine that all the functions of a living organism are due to an unknown vital principle distinct from all chemical and physical forces.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vitalist</ent><br/
<hw>Vi`tal*ist</hw> <pr>(?)</pr>, <pos>n.</pos> <fld>(Biol.)</fld> <def>A believer in the theory of vitalism; -- opposed to <xex>physicist</xex>.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vitalistic</ent><br/
<hw>Vi`tal*is"tic</hw> <pr>(?)</pr>, <pos>a.</pos> <fld>(Biol.)</fld> <def>Pertaining to, or involving, vitalism, or the theory of a special vital principle.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vitality</ent><br/
<hw>Vi*tal"i*ty</hw> <pr>(?; 277)</pr>, <pos>n.</pos> <ety>[L. <ets>vitalitas</ets>: cf. F. <ets>vitalit<eacute/</ets>.]</ety> <def>The quality or state of being vital; the principle of life; vital force; animation; <as>as, the <ex>vitality</ex> of eggs or vegetable seeds; the <ex>vitality</ex> of an enterprise</as>.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vitalization</ent><br/
<hw>Vi`tal*i*za"tion</hw> <pr>(?)</pr>, <pos>n.</pos> <def>The act or process of vitalizing, or infusing the vital principle.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vitalize</ent><br/
<hw>Vi"tal*ize</hw> <pr>(?)</pr>, <pos>v. t.</pos> <vmorph>[<pos>imp. & p. p.</pos> <conjf>Vitalized</conjf> <pr>(?)</pr>; <pos>p. pr. & vb. n.</pos> <conjf>Vitalizing</conjf> <pr>(?)</pr>.]</vmorph> <ety>[Cf. F. <ets>vitaliser</ets>.]</ety> <def>To endow with life, or vitality; to give life to; to make alive; <as>as, <ex>vitalized</ex> blood</as>.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vitally</ent><br/
<hw>Vi"tal*ly</hw>, <pos>adv.</pos> <def>In a vital manner.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vitals</ent><br/
<hw>Vi"tals</hw> <pr>(?)</pr>, <pos>n. pl.</pos> <sn>1.</sn> <def>Organs that are necessary for life; more especially, the heart, lungs, and brain.</def><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <def>Fig.: The part essential to the life or health of anything; <as>as, the <ex>vitals</ex> of a state</as>.</def> <ldquo/The <xex>vitals</xex> of the public body.<rdquo/ <rj><au>Glanvill.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vitamin</ent><br/
<hw>Vit"a*min</hw> <pr>(v<imac/t"<adot/*m<icr/n)</pr>, <pos>n.</pos> <def>any of several organic chemical substances not synthesized by an animal and required in small quantities for normal metabolism, present in and obtained from the natural foods eaten by the animal. Human vitamins are also produced synthetically, and taken in pure form or in mixtures, as dietary supplements. Deficiencies of specific vitamins lead to certain specific disorders, such as scurvy, caused by an insufficiency of vitamin C (ascorbic acid).</def> <note>Most vitamins act as coenzymes or precursors to coenzymes, and are not consumed for energy production or incorporated into structural units of the cell.</note><br/
[<source>PJC</source>]</p>
<p><ent>vitamin A</ent><br/
<hw>vitamin A</hw> <pos>n.</pos> <def> any of several related fat-soluble vitamins (such as retinol) essential for normal vision; it also prevents night blindness or inflammation or dryness of the eyes.</def><br/
<syn><b>Syn. --</b> antiophthalmic factor, axerophthol.</syn><br/
[<source>WordNet 1.5</source>]</p>
<p><ent>vitamin A1</ent><br/
<hw>vitamin A1</hw> <pos>n.</pos> <def>retinol (<chform>C20H30O</chform>), one of the substances also called <altname>vitamin A</altname>. It is also called more specifically <altname>vitamin A alcohol</altname>. It is a required factor for human nutrition. The USP unit of activity is equal to 0.30 micrograms of retinol.</def><br/
<syn><b>Syn. --</b> retinol.</syn><br/
[<source>WordNet 1.5</source> <source>+PJC</source>]</p>
<p><ent>vitamin A2</ent><br/
<hw>vitamin A2</hw> <pos>n.</pos> <def>dehydroretinol (<chform>C20H28O</chform>), one of the substances also called <altname>vitamin A</altname>. It has about 40% of the bioactivity of vitamin A1.</def><br/
<syn><b>Syn. --</b> dehydroretinol.</syn><br/
[<source>WordNet 1.5</source> <source>+PJC</source>]</p>
<p><ent>vitamin B</ent><br/
<hw>vitamin B</hw> <pos>n.</pos> <def>a group of water-soluble vitamins originally thought to be a single vitamin but now separated into several B vitamins.</def><br/
<syn><b>Syn. --</b> B-complex vitamin, B complex, vitamin B complex, B vitamin.</syn><br/
[<source>WordNet 1.5</source>]</p>
<p><ent>vitamin B1</ent><br/
<hw>vitamin B1</hw> <pos>n.</pos> <def>a B vitamin <chform>(C12H17N4OS)Cl</chform> that prevents beriberi and maintains appetite and growth. Same as <er>thiamine</er>.</def><br/
<syn><b>Syn. --</b> thiamin, aneurin, antiberiberi factor.</syn><br/
[<source>WordNet 1.5</source>]</p>
<p><ent>vitamin B12</ent><br/
<hw>vitamin B12</hw> <pos>n.</pos> <def>a B vitamin that is used to treat pernicious anemia.</def><br/
<syn><b>Syn. --</b> cobalamin, cyanocobalamin.</syn><br/
[<source>WordNet 1.5</source>]</p>
<p><ent>vitamin B2</ent><br/
<hw>vitamin B2</hw> <pos>n.</pos> <def>a B vitamin that prevents skin lesions and weight loss.</def><br/
<syn><b>Syn. --</b> vitamin G, riboflavin, lactoflavin, ovoflavin, hepatoflavin.</syn><br/
[<source>WordNet 1.5</source>]</p>
<p><ent>vitamin B3</ent><br/
<hw>vitamin B3</hw> <pos>n.</pos> <def>See <er>nicotinamide</er>.</def><br/
<syn><b>Syn. --</b> nicotinamide.</syn><br/
[<source>PJC</source>]</p>
<p><ent>vitamin B5</ent><br/
<hw>vitamin B5</hw> <pos>n.</pos> <def>See <er>nicotinic acid</er>.</def><br/
<syn><b>Syn. --</b> nicotinic acid.</syn><br/
[<source>PJC</source>]</p>
<p><ent>vitamin B6</ent><br/
<hw>vitamin B6</hw> <pos>n.</pos> <def>a B vitamin that is essential for metabolism of amino acids and starch.</def><br/
<syn><b>Syn. --</b> pyridoxine, pyridoxal, pyridoxamine, adermin.</syn><br/
[<source>WordNet 1.5</source>]</p>
<p><ent>vitamin Bc</ent><br/
<hw>vitamin Bc</hw> <pos>n.</pos> <def>a B vitamin that is essential for cell growth and reproduction. Also called <altname>folic acid</altname></def><br/
<syn><b>Syn. --</b> vitamin M, folic acid, folacin, pteroylglutamic acid, pteroylmonoglutamic acid.</syn><br/
[<source>WordNet 1.5</source>]</p>
<p><ent>vitamin B complex</ent><br/
<hw>vitamin B complex</hw> <pos>n.</pos> <def>a mixture of water-soluble vitamins originally thought to be a single vitamin but now known to be a mixture of several compounds with vitaminic activity.</def>
<syn><b>Syn. --</b> B complex, vitamin B complex, vitamin B, B vitamin.</syn>
[<source>WordNet 1.5</source>]</p>
<p><ent>vitamin C</ent><br/
<hw>vitamin C</hw> <pos>n.</pos> <def>a vitamin that prevents scurvy. Also called <altname>ascorbic acid</altname>.</def><br/
<syn><b>Syn. --</b> ascorbic acid.</syn><br/
[<source>WordNet 1.5</source>]</p>
<p><ent>vitamin D</ent><br/
<hw>vitamin D</hw> <pos>n.</pos> <def>any of several chemically related fat-soluble vitamins that prevent rickets. It is found in fish-liver oils, egg yolks and milk. Component vitamin D2 is also called <stype>calciferol</stype>, and vitamin D3 is called <stype>cholecalciferol</stype>.</def><br/
<syn><b>Syn. --</b> calciferol, ergocalciferol, cholecalciferol.</syn><br/
[<source>WordNet 1.5</source>]</p>
<p><ent>vitamin E</ent><br/
<hw>vitamin E</hw> <pos>n.</pos> <def>a fat-soluble vitamin that is essential for normal reproduction. It is found in vegetable oils, butter, and eggs.</def><br/
<syn><b>Syn. --</b> tocopherol, alpha-tocopherol.</syn><br/
[<source>WordNet 1.5</source>]</p>
<p><ent>vitamin G</ent><br/
<hw>vitamin G</hw> <pos>n.</pos> <def>same as <er>vitamin B2</er>.</def><br/
<syn><b>Syn. --</b> vitamin B2, riboflavin, lactoflavin, ovoflavin, hepatoflavin.</syn><br/
[<source>WordNet 1.5</source>]</p>
<p><ent>vitamin H</ent><br/
<hw>vitamin H</hw> <pos>n.</pos>, <def>a B vitamin that aids in body growth.</def><br/
<syn><b>Syn. --</b> biotin.</syn><br/
[<source>WordNet 1.5</source>]</p>
<p><ent>vitamin K</ent><br/
<hw>vitamin K</hw> <pos>n.</pos> <fu>(1929)</fu> <ety>[from G. <ets>K</ets>oagulationsvitamin.]</ety> <def>either of two fat-soluble vitamins (vitamin K1 or vitamin K2) that help clot blood by participating in the fromation of prothrombin.</def>
<syn><b>Syn. --</b> naphthoquinone.</syn><br/
[<source>WordNet 1.5</source> <source>+PJC</source>]</p>
<p><ent>vitamin K1</ent><br/
<hw>vitamin K1</hw> <pos>n.</pos> <def>one of the two K vitamins, (<chform>C31H46O2</chform>). It is fat-soluble and occurs naturally as the <it>trans</it> isomer. Chemically it is <chname>2-methyl-3-phytyl-1,4,-naphthoquinone</chname>.</def><br/
<syn><b>Syn. --</b> phylloquinone, phytonadione, antihemorrhagic vitamin.</syn>.<br/
[<source>WordNet 1.5</source> <source>+PJC</source>]</p>
<p><ent>vitamins K2</ent><br/
<ent>vitamin K2</ent><br/
<mhw><hw>vitamin K2</hw>, <hw>vitamins K2</hw></mhw> <pos>n.</pos> <def>one of the two K vitamins, actually a mixture of homologous fat-soluble substituted naphthoquinones (called <altname>menaquinones</altname>), (<chform>C11H7O2[C5H8]nH</chform>), where n may be 1 to 13 but is mostly 7 to 9. The term is also used for synthetic compounds resembling vitamin K2 and having the same physiological action. The individual components of vitamin K2 are also referred to by the number of isoprenyl units in the side chain (the number n in the formula), as for menadione, having no units at that position of the naphthaquinone ring, and also called vitamin K2(0) (and also called <er>vitamin K3</er>).</def> <au>[MI11]</au><br/
<syn><b>Syn. --</b> menaquinones, antihemorrhagic vitamin.</syn>.<br/
[<source>WordNet 1.5</source> <source>+PJC</source>]</p>
<p><ent>vitamin K3</ent><br/
<hw>vitamin K3</hw> <pos>n.</pos> <def>menadione, (<chform>C11H8O2</chform>) being chemically <chname>2-methyl-1,4,-naphthoquinone</chname>. Having no side chain in the 3 position, it cannot exert all functions of a true K vitamin and the designation as vitamin K3 has been discouraged</def> <au>[MI11]</au><br/
<syn><b>Syn. --</b> vitaminK2(0); menaphthone.</syn><br/
[<source>WordNet 1.5</source>]</p>
<p><ent>vitamin M</ent><br/
<hw>vitamin M</hw> <pos>n.</pos> <def>same as <er>vitamin Bc</er>; folic acid.</def><br/
[<source>WordNet 1.5</source>]</p>
<p><ent>vitamin p</ent><br/
<hw>vitamin p</hw> <pos>n.</pos> <def>a water-soluble vitamin that maintains the resistance of cell and capillary walls to permeation.</def><br/
<syn><b>Syn. --</b> bioflavinoid, citrin.</syn>)<br/
[<source>WordNet 1.5</source>]</p>
<p><ent>Vitascope</ent><br/
<hw>Vi"ta*scope</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[L. <ets>vita</ets> life + <ets>-scope</ets>.]</ety> <def>A form of machine for exhibiting animated pictures.</def><br/
[<source>Webster 1913 Suppl.</source>]</p>
<p><ent>Vitellary</ent><br/
<hw>Vit"el*la*ry</hw> <pr>(?; 277)</pr>, <pos>a.</pos> <ety>[L. <ets>vitellus</ets> a little calf, the yolk of an egg.]</ety> <fld>(Biol.)</fld> <def>Vitelline.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vitelligenous</ent><br/
<hw>Vit`el*lig"e*nous</hw> <pr>(?)</pr>, <pos>a.</pos> <fld>(Zool.)</fld> <def>Producing yolk, or vitelline substance; -- applied to certain cells (also called <xex>nutritive</xex>, or <xex>yolk</xex>, <xex>cells</xex>) formed in the ovaries of many insects, and supposed to supply nutriment to the developing ova.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vitellin</ent><br/
<hw>Vi*tel"lin</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[See <er>Vitellus</er>.]</ety> <fld>(Physiol. Chem.)</fld> <def>An albuminous body, belonging to the class of globulins, obtained from yolk of egg, of which it is the chief proteid constituent, and from the seeds of many plants. From the latter it can be separated in crystalline form.</def><br/
[<source>1913 Webster</source>]</p>
<p><-- p. 1615 --><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vitelline</ent><br/
<hw>Vi*tel"line</hw> <pr>(?)</pr>, <pos>a.</pos> <ety>[L. <ets>vitellus</ets> the yolk of an egg.]</ety> <fld>(Biol.)</fld> <def>Of or pertaining to the yolk of eggs; <as>as, the <ex>vitelline</ex> membrane, a smooth, transparent membrane surrounding the vitellus</as>.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vitellogene</ent><br/
<hw>Vi*tel"lo*gene</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[See <er>Vitellus</er>, and <er>-gen</er>.]</ety> <fld>(Zool.)</fld> <def>A gland secreting the yolk of the eggs in trematodes, turbellarians, and some other helminths.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vitellus</ent><br/
||<hw>Vi*tel"lus</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[L., the yolk of an egg.]</ety><br/
[<source>1913 Webster</source>]</p>
<p><sn>1.</sn> <fld>(Biol.)</fld> <def>The contents or substance of the ovum; egg yolk. See <xex>Illust.</xex> of <er>Ovum</er>.</def><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <fld>(Bot.)</fld> <def>Perisperm in an early condition.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vitiate</ent><br/
<hw>Vi"ti*ate</hw> <pr>(?)</pr>, <pos>v. t.</pos> <vmorph>[<pos>imp. & p. p.</pos> <conjf>Vitiated</conjf> <pr>(?)</pr>; <pos>p. pr. & vb. n.</pos> <conjf>Vitiating</conjf>.]</vmorph> <ety>[L. <ets>vitiatus</ets>, p. p. <ets>vitiare</ets> to vitiate, fr. <ets>vitium</ets> a fault, vice. See <er>Vice</er> a fault.]</ety> <altsp>[Written also <asp>viciate</asp>.]</altsp> <sn>1.</sn> <def>To make vicious, faulty, or imperfect; to render defective; to injure the substance or qualities of; to impair; to contaminate; to spoil; <as>as, exaggeration <ex>vitiates</ex> a style of writing; sewer gas <ex>vitiates</ex> the air.</as></def><br/
[<source>1913 Webster</source>]</p>
<p><q>A will <qex>vitiated</qex> and growth out of love with the truth disposes the understanding to error and delusion.</q> <rj><qau>South.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><q>Without care it may be used to <qex>vitiate</qex> our minds.</q> <rj><qau>Burke.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><q>This undistinguishing complaisance will <qex>vitiate</qex> the taste of readers.</q> <rj><qau>Garth.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <def>To cause to fail of effect, either wholly or in part; to make void; to destroy, as the validity or binding force of an instrument or transaction; to annul; <as>as, any undue influence exerted on a jury <ex>vitiates</ex> their verdict; fraud <ex>vitiates</ex> a contract</as>.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vitiation</ent><br/
<hw>Vi`ti*a"tion</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[L. <ets>vitiatio</ets>.]</ety> <def>The act of vitiating, or the state of being vitiated; depravation; corruption; invalidation; <as>as, the <ex>vitiation</ex> of the blood; the <ex>vitiation</ex> of a contract</as>.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>The <qex>vitiation</qex> that breeds evil acts.</q> <rj><qau>G. Eliot.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Viticulose</ent><br/
<hw>Vi*tic"u*lose`</hw> <pr>(?)</pr>, <pos>a.</pos> <ety>[L. <ets>viticula</ets>, dim. of <ets>vitis</ets> vine.]</ety> <fld>(Bot.)</fld> <def>Having long and slender trailing stems.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Viticultural</ent><br/
<hw>Vit`i*cul"tur*al</hw> <pr>(?; 135)</pr>, <pos>a.</pos> <def>Of or pertaining to viticulture.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Viticulture</ent><br/
<hw>Vit"i*cul`ture</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[L. <ets>vitis</ets> vine + E. <ets>culture</ets>.]</ety> <def>The cultivation of the vine; grape growing.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Viticulturist</ent><br/
<hw>Vit`i*cul"tur*ist</hw>, <pos>n.</pos> <def>One engaged in viticulture.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vitiligo</ent><br/
||<hw>Vit`i*li"go</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[L., a kind of tetter, fr. <ets>vitium</ets> blemish, vice.]</ety> <fld>(Med.)</fld> <def>A rare skin disease consisting in the development of smooth, milk-white spots upon various parts of the body.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vitilitigate</ent><br/
<hw>Vit`i*lit"i*gate</hw> <pr>(?)</pr>, <pos>v. i.</pos> <ety>[L. <ets>vitilitigare</ets> to quarrel disgracefully; <ets>vitium</ets> vice + <ets>litigare</ets> to quarrel.]</ety> <def>To contend in law litigiously or cavilously.</def> <mark>[Obs.]</mark><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vitilitigation</ent><br/
<hw>Vit`i*lit`i*ga"tion</hw> <pr>(?)</pr>, <pos>n.</pos> <def>Cavilous litigation; cavillation.</def> <mark>[Obs.]</mark> <rj><au>Hudibras.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vitiosity</ent><br/
<hw>Vi`ti*os"i*ty</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[L. <ets>vitiositas</ets>. See <er>Vicious</er>.]</ety> <def>Viciousness; depravity.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>The perverseness and <qex>vitiosity</qex> of man's will.</q> <rj><qau>South.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vitiousness</ent><br/
<ent>Vitiously</ent><br/
<ent>Vitious</ent><br/
<mhw><hw>Vi"tious</hw> <pr>(?)</pr>, <pos>a.</pos>, <hw>Vi"tious*ly</hw>, <pos>adv.</pos>, <hw>Vi"tious*ness</hw>, <pos>n.</pos></mhw> <def>See <er>Vicious</er>, <er>Viciously</er>, <er>Viciousness</er>.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vitis</ent><br/
||<hw>Vi"tis</hw> <pr>(?)</pr>, <pos>prop. n.</pos> <ety>[L., a vine.]</ety> <fld>(Bot.)</fld> <def>A genus of plants including all true grapevines.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vitoe</ent><br/
<hw>Vi"to*e</hw> <pr>(?)</pr>, <pos>a.</pos> <fld>(Zool.)</fld> <def>See <er>Durukuli</er>.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vitrage</ent><br/
<hw>Vit"rage</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[F., prop., glazing, glass window.]</ety> <def>A curtain of light and translucent material intended to be secured directly to the woodwork of a French casement window or a glazed door.</def><br/
[<source>Webster 1913 Suppl.</source>]</p>
<p><ent>Vitrella</ent><br/
||<hw>Vi*trel"la</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[NL., dim. of L. <ets>vitrum</ets> glass.]</ety> <fld>(Zool.)</fld> <def>One of the transparent lenslike cells in the ocelli of certain arthropods.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vitre-o-electic</ent><br/
<hw>Vit`re-o-e*lec"tic</hw> <pr>(?)</pr>, <pos>a.</pos> <ety>[See <er>Vitreous</er>, and <er>Electric</er>.]</ety> <fld>(Physics)</fld> <def>Containing or exhibiting positive, or vitreous, electricity.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vitreous</ent><br/
<hw>Vit"re*ous</hw> <pr>(?)</pr>, <pos>a.</pos> <ety>[L. <ets>vitreous</ets>, from <ets>vitrum</ets> glass; perhaps akin to <ets>videre</ets> to see (see <er>Vision</er>). Cf. <er>Varnish</er>.]</ety> <sn>1.</sn> <def>Consisting of, or resembling, glass; glassy; <as>as, <ex>vitreous</ex> rocks</as>.</def><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <def>Of or pertaining to glass; derived from glass; <as>as, <ex>vitreous</ex> electricity</as>.</def><br/
[<source>1913 Webster</source>]</p>
<p><cs><col><b>Vitreous body</b></col> <fld>(Anat.)</fld>, <cd>the vitreous humor. See the Note under <er>Eye</er>.</cd> -- <col><b>Vitreous electricity</b></col> <fld>(Elec.)</fld>, <cd>the kind of electricity excited by rubbing glass with certain substances, as silk; positive electricity; -- opposed to <xex>resinous</xex>, or <xex>negative</xex>, electricity.</cd> -- <col><b>Vitreous humor</b></col>. <fld>(Anat.)</fld> <cd>See the Note under <er>Eye</er>.</cd> -- <col><b>Vitreous sponge</b></col> <fld>(Zool.)</fld>, <cd>any one of numerous species of siliceous sponges having, often fibrous, glassy spicules which are normally six-rayed; a hexactinellid sponge. See <cref>Venus's basket</cref>, under <er>Venus</er>.</cd></cs><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vitreousness</ent><br/
<hw>Vit"re*ous*ness</hw>, <pos>n.</pos> <def>The quality or state of being vitreous.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vitrescence</ent><br/
<hw>Vi*tres"cence</hw> <pr>(?)</pr>, <pos>n.</pos> <def>The quality or state of being vitreous; glassiness, or the quality of being vitrescent; capability of conversion into glass; susceptibility of being formed into glass.</def> <rj><au>Kirwan.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vitrescent</ent><br/
<hw>Vi*tres"cent</hw> <pr>(?)</pr>, <pos>a.</pos> <ety>[See <er>Vitreous</er>.]</ety> <def>Capable of being formed into glass; tending to become glass.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vitrescible</ent><br/
<hw>Vi*tres"ci*ble</hw> <pr>(?)</pr>, <pos>a.</pos> <ety>[Cf. F. <ets>vitrescible</ets>.]</ety> <def>That may be vitrified; vitrifiable.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vitric</ent><br/
<hw>Vit"ric</hw> <pr>(?)</pr>, <pos>a.</pos> <ety>[L. <ets>vitrum</ets> glass.]</ety> <def>Having the nature and qualities of glass; glasslike; -- distinguished from <contr>ceramic</contr>.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vitrics</ent><br/
<hw>Vit"rics</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[See <er>Vitric</er>.]</ety> <sn>1.</sn> <def>The art or study of the manufacture and decoration of glassware.</def><br/
[<source>Webster 1913 Suppl.</source>]</p>
<p><sn>2.</sn> <pluf>pl.</pluf> <def>Articles of glassware, glassware in general.</def><br/
[<source>Webster 1913 Suppl.</source>]</p>
<p><ent>Vitrifaction</ent><br/
<hw>Vit`ri*fac"tion</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[Cf. <er>Vitrification</er>.]</ety> <def>The act, art, or process of vitrifying; also, the state of being vitrified.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vitrifacture</ent><br/
<hw>Vit`ri*fac"ture</hw> <pr>(?; 135)</pr>, <pos>n.</pos> <ety>[L. <ets>vitrum</ets> glass + <ets>facere</ets>, <ets>factum</ets>, to make.]</ety> <def>The manufacture of glass and glassware.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vitrifiable</ent><br/
<hw>Vit"ri*fi`a*ble</hw> <pr>(?)</pr>, <pos>a.</pos> <ety>[Cf. F. <ets>vitrifiable</ets>.]</ety> <def>Capable of being vitrified, or converted into glass by heat and fusion; <as>as, flint and alkalies are <ex>vitrifiable</ex></as>.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vitrificable</ent><br/
<hw>Vi*trif"i*ca*ble</hw> <pr>(?)</pr>, <pos>a.</pos> <def>Vitrifiable.</def> <mark>[Obs.]</mark><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vitrificate</ent><br/
<hw>Vit"ri*fi*cate</hw> <pr>(?)</pr>, <pos>v. t.</pos> <def>To convert into glass; to vitrify.</def> <mark>[Obs.]</mark> <rj><au>Bacon.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vitrification</ent><br/
<hw>Vit`ri*fi*ca"tion</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[See <er>Vitrify</er>.]</ety> <def>Same as <er>Vitrifaction</er>.</def> <rj><au>Sir T. Browne. Ure.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vitrified</ent><br/
<hw>Vit"ri*fied</hw> <pr>(?)</pr>, <pos>a.</pos> <def>Converted into glass.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vitriform</ent><br/
<hw>Vit"ri*form</hw> <pr>(?)</pr>, <pos>a.</pos> <ety>[L. <ets>vitrum</ets> glass + <ets>-form</ets>.]</ety> <def>Having the form or appearance of glass; resembling glass; glasslike.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vitrify</ent><br/
<hw>Vit"ri*fy</hw> <pr>(?)</pr>, <pos>v. t.</pos> <vmorph>[<pos>imp. & p. p.</pos> <conjf>Vitrified</conjf> <pr>(?)</pr>; <pos>p. pr. & vb. n.</pos> <conjf>Vitrifying</conjf> <pr>(?)</pr>.]</vmorph> <ety>[F. <ets>vitrifier</ets>; L. <ets>vitrum</ets> glass + <ets>-ficare</ets> to make. See <er>Vitreous</er>, <er>-fy</er>.]</ety> <def>To convert into, or cause to resemble, glass or a glassy substance, by heat and fusion.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vitrify</ent><br/
<hw>Vit"ri*fy</hw>, <pos>v. t.</pos> <def>To become glass; to be converted into glass.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>Chymists make vessels of animal substances, calcined, which will not <qex>vitrify</qex> in the fire.</q> <rj><qau>Arbuthnot.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vitrina</ent><br/
||<hw>Vi*tri"na</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[NL., fr. L. <ets>vitrum</ets> glass.]</ety> <fld>(Zool.)</fld> <def>A genus of terrestrial gastropods, having transparent, very thin, and delicate shells, -- whence the name.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vitrine</ent><br/
<hw>Vit"rine</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[F.]</ety> <def>A glass show case for displaying fine wares, specimens, etc.</def><br/
[<source>Webster 1913 Suppl.</source>]</p>
<p><ent>Vitriol</ent><br/
<hw>Vit"ri*ol</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[F. <ets>vitriol</ets>; cf. Pr. <ets>vitriol</ets>, <ets>vetriol</ets>, Sp. & Pg. <ets>vitriolo</ets>, It. <ets>vitriuolo</ets>; fr. L. <ets>vitreolus</ets> of glass, <ets>vitreus</ets> vitreous. See <er>Vitreous</er>.]</ety> <fld>(Chem.)</fld> <sd>(a)</sd> <def>A sulphate of any one of certain metals, as copper, iron, zinc, cobalt. So called on account of the glassy appearance or luster.</def> <sd>(b)</sd> <def>Sulphuric acid; -- called also <altname>oil of vitriol</altname>. So called because first made by the distillation of green vitriol. See <cref>Sulphuric acid</cref>, under <er>Sulphuric</er>.</def> <mark>[Colloq.]</mark><br/
[<source>1913 Webster</source>]</p>
<p><cs><col><b>Blue vitriol</b></col>. <cd>See under <er>Blue</er>.</cd> -- <col><b>Green vitriol</b></col>, <cd>ferrous sulphate; copperas. See under <er>Green</er>.</cd> -- <col><b>Oil of vitriol</b></col>, <cd>sulphuric or vitriolic acid; -- popularly so called because it has the consistency of oil.</cd> -- <col><b>Red vitriol</b></col>, <cd>a native sulphate of cobalt.</cd> -- <col><b>Vitriol of Mars</b></col>, <cd>ferric sulphate, a white crystalline substance which dissolves in water, forming a red solution.</cd> -- <col><b>White vitriol</b></col>, <cd>zinc sulphate, a white crystalline substance used in medicine and in dyeing. It is usually obtained by dissolving zinc in sulphuric acid, or by roasting and oxidizing certain zinc ores. Formerly called also <altname>vitriol of zinc</altname>.</cd></cs><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vitriol</ent><br/
<hw>Vit"ri*ol</hw> <pr>(?)</pr>, <pos>v. t.</pos> <vmorph>[<pos>imp. & p. p.</pos> <conjf>-oled</conjf> <pr>(?)</pr> or <conjf>-olled</conjf>; <pos>p. pr. & vb. n.</pos> <conjf>-oling</conjf> or <conjf>-olling</conjf>.]</vmorph> <ety>[From <er>Vitriol</er>, <pos>n.</pos>]</ety> <sn>1.</sn> <fld>(Metal.)</fld> <def>To dip in dilute sulphuric acid; to pickle.</def><br/
[<source>Webster 1913 Suppl.</source>]</p>
<p><sn>2.</sn> <def>To vitriolize.</def> <mark>[Colloq.]</mark><br/
[<source>Webster 1913 Suppl.</source>]</p>
<p><ent>Vitriolate</ent><br/
<hw>Vit"ri*o*late</hw> <pr>(?)</pr>, <pos>v. t.</pos> <vmorph>[<pos>imp. & p. p.</pos> <conjf>Vitriolated</conjf> <pr>(?)</pr>; <pos>p. pr. & vb. n.</pos> <conjf>Vitriolating</conjf>.]</vmorph> <fld>(Old Chem.)</fld> <sd>(a)</sd> <def>To convert into, or change to, a vitriol; to make into sulphuric acid or a sulphate.</def> <sd>(b)</sd> <def>To subject to the action of, or impregnate with, vitriol.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vitriolate</ent><br/
<hw>Vit"ri*o*late</hw> <pr>(?)</pr>, <pos>a.</pos> <def>Vitriolated.</def> <mark>[R.]</mark><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vitriolate</ent><br/
<hw>Vit"ri*o*late</hw>, <pos>n.</pos> <fld>(Old Chem.)</fld> <def>A sulphate.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vitriolated</ent><br/
<hw>Vit"ri*o*la`ted</hw> <pr>(?)</pr>, <pos>a.</pos> <fld>(Old Chem.)</fld> <def>Changed into a vitriol or a sulphate, or subjected to the action of sulphuric acid or of a sulphate; <as>as, <ex>vitriolated</ex> potash, <it>i. e.</it>, potassium sulphate</as>.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vitriolation</ent><br/
<hw>Vit`ri*o*la"tion</hw> <pr>(?)</pr>, <pos>n.</pos> <fld>(Old Chem.)</fld> <def>The act, process, or result of vitriolating.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vitriolic</ent><br/
<hw>Vit`ri*ol"ic</hw> <pr>(?)</pr>, <pos>a.</pos> <ety>[Cf. F. <ets>vitriolique</ets>.]</ety> <sn>1.</sn> <fld>(Chem.)</fld> <def>Of or pertaining to vitriol; derived from, or resembling, vitriol; vitriolous; <as>as, a <ex>vitriolic</ex> taste</as>. Cf. <er>Vitriol</er>.</def><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <def>Biting, bitter or caustic; having or expressing strong and unpleasantly negative feelings; -- of speech or feelings; <as>the <ex>vitriolic</ex> denunciations of opponents by partisan columnists</as>.</def><br/
[<source>PJC</source>]</p>
<p><cs><col><b>Vitriolic acid</b></col> <fld>(Old Chem.)</fld>, <sd>(a)</sd> <cd>sulphuric acid. See <er>Vitriol</er> <sd>(b)</sd>.</cd> <mark>[Colloq.]</mark></cs><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vitriolizable</ent><br/
<hw>Vit"ri*ol*i`za*ble</hw> <pr>(?)</pr>, <pos>a.</pos> <def>Capable of being converted into a vitriol.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vitriolization</ent><br/
<hw>Vit`ri*ol*i*za"tion</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[Cf. F. <ets>vitriolisation</ets>.]</ety> <fld>(Old Chem.)</fld> <def>The act of vitriolizing, or the state of being vitriolized; vitriolation.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vitriolize</ent><br/
<hw>Vit"ri*ol*ize</hw> <pr>(?)</pr>, <pos>v. t.</pos> <ety>[Cf. F. <ets>vitrioliser</ets>.]</ety> <sn>1.</sn> <def>To convert into a vitriol; to vitriolate.</def><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <def>To injure (a person) with vitriol, or sulphuric acid, as by throwing it upon the face.</def><br/
[<source>Webster 1913 Suppl.</source>]</p>
<p><ent>Vitriolous</ent><br/
<hw>Vi*tri"o*lous</hw> <pr>(?)</pr>, <pos>a.</pos> <def>See <er>Vitriolic</er>.</def> <mark>[Obs.]</mark><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vitrite</ent><br/
<hw>Vit"rite</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[L. <ets>vitrum</ets> glass.]</ety> <def>A kind of glass which is very hard and difficult to fuse, used as an insulator in electrical lamps and other apparatus.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vitro-di-trina</ent><br/
<hw>Vit"ro-di-tri"na</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[It. <ets>vetro di trina</ets> glass of lace.]</ety> <def>A kind of Venetian glass or glassware in which white threads are embedded in transparent glass with a lacelike or netlike effect.</def><br/
[<source>Webster 1913 Suppl.</source>]</p>
<p><ent>Vitruvian</ent><br/
<hw>Vi*tru"vi*an</hw> <pr>(?)</pr>, <pos>a.</pos> <def>Of or pertaining to <person><etsep>Vitruvius</etsep></person>, an ancient Roman architect.</def><br/
[<source>1913 Webster</source>]</p>
<p><cs><col><b>Vitruvian scroll</b></col> <fld>(Arch.)</fld>, <cd>a name given to a peculiar pattern of scrollwork, consisting of convolved undulations. It is used in classical architecture.</cd> <rj><au>Oxf. Gloss.</au></rj></cs><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vitta</ent><br/
||<hw>Vit"ta</hw> <pr>(?)</pr>, <pos>n.</pos>; <plu><it>pl.</it> <plw>Vittae</plw> <pr>(#)</pr>.</plu> <ety>[L. <ets>vitta</ets> ribbon, fillet.]</ety> <sn>1.</sn> <fld>(Bot.)</fld> <def>One of the oil tubes in the fruit of umbelliferous plants.</def><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <fld>(Zool.)</fld> <def>A band, or stripe, of color.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vittate</ent><br/
<hw>Vit"tate</hw> <pr>(?)</pr>, <pos>a.</pos> <ety>[L. <ets>vittatus</ets> bound with a fillet, fr. <ets>vitta</ets> fillet.]</ety> <sn>1.</sn> <fld>(Bot.)</fld> <def>Bearing or containing vittae.</def><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <def>Striped longitudinally.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vituline</ent><br/
<hw>Vit"u*line</hw> <pr>(?; 277)</pr>, <pos>a.</pos> <ety>[L. <ets>vitulinus</ets>, fr. <ets>vitulus</ets> a calf. See <er>Veal</er>.]</ety> <def>Of or pertaining to a calf or veal.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vituperable</ent><br/
<hw>Vi*tu"per*a*ble</hw> <pr>(?)</pr>, <pos>a.</pos> <ety>[L. <ets>vituperabilis</ets>: cf. F. <ets>vitup<eacute/rable</ets>.]</ety> <def>Liable to, or deserving, vituperation, or severe censure.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vituperate</ent><br/
<hw>Vi*tu"per*ate</hw> <pr>(?; 277)</pr>, <pos>v. t.</pos> <ety>[L. <ets>vituperatus</ets>, p. p. of <ets>vituperare</ets> to blame, vituperate; <ets>vitium</ets> a fault + <ets>parare</ets> to prepare. See <er>Vice</er> a fault, and <er>Pare</er>, <pos>v. t.</pos>]</ety> <def>To find fault with; to scold; to overwhelm with wordy abuse; to censure severely or abusively; to rate.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vituperation</ent><br/
<hw>Vi*tu`per*a"tion</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[L. <ets>vituperatio</ets>: cf. OF. <ets>vituperation</ets>. See <er>Vituperate</er>.]</ety> <def>The act of vituperating; abuse; severe censure; blame.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>When a man becomes untractable and inaccessible by fierceness and pride, then <qex>vituperation</qex> comes upon him.</q> <rj><qau>Donne.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vituperative</ent><br/
<hw>Vi*tu"per*a*tive</hw> <pr>(?)</pr>, <pos>a.</pos> <def>Uttering or writing censure; containing, or characterized by, abuse; scolding; abusive.</def> -- <wordforms><wf>Vi*tu"per*a*tive*ly</wf>, <pos>adv.</pos></wordforms><br/
[<source>1913 Webster</source>]</p>
<p><q><qex>Vituperative</qex> appellations derived from their real or supposed ill qualities.</q> <rj><qau>B. Jonson.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vituperator</ent><br/
<hw>Vi*tu"per*a`tor</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[L.]</ety> <def>One who vituperates, or censures abusively.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vituperrious</ent><br/
<hw>Vi`tu*per"ri*ous</hw> <pr>(?)</pr>, <pos>a.</pos> <def>Worthy of vituperation; shameful; disgraceful.</def> <mark>[Obs.]</mark><br/
[<source>1913 Webster</source>]</p>
<p><ent>Viva</ent><br/
||<hw>Vi"va</hw> <pr>(?)</pr>, <pos>interj.</pos> <ety>[It.]</ety> <def>Lit., (long) live; -- an exclamation expressing good will, well wishing, etc.</def> -- <def2><pos>n.</pos> <def>The word viva, or a shout or sound made in uttering it.</def></def2><br/
[<source>Webster 1913 Suppl.</source>]</p>
<p><q>A wilder burst of <ldquo/<qex>vivas</qex><rdquo/.</q> <rj><qau>R. H. Davis.</qau></rj><br/
[<source>Webster 1913 Suppl.</source>]</p>
<p><ent>Vivace</ent><br/
||<hw>Vi*va"ce</hw> <pr>(?)</pr>, <pos>a. & adv.</pos> <ety>[It.]</ety> <fld>(Mus.)</fld> <def>Brisk; vivacious; with spirit; -- a direction to perform a passage in a brisk and lively manner.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vivacious</ent><br/
<hw>Vi*va"cious</hw> <pr>(?; 277)</pr>, <pos>a.</pos> <ety>[L. <ets>v<iacute/vax</ets>, <ets>-acis</ets>, fr. <ets>vivere</ets> to live. See <er>Vivid</er>.]</ety> <sn>1.</sn> <def>Having vigorous powers of life; tenacious of life; long-lived.</def> <mark>[Obs.]</mark><br/
[<source>1913 Webster</source>]</p>
<p><q>Hitherto the English bishops have been <qex>vivacious</qex> almost to wonder. . . . But five died for the first twenty years of her [Queen Elizabeth's] reign.</q> <rj><qau>Fuller.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><q>The faith of Christianity is far more <qex>vivacious</qex> than any mere ravishment of the imagination can ever be.</q> <rj><qau>I. Taylor.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <def>Sprightly in temper or conduct; lively; merry; <as>as, a <ex>vivacious</ex> poet</as>.</def> <ldquo/<xex>Vivacious</xex> nonsense.<rdquo/ <rj><au>V. Knox.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>3.</sn> <fld>(Bot.)</fld> <def>Living through the winter, or from year to year; perennial.</def> <mark>[R.]</mark><br/
[<source>1913 Webster</source>]</p>
<p><syn><b>Syn.</b> -- Sprightly; active; animated; sportive; gay; merry; jocund; light-hearted.</syn><br/
[<source>1913 Webster</source>]</p>
<p>-- <wordforms><wf>Vi*va"cious*ly</wf>, <pos>adv.</pos> -- <wf>Vi*va"cious*ness</wf>, <pos>n.</pos></wordforms><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vivacity</ent><br/
<hw>Vi*vac"i*ty</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[L. <ets>vivicitas</ets>: cf. F. <ets>vivacit<eacute/</ets>.]</ety> <def>The quality or state of being vivacious.</def> <specif>Specifically:</specif> --<br/
[<source>1913 Webster</source>]</p>
<p><sd>(a)</sd> <def>Tenacity of life; vital force; natural vigor.</def> <mark>[Obs.]</mark><br/
[<source>1913 Webster</source>]</p>
<p><q>The <qex>vivacity</qex> of some of these pensioners is little less than a miracle, they lived so long.</q> <rj><qau>Fuller.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><sd>(b)</sd> <def>Life; animation; spiritedness; liveliness; sprightliness; <as>as, the <ex>vivacity</ex> of a discourse; a lady of great <ex>vivacity</ex>; <ex>vivacity</ex> of countenance</as>.</def><br/
[<source>1913 Webster</source>]</p>
<p><syn><b>Syn.</b> -- Liveliness; gayety. See <er>Liveliness</er>.</syn><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vivandier</ent><br/
||<hw>Vi`van`dier"</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[OF. & F. <ets>vivandier</ets>, fr. LL. <ets>vivanda</ets>, <ets>vivenda</ets>, provisions. Cf. <er>Viand</er>.]</ety> <def>In Continental armies, esp. the French, a sutler.</def><br/
[<source>Webster 1913 Suppl.</source>]</p>
<p><ent>Vivandiere</ent><br/
||<hw>Vi`van`di<egrave/re"</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[F. See <er>Viand</er>.]</ety> <def>In Continental armies, especially in the French army, a woman accompanying a regiment, who sells provisions and liquor to the soldiers; a female sutler.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vivant</ent><br/
||<hw>Vi`vant"</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[F., p. pr., living.]</ety> <def>In mort, bridge, and similar games, the partner of dummy.</def><br/
[<source>Webster 1913 Suppl.</source>]</p>
<p><ent>Vivarium</ent><br/
||<hw>Vi*va"ri*um</hw> <pr>(?)</pr>, <pos>n.</pos>; <plu><it>pl.</it> E. <plw>Vivariums</plw> <pr>(#)</pr>, L. <plw>Vivaria</plw> <pr>(#)</pr>.</plu> <ety>[L., fr. <ets>vivarius</ets> belonging to living creatures, fr. <ets>vivus</ets> alive, living. See <er>Vivid</er>.]</ety> <def>A place artificially arranged for keeping or raising living animals, as a park, a pond, an aquarium, a warren, etc.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vivary</ent><br/
<hw>Vi"va*ry</hw> <pr>(v<imac/"v<adot/*r<ycr/)</pr>, <pos>n.</pos>; <plu><it>pl.</it> <plw>Vivaries</plw> <pr>(-r<icr/z)</pr>.</plu> <def>A vivarium.</def> <ldquo/That . . . <xex>vivary</xex> of fowls and beasts.<rdquo/ <rj><au>Donne.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Viva voce</ent><br/
||<hw>Vi"va vo"ce</hw> <pr>(v<imac/"v<adot/ v<omac/"s<esl/)</pr>. <ety>[L.]</ety> <def>By word of mouth; orally.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vivda</ent><br/
<hw>Viv"da</hw> <pr>(v<icr/v"d<adot/)</pr>, <pos>n.</pos> <def>See <er>Vifda</er>.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vive</ent><br/
||<hw>Vive</hw> <pr>(v<emac/v)</pr>. <ety>[F., imperative sing. pres. fr. <ets>vivre</ets> to live, L. <ets>vivere</ets>.]</ety> <def>Long live, that is, success to; <as>as, <ex>vive le roi</ex>, long live the king; <ex>vive la bagatelle</ex>, success to trifles or sport.</as></def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vive</ent><br/
<hw>Vive</hw> <pr>(v<imac/v)</pr>, <pos>a.</pos> <ety>[L. <ets>vivus</ets>: cf. F. <ets>vif</ets>. See <er>Vivid</er>.]</ety> <def>Lively; animated; forcible.</def> <mark>[Obs.]</mark> <rj><au>Bacon.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vively</ent><br/
<hw>Vive"ly</hw>, <pos>adv.</pos> <def>In a lively manner.</def> <mark>[Obs.]</mark><br/
[<source>1913 Webster</source>]</p>
<p><q>If I see a thing <qex>vively</qex> represented on the stage.</q> <rj><qau>B. Jonson.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vivency</ent><br/
<hw>Vi"ven*cy</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[L. <ets>vivens</ets>, p. pr. of <ets>vivere</ets> to live.]</ety> <def>Manner of supporting or continuing life or vegetation.</def> <mark>[Obs.]</mark> <rj><au>Sir T. Browne.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Viverra</ent><br/
||<hw>Vi*ver"ra</hw> <pr>(v<icr/*v<ecr/r"r<adot/)</pr>, <pos>prop. n.</pos> <ety>[L., a ferret.]</ety> <fld>(Zool.)</fld> <def>A genus of carnivores which comprises the civets.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>viverrine</ent><br/
<hw>vi*ver"rine</hw> <pr>(v<icr/*v<ecr/r"r<imac/n)</pr>, <pos>a.</pos> <fld>(Zool.)</fld> <def>Of or pertaining to the <fam>Viverridae</fam>, or Civet family.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>vivers</ent><br/
<hw>vi"vers</hw> <pr>(v<emac/"v<etil/rz)</pr>, <pos>n. pl.</pos> <ety>[F. <ets>vivres</ets>, pl. of <ets>vivre</ets>, orig., to live.]</ety> <def>Provisions; victuals.</def> <mark>[Prov. Eng. & Scot.]</mark><br/
[<source>1913 Webster</source>]</p>
<p><q>I 'll join you at three, if the <qex>vivers</qex> can tarry so long.</q> <rj><qau>Sir W. Scott.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>vives</ent><br/
<hw>vives</hw> <pr>(v<imac/vz)</pr>, <pos>n.</pos> <ety>[OF. <ets>vives</ets>, F. <ets>avives</ets> (cf. Sp. <ets>abivas</ets>, <ets>adiva</ets>) fr. Ar. <ets>ad-dh<imac/ba</ets>. Cf. <er>Fives</er> vives.]</ety> <fld>(Far.)</fld> <def>A disease of brute animals, especially of horses, seated in the glands under the ear, where a tumor is formed which sometimes ends in suppuration.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vivianite</ent><br/
<hw>Viv"i*an*ite</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[So called by <persfn>Werner</persfn> after the English mineralogist <person>F. G. <etsep>Vivian</etsep></person>.]</ety> <fld>(Min.)</fld> <def>A hydrous phosphate of iron of a blue to green color, growing darker on exposure. It occurs in monoclinic crystals, also fibrous, massive, and earthy.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>vivid</ent><br/
<hw>viv"id</hw> <pr>(v<icr/v"<icr/d)</pr>, <pos>a.</pos> <ety>[L. <ets>vividus</ets>, from <ets>vivere</ets> to life; akin to <ets>vivus</ets> living. See <er>Quick</er>, <pos>a.</pos>, and cf. <er>Revive</er>, <er>Viand</er>, <er>Victuals</er>, <er>Vital</er>.]</ety> <sn>1.</sn> <def>True to the life; exhibiting the appearance of life or freshness; animated; spirited; bright; strong; intense; <as>as, <ex>vivid</ex> colors</as>.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>In dazzling streaks the <qex>vivid</qex> lightnings play.</q> <rj><qau>Cowper.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><q>Arts which present, with all the <qex>vivid</qex> charms of painting, the human face and human form divine.</q> <rj><qau>Bp. Hobart.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <def>Forming brilliant images, or painting in lively colors; lively; sprightly; <as>as, a <ex>vivid</ex> imagination</as>.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>Body is a fit workhouse for sprightly, <qex>vivid</qex> faculties to exercise . . . themselves in.</q> <rj><qau>South.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><syn><b>Syn.</b> -- Clear; lucid; bright; strong; striking; lively; quick; sprightly; active.</syn><br/
[<source>1913 Webster</source>]</p>
<p>-- <wordforms><wf>viv"id*ly</wf>, <pos>adv.</pos> -- <wf>viv"id*ness</wf>, <pos>n.</pos></wordforms><br/
[<source>1913 Webster</source>]</p>
<p><ent>vividity</ent><br/
<hw>vi*vid"i*ty</hw> <pr>(v<icr/*v<icr/d"<icr/*t<ycr/)</pr>, <pos>n.</pos> <def>The quality or state of being vivid; vividness.</def> <mark>[R.]</mark><br/
[<source>1913 Webster</source>]</p>
<p><ent>vivifical</ent><br/
<ent>vivific</ent><br/
<mhw>{ <hw>vi*vif"ic</hw> <pr>(v<icr/*v<icr/f"<icr/k)</pr>, <hw>vi*vif"ic*al</hw> <pr>(v<icr/*v<icr/f"<icr/*k<ait/l)</pr>, }</mhw> <pos>a.</pos> <ety>[L. <ets>vivificus</ets>: cf. F. <ets>vivifique</ets>. See <er>Vivify</er>.]</ety> <def>Giving life; reviving; enlivening.</def> <mark>[R.]</mark><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vivificate</ent><br/
<hw>Vi*vif"i*cate</hw> <pr>(?)</pr>, <pos>v. t.</pos> <ety>[L. <ets>vivificatus</ets>, p. p. <ets>vivificare</ets>. See <er>Vivify</er>.]</ety> <sn>1.</sn> <def>To give life to; to animate; to revive; to vivify.</def> <mark>[R.]</mark><br/
[<source>1913 Webster</source>]</p>
<p><q>God <qex>vivificates</qex> and actuates the whole world.</q> <rj><qau>Dr. H. More.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <fld>(Chem.)</fld> <def>To bring back a metal to the metallic form, as from an oxide or solution; to reduce.</def> <mark>[Obs.]</mark><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vivification</ent><br/
<hw>Viv`i*fi*ca"tion</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[L. <ets>vivificatio</ets>: cf. <ets>vivification</ets>.]</ety> <sn>1.</sn> <def>The act of vivifying, or the state of being vivified; restoration of life; revival.</def> <rj><au>Bacon.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <fld>(Physiol.)</fld> <def>One of the changes of assimilation, in which proteid matter which has been transformed, and made a part of the tissue or tissue cells, is endowed with life, and thus enabled to manifest the phenomena of irritability, contractility, etc.</def> <rj><au>McKendrick.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>3.</sn> <fld>(Chem.)</fld> <def>The act or process of vivificating.</def> <mark>[Obs.]</mark><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vivificative</ent><br/
<hw>Viv"i*fi*ca*tive</hw> <pr>(?)</pr>, <pos>a.</pos> <def>Able or tending to vivify, animate, or give life; vivifying.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vivify</ent><br/
<hw>Viv"i*fy</hw> <pr>(?)</pr>, <pos>v. t.</pos> <vmorph>[<pos>imp. & p. p.</pos> <conjf>Vivified</conjf> <pr>(?)</pr>; <pos>p. pr. & vb. n.</pos> <conjf>Vivifying</conjf> <pr>(?)</pr>.]</vmorph> <ety>[F. <ets>vivifier</ets>, L. <ets>vivificare</ets>. See <er>Vivid</er>, <er>-fy</er>; cf. <er>Vivificate</er>.]</ety> <def>To endue with life; to make to be living; to quicken; to animate.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>Sitting on eggs doth <qex>vivify</qex>, not nourish.</q> <rj><qau>Bacon.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vivipara</ent><br/
||<hw>Vi*vip"a*ra</hw> <pr>(?)</pr>, <pos>n. pl.</pos> <ety>[NL. See <er>Viviparous</er>.]</ety> <fld>(Zool.)</fld> <def>An artificial division of vertebrates including those that produce their young alive; -- opposed to <contr>Ovipara</contr>.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Viviparity</ent><br/
<hw>Viv`i*par"i*ty</hw> <pr>(?)</pr>, <pos>n.</pos> <fld>(Biol.)</fld> <def>The quality or condition of being viviparous.</def> <rj><au>H. Spencer.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><-- p. 1616 --><br/
[<source>1913 Webster</source>]</p>
<p><ent>Viviparous</ent><br/
<hw>Vi*vip"a*rous</hw> <pr>(?)</pr>, <pos>a.</pos> <ety>[L. <ets>viviparus</ets>; <ets>vivus</ets> alive + <ets>parere</ets> to bear, bring forth. Cf. <er>Viper</er>.]</ety> <fld>(Biol.)</fld> <def>Producing young in a living state, as most mammals, or as those plants the offspring of which are produced alive, either by bulbs instead of seeds, or by the seeds themselves germinating on the plant, instead of falling, as they usually do; -- opposed to <contr>oviparous</contr>.</def><br/
[<source>1913 Webster</source>]</p>
<p><cs><col><b>Viviparous fish</b></col>. <fld>(Zool.)</fld> <cd>See <er>Embiotocoid</er>.</cd> -- <col><b>Viviparous shell</b></col> <fld>(Zool.)</fld>, <cd>any one of numerous species of operculated fresh-water gastropods belonging to <gen>Viviparus</gen>, <gen>Melantho</gen>, and allied genera. Their young, when born, have a well-developed spiral shell.</cd></cs><br/
[<source>1913 Webster</source>]</p>
<p><ent>Viviparously</ent><br/
<hw>Vi*vip"a*rous*ly</hw>, <pos>adv.</pos> <fld>(Biol.)</fld> <def>In a viviparous manner.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Viviparousness</ent><br/
<hw>Vi*vip"a*rous*ness</hw>, <pos>n.</pos> <fld>(Biol.)</fld> <def>The quality of being viviparous; viviparity.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vivisect</ent><br/
<hw>Viv"i*sect`</hw> <pr>(?)</pr>, <pos>v. t.</pos> <def>To perform vivisection upon; to dissect alive.</def> <mark>[Colloq.]</mark> <rj><au>Pop. Sci. Monthly.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vivisection</ent><br/
<hw>Viv`i*sec"tion</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[L. <ets>vivus</ets> alive + E. <ets>section</ets>: cf. F. <ets>vivisection</ets>. See <er>Vivid</er>, and <er>Section</er>.]</ety> <def>The dissection of an animal while alive, for the purpose of making physiological investigations.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vivisectional</ent><br/
<hw>Viv`i*sec"tion*al</hw> <pr>(?)</pr>, <pos>a.</pos> <def>Of or pertaining to vivisection.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vivisectionist</ent><br/
<hw>Viv`i*sec"tion*ist</hw>, <pos>n.</pos> <def>One who practices or advocates vivisection; a vivisector.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vivisector</ent><br/
<hw>Viv`i*sec"tor</hw> <pr>(?)</pr>, <pos>n.</pos> <def>A vivisectionist.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vixen</ent><br/
<hw>Vix"en</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[AS. <ets>fixen</ets> a she-fox, for <ets>fyxen</ets>, fem. of <ets>fox</ets>. See <er>Fox</er>.]</ety> <sn>1.</sn> <def>A female fox.</def> <mark>[Obs. or Prov. Eng.]</mark><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <def>A cross, ill-tempered person; -- formerly used of either sex, now only of a woman.</def> <rj><au>Barrow.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><q>She was a <qex>vixen</qex> when she went to school.</q> <rj><qau>Shak.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vixenish</ent><br/
<hw>Vix"en*ish</hw>, <pos>a.</pos> <def>Of or pertaining to a vixen; resembling a vixen.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vixenly</ent><br/
<hw>Vix"en*ly</hw>, <pos>a.</pos> <def>Like a vixen; vixenish.</def> <rj><au>Barrow.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Viz</ent><br/
<hw>Viz</hw> <pr>(?)</pr>, <pos>adv.</pos> <ety>[Contr. fr. <ets>videlicet</ets>.]</ety> <def>To wit; that is; namely.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vizard</ent><br/
<hw>Viz"ard</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[See <er>Visor</er>.]</ety> <def>A mask; a visor.</def> <mark>[Archaic]</mark> <ldquo/A grotesque <xex>vizard</xex>.<rdquo/ <rj><au>Sir W. Scott.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><q>To mislead and betray them under the <qex>vizard</qex> of law.</q> <rj><qau>Milton.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vizarded</ent><br/
<hw>Viz"ard*ed</hw>, <pos>a.</pos> <def>Wearing a vizard.</def> <mark>[R.]</mark> <rj><au>Shak.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vizcacha</ent><br/
||<hw>Viz*ca"cha</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[Sp.]</ety> <fld>(Zool.)</fld> <def>Same as <er>Viscacha</er>.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vizier</ent><br/
<hw>Viz"ier</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[Ar. <ets>wez<imac/r</ets>, <ets>waz<imac/r</ets>, properly, a bearer of burdens, a porter, from <ets>wazara</ets> to bear a burden: cf. F. <ets>vizir</ets>, <ets>visir</ets>. Cf. <er>Alguazil</er>.]</ety> <def>A councilor of state; a high executive officer in Turkey and other Oriental countries.</def> <altsp>[Written also <asp>visier</asp>, <asp>vizir</asp>, and <asp>vizer</asp>.]</altsp><br/
[<source>1913 Webster</source>]</p>
<p><cs><col><b>Grand vizier</b></col>, <cd>the chief minister of the Turkish empire; -- called also <altname>vizier-azem</altname>.</cd></cs><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vizierate</ent><br/
<hw>Viz"ier*ate</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[Cf. F. <ets>vizirat</ets>.]</ety> <def>The office, dignity, or authority of a vizier.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vizier-azem</ent><br/
||<hw>Vi*zier`-a*zem"</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[Ar. <ets>azam</ets> great. See <er>Vizier</er>.]</ety> <def>A grand vizier. See under <er>Vizier</er>.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vizierial</ent><br/
<hw>Vi*zier"i*al</hw> <pr>(?)</pr>, <pos>a.</pos> <ety>[Cf. F. <ets>vizirial</ets>.]</ety> <def>Of, pertaining to, or issued by, a vizier.</def> <altsp>[Written also <asp>vizirial</asp>.]</altsp><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vizir</ent><br/
<hw>Vi*zir"</hw> <pr>(?)</pr>, <pos>n.</pos> <def>See <er>Vizier</er>.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vizor</ent><br/
<hw>Viz"or</hw> <pr>(?)</pr>, <pos>n.</pos> <def>See <er>Visor</er>.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vlissmaki</ent><br/
<hw>Vliss*ma"ki</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[From the native name.]</ety> <fld>(Zool.)</fld> <def>The diadem indris. See <er>Indris</er>.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>V moth</ent><br/
<hw>V" moth`</hw> <pr>(?)</pr>. <fld>(Zool.)</fld> <def>A common gray European moth (<spn>Halia vauaria</spn>) having a <universbold>V</universbold>-shaped spot of dark brown on each of the fore wings.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vocable</ent><br/
<hw>Vo"ca*ble</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[L. <ets>vocabulum</ets> an appellation, designation, name, fr. <ets>vocare</ets> to call, fr. <ets>vox</ets>, <ets>vocis</ets>, a voice, a word: cf. F. <ets>vocable</ets>. See <er>Voice</er>.]</ety> <def>A word; a term; a name; specifically, a word considered as composed of certain sounds or letters, without regard to its meaning.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>Swamped near to drowning in a tide of ingenious <qex>vocables</qex>.</q> <rj><qau>Carlyle.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vocabulary</ent><br/
<hw>Vo*cab"u*la*ry</hw> <pr>(v<osl/*k<acr/b"<usl/*l<asl/*r<ycr/)</pr>, <pos>n.</pos>; <plu><it>pl.</it> <plw>Vocabularies</plw> <pr>(#)</pr>.</plu> <ety>[LL. <ets>vocabularium</ets>, <ets>vocabularius</ets>: cf. F. <ets>vocabulaire</ets>. See <er>Vocable</er>.]</ety> <sn>1.</sn> <def>A list or collection of words arranged in alphabetical order and explained; a dictionary or lexicon, either of a whole language, a single work or author, a branch of science, or the like; a word-book.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>. . .</ent><br/
<note>The <ldquo/vocabulary<rdquo/ of this dictionary referred to within the definitions of certain collocations are those words serving as headwords for main entries, and distinguished from word combinations (<ldquo/collocations<rdquo/) which follow the main part of certain entries. In the XML-tagged version, these headwords are marked by the tags <hw>. . .</hw>.</note><br/
[<source>PJC</source>]</p>
<p><sn>2.</sn> <def>A sum or stock of words employed.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>His <qex>vocabulary</qex> seems to have been no larger than was necessary for the transaction of business.</q> <rj><qau>Macaulay.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vocabulist</ent><br/
<hw>Vo*cab"u*list</hw> <pr>(v<osl/*k<acr/b"<usl/*l<icr/st)</pr>, <pos>n.</pos> <ety>[Cf. F. <ets>vocabuliste</ets>.]</ety> <def>The writer or maker of a vocabulary; a lexicographer.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vocal</ent><br/
<hw>Vo"cal</hw> <pr>(v<omac/"k<ait/l)</pr>, <pos>a.</pos> <ety>[L. <ets>vocalis</ets>, fr. <ets>vox</ets>, <ets>vocis</ets>, voice: cf. F. <ets>vocal</ets>. See <er>Voice</er>, and cf. <er>Vowel</er>.]</ety> <sn>1.</sn> <def>Of or pertaining to the voice or speech; having voice; endowed with utterance; full of voice, or voices.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>To hill or valley, fountain, or fresh shade,<br/
Made <qex>vocal</qex> by my song.</q> <rj><qau>Milton.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <def>Uttered or modulated by the voice; oral; <as>as, <ex>vocal</ex> melody; <ex>vocal</ex> prayer</as>.</def> <ldquo/<xex>Vocal</xex> worship.<rdquo/ <rj><au>Milton.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>3.</sn> <def>Of or pertaining to a vowel or voice sound; also, spoken with tone, intonation, and resonance; sonant; sonorous; -- said of certain articulate sounds.</def><br/
[<source>1913 Webster</source>]</p>
<p><sn>4.</sn> <fld>(Phon.)</fld> <sd>(a)</sd> <def>Consisting of, or characterized by, voice, or tone produced in the larynx, which may be modified, either by resonance, as in the case of the vowels, or by obstructive action, as in certain consonants, such as <xex>v</xex>, <xex>l</xex>, etc., or by both, as in the nasals <xex>m</xex>, <xex>n</xex>, <xex>ng</xex>; sonant; intonated; voiced. See <er>Voice</er>, and <er>Vowel</er>, also <xex>Guide to Pronunciation</xex>, <sect/<sect/ 199-202.</def> <sd>(b)</sd> <def>Of or pertaining to a vowel; having the character of a vowel; vowel.</def><br/
[<source>1913 Webster</source>]</p>
<p><cs><mcol><col><b>Vocal cords</b></col> <it>or</it> <col><b>Vocal chords</b></col></mcol>. <pos>n. pl.</pos> <fld>(Anat.)</fld> <cd>The two pairs of mucous membranes that project into the larynx, and which produce the sounds of speech by vibrating under the influence of air exhaled from the lungs. See <er>Larynx</er>, and the Note under <er>Voice</er>, <pos>n.</pos>, 1.</cd> -- <col><b>Vocal fremitus</b></col> <ety>[L. <ets>fremitus</ets> a dull roaring or murmuring]</ety> <fld>(Med.)</fld>, <cd>the perceptible vibration of the chest wall, produced by the transmission of the sonorous vibrations during the act of using the voice.</cd> -- <col><b>Vocal music</b></col>, <cd>music made by the voice, in distinction from <contr>instrumental music</contr>; hence, music or tunes set to words, to be performed by the human voice.</cd> -- <col><b>Vocal tube</b></col> <fld>(Anat.)</fld>, <cd>the part of the air passages above the inferior ligaments of the larynx, including the passages through the nose and mouth.</cd></cs><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vocal</ent><br/
<hw>Vo"cal</hw> <pr>(v<omac/"k<ait/l)</pr>, <pos>n.</pos> <ety>[Cf. F. <ets>vocal</ets>, LL. <ets>vocalis</ets>.]</ety><br/
[<source>1913 Webster</source>]</p>
<p><sn>1.</sn> <fld>(Phon.)</fld> <def>A vocal sound; specifically, a purely vocal element of speech, unmodified except by resonance; a vowel or a diphthong; a tonic element; a tonic; -- distinguished from a <xex>subvocal</xex>, and a <xex>nonvocal</xex>.</def><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <fld>(R. C. Ch.)</fld> <def>A man who has a right to vote in certain elections.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vocalic</ent><br/
<hw>Vo*cal"ic</hw> <pr>(v<osl/*k<acr/l"<icr/k)</pr>, <pos>a.</pos> <ety>[L. <ets>vocalis</ets> (sc. <ets>littera</ets>) a vowel. See <er>Vocal</er>, <pos>a.</pos>]</ety> <def>Of or pertaining to vowel sounds; consisting of the vowel sounds.</def> <rj><au>Earle.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><q>The Gaelic language being uncommonly <qex>vocalic</qex>.</q> <rj><qau>Sir W. Scott.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vocalism</ent><br/
<hw>Vo"cal*ism</hw> <pr>(v<omac/"k<ait/l*<icr/z'm)</pr>, <pos>n.</pos> <sn>1.</sn> <def>The exercise of the vocal organs; vocalization.</def><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <def>A vocalic sound.</def> <mark>[R.]</mark><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vocalist</ent><br/
<hw>Vo"cal*ist</hw>, <pos>n.</pos> <ety>[Cf. F. <ets>vocaliste</ets>.]</ety> <def>A singer, or vocal musician, as opposed to an <contr>instrumentalist</contr>.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vocality</ent><br/
<hw>Vo*cal"i*ty</hw> <pr>(v<osl/*k<acr/l"<icr/*t<ycr/)</pr>, <pos>n.</pos> <ety>[Cf. L. <ets>vocalitas</ets> euphony.]</ety> <sn>1.</sn> <def>The quality or state of being vocal; utterableness; resonance; <as>as, the <ex>vocality</ex> of the letters</as>.</def><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <def>The quality of being a vowel; vocalic character.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vocalization</ent><br/
<hw>Vo`cal*i*za"tion</hw> <pr>(?)</pr>, <pos>n.</pos> <sn>1.</sn> <def>The act of vocalizing, or the state of being vocalized.</def><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <def>The formation and utterance of vocal sounds.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vocalize</ent><br/
<hw>Vo"cal*ize</hw> <pr>(v<omac/"k<ait/l*<imac/z)</pr>, <pos>v. t.</pos> <vmorph>[<pos>imp. & p. p.</pos> <conjf>Vocalized</conjf> <pr>(v<omac/"k<ait/l*<imac/zd)</pr>; <pos>p. pr. & vb. n.</pos> <conjf>Vocalizing</conjf> <pr>(v<omac/"k<ait/l*<imac/*z<icr/ng)</pr>.]</vmorph> <ety>[Cf. F. <ets>vocaliser</ets>.]</ety> <sn>1.</sn> <def>To form into voice; to make vocal or sonant; to give intonation or resonance to.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>It is one thing to give an impulse to breath alone, another thing to <qex>vocalize</qex> that breath.</q> <rj><qau>Holder.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <def>To practice singing on the vowel sounds.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vocally</ent><br/
<hw>Vo"cal*ly</hw>, <pos>adv.</pos> <sn>1.</sn> <def>In a vocal manner; with voice; orally; with audible sound.</def><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <def>In words; verbally; <as>as, to express desires <ex>vocally</ex></as>.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vocalness</ent><br/
<hw>Vo"cal*ness</hw>, <pos>n.</pos> <def>The quality of being vocal; vocality.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vocation</ent><br/
<hw>Vo*ca"tion</hw> <pr>(v<osl/*k<amac/"sh<ucr/n)</pr>, <pos>n.</pos> <ety>[L. <ets>vocatio</ets> a bidding, invitation, fr. <ets>vocare</ets> to call, fr. <ets>vox</ets>, <ets>vocis</ets>, voice: cf. F. <ets>vocation</ets>. See <er>Vocal</er>.]</ety> <sn>1.</sn> <def>A call; a summons; a citation; especially, a designation or appointment to a particular state, business, or profession.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>What can be urged for them who not having the <qex>vocation</qex> of poverty to scribble, out of mere wantonness make themselves ridiculous?</q> <rj><qau>Dryden.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <def>Destined or appropriate employment; calling; occupation; trade; business; profession.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>He would think his service greatly rewarded, if he might obtain by that means to live in the sight of his prince, and yet practice his own chosen <qex>vocation</qex>.</q> <rj><qau>Sir. P. Sidney.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>3.</sn> <fld>(Theol.)</fld> <def>A calling by the will of God.</def> Specifically: --<br/
[<source>1913 Webster</source>]</p>
<p><sd>(a)</sd> <def>The bestowment of God's distinguishing grace upon a person or nation, by which that person or nation is put in the way of salvation; <as>as, the <ex>vocation</ex> of the Jews under the old dispensation, and of the Gentiles under the gospel</as>.</def> <ldquo/The golden chain of <xex>vocation</xex>, election, and justification.<rdquo/ <rj><au>Jer. Taylor.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><sd>(b)</sd> <def>A call to special religious work, as to the ministry.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>Every member of the same [the Church], in his <qex>vocation</qex> and ministry.</q> <rj><qau>Bk. of Com. Prayer.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vocative</ent><br/
<hw>Voc"a*tive</hw> <pr>(?)</pr>, <pos>a.</pos> <ety>[L. <ets>vocativus</ets>, fr. <ets>vocare</ets> to call.]</ety> <def>Of or pertaining to calling; used in calling; specifically <fld>(Gram.)</fld>, used in address; appellative; -- said of that case or form of the noun, pronoun, or adjective, in which a person or thing is addressed; <as>as, <ex>Domine</ex>, O Lord</as>.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vocative</ent><br/
<hw>Voc"a*tive</hw>, <pos>n.</pos> <ety>[L. <ets>vocativus</ets> (sc. <ets>casus</ets>): cf. F. <ets>vocatif</ets>.]</ety> <fld>(Gram.)</fld> <def>The vocative case.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vociferance</ent><br/
<hw>Vo*cif"er*ance</hw> <pr>(?)</pr>, <pos>n.</pos> <def>Vociferation; noise; clamor.</def> <mark>[R.]</mark> <rj><au>R. Browning.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vociferant</ent><br/
<hw>Vo*cif"er*ant</hw> <pr>(?)</pr>, <pos>a.</pos> <ety>[L. <ets>vociferans</ets>, p. pr.]</ety> <def>Noisy; clamorous.</def> <rj><au>Gauden. R. Browning.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vociferate</ent><br/
<hw>Vo*cif"er*ate</hw> <pr>(?)</pr>, <pos>v. i.</pos> <ety>[L. <ets>vociferatus</ets>, p. p. <ets>vociferari</ets> to vociferate; <ets>vox</ets>, <ets>vocis</ets>, voice + <ets>ferre</ets> to bear. See <er>Voice</er>, and <er>Bear</er> to carry.]</ety> <def>To cry out with vehemence; to exclaim; to bawl; to clamor.</def> <rj><au>Cowper.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vociferate</ent><br/
<hw>Vo*cif"er*ate</hw>, <pos>v. t.</pos> <vmorph>[<pos>imp. & p. p.</pos> <conjf>Vociferated</conjf> <pr>(?)</pr>; <pos>p. pr. & vb. n.</pos> <conjf>Vociferating</conjf>.]</vmorph> <def>To utter with a loud voice; to shout out.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>Though he may <qex>vociferate</qex> the word liberty.</q> <rj><qau>V. Knox.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vociferation</ent><br/
<hw>Vo*cif`er*a"tion</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[L. <ets>vociferatio</ets>: cf. F. <ets>vocif<eacute/ration</ets>.]</ety> <def>The act of vociferating; violent outcry; vehement utterance of the voice.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>Violent gesture and <qex>vociferation</qex> naturally shake the hearts of the ignorant.</q> <rj><qau>Spectator.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><q>Plaintive strains succeeding the <qex>vociferations</qex> of emotion or of pain.</q> <rj><qau>Byron.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vociferator</ent><br/
<hw>Vo*cif"er*a`tor</hw> <pr>(?)</pr>, <pos>n.</pos> <def>One who vociferates, or is clamorous.</def> <mark>[R.]</mark><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vociferous</ent><br/
<hw>Vo*cif"er*ous</hw> <pr>(?)</pr>, <pos>a.</pos> <ety>[Cf. F. <ets>vocif<egrave/re</ets>.]</ety> <def>Making a loud outcry; clamorous; noisy; <as>as, <ex>vociferous</ex> heralds</as>.</def> -- <wordforms><wf>Vo*cif"er*ous*ly</wf>, <pos>adv.</pos> -- <wf>Vo*cif"er*ous*ness</wf>, <pos>n.</pos></wordforms><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vocule</ent><br/
<hw>Voc"ule</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[L. <ets>vocula</ets>, dim. of <ets>vox</ets>, <ets>vocis</ets>, voice.]</ety> <fld>(Phon.)</fld> <def>A short or weak utterance; a faint or feeble sound, as that heard on separating the lips in pronouncing <xex>p</xex> or <xex>b</xex>.</def> <au>Rush.</au> -- <wordforms><wf>Voc"u*lar</wf> <pr>(#)</pr>, <pos>a.</pos></wordforms><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vodanium</ent><br/
<hw>Vo*da"ni*um</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[NL.]</ety> <fld>(Old Chem.)</fld> <def>A supposed element, afterward found to be a mixture of several metals, as copper, iron, lead, nickel, etc.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vodka</ent><br/
<hw>Vod"ka</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[Russ.]</ety> <def>A Russian drink distilled from rye.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Voe</ent><br/
<hw>Voe</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[Cf. Icel <ets>ver</ets> sea, <ets>v<oum/ar</ets> a fenced-in landing place.]</ety> <def>An inlet, bay, or creek; -- so called in the Orkney and Shetland Islands.</def> <rj><au>Jamieson.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vogle</ent><br/
<hw>Vo"gle</hw> <pr>(?)</pr>, <pos>n.</pos> <fld>(Mining)</fld> <def>Same as <er>Vugg</er>.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vogue</ent><br/
<hw>Vogue</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[F. <ets>vogue</ets> a rowing, vogue, fashion, It. <ets>voga</ets>, fr. <ets>vogare</ets> to row, to sail; probably fr. OHG. <ets>wag<?/n</ets> to move, akin to E. <ets>way</ets>. Cf. <er>Way</er>.]</ety> <sn>1.</sn> <def>The way or fashion of people at any particular time; temporary mode, custom, or practice; popular reception for the time; -- used now generally in the phrase <xex>in vogue</xex>.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>One <qex>vogue</qex>, one vein,<br/
One air of thoughts usurps my brain.</q> <rj><qau>Herbert.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><q>Whatsoever its <qex>vogue</qex> may be, I still flatter myself that the parents of the growing generation will be satisfied with what <?/<?/ to be taught to their children in Westminster, in Eton, or in Winchester.</q> <rj><qau>Burke.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><q>Use may revive the obsoletest words,<br/
And banish those that now are most in <qex>vogue</qex>.</q> <rj><qau>Roscommon.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <def>Influence; power; sway.</def> <mark>[Obs.]</mark> <rj><au>Strype.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Voice</ent><br/
<hw>Voice</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[OE. <ets>vois</ets>, <ets>voys</ets>, OF. <ets>vois</ets>, <ets>voiz</ets>, F. <ets>voix</ets>, L. <ets>vox</ets>, <ets>vocis</ets>, akin to Gr. <?/ a word, <?/ a voice, Skr. <ets>vac</ets> to say, to speak, G. er<ets>w<aum/hnen</ets> to mention. Cf. <er>Advocate</er>, <er>Advowson</er>, <er>Avouch</er>, <er>Convoke</er>, <er>Epic</er>, <er>Vocal</er>, <er>Vouch</er>, <er>Vowel</er>.]</ety> <sn>1.</sn> <def>Sound uttered by the mouth, especially that uttered by human beings in speech or song; sound thus uttered considered as possessing some special quality or character; <as>as, the human <ex>voice</ex>; a pleasant <ex>voice</ex>; a low <ex>voice</ex></as>.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>He with a manly <qex>voice</qex> saith his message.</q> <rj><qau>Chaucer.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><q>Her <qex>voice</qex> was ever soft,<br/
Gentle, and low; an excellent thing in woman.</q> <rj><qau>Shak.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><q>Thy <qex>voice</qex> is music.</q> <rj><qau>Shak.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><q>Join thy <qex>voice</qex> unto the angel choir.</q> <rj><qau>Milton.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <fld>(Phon.)</fld> <def>Sound of the kind or quality heard in speech or song in the consonants <xex>b</xex>, <xex>v</xex>, <xex>d</xex>, etc., and in the vowels; sonant, or intonated, utterance; tone; -- distinguished from mere <xex>breath</xex> sound as heard in <xex>f</xex>, <xex>s</xex>, <xex>sh</xex>, etc., and also <xex>whisper</xex>.</def><br/
[<source>1913 Webster</source>]</p>
<p><note><hand/ Voice, in this sense, is produced by vibration of the so-called vocal cords in the larynx (see <xex>Illust.</xex> of <er>Larynx</er>) which act upon the air, not in the manner of the strings of a stringed instrument, but as a pair of membranous tongues, or reeds, which, being continually forced apart by the outgoing current of breath, and continually brought together again by their own elasticity and muscular tension, break the breath current into a series of puffs, or pulses, sufficiently rapid to cause the sensation of tone. The <xex>power</xex>, or loudness, of such a tone depends on the force of the separate pulses, and this is determined by the pressure of the expired air, together with the resistance on the part of the vocal cords which is continually overcome. Its <xex>pitch</xex> depends on the number of aerial pulses within a given time, that is, on the rapidity of their succession. See <xex>Guide to Pronunciation</xex>, <sect/<sect/ 5, 146, 155.</note><br/
[<source>1913 Webster</source>]</p>
<p><sn>3.</sn> <def>The tone or sound emitted by anything.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>After the fire a still small <qex>voice</qex>.</q> <rj><qau>1 Kings xix. 12.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><q>Canst thou thunder with a <qex>voice</qex> like him?</q> <rj><qau>Job xl. 9.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><q>The floods have lifted up their <qex>voice</qex>.</q> <rj><qau>Ps. xciii. 3.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><q>O Marcus, I am warm'd; my heart<br/
Leaps at the trumpet's <qex>voice</qex>.</q> <rj><qau>Addison.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>4.</sn> <def>The faculty or power of utterance; <as>as, to cultivate the <ex>voice</ex></as>.</def><br/
[<source>1913 Webster</source>]</p>
<p><sn>5.</sn> <def>Language; words; speech; expression; signification of feeling or opinion.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>I desire to be present with you now, and to change my <qex>voice</qex>; for I stand in doubt of you.</q> <rj><qau>Gal. iv. 20.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><q>My <qex>voice</qex> is in my sword.</q> <rj><qau>Shak.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><q>Let us call on God in the <qex>voice</qex> of his church.</q> <rj><qau>Bp. Fell.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>6.</sn> <def>Opinion or choice expressed; judgment; a vote.</def><br/
[<source>1913 Webster</source>]</p>
<p><q><qex>Sic</qex>. How now, my masters! have you chose this man?<br/
1 <qex>Cit</qex>. He has our <qex>voices</qex>, sir.</q> <rj><qau>Shak.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><q>Some laws ordain, and some attend the choice<br/
Of holy senates, and elect by <qex>voice</qex>.</q> <rj><qau>Dryden.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>7.</sn> <def>Command; precept; -- now chiefly used in scriptural language.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>So shall ye perish; because ye would not be obedient unto the <qex>voice</qex> of the Lord your God.</q> <rj><qau>Deut. viii. 20.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>8.</sn> <def>One who speaks; a speaker.</def> <ldquo/A potent <xex>voice</xex> of Parliament.<rdquo/ <rj><au>Tennyson.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>9.</sn> <fld>(Gram.)</fld> <def>A particular mode of inflecting or conjugating verbs, or a particular form of a verb, by means of which is indicated the relation of the subject of the verb to the action which the verb expresses.</def><br/
[<source>1913 Webster</source>]</p>
<p><cs><col><b>Active voice</b></col> <fld>(Gram.)</fld>, <cd>that form of the verb by which its subject is represented as the agent or doer of the action expressed by it.</cd> -- <col><b>Chest voice</b></col> <fld>(Phon.)</fld>, <cd>a kind of voice of a medium or low pitch and of a sonorous quality ascribed to resonance in the chest, or thorax; voice of the thick register. It is produced by vibration of the vocal cords through their entire width and thickness, and with convex surfaces presented to each other.</cd> -- <col><b>Head voice</b></col> <fld>(Phon.)</fld>, <cd>a kind of voice of high pitch and of a thin quality ascribed to resonance in the head; voice of the thin register; falsetto. In producing it, the vibration of the cords is limited to their thin edges in the upper part, which are then presented to each other.</cd> -- <col><b>Middle voice</b></col> <fld>(Gram.)</fld>, <cd>that form of the verb by which its subject is represented as both the agent, or doer, and the object of the action, that is, as performing some act to or upon himself, or for his own advantage.</cd> -- <col><b>Passive voice</b></col>. <fld>(Gram.)</fld> <cd>See under <er>Passive</er>, <pos>a.</pos></cd> -- <col><b>Voice glide</b></col> <fld>(Pron.)</fld>, <cd>the brief and obscure neutral vowel sound that sometimes occurs between two consonants in an unaccented syllable (represented by the apostrophe), as in <xex>able</xex> <pr>(a"b'l)</pr>. See <er>Glide</er>, <pos>n.</pos>, 2.</cd> -- <col><b>Voice stop</b></col>. <cd>See <cref>Voiced stop</cref>, under <er>Voiced</er>, <pos>a.</pos></cd> -- <col><b>With one voice</b></col>, <cd>unanimously.</cd> <ldquo/All <xex>with one voice</xex> . . . cried out, Great is Diana of the Ephesians.<rdquo/ <au>Acts xix. 34.</au></cs><br/
[<source>1913 Webster</source>]</p>
<p><ent>Voice</ent><br/
<hw>Voice</hw>, <pos>v. t.</pos> <vmorph>[<pos>imp. & p. p.</pos> <conjf>Voiced</conjf> <pr>(?)</pr>; <pos>p. pr. & vb. n.</pos> <conjf>Voicing</conjf> <pr>(?)</pr>.]</vmorph> <sn>1.</sn> <def>To give utterance or expression to; to utter; to publish; to announce; to divulge; <as>as, to <ex>voice</ex> the sentiments of the nation</as>.</def> <ldquo/Rather assume thy right in silence and . . . then <xex>voice</xex> it with claims and challenges.<rdquo/ <rj><au>Bacon.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><q>It was <qex>voiced</qex> that the king purposed to put to death Edward Plantagenet.</q> <rj><qau>Bacon.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <fld>(Phon.)</fld> <def>To utter with sonant or vocal tone; to pronounce with a narrowed glottis and rapid vibrations of the vocal cords; to speak above a whisper.</def><br/
[<source>1913 Webster</source>]</p>
<p><sn>3.</sn> <def>To fit for producing the proper sounds; to regulate the tone of; <as>as, to <ex>voice</ex> the pipes of an organ</as>.</def><br/
[<source>1913 Webster</source>]</p>
<p><sn>4.</sn> <def>To vote; to elect; to appoint.</def> <mark>[Obs.]</mark> <rj><au>Shak.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Voice</ent><br/
<hw>Voice</hw>, <pos>v. i.</pos> <def>To clamor; to cry out.</def> <mark>[Obs.]</mark> <rj><au>South.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Voiced</ent><br/
<hw>Voiced</hw> <pr>(?)</pr>, <pos>a.</pos> <sn>1.</sn> <def>Furnished with a voice; expressed by the voice.</def><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <fld>(Phon.)</fld> <def>Uttered with voice; pronounced with vibrations of the vocal cords; sonant; -- said of a sound uttered with the glottis narrowed.</def><br/
[<source>1913 Webster</source>]</p>
<p><cs><mcol><col><b>Voiced stop</b></col>, <col><b>Voice stop</b></col></mcol> <fld>(Phon.)</fld>, <cd>a stopped consonant made with tone from the larynx while the mouth organs are closed at some point; a sonant mute, as <xex>b</xex>, <xex>d</xex>, <xex>g</xex> hard.</cd></cs><br/
[<source>1913 Webster</source>]</p>
<p><-- p. 1617 --><br/
[<source>1913 Webster</source>]</p>
<p><ent>Voiceful</ent><br/
<hw>Voice"ful</hw> <pr>(?)</pr>, <pos>a.</pos> <def>Having a voice or vocal quality; having a loud voice or many voices; vocal; sounding.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>Beheld the Iliad and the Odyssey<br/
Rise to the swelling of the <qex>voiceful</qex> sea.</q> <rj><qau>Coleridge.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Voiceless</ent><br/
<hw>Voice"less</hw>, <pos>a.</pos> <sn>1.</sn> <def>Having no voice, utterance, or vote; silent; mute; dumb.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>I live and die unheard,<br/
With a most <qex>voiceless</qex> thought, sheathing it as a sword.</q> <rj><qau>Byron.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <fld>(Phon.)</fld> <def>Not sounded with voice; <as>as, a <ex>voiceless</ex> consonant</as>; surd.</def><br/
[<source>1913 Webster</source>]</p>
<p><cs><col><b>Voiceless stop</b></col> <fld>(Phon.)</fld>, <cd>a consonant made with no audible sound except in the transition to or from another sound; a surd mute, as <xex>p</xex>, <xex>t</xex>, <xex>k</xex>.</cd></cs><br/
[<source>1913 Webster</source>]</p>
<p>-- <wordforms><wf>Voice"less*ly</wf>, <pos>adv.</pos> -- <wf>Voice"less*ness</wf>, <pos>n.</pos></wordforms><br/
[<source>1913 Webster</source>]</p>
<p><ent>Void</ent><br/
<hw>Void</hw> <pr>(?)</pr>, <pos>a.</pos> <ety>[OE. <ets>voide</ets>, OF. <ets>voit</ets>, <ets>voide</ets>, <ets>vuit</ets>, <ets>vuide</ets>, F. <ets>vide</ets>, fr. (assumed) LL. <ets>vocitus</ets>, fr. L. <ets>vocare</ets>, an old form of <ets>vacare</ets> to be empty, or a kindred word. Cf. <er>Vacant</er>, <er>Avoid</er>.]</ety> <sn>1.</sn> <def>Containing nothing; empty; vacant; not occupied; not filled.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>The earth was without form, and <qex>void</qex>.</q> <rj><qau>Gen. i. 2.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><q>I 'll get me to a place more <qex>void</qex>.</q> <rj><qau>Shak.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><q>I 'll chain him in my study, that, at <qex>void</qex> hours,<br/
I may run over the story of his country.</q> <rj><qau>Massinger.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <def>Having no incumbent; unoccupied; -- said of offices and the like.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>Divers great offices that had been long <qex>void</qex>.</q> <rj><qau>Camden.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>3.</sn> <def>Being without; destitute; free; wanting; devoid; <as>as, <ex>void</ex> of learning, or of common use</as>.</def> <rj><au>Milton.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><q>A conscience <qex>void</qex> of offense toward God.</q> <rj><qau>Acts xxiv. 16.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><q>He that is <qex>void</qex> of wisdom despiseth his neighbor.</q> <rj><qau>Prov. xi. 12.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>4.</sn> <def>Not producing any effect; ineffectual; vain.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>[My word] shall not return to me <qex>void</qex>, but it shall accomplish that which I please.</q> <rj><qau>Isa. lv. 11.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><q>I will make <qex>void</qex> the counsel of Judah.</q> <rj><qau>Jer. xix. 7.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>5.</sn> <def>Containing no immaterial quality; destitute of mind or soul.</def> <ldquo/Idol, <xex>void</xex> and vain.<rdquo/ <rj><au>Pope.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>6.</sn> <fld>(Law)</fld> <def>Of no legal force or effect, incapable of confirmation or ratification; null. Cf. <er>Voidable</er>, 2.</def><br/
[<source>1913 Webster</source>]</p>
<p><cs><col><b>Void space</b></col> <fld>(Physics)</fld>, <cd>a vacuum.</cd></cs><br/
[<source>1913 Webster</source>]</p>
<p><syn><b>Syn.</b> -- Empty; vacant; devoid; wanting; unfurnished; unsupplied; unoccupied.</syn><br/
[<source>1913 Webster</source>]</p>
<p><ent>Void</ent><br/
<hw>Void</hw>, <pos>n.</pos> <def>An empty space; a vacuum.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>Pride, where wit fails, steps in to our defense,<br/
And fills up all the mighty <qex>void</qex> of sense.</q> <rj><qau>Pope.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Void</ent><br/
<hw>Void</hw>, <pos>v. t.</pos> <vmorph>[<pos>imp. & p. p.</pos> <conjf>Voided</conjf>; <pos>p. pr. & vb. n.</pos> <conjf>Voiding</conjf>.]</vmorph> <ety>[OF. <ets>voidier</ets>, <ets>vuidier</ets>. See <er>Void</er>, <pos>a.</pos>]</ety> <sn>1.</sn> <def>To remove the contents of; to make or leave vacant or empty; to quit; to leave; <as>as, to <ex>void</ex> a table</as>.</def><br/
[<source>1913 Webster</source>]</p>
<p><q><qex>Void</qex> anon her place.</q> <rj><qau>Chaucer.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><q>If they will fight with us, bid them come down,<br/
Or <qex>void</qex> the field.</q> <rj><qau>Shak.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <def>To throw or send out; to evacuate; to emit; to discharge; <as>as, to <ex>void</ex> excrements</as>.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>A watchful application of mind in <qex>voiding</qex> prejudices.</q> <rj><qau>Barrow.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><q>With shovel, like a fury, <qex>voided</qex> out<br/
The earth and scattered bones.</q> <rj><qau>J. Webster.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>3.</sn> <def>To render void; to make to be of no validity or effect; to vacate; to annul; to nullify.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>After they had <qex>voided</qex> the obligation of the oath he had taken.</q> <rj><qau>Bp. Burnet.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><q>It was become a practice . . . to <qex>void</qex> the security that was at any time given for money so borrowed.</q> <rj><qau>Clarendon.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Void</ent><br/
<hw>Void</hw>, <pos>v. i.</pos> <def>To be emitted or evacuated.</def> <rj><au>Wiseman.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Voidable</ent><br/
<hw>Void"a*ble</hw> <pr>(?)</pr>, <pos>a.</pos> <sn>1.</sn> <def>Capable of being voided, or evacuated.</def><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <fld>(Law)</fld> <def>Capable of being avoided, or of being adjudged void, invalid, and of no force; capable of being either avoided or confirmed.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>If the metropolitan . . . grants letters of administration, such administration is not, but <qex>voidable</qex> by sentence.</q> <rj><qau>Ayliffe.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><note><hand/ A <xex>voidable</xex> contract may be ratified and confirmed; to render it null and of no effect, it must be <xex>avoided</xex>; a <xex>void</xex> contract can not be ratified.</note><br/
[<source>1913 Webster</source>]</p>
<p><ent>Voidance</ent><br/
<hw>Void"ance</hw> <pr>(?)</pr>, <pos>n.</pos> <sn>1.</sn> <def>The act of voiding, emptying, ejecting, or evacuating.</def><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <fld>(Eccl.)</fld> <def>A ejection from a benefice.</def><br/
[<source>1913 Webster</source>]</p>
<p><sn>3.</sn> <def>The state of being void; vacancy, as of a benefice which is without an incumbent.</def><br/
[<source>1913 Webster</source>]</p>
<p><sn>4.</sn> <def>Evasion; subterfuge.</def> <mark>[Obs.]</mark> <rj><au>Bacon.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Voided</ent><br/
<hw>Void"ed</hw>, <pos>a.</pos> <sn>1.</sn> <def>Emptied; evacuated.</def><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <def>Annulled; invalidated.</def><br/
[<source>1913 Webster</source>]</p>
<p><sn>3.</sn> <fld>(Her.)</fld> <def>Having the inner part cut away, or left vacant, a narrow border being left at the sides, the tincture of the field being seen in the vacant space; -- said of a charge.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Voider</ent><br/
<hw>Void"er</hw> <pr>(?)</pr>, <pos>n.</pos> <sn>1.</sn> <def>One who, or that which, voids, <?/mpties, vacates, or annuls.</def><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <def>A tray, or basket, formerly used to receive or convey that which is voided or cleared away from a given place; especially, one for carrying off the remains of a meal, as fragments of food; sometimes, a basket for containing household articles, as clothes, etc.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>Piers Plowman laid the cloth, and Simplicity brought in the <qex>voider</qex>.</q> <rj><qau>Decker.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><q>The cloth whereon the earl dined was taken away, and the <qex>voider</qex>, wherein the plate was usually put, was set upon the cupboard's head.</q> <rj><qau>Hist. of Richard Hainam.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>3.</sn> <def>A servant whose business is to void, or clear away, a table after a meal.</def> <mark>[R.]</mark> <rj><au>Decker.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>4.</sn> <fld>(Her.)</fld> <def>One of the ordinaries, much like the flanch, but less rounded and therefore smaller.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Voiding</ent><br/
<hw>Void"ing</hw>, <pos>n.</pos> <sn>1.</sn> <def>The act of one who, or that which, voids.</def> <rj><au>Bp. Hall.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <def>That which is voided; that which is ejected or evacuated; a remnant; a fragment.</def> <mark>[R.]</mark> <rj><au>Rowe.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><cs><col><b>Voiding knife</b></col>, <cd>a knife used for gathering up fragments of food to put them into a voider.</cd></cs><br/
[<source>1913 Webster</source>]</p>
<p><ent>Voiding</ent><br/
<hw>Void"ing</hw>, <pos>a.</pos> <def>Receiving what is ejected or voided.</def> <ldquo/How in our <xex>voiding</xex> lobby hast thou stood?<rdquo/ <rj><au>Shak.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Voidness</ent><br/
<hw>Void"ness</hw>, <pos>n.</pos> <def>The quality or state of being void; emptiness; vacuity; nullity; want of substantiality.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Voir dire</ent><br/
||<hw>Voir dire</hw> <pr>(?)</pr>. <ety>[OF., to say the truth, fr. L. <ets>verus</ets> true + <ets>dicere</ets> to say.]</ety> <fld>(Law)</fld> <def>An oath administered to a witness, usually before being sworn in chief, requiring him to speak the truth, or make true answers in reference to matters inquired of, to ascertain his competency to give evidence.</def> <rj><au>Greenleaf. Ld. Abinger.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Voiture</ent><br/
<hw>Voi"ture</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[F., fr. L. <ets>vectura</ets> a carrying, conveying. Cf. <er>Vettura</er>.]</ety> <def>A carriage.</def> <rj><au>Arbuthnot.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Voivode</ent><br/
<hw>Voi"vode</hw> <pr>(?)</pr>, <pos>n.</pos> <def>See <er>Waywode</er>.</def> <rj><au>Longfellow.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Volacious</ent><br/
<hw>Vo*la"cious</hw> <pr>(?)</pr>, <pos>a.</pos> <ety>[L. <ets>volare</ets> to fly.]</ety> <def>Apt or fit to fly.</def> <mark>[R.]</mark><br/
[<source>1913 Webster</source>]</p>
<p><ent>Volador</ent><br/
||<hw>Vo*la*dor"</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[Sp.]</ety> <fld>(Zool.)</fld> <sd>(a)</sd> <def>A flying fish of California (<spn>Exoc<oe/tus Californicus</spn>): -- called also <altname>volator</altname>.</def> <sd>(b)</sd> <def>The Atlantic flying gurnard. See under <er>Flying</er>.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Volage</ent><br/
<hw>Vo*lage"</hw> <pr>(?)</pr>, <pos>a.</pos> <ety>[F.]</ety> <def>Light; giddy.</def> <mark>[Obs.]</mark><br/
[<source>1913 Webster</source>]</p>
<p><q>They wroughten all their lust <qex>volage</qex>.</q> <rj><qau>Chaucer.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Volant</ent><br/
<hw>Vo"lant</hw> <pr>(?; 277)</pr>, <pos>a.</pos> <ety>[L. <ets>volans</ets>, <ets>-antis</ets>, p. pr. of <ets>volare</ets> to fly: cf. F. <ets>volant</ets>.]</ety> <sn>1.</sn> <def>Passing through the air upon wings, or as if upon wings; flying; hence, passing from place to place; current.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>English silver now was current, and our gold <qex>volant</qex> in the pope's court.</q> <rj><qau>Fuller.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <def>Nimble; light and quick; active; rapid.</def> <ldquo/His <xex>volant</xex> touch.<rdquo/ <rj><au>Milton.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>3.</sn> <fld>(Her.)</fld> <def>Represented as flying, or having the wings spread; <as>as, an eagle <ex>volant</ex></as>.</def><br/
[<source>1913 Webster</source>]</p>
<p><cs><col><b>Volant piece</b></col> <fld>(Anc. Armor)</fld>, <cd>an adjustable piece of armor, for guarding the throat, etc., in a joust.</cd></cs><br/
[<source>1913 Webster</source>]</p>
<p><ent>Volante</ent><br/
||<hw>Vo*lan"te</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[Sp., prop., flying.]</ety> <def>A two-wheeled carriage formerly much used in Cuba. The body is in front of the axle; the driver rides on the horse.</def><br/
[<source>Webster 1913 Suppl.</source>]</p>
<p><ent>Volapuk</ent><br/
<ent>Volapuek</ent><br/
<hw>Vol`a*p<uum/k"</hw> <pr>(?)</pr>, <pos>n.</pos> <def>Literally, world's speech; the name of an artificial language invented by <person>Johan Martin Schleyer</person>, of <city>Constance</city>, <country>Switzerland</country>, about 1879. For more about <ldquo/planned languages<rdquo/, see <er>Esperanto</er>.</def><br/
[<source>1913 Webster</source> + <source>PJC</source>]</p>
<p><ent>Volap<uum/kist</ent><br/
<hw>Vol`a*p<uum/k"ist</hw>, <pos>n.</pos> <def>One who is conversant with, or who favors adoption of, Volap<uum/k.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Volar</ent><br/
<hw>Vo"lar</hw> <pr>(?)</pr>, <pos>a.</pos> <ety>[L. <ets>vola</ets> the palm of the hand, the sole of the foot.]</ety> <fld>(Anat.)</fld> <def>Of or pertaining to the palm of the hand or the sole of the foot.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Volary</ent><br/
<hw>Vol"a*ry</hw> <pr>(?)</pr>, <pos>n.</pos> <def>See <er>Volery</er>.</def> <mark>[Obs.]</mark><br/
[<source>1913 Webster</source>]</p>
<p><ent>Volatile</ent><br/
<hw>Vol"a*tile</hw> <pr>(?)</pr>, <pos>a.</pos> <ety>[F. <ets>volatil</ets>, L. <ets>volatilis</ets>, fr. <ets>volare</ets> to fly, perhaps akin to <ets>velox</ets> swift, E. <ets>velocity</ets>. Cf. <er>Volley</er>.]</ety> <sn>1.</sn> <def>Passing through the air on wings, or by the buoyant force of the atmosphere; flying; having the power to fly.</def> <mark>[Obs.]</mark><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <def>Capable of wasting away, or of easily passing into the aeriform state; subject to evaporation.</def><br/
[<source>1913 Webster</source>]</p>
<p><note><hand/ Substances which affect the smell with pungent or fragrant odors, as musk, hartshorn, and essential oils, are called <xex>volatile</xex> substances, because they waste away on exposure to the atmosphere. Alcohol and ether are called <xex>volatile</xex> liquids for a similar reason, and because they easily pass into the state of vapor on the application of heat. On the contrary, gold is a <xex>fixed</xex> substance, because it does not suffer waste, even when exposed to the heat of a furnace; and oils are called <xex>fixed</xex> when they do not evaporate on simple exposure to the atmosphere.</note><br/
[<source>1913 Webster</source>]</p>
<p><sn>3.</sn> <def>Fig.: Light-hearted; easily affected by circumstances; airy; lively; hence, changeable; fickle; <as>as, a <ex>volatile</ex> temper</as>.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>You are as giddy and <qex>volatile</qex> as ever.</q> <rj><qau>Swift.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><cs><col><b>Volatile alkali</b></col>. <fld>(Old Chem.)</fld> <cd>See under <er>Alkali</er>.</cd> -- <col><b>Volatile liniment</b></col>, <cd>a liniment composed of sweet oil and ammonia, so called from the readiness with which the latter evaporates.</cd> -- <col><b>Volatile oils</b></col>. <fld>(Chem.)</fld> <cd>See <cref>Essential oils</cref>, under <er>Essential</er>.</cd></cs><br/
[<source>1913 Webster</source>]</p>
<p><ent>Volatile</ent><br/
<hw>Vol"a*tile</hw>, <pos>n.</pos> <ety>[Cf. F. <ets>volatile</ets>.]</ety> <def>A winged animal; wild fowl; game.</def> <mark>[Obs.]</mark> <rj><au>Chaucer.</au> <au>Sir T. Browne.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Volatility</ent><br/
<ent>Volatileness</ent><br/
<mhw>{<hw>Vol"a*tile*ness</hw>, <hw>Vol`a*til"i*ty</hw> <pr>(?)</pr>, }</mhw> <pos>n.</pos> <ety>[Cf. F. <ets>volatilit<eacute/</ets>.]</ety> <def>Quality or state of being volatile; disposition to evaporate; changeableness; fickleness.</def><br/
[<source>1913 Webster</source>]</p>
<p><syn><b>Syn.</b> -- See <er>Levity</er>.</syn><br/
[<source>1913 Webster</source>]</p>
<p><ent>Volatilizable</ent><br/
<hw>Vol"a*til*i`za*ble</hw> <pr>(?)</pr>, <pos>a.</pos> <ety>[Cf. F. <ets>volatisable</ets>.]</ety> <def>Capable of being volatilized.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Volatilization</ent><br/
<hw>Vol`a*til*i*za"tion</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[Cf. F. <ets>volatilisation</ets>.]</ety> <def>The act or process of volatilizing, or rendering volatile; the state of being volatilized.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Volatilize</ent><br/
<hw>Vol"a*til*ize</hw> <pr>(?)</pr>, <pos>v. t.</pos> <vmorph>[<pos>imp. & p. p.</pos> <conjf>Volatilized</conjf> <pr>(?)</pr>; <pos>p. pr. & vb. n.</pos> <conjf>Volatilizing</conjf> <pr>(?)</pr>.]</vmorph> <ety>[Cf. F. <ets>volatiliser</ets>.]</ety> <def>To render volatile; to cause to exhale or evaporate; to cause to pass off in vapor.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>The water . . . dissolving the oil, and <qex>volatilizing</qex> it by the action.</q> <rj><qau>Sir I. Newton.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Volator</ent><br/
||<hw>Vo*la"tor</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[NL.]</ety> <fld>(Zool.)</fld> <def>Same as <er>Volador</er>, 1.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vol-au-vent</ent><br/
||<hw>Vol`-au`-vent"</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[F.]</ety> <fld>(Cookery)</fld> <def>A light puff paste, with a raised border, filled, after baking, usually with a ragout of fowl, game, or fish.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Volborthite</ent><br/
<hw>Vol"borth*ite</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[So named after <etsep>Volborth</etsep>, who first discovered it.]</ety> <fld>(Min.)</fld> <def>A mineral occurring in small six-sided tabular crystals of a green or yellow color. It is a hydrous vanadate of copper and lime.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Volcanian</ent><br/
<hw>Vol*ca"ni*an</hw> <pr>(?)</pr>, <pos>a.</pos> <def>Volcanic.</def> <mark>[R.]</mark> <rj><au>Keats.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Volcanic</ent><br/
<hw>Vol*can"ic</hw> <pr>(?)</pr>, <pos>a.</pos> <ety>[Cf. F. <ets>volcanique</ets>, It. <ets>vulcanico</ets>.]</ety> <sn>1.</sn> <def>Of or pertaining to a volcano or volcanoes; <as>as, <ex>volcanic</ex> heat</as>.</def><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <def>Produced by a volcano, or, more generally, by igneous agencies; <as>as, <ex>volcanic</ex> tufa</as>.</def><br/
[<source>1913 Webster</source>]</p>
<p><sn>3.</sn> <def>Changed or affected by the heat of a volcano.</def><br/
[<source>1913 Webster</source>]</p>
<p><cs><col><b>Volcanic bomb</b></col>, <cd>a mass ejected from a volcano, often of molten lava having a rounded form.</cd> -- <col><b>Volcanic cone</b></col>, <cd>a hill, conical in form, built up of cinders, tufa, or lava, during volcanic eruptions.</cd> -- <col><b>Volcanic foci</b></col>, <cd>the subterranean centers of volcanic action; the points beneath volcanoes where the causes producing volcanic phenomena are most active.</cd> -- <col><b>Volcanic glass</b></col>, <cd>the vitreous form of lava, produced by sudden cooling; obsidian. See <er>Obsidian</er>.</cd> -- <col><b>Volcanic mud</b></col>, <cd>fetid, sulphurous mud discharged by a volcano.</cd> -- <col><b>Volcanic rocks</b></col>, <cd>rocks which have been produced from the discharges of volcanic matter, as the various kinds of basalt, trachyte, scoria, obsidian, etc., whether compact, scoriaceous, or vitreous.</cd></cs><br/
[<source>1913 Webster</source>]</p>
<p><ent>Volcanically</ent><br/
<hw>Vol*can"ic*al*ly</hw> <pr>(?)</pr>, <pos>adv.</pos> <def>Like a volcano.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Volcanicity</ent><br/
<hw>Vol`can*ic"i*ty</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[Cf. F. <ets>volcanicit<eacute/</ets>.]</ety> <def>Quality or state of being volcanic; volcanic power.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Volcanic neck</ent><br/
<hw>Vol*can"ic neck</hw>. <fld>(Geol.)</fld> <def>A column of igneous rock formed by congelation of lava in the conduit of a volcano and later exposed by the removal of surrounding rocks.</def><br/
[<source>Webster 1913 Suppl.</source>]</p>
<p><ent>Volcanic wind</ent><br/
<hw>Volcanic wind</hw>. <fld>(Meteorol.)</fld> <def>A wind associated with a volcanic outburst and due to the eruption or to convection currents over hot lava.</def><br/
[<source>Webster 1913 Suppl.</source>]</p>
<p><ent>Volcanism</ent><br/
<hw>Vol"can*ism</hw> <pr>(?)</pr>, <pos>n.</pos> <def>Volcanic power or action; volcanicity.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Volcanist</ent><br/
<hw>Vol"can*ist</hw>, <pos>n.</pos> <ety>[Cf. F. <ets>volcaniste</ets>, <ets>vulcaniste</ets>.]</ety> <sn>1.</sn> <def>One versed in the history and phenomena of volcanoes.</def><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <def>One who believes in the igneous, as opposed to the aqueous, origin of the rocks of the earth's crust; a vulcanist. Cf. <er>Neptunist</er>.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Volcanity</ent><br/
<hw>Vol*can"i*ty</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[See <er>Volcanic</er>, and <er>Volcanicity</er>.]</ety> <def>The quality or state of being volcanic, or volcanic origin; volcanicity.</def> <mark>[R.]</mark><br/
[<source>1913 Webster</source>]</p>
<p><ent>Volcanization</ent><br/
<hw>Vol`can*i*za"tion</hw> <pr>(?)</pr>, <pos>n.</pos> <def>The act of volcanizing, or the state of being volcanized; the process of undergoing volcanic heat, and being affected by it.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Volcanize</ent><br/
<hw>Vol"can*ize</hw> <pr>(?)</pr>, <pos>v. t.</pos> <vmorph>[<pos>imp. & p. p.</pos> <conjf>Volcanized</conjf> <pr>(?)</pr>; <pos>p. pr. & vb. n.</pos> <conjf>Volcanizing</conjf> <pr>(?)</pr>.]</vmorph> <ety>[Cf. <er>Vulcanize</er>.]</ety> <def>To subject to, or cause to undergo, volcanic heat, and to be affected by its action.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Volcano</ent><br/
<hw>Vol*ca"no</hw> <pr>(?)</pr>, <pos>n.</pos>; <plu><it>pl.</it> <plw>Volcanoes</plw> <pr>(#)</pr>.</plu> <ety>[It. <ets>volcano</ets>, <ets>vulcano</ets>, fr. L. <ets>Vulcanus</ets> Vulkan, the god of fire. See <er>Vulkan</er>.]</ety> <fld>(Geol.)</fld> <def>A mountain or hill, usually more or less conical in form, from which lava, cinders, steam, sulphur gases, and the like, are ejected; -- often popularly called a <altname>burning mountain</altname>.</def><br/
[<source>1913 Webster</source>]</p>
<p><note><hand/ Volcanoes include many of the most conspicuous and lofty mountains of the earth, as Mt. Vesuvius in Italy (4,000 ft. high), Mt. Loa in Hawaii (14,000 ft.), Cotopaxi in South America (nearly 20,000 ft.), which are examples of active volcanoes. The crater of a volcano is usually a pit-shaped cavity, often of great size. The summit crater of Mt. Loa has a maximum length of 13,000 ft., and a depth of nearly 800 feet. Beside the chief crater, a volcano may have a number of subordinate craters.</note><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vole</ent><br/
<hw>Vole</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[F.]</ety> <def>A deal at cards that draws all the tricks.</def> <rj><au>Swift.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vole</ent><br/
<hw>Vole</hw>, <pos>v. i.</pos> <fld>(Card Playing)</fld> <def>To win all the tricks by a vole.</def> <rj><au>Pope.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vole</ent><br/
<hw>Vole</hw>, <pos>n.</pos> <fld>(Zool.)</fld> <def>Any one of numerous species of micelike rodents belonging to <gen>Arvicola</gen> and allied genera of the subfamily <fam>Arvicolinae</fam>. They have a thick head, short ears, and a short hairy tail.</def><br/
[<source>1913 Webster</source>]</p>
<p><note><hand/ The water vole, or water rat, of Europe (<spn>Arvicola amphibius</spn>) is a common large aquatic species. The short-tailed field vole (<spn>Arvicola agrestis</spn>) of Northern and Central Europe, and Asia, the Southern field vole (<spn>Arvicola arvalis</spn>), and the Siberian root vole (<spn>Arvicola oeconomus</spn>), are important European species. The common species of the Eastern United States (<spn>Arvicola riparius</spn>) (called also <stype>meadow mouse</stype>) and the prairie mouse (<spn>Arvicola austerus</spn>) are abundant, and often injurious to vegetation. Other species are found in Canada.</note><br/
[<source>1913 Webster</source>]</p>
<p><ent>Volery</ent><br/
<hw>Vol"er*y</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[F. <ets>volerie</ets> a flying, <ets>voli<egrave/re</ets> a large bird cage, fr. <ets>voler</ets> to fly, L. <ets>volare</ets>. See <er>Volatile</er>.]</ety><br/
[<source>1913 Webster</source>]</p>
<p><sn>1.</sn> <def>A flight of birds.</def> <mark>[R.]</mark> <rj><au>Locke.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <def>A large bird cage; an aviary.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Volge</ent><br/
<hw>Volge</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[L. <ets>vulgus</ets>.]</ety> <def>The common sort of people; the crowd; the mob.</def> <mark>[Obs.]</mark> <rj><au>Fuller.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Volitable</ent><br/
<hw>Vol"i*ta*ble</hw> <pr>(?)</pr>, <pos>a.</pos> <def>Volatilizable.</def> <mark>[Obs.]</mark><br/
[<source>1913 Webster</source>]</p>
<p><ent>Volitation</ent><br/
<hw>Vol`i*ta"tion</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[L. <ets>volitare</ets>, <ets>volitatum</ets>, to fly to and fro, v. freq. from <ets>volare</ets> to fly.]</ety> <def>The act of flying; flight.</def> <mark>[R.]</mark> <rj><au>Sir T. Browne.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Volitient</ent><br/
<hw>Vo*li"tient</hw> <pr>(?)</pr>, <pos>a.</pos> <ety>[See <er>Volition</er>.]</ety> <def>Exercising the will; acting from choice; willing, or having power to will.</def> <ldquo/What I do, I do <xex>volitient</xex>, not obedient.<rdquo/ <rj><au>Mrs. Browning.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Volition</ent><br/
<hw>Vo*li"tion</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[F., fr. L. <ets>volo</ets> I will, <ets>velle</ets> to will, be willing. See <er>Voluntary</er>.]</ety> <sn>1.</sn> <def>The act of willing or choosing; the act of forming a purpose; the exercise of the will.</def><br/
[<source>1913 Webster</source>]</p>
<p><q><qex>Volition</qex> is the actual exercise of the power the mind has to order the consideration of any idea, or the forbearing to consider it.</q> <rj><qau>Locke.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><q><qex>Volition</qex> is an act of the mind, knowingly exerting that dominion it takes itself to have over any part of the man, by employing it in, or withholding it from, any particular action.</q> <rj><qau>Locke.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <def>The result of an act or exercise of choosing or willing; a state of choice.</def><br/
[<source>1913 Webster</source>]</p>
<p><sn>3.</sn> <def>The power of willing or determining; will.</def><br/
[<source>1913 Webster</source>]</p>
<p><syn><b>Syn.</b> -- Will; choice; preference; determination; purpose.</syn> <usage> -- <er>Volition</er>, <er>Choice</er>. <xex>Choice</xex> is the familiar, and <xex>volition</xex> the scientific, term for the same state of the will; <xex>viz.</xex>, an <ldquo/elective preference.<rdquo/ When we have <ldquo/made up our minds<rdquo/ (as we say) to a thing, <it>i. e.</it>, have a settled state of choice respecting it, that state is called an <xex>immanent volition</xex>; when we put forth any particular act of choice, that act is called an <xex>emanent</xex>, or <xex>executive</xex>, or <xex>imperative</xex>, volition. When an <xex>immanent</xex>, or settled state of, choice, is one which controls or governs a series of actions, we call that state a <xex>predominant</xex> volition; while we give the name of <xex>subordinate</xex> volitions to those particular acts of choice which carry into effect the object sought for by the governing or <ldquo/predominant volition.<rdquo/ See <er>Will</er>.</usage><br/
[<source>1913 Webster</source>]</p>
<p><ent>Volitional</ent><br/
<hw>Vo*li"tion*al</hw> <pr>(?)</pr>, <pos>a.</pos> <def>Belonging or relating to volition.</def> <ldquo/The <xex>volitional</xex> impulse.<rdquo/ <rj><au>Bacon.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Volitive</ent><br/
<hw>Vol"i*tive</hw> <pr>(?)</pr>, <pos>a.</pos> <ety>[See <er>Volition</er>.]</ety> <sn>1.</sn> <def>Of or pertaining to the will; originating in the will; having the power to will.</def> <ldquo/They not only perfect the intellectual faculty, but the <xex>volitive</xex>.<rdquo/ <rj><au>Sir M. Hale.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <fld>(Gram.)</fld> <def>Used in expressing a wish or permission as, <xex>volitive</xex> proposition.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Volkslied</ent><br/
||<hw>Volks"lied</hw> <pr>(?)</pr>, <pos>n.</pos>; <plu><it>pl.</it> <plw>Volkslieder</plw> <pr>(#)</pr>.</plu> <ety>[G.]</ety> <fld>(Mus.)</fld> <def>A popular song, or national air.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Volksraad</ent><br/
||<hw>Volks"raad`</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[D.]</ety> <def>A legislative assembly or parliament of any one of several countries colonized by the Dutch, esp. that of the South African Republic, or the Transvaal, and that of the Orange Free State.</def><br/
[<source>Webster 1913 Suppl.</source>]</p>
<p><ent>Volley</ent><br/
<hw>Vol"ley</hw> <pr>(?)</pr>, <pos>n.</pos>; <plu><it>pl.</it> <plw>Volleys</plw> <pr>(#)</pr>.</plu> <ety>[F. <ets>vol<eacute/e</ets>; flight, a volley, or discharge of several guns, fr. <ets>voler</ets> to fly, L. <ets>volare</ets>. See <er>Volatile</er>.]</ety> <sn>1.</sn> <def>A flight of missiles, as arrows, bullets, or the like; the simultaneous discharge of a number of small arms.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>Fiery darts in flaming <qex>volleys</qex> flew.</q> <rj><qau>Milton.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><q>Each <qex>volley</qex> tells that thousands cease to breathe.</q> <rj><qau>Byron.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <def>A burst or emission of many things at once; <as>as, a <ex>volley</ex> of words</as>.</def> <ldquo/This <xex>volley</xex> of oaths.<rdquo/ <rj><au>B. Jonson.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><q>Rattling nonsense in full <qex>volleys</qex> breaks.</q> <rj><qau>Pope.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>3.</sn> <sd>(a)</sd> <fld>(Tennis)</fld> <def>A return of the ball before it touches the ground.</def> <sd>(b)</sd> <fld>(Cricket)</fld> <def>A sending of the ball full to the top of the wicket.</def><br/
[<source>1913 Webster</source>]</p>
<p><-- p. 1618 --><br/
[<source>1913 Webster</source>]</p>
<p><cs><col><b>Half volley</b></col>. <sd>(a)</sd> <fld>(Tennis)</fld> <cd>A return of the ball immediately after is has touched the ground.</cd> <sd>(b)</sd> <fld>(Cricket)</fld> <cd>A sending of the ball so that after touching the ground it flies towards the top of the wicket.</cd> <au>R. A. Proctor.</au> -- <col><b>On the volley</b></col>, <cd>at random.</cd> <mark>[Obs.]</mark> <ldquo/What we spake <xex>on the volley</xex> begins work.<rdquo/ <au>Massinger.</au> -- <col><b>Volley gun</b></col>, <cd>a gun with several barrels for firing a number of shots simultaneously; a kind of mitrailleuse.</cd></cs><br/
[<source>1913 Webster</source>]</p>
<p><ent>Volley</ent><br/
<hw>Vol"ley</hw> <pr>(?)</pr>, <pos>v. t.</pos> <vmorph>[<pos>imp. & p. p.</pos> <conjf>Volleyed</conjf> <pr>(?)</pr>; <pos>p. pr. & vb. n.</pos> <conjf>Volleying</conjf>.]</vmorph> <def>To discharge with, or as with, a volley.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Volley</ent><br/
<hw>Vol"ley</hw>, <pos>v. i.</pos> <sn>1.</sn> <def>To be thrown out, or discharged, at once; to be discharged in a volley, or as if in a volley; to make a volley or volleys.</def> <rj><au>Tennyson.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <sd>(a)</sd> <fld>(Tennis)</fld> <def>To return the ball before it touches the ground.</def> <sd>(b)</sd> <sd>(Cricket)</sd> <def>To send the ball full to the top of the wicket.</def> <rj><au>R. A. Proctor.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Volley ball</ent><br/
<hw>Vol"ley ball</hw>. <def>A game played by volleying a large inflated ball with the hands over a net 7 ft. 6 in. high.</def><br/
[<source>Webster 1913 Suppl.</source>]</p>
<p><ent>Volleyed</ent><br/
<hw>Vol"leyed</hw> <pr>(?)</pr>, <pos>a.</pos> <def>Discharged with a sudden burst, or as if in a volley; <as>as, <ex>volleyed</ex> thunder</as>.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Volost</ent><br/
<hw>Vo"lost</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[Russ. <ets>volost'</ets>.]</ety> <def>In the greater part of Russia, a division for local government consisting of a group of mirs, or village communities; a canton.</def><br/
[<source>Webster 1913 Suppl.</source>]</p>
<p><ent>Volow</ent><br/
<hw>Vol"ow</hw> <pr>(?)</pr>, <pos>v. t.</pos> <ety>[From the answer, <ets>Volo</ets> I will, in the baptismal service. <au>Richardson (Dict.).</au>]</ety> <def>To baptize; -- used in contempt by the Reformers.</def> <mark>[Obs.]</mark> <rj><au>Tyndale.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Volplane</ent><br/
<hw>Vol"plane`</hw> <pr>(?)</pr>, <pos>v. i.</pos> <ety>[F. <ets>vol plan<eacute/</ets> act of volplaning; <ets>vol</ets> flight + <ets>plan<eacute/</ets>, p.p.; cf. <ets>planer</ets> to hover.]</ety> <fld>(Aeronautics)</fld> <def>To glide in a flying machine.</def><br/
[<source>Webster 1913 Suppl.</source>]</p>
<p><ent>Volt</ent><br/
<hw>Volt</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[F. <ets>volte</ets>; cf. It. <ets>volta</ets>. See <er>Vault</er>.]</ety><br/
[<source>1913 Webster</source>]</p>
<p><sn>1.</sn> <fld>(Man.)</fld> <def>A circular tread; a gait by which a horse going sideways round a center makes two concentric tracks.</def><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <fld>(Fencing)</fld> <def>A sudden movement to avoid a thrust.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Volt</ent><br/
<hw>Volt</hw>, <pos>n.</pos> <ety>[After Alessandro <ets>Volta</ets>, the Italian electrician.]</ety> <fld>(Elec.)</fld> <def>The unit of electro-motive force; -- defined by the International Electrical Congress in 1893 and by United States Statute as, that electro-motive force which steadily applied to a conductor whose resistance is one ohm will produce a current of one amp<egrave/re. It is practically equivalent to <frac1000x1434/ the electro-motive force of a standard Clark's cell at a temperature of 15<deg/ C.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Volta</ent><br/
||<hw>Vol"ta</hw> <pr>(?)</pr>, <pos>n.</pos>; <plu><it>pl.</it> <plw>Volte</plw> <pr>(#)</pr>.</plu> <ety>[It. <ets>volta</ets> a turn, turning, a time. See <er>Volt</er> a tread.]</ety> <fld>(Mus.)</fld> <def>A turning; a time; -- chiefly used in phrases signifying that the part is to be repeated one, two, or more times; <as>as, <ex>una volta</ex>, once. <ex>Seconda volta</ex>, second time, points to certain modifications in the close of a repeated strain.</as></def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Volta-electric</ent><br/
<hw>Vol"ta-e*lec"tric</hw> <pr>(?)</pr>, <pos>a.</pos> <def>Of or pertaining to voltaic electricity, or voltaism.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Volta-electrometer</ent><br/
<hw>Vol`ta-e`lec*trom"e*ter</hw> <pr>(?)</pr>, <pos>n.</pos> <def>An instrument for the exact measurement of electric currents.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Voltage</ent><br/
<hw>Vol"tage</hw> <pr>(?)</pr>, <pos>n.</pos> <fld>(Elec.)</fld> <def>Electric potential or potential difference, expressed in volts.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Voltagraphy</ent><br/
<hw>Vol*tag"ra*phy</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[<ets>Volta</ets>ic + <ets>-graphy</ets>.]</ety> <def>In electrotypy, the act or art of copying, in metals deposited by electrolytic action, a form or pattern which is made the negative electrode.</def> <mark>[R.]</mark><br/
[<source>1913 Webster</source>]</p>
<p><ent>Voltaic</ent><br/
<hw>Vol*ta"ic</hw> <pr>(?)</pr>, <pos>a.</pos> <ety>[Cf. F. <ets>volta<ium/que</ets>, It. <ets>voltaico</ets>.]</ety><br/
[<source>1913 Webster</source>]</p>
<p><sn>1.</sn> <def>Of or pertaining to Alessandro <ets>Volta</ets>, who first devised apparatus for developing electric currents by chemical action, and established this branch of electric science; discovered by <ets>Volta</ets>; <as>as, <ex>voltaic</ex> electricity</as>.</def><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <def>Of or pertaining to voltaism, or voltaic electricity; <as>as, <ex>voltaic</ex> induction; the <ex>voltaic</ex> arc.</as></def><br/
[<source>1913 Webster</source>]</p>
<p><note><hand/ See the Note under <er>Galvanism</er>.</note><br/
[<source>1913 Webster</source>]</p>
<p><cs><col><b>Voltaic arc</b></col>, <cd>a luminous arc, of intense brilliancy, formed between carbon points as electrodes by the passage of a powerful voltaic current.</cd> -- <col><b>Voltaic battery</b></col>, <cd>an apparatus variously constructed, consisting of a series of plates or pieces of dissimilar metals, as copper and zinc, arranged in pairs, and subjected to the action of a saline or acid solution, by which a current of electricity is generated whenever the two poles, or ends of the series, are connected by a conductor; a galvanic battery. See <er>Battery</er>, 4. <sd>(b)</sd>, and Note.</cd> -- <col><b>Voltaic circuit</b></col>. <cd>See under <er>Circuit</er>.</cd> -- <mcol><col><b>Voltaic couple</b></col> <it>or</it> <col><b>Voltaic element</b></col></mcol>, <cd>a single pair of the connected plates of a battery.</cd> -- <col><b>Voltaic electricity</b></col>. <cd>See the Note under <er>Electricity</er>.</cd> -- <col><b>Voltaic pile</b></col>, <cd>a kind of voltaic battery consisting of alternate disks of dissimilar metals, separated by moistened cloth or paper. See 5th <er>Pile</er>.</cd> -- <col><b>Voltaic protection of metals</b></col>, <cd>the protection of a metal exposed to the corrosive action of sea water, saline or acid liquids, or the like, by associating it with a metal which is positive to it, as when iron is galvanized, or coated with zinc.</cd></cs><br/
[<source>1913 Webster</source>]</p>
<p><ent>Voltairean</ent><br/
<hw>Vol*tair"e*an</hw> <pr>(?)</pr>, <pos>a.</pos> <ety>[Cf. F. <ets>voltairien</ets>.]</ety> <def>Of or relating to <ets>Voltaire</ets>, the French author.</def> <rj><au>J. Morley.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Voltairism</ent><br/
<hw>Vol*tair"ism</hw> <pr>(?)</pr>, <pos>n.</pos> <def>The theories or practice of Voltaire.</def> <rj><au>J. Morley.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Voltaism</ent><br/
<hw>Vol"ta*ism</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[Cf. F. <ets>volta<ium/sme</ets>.]</ety> <fld>(Physics)</fld> <def>That form of electricity which is developed by the chemical action between metals and different liquids; voltaic electricity; also, the science which treats of this form of electricity; -- called also <altname>galvanism</altname>, from <ets>Galvani</ets>, on account of his experiments showing the remarkable influence of this agent on animals.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Voltameter</ent><br/
<hw>Vol*tam"e*ter</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[<ets>Volta</ets>ic + <ets>-meter</ets>.]</ety> <fld>(Physics)</fld> <def>An instrument for measuring the voltaic electricity passing through it, by its effect in decomposing water or some other chemical compound acting as an electrolyte.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Voltammeter</ent><br/
<hw>Volt*am"me`ter</hw> <pr>(?)</pr>, <pos>n.</pos> <def>A wattmeter.</def><br/
[<source>Webster 1913 Suppl.</source>]</p>
<p><ent>Volt ampere</ent><br/
<ent>Volt-amp</ent><br/
<hw>Volt amp<egrave/re</hw>. <fld>(Elec.)</fld> <def>A unit of electric measurement equal to the product of a volt and an ampere. For direct current it is a measure of power and is the same as a watt; for alternating current it is a measure of apparent power.</def><br/
[<source>Webster 1913 Suppl.</source>]</p>
<p><ent>Voltaplast</ent><br/
<hw>Vol"ta*plast</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[<ets>Volta</ets>ic + Gr. <?/ molded.]</ety> <def>A form of voltaic, or galvanic, battery suitable for use electrotyping.</def> <rj><au>G. Francis.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Voltatype</ent><br/
<hw>Vol"ta*type</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[<ets>Volta</ets>ic + <ets>type</ets>.]</ety> <def>An electrotype.</def> <mark>[R.]</mark><br/
[<source>1913 Webster</source>]</p>
<p><ent>Volti</ent><br/
||<hw>Vol"ti</hw> <pr>(?)</pr>, <pos>imperative.</pos> <ety>[It., fr. <ets>voltare</ets> to turn. See <er>Volt</er> a tread.]</ety> <fld>(Mus.)</fld> <def>Turn, that is, turn over the leaf.</def><br/
[<source>1913 Webster</source>]</p>
<p><cs><col><b>Volti subito</b></col> <ety>[It.]</ety> <fld>(Mus.)</fld>, <cd>turn over quickly.</cd></cs><br/
[<source>1913 Webster</source>]</p>
<p><ent>Voltigeur</ent><br/
||<hw>Vol`ti*geur</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[F., fr. <ets>voltiger</ets> to vault, It. <ets>volteggiare</ets>. See <er>Volt</er> a tread.]</ety> <sn>1.</sn> <def>A tumbler; a leaper or vaulter.</def><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <fld>(Mil.)</fld> <def>One of a picked company of irregular riflemen in each regiment of the French infantry.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Voltmeter</ent><br/
<hw>Volt"me`ter</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[2d <ets>volt</ets> + <ets>-meter</ets>.]</ety> <fld>(elec.)</fld> <def>An instrument for measuring in volts the differences of potential between different points of an electrical circuit.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Voltzite</ent><br/
<hw>Voltz"ite</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[So named in honor of <etsep>Voltz</etsep>, a French engineer.]</ety> <fld>(Min.)</fld> <def>An oxysulphide of lead occurring in implanted spherical globules of a yellowish or brownish color; -- called also <altname>voltzine</altname>.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Volubile</ent><br/
<ent>Volubilate</ent><br/
<mhw>{ <hw>Vo*lu"bi*late</hw> <pr>(?)</pr>, <hw>Vol"u*bile</hw> <pr>(?)</pr>},</mhw> <pos>a.</pos> <ety>[See <er>Voluble</er>.]</ety> <def>Turning, or whirling; winding; twining; voluble.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Volubility</ent><br/
<hw>Vol`u*bil"i*ty</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[L. <ets>volubilitas</ets>: cf. F. <ets>volubilit<eacute/</ets>.]</ety> <def>The quality or state of being voluble (in any of the senses of the adjective).</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Voluble</ent><br/
<hw>Vol"u*ble</hw> <pr>(?)</pr>, <pos>a.</pos> <ety>[L. <ets>volubilis</ets>, fr. <ets>volvere</ets>, <ets>volutum</ets>, to roll, to turn round; akin to Gr. <?/ to infold, to inwrap, <?/ to roll, G. <ets>welle</ets> a wave: cf. F. <ets>voluble</ets>. Cf. F. <er>Well</er> of water, <er>Convolvulus</er>, <er>Devolve</er>, <er>Involve</er>, <er>Revolt</er>, <er>Vault</er> an arch, <er>Volume</er>, <er>Volute</er>.]</ety><br/
[<source>1913 Webster</source>]</p>
<p><sn>1.</sn> <def>Easily rolling or turning; easily set in motion; apt to roll; rotating; <as>as, <ex>voluble</ex> particles of matter</as>.</def><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <def>Moving with ease and smoothness in uttering words; of rapid speech; nimble in speaking; glib; <as>as, a flippant, <ex>voluble</ex>, tongue</as>.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>[Cassio,] a knave very <qex>voluble</qex>.</q> <rj><qau>Shak.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><note><hand/ <xex>Voluble</xex> was used formerly to indicate readiness of speech merely, without any derogatory suggestion. <ldquo/A grave and <xex>voluble</xex> eloquence.<rdquo/</note> <rj><au>Bp. Hacket.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>3.</sn> <def>Changeable; unstable; fickle.</def> <mark>[Obs.]</mark><br/
[<source>1913 Webster</source>]</p>
<p><sn>4.</sn> <fld>(Bot.)</fld> <def>Having the power or habit of turning or twining; <as>as, the <ex>voluble</ex> stem of hop plants</as>.</def><br/
[<source>1913 Webster</source>]</p>
<p><cs><col><b>Voluble stem</b></col> <fld>(Bot.)</fld>, <cd>a stem that climbs by winding, or twining, round another body.</cd></cs><br/
[<source>1913 Webster</source>]</p>
<p>-- <wordforms><wf>Vol"u*ble*ness</wf>, <pos>n.</pos> -- <wf>Vol"u*bly</wf>, <pos>adv.</pos></wordforms><br/
[<source>1913 Webster</source>]</p>
<p><ent>Volume</ent><br/
<hw>Vol"ume</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[F., from L. <ets>volumen</ets> a roll of writing, a book, volume, from <ets>volvere</ets>, <ets>volutum</ets>, to roll. See <er>Voluble</er>.]</ety> <sn>1.</sn> <def>A roll; a scroll; a written document rolled up for keeping or for use, after the manner of the ancients.</def> <mark>[Obs.]</mark><br/
[<source>1913 Webster</source>]</p>
<p><q>The papyrus, and afterward the parchment, was joined together [by the ancients] to form one sheet, and then rolled upon a staff into a <qex>volume</qex> (<qex>volumen</qex>).</q> <rj><qau>Encyc. Brit.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <def>Hence, a collection of printed sheets bound together, whether containing a single work, or a part of a work, or more than one work; a book; a tome; especially, that part of an extended work which is bound up together in one cover; <as>as, a work in four <ex>volumes</ex></as>.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>An odd <qex>volume</qex> of a set of books bears not the value of its proportion to the set.</q> <rj><qau>Franklin.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>4.</sn> <def>Anything of a rounded or swelling form resembling a roll; a turn; a convolution; a coil.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>So glides some trodden serpent on the grass,<br/
And long behind wounded <qex>volume</qex> trails.</q> <rj><qau>Dryden.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><q>Undulating billows rolling their silver <qex>volumes</qex>.</q> <rj><qau>W. Irving.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>4.</sn> <def>Dimensions; compass; space occupied, as measured by cubic units, that is, cubic inches, feet, yards, etc.; mass; bulk; <as>as, the <ex>volume</ex> of an elephant's body; a <ex>volume</ex> of gas</as>.</def><br/
[<source>1913 Webster</source>]</p>
<p><sn>5.</sn> <fld>(Mus.)</fld> <def>Amount, fullness, quantity, or caliber of voice or tone.</def><br/
[<source>1913 Webster</source>]</p>
<p><cs><mcol><col><b>Atomic volume</b></col>, <col><b>Molecular volume</b></col></mcol> <fld>(Chem.)</fld>, <cd>the ratio of the atomic and molecular weights divided respectively by the specific gravity of the substance in question.</cd> -- <col><b>Specific volume</b></col> <fld>(Physics & Chem.)</fld>, <cd>the quotient obtained by dividing unity by the specific gravity; the reciprocal of the specific gravity. It is equal (when the specific gravity is referred to water at 4<deg/ C. as a standard) to the number of cubic centimeters occupied by one gram of the substance.</cd></cs><br/
[<source>1913 Webster</source>]</p>
<p><ent>Volumed</ent><br/
<hw>Vol"umed</hw> <pr>(?)</pr>, <pos>a.</pos> <sn>1.</sn> <def>Having the form of a volume, or roil; <as>as, <ex>volumed</ex> mist</as>.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>The distant torrent's rushing sound<br/
Tells where the <qex>volumed</qex> cataract doth roll.</q> <rj><qau>Byron.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <def>Having volume, or bulk; massive; great.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Volumenometer</ent><br/
<hw>Vol`u*me*nom"e*ter</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[L. <ets>volumen</ets> volume + <ets>-meter</ets>.]</ety> <fld>(Physics)</fld> <def>An instrument for measuring the volume of a body, especially a solid, by means of the difference in tension caused by its presence and absence in a confined portion of air.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Volumenometry</ent><br/
<hw>Vol`u*me*nom"e*try</hw> <pr>(?)</pr>, <pos>n.</pos> <fld>(Chem. & Physics)</fld> <def>The method or process of measuring volumes by means of the volumenometer.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Volumescope</ent><br/
<hw>Vo*lu"me*scope</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[<ets>Volume</ets> + <ets>-scope</ets>.]</ety> <fld>(Physics)</fld> <def>An instrument consisting essentially of a glass tube provided with a graduated scale, for exhibiting to the eye the changes of volume of a gas or gaseous mixture resulting from chemical action, etc.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Volumeter</ent><br/
<hw>Vo*lu"me*ter</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[Cf. F. <ets>volum<egrave/tre</ets>. See <er>Volumetric</er>.]</ety> <fld>(Physics)</fld> <def>An instrument for measuring the volumes of gases or liquids by introducing them into a vessel of known capacity.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Volumetric</ent><br/
<hw>Vol`u*met"ric</hw> <pr>(?)</pr>, <pos>a.</pos> <ety>[<ets>Volume</ets> + <ets>-metric</ets>.]</ety> <def>Of or pertaining to the measurement of volume.</def><br/
[<source>1913 Webster</source>]</p>
<p><cs><col><b>Volumetric analysis</b></col> <fld>(Chem.)</fld>, <cd>that system of the quantitative analysis of solutions which employs definite volumes of standardized solutions of reagents, as measured by burettes, pipettes, etc.; also, the analysis of gases by volume, as by the eudiometer.</cd></cs><br/
[<source>1913 Webster</source>]</p>
<p><ent>Volumetrical</ent><br/
<hw>Vol`u*met"ric*al</hw> <pr>(?)</pr>, <pos>a.</pos> <def>Volumetric.</def> -- <wordforms><wf>Vol`u*met"ric*al*ly</wf>, <pos>adv.</pos></wordforms><br/
[<source>1913 Webster</source>]</p>
<p><ent>Voluminous</ent><br/
<hw>Vo*lu"mi*nous</hw> <pr>(?)</pr>, <pos>a.</pos> <ety>[L. <ets>voluminosus</ets>: cf. F. <ets>volumineux</ets>.]</ety> <def>Of or pertaining to volume or volumes.</def> Specifically: --<br/
[<source>1913 Webster</source>]</p>
<p><sd>(a)</sd> <def>Consisting of many folds, coils, or convolutions.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>But ended foul in many a scaly fold,<br/
<qex>Voluminous</qex> and vast.</q> <rj><qau>Milton.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><q>Over which dusky draperies are hanging, and <qex>voluminous</qex> curtains have long since fallen.</q> <rj><qau>De Quincey.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><sd>(b)</sd> <def>Of great volume, or bulk; large.</def> <rj><au>B. Jonson.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><sd>(c)</sd> Consisting of many volumes or books; as, the collections of Muratori are <xex>voluminous</xex>.<br/
[<source>1913 Webster</source>]</p>
<p><sd>(d)</sd> <def>Having written much, or produced many volumes; copious; diffuse; <as>as, a <ex>voluminous</ex> writer</as>.</def><br/
[<source>1913 Webster</source>]</p>
<p>-- <wordforms><wf>Vo*lu"mi*nous*ly</wf>, <pos>adv.</pos> -- <wf>Vo*lu"mi*nous*ness</wf>, <pos>n.</pos></wordforms><br/
[<source>1913 Webster</source>]</p>
<p><ent>Volumist</ent><br/
<hw>Vol"u*mist</hw> <pr>(?)</pr>, <pos>n.</pos> <def>One who writes a volume; an author.</def> <mark>[Obs.]</mark> <rj><au>Milton.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Voluntarily</ent><br/
<hw>Vol"un*ta*ri*ly</hw> <pr>(?)</pr>, <pos>adv.</pos> <def>In a voluntary manner; of one's own will; spontaneously.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Voluntariness</ent><br/
<hw>Vol"un*ta*ri*ness</hw>, <pos>n.</pos> <def>The quality or state of being voluntary; spontaneousness; specifically, the quality or state of being free in the exercise of one's will.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Voluntarism</ent><br/
<hw>Vol"un*ta*rism</hw> <pr>(?)</pr>, <pos>n.</pos> <sn>1.</sn> <fld>(Philosophy)</fld> <def>Any theory which conceives will to be the dominant factor in experience or in the constitution of the world; -- contrasted with <contr>intellectualism</contr>. <persfn>Schopenhauer</persfn> and <persfn>Fichte</persfn> are typical exponents of the two types of metaphysical voluntarism, <persfn>Schopenhauer</persfn> teaching that the evolution of the universe is the activity of a blind and irrational will, <persfn>Fichte</persfn> holding that the intelligent activity of the ego is the fundamental fact of reality.</def><br/
[<source>Webster 1913 Suppl.</source>]</p>
<p><sn>2.</sn> <def>The principle or practice of depending on volunteers to support institutions or perform some desired action.</def><br/
[<source>PJC</source>]</p>
<p><sn>3.</sn> <def>A political philosophy opposed to dependence on governmental action or support for social services that might be performed by private groups.</def><br/
[<source>PJC</source>]</p>
<p><ent>Voluntary</ent><br/
<hw>Vol"un*ta*ry</hw> <pr>(?)</pr>, <pos>a.</pos> <ety>[L. <ets>voluntarius</ets>, fr. <ets>voluntas</ets> will, choice, from the root of <ets>velle</ets> to will, p. pr. <ets>volens</ets>; akin to E. <ets>will</ets>: cf. F. <ets>volontaire</ets>, Of. also <ets>voluntaire</ets>. See <er>Will</er>, <pos>v. t.</pos>, and cf. <er>Benevolent</er>, <er>Volition</er>, <er>Volunteer</er>.]</ety> <sn>1.</sn> <def>Proceeding from the will; produced in or by an act of choice.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>That sin or guilt pertains exclusively to <qex>voluntary</qex> action is the true principle of orthodoxy.</q> <rj><qau>N. W. Taylor.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <def>Unconstrained by the interference of another; unimpelled by the influence of another; not prompted or persuaded by another; done of his or its own accord; spontaneous; acting of one's self, or of itself; free.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>Our <qex>voluntary</qex> service he requires.</q> <rj><qau>Milton.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><q>She fell to lust a <qex>voluntary</qex> prey.</q> <rj><qau>Pope.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>3.</sn> <def>Done by design or intention; intentional; purposed; intended; not accidental; <as>as, if a man kills another by lopping a tree, it is not <ex>voluntary</ex> manslaughter</as>.</def><br/
[<source>1913 Webster</source>]</p>
<p><sn>4.</sn> <fld>(Physiol.)</fld> <def>Of or pertaining to the will; subject to, or regulated by, the will; <as>as, the <ex>voluntary</ex> motions of an animal, such as the movements of the leg or arm (in distinction from <ex>involuntary</ex> motions, such as the movements of the heart); the <ex>voluntary</ex> muscle fibers, which are the agents in voluntary motion</as>.</def><br/
[<source>1913 Webster</source>]</p>
<p><sn>5.</sn> <def>Endowed with the power of willing; <as>as, man is a <ex>voluntary</ex> agent</as>.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>God did not work as a necessary, but a <qex>voluntary</qex>, agent, intending beforehand, and decreeing with himself, that which did outwardly proceed from him.</q> <rj><qau>Hooker.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>6.</sn> <fld>(Law)</fld> <def>Free; without compulsion; according to the will, consent, or agreement, of a party; without consideration; gratuitous; without valuable consideration.</def><br/
[<source>1913 Webster</source>]</p>
<p><sn>7.</sn> <fld>(Eccl.)</fld> <def>Of or pertaining to voluntaryism; <as>as, a <ex>voluntary</ex> church, in distinction from an established or state church</as>.</def><br/
[<source>1913 Webster</source>]</p>
<p><cs><mcol><col><b>Voluntary affidavit</b></col> <it>or</it> <col><b>Voluntary oath</b></col></mcol> <fld>(Law)</fld>, <cd>an affidavit or oath made in an extrajudicial matter.</cd> -- <col><b>Voluntary conveyance</b></col> <fld>(Law)</fld>, <cd>a conveyance without valuable consideration.</cd> -- <col><b>Voluntary escape</b></col> <fld>(Law)</fld>, <cd>the escape of a prisoner by the express consent of the sheriff.</cd> -- <col><b>Voluntary jurisdiction</b></col>. <fld>(Eng. Eccl. Law)</fld> <cd>See <cref>Contentious jurisdiction</cref>, under <er>Contentious</er>.</cd> -- <col><b>Voluntary waste</b></col>. <fld>(Law)</fld> <cd>See <er>Waste</er>, <pos>n.</pos>, 4.</cd></cs><br/
[<source>1913 Webster</source>]</p>
<p><syn><b>Syn.</b> -- See <er>Spontaneous</er>.</syn><br/
[<source>1913 Webster</source>]</p>
<p><ent>Voluntary</ent><br/
<hw>Vol"un*ta*ry</hw>, <pos>n.</pos>; <plu><it>pl.</it> <plw>Voluntaries</plw> <pr>(<?/)</pr>.</plu> <sn>1.</sn> <def>One who engages in any affair of his own free will; a volunteer.</def> <mark>[R.]</mark> <rj><au>Shak.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <fld>(Mus.)</fld> <def>A piece played by a musician, often extemporarily, according to his fancy; specifically, an organ solo played before, during, or after divine service.</def><br/
[<source>1913 Webster</source>]</p>
<p><sn>3.</sn> <fld>(Eccl.)</fld> <def>One who advocates voluntaryism.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Voluntaryism</ent><br/
<hw>Vol"un*ta*ry*ism</hw> <pr>(?)</pr>, <pos>n.</pos> <fld>(Eccl.)</fld> <def>The principle of supporting a religious system and its institutions by voluntary association and effort, rather than by the aid or patronage of the state.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Volunteer</ent><br/
<hw>Vol`un*teer"</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[F. <ets>volontaire</ets>. See <er>Voluntary</er>, <pos>a.</pos>]</ety> <sn>1.</sn> <def>One who enters into, or offers for, any service of his own free will.</def><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <fld>(Mil.)</fld> <def>One who enters into service voluntarily, but who, when in service, is subject to discipline and regulations like other soldiers; -- opposed to <contr>conscript</contr>; specifically, a voluntary member of the organized militia of a country as distinguished from the standing army.</def><br/
[<source>1913 Webster</source>]</p>
<p><sn>3.</sn> <fld>(Law)</fld> <def>A grantee in a voluntary conveyance; one to whom a conveyance is made without valuable consideration; a party, other than a wife or child of the grantor, to whom, or for whose benefit, a voluntary conveyance is made.</def> <rj><au>Burrill.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>4.</sn> <def>A plant, especially a flowering plant in a garden, that appears spontaneously without having been intentionally planted.</def><br/
[<source>RDH</source>]</p>
<p><ent>Volunteer</ent><br/
<hw>Vol`un*teer"</hw>, <pos>a.</pos> <def>Of or pertaining to a volunteer or volunteers; consisting of volunteers; voluntary; <as>as, <ex>volunteer</ex> companies; <ex>volunteer</ex> advice.</as></def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Volunteer</ent><br/
<hw>Vol`un*teer"</hw>, <pos>v. t.</pos> <vmorph>[<pos>imp. & p. p.</pos> <conjf>Volunteered</conjf> <pr>(?)</pr>; <pos>p. pr. & vb. n.</pos> <conjf>Volunteering</conjf>.]</vmorph> <def>To offer or bestow voluntarily, or without solicitation or compulsion; <as>as, to <ex>volunteer</ex> one's services</as>.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Volunteer</ent><br/
<hw>Vol`un*teer"</hw>, <pos>v. i.</pos> <def>To enter into, or offer for, any service of one's own free will, without solicitation or compulsion; <as>as, he <ex>volunteered</ex> in that undertaking</as>.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Volunteer navy</ent><br/
<hw>Vol`un*teer" na"vy</hw>. <def>A navy of vessels fitted out and manned by volunteers who sail under the flag of the regular navy and subject to naval discipline. Prussia in 1870, in the Franco-German war, organized such a navy, which was commanded by merchant seamen with temporary commissions, with the claim (in which England acquiesced) that it did not come within the meaning of the term <xex>privateer</xex>.</def><br/
[<source>Webster 1913 Suppl.</source>]</p>
<p><ent>Volunteers of America</ent><br/
<hw>Vol`un*teers" of America</hw>. <def>A religious and philanthropic organization, similar to the Salvation Army, founded (1896) by Commander and Mrs. Ballington Booth.</def><br/
[<source>Webster 1913 Suppl.</source>]</p>
<p><ent>Volunteer State</ent><br/
<hw>Volunteer State</hw>. <def>Tennessee; -- a nickname.</def><br/
[<source>Webster 1913 Suppl.</source>]</p>
<p><ent>Volupere</ent><br/
<hw>Vol"u*pere</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[Cf. <er>Envelop</er>.]</ety> <def>A woman's cap.</def> <mark>[Obs.]</mark> <rj><au>Chaucer.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Voluptuary</ent><br/
<hw>Vo*lup"tu*a*ry</hw> <pr>(?; 135)</pr>, <pos>n.</pos>; <plu><it>pl.</it> <plw>Voluptuaries</plw> <pr>(#)</pr>.</plu> <ety>[L. <ets>voluptuarius</ets> or <ets>voluptarius</ets>, fr. <ets>voluptas</ets> pleasure.]</ety> <def>A voluptuous person; one who makes his physical enjoyment his chief care; one addicted to luxury, and the gratification of sensual appetites.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>A good-humored, but hard-hearted, <qex>voluptuary</qex>.</q> <rj><qau>Sir W. Scott.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><syn><b>Syn.</b> -- Sensualist; epicure.</syn><br/
[<source>1913 Webster</source>]</p>
<p><ent>Voluptuary</ent><br/
<hw>Vo*lup"tu*a*ry</hw>, <pos>a.</pos> <def>Voluptuous; luxurious.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Voluptuous</ent><br/
<hw>Vo*lup"tu*ous</hw> <pr>(?)</pr>, <pos>a.</pos> <ety>[F. <ets>voluptueux</ets>, L. <ets>voluptuosus</ets>, fr. <ets>voluptas</ets> pleasure, <ets>volup</ets> agreeably, delightfully; probably akin to Gr. <?/ to hope, <?/ hope, and to L. <ets>velle</ets> to wish. See <er>Voluntary</er>.]</ety> <sn>1.</sn> <def>Full of delight or pleasure, especially that of the senses; ministering to sensuous or sensual gratification; exciting sensual desires; luxurious; sensual.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>Music arose with its <qex>voluptuous</qex> swell.</q> <rj><qau>Byron.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><q>Sink back into your <qex>voluptuous</qex> repose.</q> <rj><qau>De Quincey.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <def>Given to the enjoyments of luxury and pleasure; indulging to excess in sensual gratifications.</def> <ldquo/The jolly and <xex>voluptuous</xex> livers.<rdquo/ <rj><au>Atterbury.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><q>Softened with pleasure and <qex>voluptuous</qex> life.</q> <rj><qau>Milton.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p>-- <wordforms><wf>Vo*lup"tu*ous*ly</wf>, <pos>adv.</pos> -- <wf>Vo*lup"tu*ous*ness</wf>, <pos>n.</pos></wordforms><br/
[<source>1913 Webster</source>]</p>
<p><-- p. 1619 --><br/
[<source>1913 Webster</source>]</p>
<p><ent>Volupty</ent><br/
<hw>Vo*lup"ty</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[Cf. F. <ets>volupt<eacute/</ets> pleasure. See <er>Voluptuous</er>.]</ety> <def>Voluptuousness.</def> <mark>[Obs.]</mark><br/
[<source>1913 Webster</source>]</p>
<p><ent>Voluta</ent><br/
<hw>Vo*lu"ta</hw> <pr>(?)</pr>, <pos>n.</pos>; <plu><it>pl.</it> E. <plw>Volutas</plw> <pr>(#)</pr>, L. <plw>Volutae</plw> <pr>(#)</pr>.</plu> <ety>[L., a spiral scroll. See <er>Volute</er>.]</ety> <fld>(Zool.)</fld> <def>Any one of numerous species of large, handsome marine gastropods belonging to <gen>Voluta</gen> and allied genera.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Volutation</ent><br/
<hw>Vol`u*ta"tion</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[L. <ets>volutatio</ets>, from <ets>volutare</ets> to roll, wallow, verb freq. <ets>volvere</ets>, <ets>volutum</ets>, to roll.]</ety> <def>A rolling of a body; a wallowing.</def> <mark>[R.]</mark> <rj><au>Sir T. Browne.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Volute</ent><br/
<hw>Vo*lute"</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[F. <ets>volute</ets> (cf. It. <ets>voluta</ets>), L. <ets>voluta</ets>, from <ets>volvere</ets>, <ets>volutum</ets>, to roll. See <er>Voluble</er>.]</ety><br/
[<source>1913 Webster</source>]</p>
<p><sn>1.</sn> <fld>(Arch.)</fld> <def>A spiral scroll which forms the chief feature of the Ionic capital, and which, on a much smaller scale, is a feature in the Corinthian and Composite capitals. See <xex>Illust.</xex> of <er>Capital</er>, also <er>Helix</er>, and <er>Stale</er>.</def><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <fld>(Zool.)</fld> <def>A spiral turn, as in certain shells.</def><br/
[<source>1913 Webster</source>]</p>
<p><sn>3.</sn> <fld>(Zool.)</fld> <def>Any voluta.</def><br/
[<source>1913 Webster</source>]</p>
<p><cs><col><b>Volute spiring</b></col>, <cd>a spring formed of a spiral scroll of plate, rod, or wire, extended or extensible in the direction of the axis of the coil, in which direction its elastic force is exerted and employed.</cd></cs><br/
[<source>1913 Webster</source>]</p>
<p><ent>Voluted</ent><br/
<hw>Vo*lut"ed</hw>, <pos>a.</pos> <def>Having a volute, or spiral scroll.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Volution</ent><br/
<hw>Vo*lu"tion</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[Cf. LL. <ets>volutio</ets> an arch, vault.]</ety><br/
[<source>1913 Webster</source>]</p>
<p><sn>1.</sn> <def>A spiral turn or wreath.</def><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <fld>(Zool.)</fld> <def>A whorl of a spiral shell.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Volva</ent><br/
||<hw>Vol"va</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[L. <ets>volva</ets>, <ets>vulva</ets>, covering.]</ety> <fld>(Bot.)</fld> <def>A saclike envelope of certain fungi, which bursts open as the plant develops.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Volvox</ent><br/
||<hw>Vol"vox</hw> <pr>(?)</pr>, <pos>n.</pos> <fld>(Bot.)</fld> <def>A genus of minute, pale-green, globular, organisms, about one fiftieth of an inch in diameter, found rolling through water, the motion being produced by minute colorless cilia. It has been considered as belonging to the flagellate Infusoria, but is now referred to the vegetable kingdom, and each globule is considered a colony of many individuals. The commonest species is <spn>Volvox globator</spn>, often called <stype>globe animalcule</stype>.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Volvulus</ent><br/
||<hw>Vol"vu*lus</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[NL., fr. L. <ets>volvere</ets> to turn about, to roll.]</ety> <fld>(Med.)</fld> <sd>(a)</sd> <def>The spasmodic contraction of the intestines which causes colic.</def> <sd>(b)</sd> <def>Any twisting or displacement of the intestines causing obstruction; ileus. See <er>Ileus</er>.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Volyer</ent><br/
<hw>Vol"yer</hw> <pr>(?)</pr>, <pos>n.</pos> <fld>(Zool.)</fld> <def>A lurcher.</def> <mark>[Prov. Eng.]</mark><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vomer</ent><br/
||<hw>Vo"mer</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[L., a plowshare.]</ety> <fld>(Anat.)</fld> <sd>(a)</sd> <def>A bone, or one of a pair of bones, beneath the ethmoid region of the skull, forming a part a part of the partition between the nostrils in man and other mammals.</def> <sd>(b)</sd> <def>The pygostyle.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vomerine</ent><br/
<hw>Vo"mer*ine</hw> <pr>(?)</pr>, <pos>a.</pos> <def>Of or pertaining to the vomer.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vomica</ent><br/
||<hw>Vom"i*ca</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[L., fr. <ets>vomere</ets> to throw up, vomit.]</ety> <fld>(Med.)</fld> <sd>(a)</sd> <def>An abscess cavity in the lungs.</def> <sd>(b)</sd> <def>An abscess in any other parenchymatous organ.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vomicine</ent><br/
<hw>Vom"i*cine</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[From nux <ets>vomica</ets>.]</ety> <fld>(Chem.)</fld> <def>See <er>Brucine</er>.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vomic nut</ent><br/
<hw>Vom"ic nut`</hw> <pr>(?)</pr>. <ety>[Cf. F. <ets>noix vomique</ets>.]</ety> <def>Same as <er>Nux vomica</er>.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vomit</ent><br/
<hw>Vom"it</hw> <pr>(?)</pr>, <pos>v. i.</pos> <vmorph>[<pos>imp. & p. p.</pos> <conjf>Vomited</conjf>; <pos>p. pr. & vb. n.</pos> <conjf>Vomiting</conjf>.]</vmorph> <ety>[Cf. L. <ets>vomere</ets>, <ets>vomitum</ets>, and v. freq. <ets>vomitare</ets>. See <er>Vomit</er>, <pos>n.</pos>]</ety> <def>To eject the contents of the stomach by the mouth; to puke; to spew.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vomit</ent><br/
<hw>Vom"it</hw>, <pos>v. t.</pos> <sn>1.</sn> <def>To throw up; to eject from the stomach through the mouth; to disgorge; to puke; to spew out; -- often followed by <xex>up</xex> or <xex>out</xex>.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>The fish . . . <qex>vomited</qex> out Jonah upon the dry land.</q> <rj><qau>Jonah ii. 10.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <def>Hence, to eject from any hollow place; to belch forth; to emit; to throw forth; <as>as, volcanoes <ex>vomit</ex> flame, stones, etc</as>.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>Like the sons of Vulcan, <qex>vomit</qex> smoke.</q> <rj><qau>Milton.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vomit</ent><br/
<hw>Vom"it</hw>, <pos>n.</pos> <ety>[L. <ets>vomitus</ets>, from <ets>vomere</ets>, <ets>vomitum</ets>, to vomit; akin to Gr. <?/, Skr. <ets>vam</ets>, Lith. <ets>vemiti</ets>. Cf. <er>Emetic</er>, <er>Vomito</er>.]</ety><br/
[<source>1913 Webster</source>]</p>
<p><sn>1.</sn> <def>Matter that is vomited; esp., matter ejected from the stomach through the mouth.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>Like <qex>vomit</qex> from his yawning entrails poured.</q> <rj><qau>Sandys.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <fld>(Med.)</fld> <def>That which excites vomiting; an emetic.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>He gives your Hollander a <qex>vomit</qex>.</q> <rj><qau>Shak.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><cs><col><b>Black vomit</b></col>. <fld>(Med.)</fld> <cd>See in the Vocabulary.</cd> -- <col><b>Vomit nut</b></col>, <cd>nux vomica.</cd></cs><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vomiting</ent><br/
<hw>Vom"it*ing</hw>, <pos>n.</pos> <def>The spasmodic ejection of matter from the stomach through the mouth.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vomition</ent><br/
<hw>Vo*mi"tion</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[L. <ets>vomitio</ets>.]</ety> <def>The act or power of vomiting.</def> <rj><au>Grew.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vomitive</ent><br/
<hw>Vom"i*tive</hw> <pr>(?)</pr>, <pos>a.</pos> <ety>[Cf. F. <ets>vomitif</ets>.]</ety> <def>Causing the ejection of matter from the stomach; emetic.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vomito</ent><br/
||<hw>Vo*mi"to</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[Sp. <ets>v<oacute/mito</ets>, fr. L. <ets>vomitus</ets>. See <er>Vomit</er>, <pos>n.</pos>]</ety> <fld>(Med.)</fld> <def>The yellow fever in its worst form, when it is usually attended with black vomit. See <er>Black vomit</er>.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vomitory</ent><br/
<hw>Vom"i*to*ry</hw> <pr>(?)</pr>, <pos>a.</pos> <ety>[L. <ets>vomitorious</ets>.]</ety> <def>Causing vomiting; emetic; vomitive.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vomitory</ent><br/
<hw>Vom"i*to*ry</hw>, <pos>n.</pos>; <plu><it>pl.</it> <plw>Vomitories</plw> <pr>(<?/)</pr>.</plu> <sn>1.</sn> <def>An emetic; a vomit.</def> <rj><au>Harvey.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <ety>[L. <ets>vomitorium</ets>.]</ety> <fld>(Arch.)</fld> <def>A principal door of a large ancient building, as of an amphitheater.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>Sixty-four <qex>vomitories</qex> . . . poured forth the immense multitude.</q> <rj><qau>Gibbon.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vomiturition</ent><br/
<hw>Vom`i*tu*ri"tion</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[Cf. F. <ets>vomiturition</ets>.]</ety> <fld>(Med.)</fld> <sd>(a)</sd> <def>An ineffectual attempt to vomit.</def> <sd>(b)</sd> <def>The vomiting of but little matter; also, that vomiting which is effected with little effort.</def> <rj><au>Dunglison.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vondsira</ent><br/
<hw>Vond*si"ra</hw> <pr>(?)</pr>, <pos>n.</pos> <fld>(Zool.)</fld> <def>Same as <er>Vansire</er>.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Voodoo</ent><br/
<hw>Voo"doo</hw> <pr>(?)</pr>, <pos>n.</pos> <sn>1.</sn> <def>See <er>Voodooism</er>.</def><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <def>One who practices voodooism; a negro sorcerer.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Voodoo</ent><br/
<hw>Voo"doo</hw>, <pos>a.</pos> <def>Of or pertaining to voodooism, or a voodoo; <as>as, <ex>voodoo</ex> incantations</as>.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Voodoo economics</ent><br/
<hw>Voo"doo economics</hw>, <pos>n.</pos> <fld>(Politics)</fld> <def>an economic hypothesis, proposed by President <person>Ronald Regan</person>, that large cuts in tax rates would so stimulate the economy that the tax revenue on the increases in business and personal income would offset the anticipated tax revenue losses, so that such tax cuts would not increasing the federal budget deficit. Its believers do not consider the actual massive deficit increases subsequent to the 1982-83 tax cut as being caused by the tax cut itself, but by other governmental policies. This hypothesis was graphically illustrated by the <er>Laffer curve</er>.</def><br/
[<source>PJC</source>]</p>
<p><ent>Voodooism</ent><br/
<hw>Voo"doo*ism</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[Probably (through Creole French <ets>vaudoux</ets> a negro sorcerer) fr. F. <ets>Vaudois</ets> Waldensian, because the Waldenses were accused of sorcery.]</ety> <def>A degraded form of superstition and sorcery, said to include human sacrifices and cannibalism in some of its rites. It is prevalent among the negroes of Haiti, and to some extent in the United States, and is regarded as a relic of African barbarism.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Voortreker</ent><br/
||<hw>Voor"trek`er</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[D. (in South Africa).]</ety> <def>One who treks before or first; a pioneer.</def> <mark>[South Africa]</mark><br/
[<source>Webster 1913 Suppl.</source>]</p>
<p><ent>Voracious</ent><br/
<hw>Vo*ra"cious</hw> <pr>(?)</pr>, <pos>a.</pos> <ety>[L. <ets>vorax</ets>, <ets>-acis</ets>, fr. <ets>vorare</ets> to devour; akin to Gr. <?/ meat, food, <?/ to devour, Skr. <ets>gar</ets>. Cf. <er>Devour</er>.]</ety> <def>Greedy in eating; very hungry; eager to devour or swallow; ravenous; gluttonous; edacious; rapacious; <as>as, a <ex>voracious</ex> man or appetite; a <ex>voracious</ex> gulf or whirlpool.</as></def> <au>Dampier.</au> -- <wordforms><wf>Vo*ra"cious*ly</wf>, <pos>adv.</pos> -- <wf>Vo*ra"cious*ness</wf>, <pos>n.</pos></wordforms><br/
[<source>1913 Webster</source>]</p>
<p><ent>Voracity</ent><br/
<hw>Vo*rac"i*ty</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[L. <ets>voracitas</ets>: cf. F. <ets>voracit<eacute/</ets>.]</ety> <def>The quality of being voracious; voraciousness.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Voraginous</ent><br/
<hw>Vo*rag"i*nous</hw> <pr>(?)</pr>, <pos>a.</pos> <ety>[L. <ets>voraginosus</ets>, fr. <ets>vorago</ets> an abyss, fr. <ets>vorare</ets> to swallow up.]</ety> <def>Pertaining to a gulf; full of gulfs; hence, devouring.</def> <mark>[R.]</mark> <rj><au>Mallet.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vortex</ent><br/
<hw>Vor"tex</hw> <pr>(?)</pr>, <pos>n.</pos>; <plu><it>pl.</it> E. <plw>Vortexes</plw> <pr>(#)</pr>, L. <plw>Vortices</plw> <pr>(#)</pr>.</plu> <ety>[L. <ets>vortex</ets>, <ets>vertex</ets>, <ets>-icis</ets>, fr. <ets>vortere</ets>, <ets>vertere</ets>, to turn. See <er>Vertex</er>.]</ety><br/
[<source>1913 Webster</source>]</p>
<p><sn>1.</sn> <def>A mass of fluid, especially of a liquid, having a whirling or circular motion tending to form a cavity or vacuum in the center of the circle, and to draw in towards the center bodies subject to its action; the form assumed by a fluid in such motion; a whirlpool; an eddy.</def><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <fld>(Cartesian System)</fld> <def>A supposed collection of particles of very subtile matter, endowed with a rapid rotary motion around an axis which was also the axis of a sun or a planet. Descartes attempted to account for the formation of the universe, and the movements of the bodies composing it, by a theory of vortices.</def><br/
[<source>1913 Webster</source>]</p>
<p><sn>3.</sn> <fld>(Zool.)</fld> <def>Any one of numerous species of small Turbellaria belonging to <gen>Vortex</gen> and allied genera. See <xex>Illustration</xex> in Appendix.</def><br/
[<source>1913 Webster</source>]</p>
<p><cs><col><b>Vortex atom</b></col> <fld>(Chem.)</fld>, <cd>a hypothetical ring-shaped mass of elementary matter in continuous vortical motion. It was conveniently regarded in certain early mathematical models as the typical form and structure of the chemical atom, but is no longer considered a useful model, having been superseded by quantum mechanics.</cd> -- <col><b>Vortex wheel</b></col>, <cd>a kind of turbine.</cd></cs><br/
[<source>1913 Webster</source> <source>+PJC</source>]</p>
<p><ent>Vortex filament</ent><br/
<hw>Vor"tex fil"a*ment</hw>. <def>A vortex tube of infinitesimal cross section.</def><br/
[<source>Webster 1913 Suppl.</source>]</p>
<p><ent>Vortex fringe</ent><br/
<hw>Vor"tex fringe</hw>. <def>The region immediately surrounding a disk moving flatwise through air; -- so called because the air has a cyclic motion as in vortex ring.</def><br/
[<source>Webster 1913 Suppl.</source>]</p>
<p><ent>Vortex line</ent><br/
<hw>Vortex line</hw>. <def>A line, within a rotating fluid, whose tangent at every point is the instantaneous axis of rotation as that point of the fluid.</def><br/
[<source>Webster 1913 Suppl.</source>]</p>
<p><ent>Vortex ring</ent><br/
<hw>Vortex ring</hw>. <fld>(Physics)</fld> <def>A ring-shaped mass of moving fluid which, by virtue of its motion of rotation around an axis disposed in circular form, attains a more or less distinct separation from the surrounding medium and has many of the properties of a solid.</def><br/
[<source>Webster 1913 Suppl.</source>]</p>
<p><ent>Vortex theory</ent><br/
<hw>Vortex theory</hw>. <fld>(Chem. & Physics)</fld> <def>The theory, advanced by Thomson (Lord Kelvin) on the basis of investigation by Helmholtz, that the atoms are vortically moving ring-shaped masses (or masses of other forms having a similar internal motion) of a homogeneous, incompressible, frictionless fluid. Various properties of such atoms (<col><b>vortex atoms</b></col>) can be mathematically deduced. This theory is now (1998) obsolete, and has been superseded by quantum mechanics, which provides more accurate and detailed explanations of atomic behavior.</def><br/
[<source>Webster 1913 Suppl.</source> <source>+PJC</source>]</p>
<p><ent>Vortex tube</ent><br/
<hw>Vortex tube</hw>. <fld>(Physics)</fld> <def>An imaginary tube within a rotating fluid, formed by drawing the vortex lines through all points of a closed curve.</def><br/
[<source>Webster 1913 Suppl.</source>]</p>
<p><ent>Vortical</ent><br/
<hw>Vor"ti*cal</hw> <pr>(?)</pr>, <pos>a.</pos> <def>Of or pertaining to a vortex or vortexes; resembling a vortex in form or motion; whirling; <as>as, a <ex>vortical</ex> motion</as>.</def> -- <wordforms><wf>Vor"ti*cal*ly</wf>, <pos>adv.</pos></wordforms><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vorticel</ent><br/
<hw>Vor"ti*cel</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[Cf. F. <ets>vorticelle</ets>. See <er>Vortex</er>.]</ety> <fld>(Zool.)</fld> <def>A vorticella.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vorticella</ent><br/
<hw>Vor`ti*cel"la</hw> <pr>(?)</pr>, <pos>n.</pos>; <plu><it>pl.</it> E. <plw>Vorticellas</plw> <pr>(<?/)</pr>, L. <plw>Vorticellae</plw> <pr>(<?/)</pr>.</plu> <ety>[NL., dim. fr. L. <ets>vortex</ets>. See <er>Vortex</er>.]</ety> <fld>(Zool.)</fld> <def>Any one of numerous species of ciliated Infusoria belonging to <gen>Vorticella</gen> and many other genera of the family <fam>Vorticellidae</fam>. They have a more or less bell-shaped body with a circle of vibrating cilia around the oral disk. Most of the species have slender, contractile stems, either simple or branched.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vorticose</ent><br/
<hw>Vor"ti*cose`</hw> <pr>(?)</pr>, <pos>a.</pos> <ety>[L. <ets>vorticosus</ets>.]</ety> <def>Vortical; whirling; <as>as, a <ex>vorticose</ex> motion</as>.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vortiginous</ent><br/
<hw>Vor*tig"i*nous</hw> <pr>(?)</pr>, <pos>a.</pos> <ety>[Cf. <er>Vertiginous</er>.]</ety> <def>Moving rapidly round a center; vortical.</def> <mark>[R.]</mark> <rj><au>Cowper.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Votaress</ent><br/
<hw>Vo"ta*ress</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[See <er>Votary</er>, <pos>n.</pos>]</ety> <def>A woman who is a votary.</def> <rj><au>Shak.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Votarist</ent><br/
<hw>Vo"ta*rist</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[See <er>Votary</er>.]</ety> <def>A votary.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>Like a sad <qex>votarist</qex> in palmer's weed.</q> <rj><qau>Milton.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Votary</ent><br/
<hw>Vo"ta*ry</hw> <pr>(?)</pr>, <pos>a.</pos> <ety>[From L. <ets>votus</ets>, p. p. <ets>vovere</ets> to vow, to devote. See <er>Vote</er>, <er>Vow</er>.]</ety> <def>Consecrated by a vow or promise; consequent on a vow; devoted; promised.</def><br/
[<source>1913 Webster</source>]</p>
<p><q><qex>Votary</qex> resolution is made equipollent to custom.</q> <rj><qau>Bacon.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Votary</ent><br/
<hw>Vo"ta*ry</hw>, <pos>n.</pos>; <plu><it>pl.</it> <plw>Votaries</plw> <pr>(<?/)</pr>.</plu> <def>One devoted, consecrated, or engaged by a vow or promise; hence, especially, one devoted, given, or addicted, to some particular service, worship, study, or state of life.</def> <ldquo/You are already love's firm <xex>votary</xex>.<rdquo/ <rj><au>Shak.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><q>'T was coldness of the <qex>votary</qex>, not the prayer, that was in fault.</q> <rj><qau>Bp. Fell.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><q>But thou, my <qex>votary</qex>, weepest thou?</q> <rj><qau>Emerson.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vote</ent><br/
<hw>Vote</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[L. <ets>votum</ets> a vow, wish, will, fr. <ets>vovere</ets>, <ets>votum</ets>, to vow: cf. F. <ets>vote</ets>. See <er>Vow</er>.]</ety><br/
[<source>1913 Webster</source>]</p>
<p><sn>1.</sn> <def>An ardent wish or desire; a vow; a prayer.</def> <mark>[Obs.]</mark> <rj><au>Massinger.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <def>A wish, choice, or opinion, of a person or a body of persons, expressed in some received and authorized way; the expression of a wish, desire, will, preference, or choice, in regard to any measure proposed, in which the person voting has an interest in common with others, either in electing a person to office, or in passing laws, rules, regulations, etc.; suffrage.</def><br/
[<source>1913 Webster</source>]</p>
<p><sn>3.</sn> <def>That by means of which will or preference is expressed in elections, or in deciding propositions; voice; a ballot; a ticket; <as>as, a written <ex>vote</ex></as>.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>The freeman casting with unpurchased hand<br/
The <qex>vote</qex> that shakes the turrets of the land.</q> <rj><qau>Holmes.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>4.</sn> <def>Expression of judgment or will by a majority; legal decision by some expression of the minds of a number; <as>as, the <ex>vote</ex> was unanimous; a <ex>vote</ex> of confidence</as>.</def><br/
[<source>1913 Webster</source>]</p>
<p><sn>5.</sn> <def>Votes, collectively; <as>as, the Tory <ex>vote</ex>; the labor <ex>vote</ex></as>.</def><br/
[<source>1913 Webster</source>]</p>
<p><cs><mcol><col><b>Casting vote</b></col>, <col><b>Cumulative vote</b></col>, etc.</mcol> <cd>See under <er>Casting</er>, <er>Cumulative</er>, etc.</cd></cs><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vote</ent><br/
<hw>Vote</hw> <pr>(?)</pr>, <pos>v. i.</pos> <vmorph>[<pos>imp. & p. p.</pos> <conjf>Voted</conjf>; <pos>p. pr. & vb. n.</pos> <conjf>Voting</conjf>.]</vmorph> <ety>[Cf. F. <ets>voter</ets>.]</ety> <def>To express or signify the mind, will, or preference, either <xex>viva voce</xex>, or by ballot, or by other authorized means, as in electing persons to office, in passing laws, regulations, etc., or in deciding on any proposition in which one has an interest with others.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>The <qex>vote</qex> for a duelist is to assist in the prostration of justice, and, indirectly, to encourage the crime.</q> <rj><qau>L. Beecher.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><q>To <qex>vote</qex> on large principles, to <qex>vote</qex> honestly, requires a great amount of information.</q> <rj><qau>F. W. Robertson.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vote</ent><br/
<hw>Vote</hw>, <pos>v. t.</pos> <sn>1.</sn> <def>To choose by suffrage; to elec<?/; <as>as, to <ex>vote</ex> a candidate into office</as>.</def><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <def>To enact, establish, grant, determine, etc., by a formal vote; <as>as, the legislature <ex>voted</ex> the resolution</as>.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>Parliament <qex>voted</qex> them one hundred thousand pounds.</q> <rj><qau>Swift.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>3.</sn> <def>To declare by general opinion or common consent, as if by a vote; <as>as, he was <ex>voted</ex> a bore</as>.</def> <mark>[Colloq.]</mark><br/
[<source>1913 Webster</source>]</p>
<p><sn>4.</sn> <def>To condemn; to devote; to doom.</def> <mark>[Obs.]</mark> <rj><au>Glanvill.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Voter</ent><br/
<hw>Vot"er</hw> <pr>(?)</pr>, <pos>n.</pos> <def>One who votes; one who has a legal right to vote, or give his suffrage; an elector; a suffragist; <as>as, an independent <ex>voter</ex></as>.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Voting</ent><br/
<hw>Vot"ing</hw>, <def><pos>a. & n.</pos> from <er>Vote</er>, <pos>v.</pos></def><br/
[<source>1913 Webster</source>]</p>
<p><cs><col><b>Voting paper</b></col>, <cd>a form of ballot containing the names of more candidates than there are offices to be filled, the voter making a mark against the preferred names.</cd> <mark>[Eng.]</mark></cs><br/
[<source>1913 Webster</source>]</p>
<p><ent>Votist</ent><br/
<hw>Vot"ist</hw>, <pos>n.</pos> <def>One who makes a vow.</def> <mark>[Obs.]</mark> <rj><au>Chapman.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Votive</ent><br/
<hw>Vo"tive</hw> <pr>(?)</pr>, <pos>a.</pos> <ety>[L. <ets>votivus</ets>, fr. <ets>votum</ets> a vow: cf. F. <ets>votif</ets>. See <er>Vow</er>.]</ety> <def>Given by vow, or in fulfillment of a vow; consecrated by a vow; devoted; <as>as, <ex>votive</ex> offerings; a <ex>votive</ex> tablet.</as></def> <ldquo/<xex>Votive</xex> incense.<rdquo/ <rj><au>Keble.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><q>We reached a <qex>votive</qex> stone, that bears the name<br/
Of Aloys Reding.</q> <rj><qau>Wordsworth.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><q>Embellishments of flowers and <qex>votive</qex> garlands.</q> <rj><qau>Motley.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><cs><col><b>Votive medal</b></col>, <cd>a medal struck in grateful commemoration of some auspicious event.</cd> -- <col><b>Votive offering</b></col>, <cd>an offering in fulfillment of a religious vow, as of one's person or property.</cd></cs><br/
[<source>1913 Webster</source>]</p>
<p>-- <wordforms><wf>Vo"tive*ly</wf>, <pos>adv.</pos> -- <wf>Vo"tive*ness</wf>, <pos>n.</pos></wordforms><br/
[<source>1913 Webster</source>]</p>
<p><ent>Votress</ent><br/
<hw>Vo"tress</hw> <pr>(?)</pr>, <pos>n.</pos> <def>A votaress.</def> <rj><au>Dryden.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vouch</ent><br/
<hw>Vouch</hw> <pr>(?)</pr>, <pos>v. t.</pos> <vmorph>[<pos>imp. & p. p.</pos> <conjf>Vouched</conjf> <pr>(?)</pr>; <pos>p. pr. & vb. n.</pos> <conjf>Vouching</conjf>.]</vmorph> <ety>[OE. <ets>vouchen</ets>, OF. <ets>vochier</ets> to call, fr. L. <ets>vocare</ets> to call, fr. <ets>vox</ets>, <ets>vocis</ets>, voice. See <er>Voice</er>, and cf. <er>Avouch</er>.]</ety><br/
[<source>1913 Webster</source>]</p>
<p><sn>1.</sn> <def>To call; to summon.</def> <mark>[Obs.]</mark><br/
[<source>1913 Webster</source>]</p>
<p><q>[They] <qex>vouch</qex> (as I might say) to their aid the authority of the writers.</q> <rj><qau>Sir T. Elyot.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <def>To call upon to witness; to obtest.</def><br/
[<source>1913 Webster</source>]</p>
<p><q><qex>Vouch</qex> the silent stars and conscious moon.</q> <rj><qau>Dryden.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>3.</sn> <def>To warrant; to maintain by affirmations; to attest; to affirm; to avouch.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>They made him ashamed to <qex>vouch</qex> the truth of the relation, and afterwards to credit it.</q> <rj><qau>Atterbury.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>4.</sn> <def>To back; to support; to confirm; to establish.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>Me damp horror chilled<br/
At such bold words <qex>vouched</qex> with a deed so bold.</q> <rj><qau>Milton.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>5.</sn> <fld>(Law)</fld> <def>To call into court to warrant and defend, or to make good a warranty of title.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>He <qex>vouches</qex> the tenant in tail, who <qex>vouches</qex> over the common vouchee.</q> <rj><qau>Blackstone.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><syn><b>Syn.</b> -- To obtest; declare; affirm; attest; warrant; confirm; asseverate; aver; protest; assure.</syn><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vouch</ent><br/
<hw>Vouch</hw>, <pos>v. i.</pos> <sn>1.</sn> <def>To bear witness; to give testimony or full attestation.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>He will not believe her until the elector of Hanover shall <qex>vouch</qex> for the truth of what she has . . . affirmed.</q> <rj><qau>Swift.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <def>To assert; to aver; to declare.</def> <rj><au>Shak.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vouch</ent><br/
<hw>Vouch</hw>, <pos>n.</pos> <def>Warrant; attestation.</def> <mark>[Obs.]</mark><br/
[<source>1913 Webster</source>]</p>
<p><q>The <qex>vouch</qex> of very malice itself.</q> <rj><qau>Shak.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vouchee</ent><br/
<hw>Vouch*ee"</hw> <pr>(?)</pr>, <pos>n.</pos> <fld>(Law)</fld> <def>The person who is vouched, or called into court to support or make good his warranty of title in the process of common recovery.</def> <rj><au>Blackstone.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Voucher</ent><br/
<hw>Vouch"er</hw> <pr>(?)</pr>, <pos>n.</pos> <sn>1.</sn> <def>One who vouches, or gives witness or full attestation, to anything.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>Will his <qex>vouchers</qex> vouch him no more?</q> <rj><qau>Shak.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><q>The great writers of that age stand up together as <qex>vouchers</qex> for one another's reputation.</q> <rj><qau>Spectator.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <def>A book, paper, or document which serves to vouch the truth of accounts, or to confirm and establish facts of any kind; also, any acquittance or receipt showing the payment of a debt; <as>as, the merchant's books are his <ex>vouchers</ex> for the correctness of his accounts; notes, bonds, receipts, and other writings, are used as <ex>vouchers</ex> in proving facts</as>.</def><br/
[<source>1913 Webster</source>]</p>
<p><sn>3.</sn> <fld>(Law)</fld> <sd>(a)</sd> <def>The act of calling in a person to make good his warranty of title in the old form of action for the recovery of lands.</def> <sd>(b)</sd> <def>The tenant in a writ of right; one who calls in another to establish his warranty of title. In common recoveries, there may be a single <xex>voucher</xex> or double <xex>vouchers</xex>.</def> <rj><au>Blackstone.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>4.</sn> <def>A document attesting to a credit against certain defined expenditures; a recipt for prepayment; -- often used in pre-arranged travel plans, to provide evidence of pre-payment of the cost of lodging, transportation, or meals.</def><br/
[<source>PJC</source>]</p>
<p><ent>Vouchment</ent><br/
<hw>Vouch"ment</hw> <pr>(?)</pr>, <pos>n.</pos> <def>A solemn assertion.</def> <mark>[R.]</mark><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vouchor</ent><br/
<hw>Vouch"or</hw> <pr>(?)</pr>, <pos>n.</pos> <fld>(Law)</fld> <def>Same as <er>Voucher</er>, 3 <sd>(b)</sd>.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vouchsafe</ent><br/
<hw>Vouch*safe"</hw> <pr>(?)</pr>, <pos>v. t.</pos> <vmorph>[<pos>imp. & p. p.</pos> <conjf>Vouchsafed</conjf> <pr>(?)</pr>; <pos>p. pr. & vb. n.</pos> <conjf>Vouchsafing</conjf>.]</vmorph> <ety>[<ets>Vouch</ets> + <ets>safe</ets>, that is, to vouch or answer for safety.]</ety><br/
[<source>1913 Webster</source>]</p>
<p><sn>1.</sn> <def>To condescend to grant; to concede; to bestow.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>If ye <qex>vouchsafe</qex> that it be so.</q> <rj><qau>Chaucer.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><q>Shall I <qex>vouchsafe</qex> your worship a word or two?</q> <rj><qau>Shak.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><q>It is not said by the apostle that God <qex>vouchsafed</qex> to the heathens the means of salvation.</q> <rj><qau>South.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <def>To receive or accept in condescension.</def> <mark>[Obs.]</mark> <rj><au>Shak.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vouchsafe</ent><br/
<hw>Vouch*safe"</hw>, <pos>v. i.</pos> <def>To condescend; to deign; to yield; to descend or stoop.</def> <rj><au>Chaucer.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><q><qex>Vouchsafe</qex>, O Lord, to keep us this day without sin.</q> <rj><qau>Bk. of Com. Prayer.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><q><qex>Vouchsafe</qex>, illustrious Ormond, to behold<br/
What power the charms of beauty had of old.</q> <rj><qau>Dryden.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vouchsafement</ent><br/
<hw>Vouch*safe"ment</hw> <pr>(?)</pr>, <pos>n.</pos> <def>The act of vouchsafing, or that which is vouchsafed; a gift or grant in condescension.</def> <rj><au>Glanvill.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Voussoir</ent><br/
||<hw>Vous`soir"</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[F., akin to <ets>vo<ucir/te</ets> an arch, a vault.]</ety> <fld>(Arch.)</fld> <def>One of the wedgelike stones of which an arch is composed.</def><br/
[<source>1913 Webster</source>]</p>
<p><-- p. 1620 --><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vow</ent><br/
<hw>Vow</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[OE. <ets>vou</ets>, OF. <ets>vou</ets>, <ets>veu</ets>, <ets>vo</ets>, <ets>vu</ets>, F. <ets>v<?/u</ets>, from L. <ets>votum</ets>, from <ets>vovere</ets>, to vow. Cf. <er>Avow</er>, <er>Devout</er>, <er>Vote</er>.]</ety><br/
[<source>1913 Webster</source>]</p>
<p><sn>1.</sn> <def>A solemn promise made to God, or to some deity; an act by which one consecrates or devotes himself, absolutely or conditionally, wholly or in part, for a longer or shorter time, to some act, service, or condition; a devotion of one's possessions; <as>as, a baptismal <ex>vow</ex>; a <ex>vow</ex> of poverty</as>.</def> <ldquo/Nothing . . . that may . . . stain my <xex>vow</xex> of Nazarite.<rdquo/ <rj><au>Milton.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><q>I pray thee, let me go and pay my <qex>vow</qex>.</q> <rj><qau>2 Sam. xv. 7.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><q>I am combined by a sacred <qex>vow</qex>.</q> <rj><qau>Shak.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <def>Specifically, a promise of fidelity; a pledge of love or affection; <as>as, the marriage <ex>vow</ex></as>.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>Knights of love, who never broke their <qex>vow</qex>;<br/
Firm to their plighted faith.</q> <rj><qau>Dryden.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vow</ent><br/
<hw>Vow</hw> <pr>(?)</pr>, <pos>v. t.</pos> <vmorph>[<pos>imp. & p. p.</pos> <conjf>Vowed</conjf> <pr>(?)</pr>; <pos>p. pr. & vb. n.</pos> <conjf>Vowing</conjf>.]</vmorph> <ety>[OE. <ets>vouen</ets>, OF. <ets>vouer</ets>, <ets>voer</ets>, F. <ets>vouer</ets>, LL. <ets>votare</ets>. See <er>Vow</er>, <pos>n.</pos>]</ety><br/
[<source>1913 Webster</source>]</p>
<p><sn>1.</sn> <def>To give, consecrate, or dedicate to God, or to some deity, by a solemn promise; to devote; to promise solemnly.</def> <ldquo/When thou <xex>vowest</xex> a vow unto God, defer not to pay it.<rdquo/ <rj><au>Eccl. v. 4.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><q>[Men] that <qex>vow</qex> a long and weary pilgrimage.</q> <rj><qau>Shak.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <def>To assert solemnly; to asseverate.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vow</ent><br/
<hw>Vow</hw>, <pos>v. i.</pos> <def>To make a vow, or solemn promise.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>Better is it that thou shouldest not <qex>vow</qex>, than that thou shouldest <qex>vow</qex> and not pay.</q> <rj><qau>Eccl. v. 5.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vowel</ent><br/
<hw>Vow"el</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[F. <ets>voyelle</ets>, or an OF. form without <it>y</it>, L. <ets>vocalis</ets> (sc. <ets>littera</ets>), from <ets>vocalis</ets> sounding, from <ets>vox</ets>, <ets>vocis</ets>, a voice, sound. See <er>Vocal</er>.]</ety> <fld>(Phon.)</fld> <def>A vocal, or sometimes a whispered, sound modified by resonance in the oral passage, the peculiar resonance in each case giving to each several vowel its distinctive character or quality as a sound of speech; -- distinguished from a <contr>consonant</contr> in that the latter, whether made with or without vocality, derives its character in every case from some kind of obstructive action by the mouth organs. Also, a letter or character which represents such a sound. See <xex>Guide to Pronunciation</xex>, <sect/<sect/ 5, 146-149.</def><br/
[<source>1913 Webster</source>]</p>
<p><note><hand/ In the English language, the written vowels are <it>a</it>, <it>e</it>, <it>i</it>, <it>o</it>, <it>u</it>, and sometimes <it>w</it> and <it>y.</it> The spoken vowels are much more numerous.</note><br/
[<source>1913 Webster</source>]</p>
<p><cs><col><b>Close vowel</b></col>. <cd>See under <er>Close</er>, <pos>a.</pos></cd> -- <col><b>Vowel point</b></col>. <cd>See under <er>Point</er>, <pos>n.</pos></cd></cs><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vowel</ent><br/
<hw>Vow"el</hw>, <pos>a.</pos> <def>Of or pertaining to a vowel; vocal.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Voweled</ent><br/
<hw>Vow"eled</hw> <pr>(?)</pr>, <pos>a.</pos> <def>Furnished with vowels.</def> <altsp>[Written also <asp>vowelled</asp>.]</altsp> <rj><au>Dryden.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vowelish</ent><br/
<hw>Vow"el*ish</hw> <pr>(?)</pr>, <pos>a.</pos> <def>Of the nature of a vowel.</def> <mark>[R.]</mark> <ldquo/The power [of <xex>w</xex>] is always <xex>vowelish</xex>.<rdquo/ <rj><au>B. Jonson.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vowelism</ent><br/
<hw>Vow"el*ism</hw> <pr>(?)</pr>, <pos>n.</pos> <def>The use of vowels.</def> <mark>[R.]</mark><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vowelize</ent><br/
<hw>Vow"el*ize</hw> <pr>(?)</pr>, <pos>v. t.</pos> <def>To give the quality, sound, or office of a vowel to.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vower</ent><br/
<hw>Vow"er</hw> <pr>(?)</pr>, <pos>n.</pos> <def>One who makes a vow.</def> <rj><au>Bale.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vow-fellow</ent><br/
<hw>Vow"-fel`low</hw> <pr>(?)</pr>, <pos>n.</pos> <def>One bound by the same vow as another.</def> <mark>[R.]</mark> <rj><au>Shak.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vox</ent><br/
||<hw>Vox</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[L. See <er>Voice</er>.]</ety> <def>A voice.</def><br/
[<source>1913 Webster</source>]</p>
<p><cs><col><b>Vox humana</b></col> <pr>(<?/)</pr> <ety>[L., human voice]</ety> <fld>(Mus.)</fld>, <cd>a reed stop in an organ, made to imitate the human voice.</cd></cs><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vox angelica</ent><br/
||<hw>Vox` an*gel"i*ca</hw> <pr>(?)</pr>. <ety>[L. <ets>angelica</ets> angelic.]</ety> <fld>(Music)</fld> <def>An organ stop of delicate stringlike quality, having for each finger key a pair of pipes, of which one is tuned slightly sharp to give a wavy effect to their joint tone.</def><br/
[<source>Webster 1913 Suppl.</source>]</p>
<p><ent>Voyage</ent><br/
<hw>Voy"age</hw> <pr>(?; 48)</pr>, <pos>n.</pos> <ety>[OE. <ets>veage</ets>, <ets>viage</ets>, OF. <ets>veage</ets>, <ets>viage</ets>, <ets>veiage</ets>, <ets>voiage</ets>, F. <ets>voyage</ets>, LL. <ets>viaticum</ets>, fr. L. <ets>viaticum</ets> traveling money, provision for a journey, from <ets>viaticus</ets> belonging to a road or journey, fr. <ets>via</ets> way, akin to E. <ets>way</ets>. See <er>Way</er>, <pos>n.</pos>, and cf. <er>Convey</er>, <er>Deviate</er>, <er>Devious</er>, <er>Envoy</er>, <er>Trivial</er>, <er>Viaduct</er>, <er>Viaticum</er>.]</ety><br/
[<source>1913 Webster</source>]</p>
<p><sn>1.</sn> <def>Formerly, a passage either by sea or land; a journey, in general; but not chiefly limited to a passing by sea or water from one place, port, or country, to another; especially, a passing or journey by water to a distant place or country.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>I love a sea <qex>voyage</qex> and a blustering tempest.</q> <rj><qau>J. Fletcher.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><q>So steers the prudent crane<br/
Her annual <qex>voyage</qex>, borne on winds.</q> <rj><qau>Milton.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><q>All the <qex>voyage</qex> of their life<br/
Is bound in shallows and in miseries.</q> <rj><qau>Shak.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <def>The act or practice of traveling.</def> <mark>[Obs.]</mark><br/
[<source>1913 Webster</source>]</p>
<p><q>Nations have interknowledge of one another by <qex>voyage</qex> into foreign parts, or strangers that come to them.</q> <rj><qau>Bacon.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>3.</sn> <def>Course; way.</def> <mark>[Obs.]</mark> <rj><au>Shak.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Voyage</ent><br/
<hw>Voy"age</hw>, <pos>v. i.</pos> <vmorph>[<pos>imp. & p. p.</pos> <conjf>Voyaged</conjf> <pr>(?)</pr>; <pos>p. pr. & vb. n.</pos> <conjf>Voyaging</conjf> <pr>(?)</pr>.]</vmorph> <ety>[Cf. F. <ets>voyager</ets>.]</ety> <def>To take a voyage; especially, to sail or pass by water.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>A mind forever<br/
<qex>Voyaging</qex> through strange seas of thought alone.</q> <rj><qau>Wordsworth.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Voyage</ent><br/
<hw>Voy"age</hw>, <pos>v. t.</pos> <def>To travel; to pass over; to traverse.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>With what pain<br/
[I] <qex>voyaged</qex> the unreal, vast, unbounded deep.</q> <rj><qau>Milton.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Voyageable</ent><br/
<hw>Voy"age*a*ble</hw> <pr>(?)</pr>, <pos>a.</pos> <ety>[Cf. F. <ets>voyageable</ets>.]</ety> <def>That may be sailed over, as water or air; navigable.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Voyager</ent><br/
<hw>Voy"a*ger</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[Cf. F. <ets>voyager</ets> traveling.]</ety> <def>One who voyages; one who sails or passes by sea or water.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Voyageur</ent><br/
||<hw>Voy`a`geur"</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[F., fr. <ets>voyager</ets> to travel. See <er>Voyage</er>.]</ety> <def>A traveler; -- applied in Canada to a man employed by the fur companies in transporting goods by the rivers and across the land, to and from the remote stations in the Northwest.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Voyol</ent><br/
<hw>Voy"ol</hw> <pr>(?)</pr>, <pos>n.</pos> <fld>(Naut.)</fld> <sd>(a)</sd> <def>See <er>Viol</er>, 2.</def> <sd>(b)</sd> <def>The block through which a messenger passes.</def> <altsp>[Written also <asp>viol</asp>, and <asp>voyal</asp>.]</altsp><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vraisemblance</ent><br/
||<hw>Vrai`sem`blance"</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[F.]</ety> <def>The appearance of truth; verisimilitude.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vugh</ent><br/
<ent>Vugg</ent><br/
<mhw>{ <hw>Vugg</hw>, <hw>Vugh</hw> }</mhw> <pr>(?)</pr>, <pos>n.</pos> <fld>(Mining)</fld> <def>A cavity in a lode; -- called also <altname>vogle</altname>.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vulcan</ent><br/
<hw>Vul"can</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[L. <ets>Vulcanus</ets>, <ets>Volcanus</ets>: cf. Skr. <ets>ulk<amac/</ets> a firebrand, meteor. Cf. <er>Volcano</er>.]</ety> <fld>(Rom. Myth.)</fld> <def>The god of fire, who presided over the working of metals; -- answering to the Greek <xex>Hephaestus</xex>.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vulcanian</ent><br/
<hw>Vul*ca"ni*an</hw> <pr>(?)</pr>, <pos>a.</pos> <ety>[L. <ets>Vulcanius</ets>.]</ety><br/
[<source>1913 Webster</source>]</p>
<p><sn>1.</sn> <def>Of or pertaining to Vulcan; made by Vulcan; hence, of or pertaining to works in iron or other metals.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>Ingenious allusions to the <qex>Vulcanian</qex> panoply which Achilles lent to his feebler friend.</q> <rj><qau>Macaulay.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <fld>(Geol.)</fld> <def>Volcanic.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vulcanic</ent><br/
<hw>Vul*can"ic</hw> <pr>(?)</pr>, <pos>a.</pos> <sn>1.</sn> <def>Of or pertaining to Vulcan; made by Vulcan; Vulcanian.</def><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <def>Of or pertaining to volcanoes; specifically, relating to the geological theory of the Vulcanists, or Plutonists.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vulcanicity</ent><br/
<hw>Vul`can*ic"i*ty</hw> <pr>(?)</pr>, <pos>n.</pos> <def>Volcanicity.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vulcanism</ent><br/
<hw>Vul"can*ism</hw> <pr>(?)</pr>, <pos>n.</pos> <def>Volcanism.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vulcanist</ent><br/
<hw>Vul"can*ist</hw>, <pos>n.</pos> <def>A volcanist.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vulcanite</ent><br/
<hw>Vul"can*ite</hw> <pr>(?)</pr>, <pos>n.</pos> <def>Hard rubber produced by vulcanizing with a large proportion of sulphur.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vulcanization</ent><br/
<hw>Vul`can*i*za"tion</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[See <er>Vulcan</er>.]</ety> <def>The act or process of imparting to caoutchouc, gutta-percha, or the like, greater elasticity, durability, or hardness by heating with sulphur under pressure.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vulcanize</ent><br/
<hw>Vul"can*ize</hw> <pr>(?)</pr>, <pos>v. t.</pos> <vmorph>[<pos>imp. & p. p.</pos> <conjf>Vulcanized</conjf> <pr>(?)</pr>; <pos>p. pr. & vb. n.</pos> <conjf>Vulcanizing</conjf> <pr>(?)</pr>.]</vmorph> <def>To change the properties of, as caoutchouc, or India rubber, by the process of vulcanization.</def><br/
[<source>1913 Webster</source>]</p>
<p><cs><col><b>Vulcanized fiber</b></col>, <cd>paper, paper pulp, or other fiber, chemically treated, as with metallic chlorides, so as to form a substance resembling ebonite in texture, hardness, etc.</cd> <au>Knight.</au> -- <col><b>Vulcanized rubber</b></col>, <cd>India rubber, vulcanized.</cd></cs><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vulcanizer</ent><br/
<hw>Vul"can*i`zer</hw> <pr>(?)</pr>, <pos>n.</pos> <def>One who, or that which, vulcanizes; esp., an apparatus for vulcanizing caoutchouc.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vulcano</ent><br/
<hw>Vul*ca"no</hw> <pr>(?)</pr>, <pos>n.</pos> <def>A volcano.</def> <mark>[Obs.]</mark><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vulcanology</ent><br/
<hw>Vul`can*ol"o*gy</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[See <er>Vulcan</er>, and <er>-logy</er>.]</ety> <def>The science which treats of phenomena due to plutonic action, as in volcanoes, hot springs, etc.</def> <mark>[R.]</mark><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vulcan powder</ent><br/
<hw>Vul"can pow"der</hw>. <def>A dynamite composed of nitroglycerin (30 parts), sodium nitrate (52.5), charcoal (10.5), and sulphur (7), used in mining and blasting.</def></p>
<p><ent>Vulgar</ent><br/
<hw>Vul"gar</hw> <pr>(?)</pr>, <pos>a.</pos> <ety>[L. <ets>vulgaris</ets>, from <ets>vulgus</ets> the multitude, the common people; of uncertain origin: cf. F. <ets>vulgaire</ets>. Cf. <er>Divulge</er>.]</ety><br/
[<source>1913 Webster</source>]</p>
<p><sn>1.</sn> <def>Of or pertaining to the mass, or multitude, of people; common; general; ordinary; public; hence, in general use; vernacular.</def> <ldquo/As common as any the most vulgar thing to sense. <rdquo/ <rj><au> Shak.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><q>Things <qex>vulgar</qex>, and well-weighed, scarce worth the praise.</q> <rj><qau>Milton.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><q>It might be more useful to the English reader . . . to write in our <qex>vulgar</qex> language.</q> <rj><qau>Bp. Fell.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><q>The mechanical process of multiplying books had brought the New Testament in the <qex>vulgar</qex> tongue within the reach of every class.</q> <rj><qau>Bancroft.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <def>Belonging or relating to the common people, as distinguished from the cultivated or educated; pertaining to common life; plebeian; not select or distinguished; hence, sometimes, of little or no value.</def> <ldquo/Like the <xex>vulgar</xex> sort of market men.<rdquo/ <rj><au>Shak.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><q>Men who have passed all their time in low and <qex>vulgar</qex> life.</q> <rj><qau>Addison.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><q>In reading an account of a battle, we follow the hero with our whole attention, but seldom reflect on the<br/
<qex>vulgar</qex> heaps of slaughter.</q> <rj><qau>Rambler.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>3.</sn> <def>Hence, lacking cultivation or refinement; rustic; boorish; also, offensive to good taste or refined feelings; low; coarse; mean; base; <as>as, <ex>vulgar</ex> men, minds, language, or manners</as>.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>Be thou familiar, but by no means <qex>vulgar</qex>.</q> <rj><qau>Shak.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><cs><col><b>Vulgar fraction</b></col>. <fld>(Arith.)</fld> <cd>See under <er>Fraction</er>.</cd></cs><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vulgar</ent><br/
<hw>Vul"gar</hw>, <pos>n.</pos> <ety>[Cf. F. <ets>vulgaire</ets>.]</ety><br/
[<source>1913 Webster</source>]</p>
<p><sn>1.</sn> <def>One of the common people; a vulgar person.</def> <mark>[Obs.]</mark><br/
[<source>1913 Webster</source>]</p>
<p><q>These vile <qex>vulgars</qex> are extremely proud.</q> <rj><qau>Chapman.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <def>The vernacular, or common language.</def> <mark>[Obs.]</mark><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vulgarian</ent><br/
<hw>Vul*ga"ri*an</hw> <pr>(?)</pr>, <pos>n.</pos> <def>A vulgar person; one who has vulgar ideas. Used also adjectively.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vulgarism</ent><br/
<hw>Vul"gar*ism</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[Cf. F. <ets>vulgarisme</ets>.]</ety><br/
[<source>1913 Webster</source>]</p>
<p><sn>1.</sn> <def>Grossness; rudeness; vulgarity.</def><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <def>A vulgar phrase or expression.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>A fastidious taste will find offense in the occasional <qex>vulgarisms</qex>, or what we now call <ldquo/slang,<rdquo/ which not a few of our writers seem to have affected.</q> <rj><qau>Coleridge.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vulgarity</ent><br/
<hw>Vul*gar"i*ty</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[Cf. F. <ets>vulgarit<eacute/</ets>, L. <ets>vulgaritas</ets> the multitude.]</ety><br/
[<source>1913 Webster</source>]</p>
<p><sn>1.</sn> <def>The quality or state of being vulgar; mean condition of life; the state of the lower classes of society.</def> <rj><au>Sir T. Browne.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <def>Grossness or clownishness of manners of language; absence of refinement; coarseness.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>The reprobate <qex>vulgarity</qex> of the frequenters of Bartholomew Fair.</q> <rj><qau>B. Jonson.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vulgarization</ent><br/
<hw>Vul`gar*i*za"tion</hw> <pr>(?)</pr>, <pos>n.</pos> <def>The act or process of making vulgar, or common.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vulgarize</ent><br/
<hw>Vul"gar*ize</hw> <pr>(?)</pr>, <pos>v. t. & i.</pos> <vmorph>[<pos>imp. & p. p.</pos> <conjf>Vulgarized</conjf> <pr>(?)</pr>; <pos>p. pr. & vb. n.</pos> <conjf>Vulgarizing</conjf> <pr>(?)</pr>.]</vmorph> <ety>[Cf. F. <ets>vulgariser</ets>, LL. <ets>vulgarizare</ets>.]</ety> <def>To make vulgar, or common.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>Exhortation <qex>vulgarized</qex> by low wit.</q> <rj><qau>V. Knox.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vulgarly</ent><br/
<hw>Vul"gar*ly</hw>, <pos>adv.</pos> <def>In a vulgar manner.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vulgarness</ent><br/
<hw>Vul"gar*ness</hw>, <pos>n.</pos> <def>The quality of being vulgar.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vulgate</ent><br/
<hw>Vul"gate</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[NL. <ets>vulgata</ets>, from L. <ets>vulgatus</ets> usual, common, p. p. of <ets>vulgare</ets> to make general, or common, fr. <ets>vulgus</ets> the multitude: cf. F. <ets>vulgate</ets>. See <er>Vulgar</er>, <pos>a.</pos>]</ety> <def>An ancient Latin version of the Scripture, and the only version which the Roman Church admits to be authentic; -- so called from its common use in the Latin Church.</def><br/
[<source>1913 Webster</source>]</p>
<p><note><hand/ The Vulgate was made by Jerome at the close of the 4th century. The Old Testament he translated mostly from the Hebrew and Chaldaic, and the New Testament he revised from an older Latin version. The Douay version, so called, is an English translation from the Vulgate. See <er>Douay Bible</er>.</note><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vulgate</ent><br/
<hw>Vul"gate</hw> <pr>(?)</pr>, <pos>a.</pos> <def>Of or pertaining to the Vulgate, or the old Latin version of the Scriptures.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vulnerability</ent><br/
<hw>Vul`ner*a*bil"i*ty</hw> <pr>(?)</pr>, <pos>n.</pos> <def>The quality or state of being vulnerable; vulnerableness.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vulnerable</ent><br/
<hw>Vul"ner*a*ble</hw> <pr>(?)</pr>, <pos>a.</pos> <ety>[L. <ets>vulnerabilis</ets> wounding, injurious, from <ets>vulnerare</ets> to wound, <ets>vulnus</ets> a wound; akin to Skr. <ets>vra<?/a</ets>: cf. F. <ets>vuln<eacute/rable</ets>.]</ety><br/
[<source>1913 Webster</source>]</p>
<p><sn>1.</sn> <def>Capable of being wounded; susceptible of wounds or external injuries; <as>as, a <ex>vulnerable</ex> body</as>.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>Achilles was <qex>vulnerable</qex> in his heel; and there will be wanting a Paris to infix the dart.</q> <rj><qau>Dr. T. Dwight.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <def>Liable to injury; subject to be affected injuriously; assailable; <as>as, a <ex>vulnerable</ex> reputation</as>.</def><br/
[<source>1913 Webster</source>]</p>
<p><q>His skill in finding out the <qex>vulnerable</qex> parts of strong minds was consummate.</q> <rj><qau>Macaulay.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vulnerableness</ent><br/
<hw>Vul"ner*a*ble*ness</hw>, <pos>n.</pos> <def>The quality or state of being vulnerable; vulnerability.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vulnerary</ent><br/
<hw>Vul"ner*a*ry</hw> <pr>(?)</pr>, <pos>a.</pos> <ety>[L. <ets>vulnearius</ets>: cf. F. <ets>vuln<eacute/raire</ets>.]</ety> <def>Useful in healing wounds; adapted to the cure of external injuries; <as>as, <ex>vulnerary</ex> plants or potions</as>.</def> <ldquo/Such <xex>vulnerary</xex> remedies.<rdquo/ <au>Sir W. Scott.</au> -- <def2><pos>n.</pos> <ety>[Cf. F. <ets>vuln<eacute/raire</ets>.]</ety> <fld>(Med.)</fld> <def>A vulnerary remedy.</def></def2><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vulnerate</ent><br/
<hw>Vul"ner*ate</hw> <pr>(?)</pr>, <pos>v. t.</pos> <ety>[L. <ets>vulneratus</ets>, p. p. of <ets>vulnerare</ets> to wound.]</ety> <def>To wound; to hurt.</def> <mark>[Obs.]</mark><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vulneration</ent><br/
<hw>Vul`ner*a"tion</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[L. <ets>vulneratio</ets>.]</ety> <def>The act of wounding, or the state of being wounded.</def> <mark>[Obs.]</mark><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vulnerose</ent><br/
<hw>Vul"ner*ose`</hw> <pr>(?)</pr>, <pos>a.</pos> <def>Full of wounds; wounded.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vulnifical</ent><br/
<ent>Vulnific</ent><br/
<mhw>{ <hw>Vul*nif"ic</hw> <pr>(?)</pr>, <hw>Vul*nif"ic*al</hw> <pr>(?)</pr>, }</mhw> <pos>a.</pos> <ety>[L. <ets>vulnificus</ets>; <ets>vulnus</ets> a wound + <ets>facere</ets> to make.]</ety> <def>Causing wounds; inflicting wounds; wounding.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vulnose</ent><br/
<hw>Vul*nose"</hw> <pr>(?)</pr>, <pos>a.</pos> <def>Having wounds; vulnerose.</def> <mark>[R.]</mark><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vulpes</ent><br/
||<hw>Vul"pes</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[L., a fox.]</ety> <fld>(Zool.)</fld> <def>A genus of Carnivora including the foxes.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vulpic</ent><br/
<hw>Vul"pic</hw> <pr>(?)</pr>, <pos>a.</pos> <fld>(Chem.)</fld> <def>Pertaining to, derived from, or designating, an acid obtained from a lichen (<spn>Cetraria vulpina</spn>) as a yellow or red crystalline substance which on decomposition yields pulvinic acid.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vulpicide</ent><br/
<hw>Vul"pi*cide</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[L. <ets>vulpes</ets> a fox + <ets>caedere</ets> to kill.]</ety> <def>One who kills a fox, except in hunting; also, the act of so killing a fox.</def> <altsp>[Written also <asp>vulpecide</asp>.]</altsp><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vulpine</ent><br/
<hw>Vul"pine</hw> <pr>(?; 277)</pr>, <pos>a.</pos> <ety>[L. <ets>vulpinus</ets>, from <ets>vulpes</ets> a fox.]</ety> <def>Of or pertaining to the fox; resembling the fox; foxy; cunning; crafty; artful.</def><br/
[<source>1913 Webster</source>]</p>
<p><cs><col><b>Vulpine phalangist</b></col> <fld>(Zool.)</fld>, <cd>an Australian carnivorous marsupial (<spn>Phalangista vulpina</spn> syn. <spn>Trichosurus vulpina</spn>); -- called also <altname>vulpine phalanger</altname>, and <altname>vulpine opossum</altname>.</cd></cs><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vulpinic</ent><br/
<hw>Vul*pin"ic</hw> <pr>(?)</pr>, <pos>a.</pos> <fld>(Chem.)</fld> <def>Same as <er>Vulpic</er>.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vulpinism</ent><br/
<hw>Vul"pin*ism</hw> <pr>(?)</pr>, <pos>n.</pos> <def>The quality of being cunning like the fox; craft; artfulness.</def> <mark>[R.]</mark><br/
[<source>1913 Webster</source>]</p>
<p><q>He was without guile, and had no <qex>vulpinism</qex> at all.</q> <rj><qau>Carlyle.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vulpinite</ent><br/
<hw>Vul"pi*nite</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[So called after <ets>Vulpino</ets>, in Italy.]</ety> <fld>(Min.)</fld> <def>A scaly granular variety of anhydrite of a grayish white color, used for ornamental purposes.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vultern</ent><br/
<hw>Vul"tern</hw> <pr>(?)</pr>, <pos>n.</pos> <fld>(Zool.)</fld> <def>The brush turkey (<spn>Talegallus Lathami</spn>) of Australia. See <er>Brush turkey</er>.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vulture</ent><br/
<hw>Vul"ture</hw> <pr>(?; 135)</pr>, <pos>n.</pos> <ety>[OE. <ets>vultur</ets>, L. <ets>vultur</ets>: cf. OF. <ets>voltour</ets>, F. <ets>vautour</ets>.]</ety> <fld>(Zool.)</fld> <def>Any one of numerous species of rapacious birds belonging to <gen>Vultur</gen>, <gen>Cathartes</gen>, <gen>Catharista</gen>, and various other genera of the family <fam>Vulturidae</fam>.</def><br/
[<source>1913 Webster</source>]</p>
<p><note><hand/ In most of the species the head and neck are naked or nearly so. They feed chiefly on carrion. The condor, king vulture, turkey buzzard, and black vulture (<spn>Catharista atrata</spn>) are well known American species. The griffin, lammergeir, and Pharaoh's chicken, or Egyptian vulture, are common Old World vultures.</note><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vulturine</ent><br/
<hw>Vul"tur*ine</hw> <pr>(?; 277)</pr>, <pos>a.</pos> <ety>[L. <ets>vulturinus</ets>.]</ety> <def>Of or pertaining to a vulture; resembling a vulture in qualities or looks; <as>as, the <ex>vulturine</ex> sea eagle (<spn>Gypohierax Angolensis</spn>); <ex>vulturine</ex> rapacity.</as></def><br/
[<source>1913 Webster</source>]</p>
<p><q>The <qex>vulturine</qex> nose, which smells nothing but corruption, is no credit to its possessor.</q> <rj><qau>C. Kingsley.</qau></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vulturish</ent><br/
<hw>Vul"tur*ish</hw>, <pos>a.</pos> <def>Vulturous.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vulturism</ent><br/
<hw>Vul"tur*ism</hw> <pr>(?)</pr>, <pos>n.</pos> <def>The quality or state of being like a vulture; rapaciousness.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vulturous</ent><br/
<hw>Vul"tur*ous</hw> <pr>(?)</pr>, <pos>a.</pos> <def>Like a vulture; rapacious.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vulva</ent><br/
<hw>Vul"va</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[L. <ets>vulva</ets>, <ets>volva</ets>, from <ets>volvere</ets> to roll.]</ety><br/
[<source>1913 Webster</source>]</p>
<p><sn>1.</sn> <fld>(Anat.)</fld> <def>The external parts of the female genital organs; sometimes, the opening between the projecting parts of the external organs.</def><br/
[<source>1913 Webster</source>]</p>
<p><sn>2.</sn> <fld>(Zool.)</fld> <def>The orifice of the oviduct of an insect or other invertebrate.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vulviform</ent><br/
<hw>Vul"vi*form</hw> <pr>(?)</pr>, <pos>a.</pos> <ety>[L. <ets>vulva</ets>, <ets>volva</ets>, a wrapper + <ets>-form</ets>.]</ety> <fld>(Bot.)</fld> <def>Like a cleft with projecting edges.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vulvitis</ent><br/
||<hw>Vul*vi"tis</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[NL. See <er>Vulva</er>, and <er>-itis</er>.]</ety> <fld>(Med.)</fld> <def>Inflammation of the vulva.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vulvo-uterine</ent><br/
<hw>Vul`vo-u"ter*ine</hw> <pr>(?)</pr>, <pos>a.</pos> <fld>(Anat.)</fld> <def>Pertaining both to the vulva and the uterus.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vulvovaginal</ent><br/
<hw>Vul`vo*vag"i*nal</hw> <pr>(?)</pr>, <pos>a.</pos> <fld>(Anat.)</fld> <def>Pertaining both to the vulva and the vagina.</def><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vyce</ent><br/
<hw>Vyce</hw> <pr>(?)</pr>, <pos>n.</pos> <ety>[Cf. <er>Vise</er>.]</ety> <fld>(Coopering)</fld> <def>A kind of clamp with gimlet points for holding a barrel head while the staves are being closed around it.</def> <rj><au>Knight.</au></rj><br/
[<source>1913 Webster</source>]</p>
<p><ent>Vying</ent><br/
<hw>Vy"ing</hw> <pr>(?)</pr>, <def><pos>a. & n.</pos> from <er>Vie</er>.</def> -- <wordforms><wf>Vy"ing*ly</wf>, <pos>adv.</pos></wordforms><br/
[<source>1913 Webster</source>]</p>
<p><-- p. 1621 --><br/
|
/*
* Quarter-wave Cosine & Sine table.
* Features:
* - Parameterized for arbitrary input & output widths
* - 1/2 LSB angle offset for glitch-free quarter wave trig table
* - Unsigned quarter wave Lookup table
* - Table size is 2^(ANGLE_WIDTH-2) x OUT_WIDTH-1
* - 2 clock delay between input and output
*
* For a size example, an 18-bit output with a 12-bit angle requires a 1024x17
* table, which readily fits into a single RAM16 block in a Spartan 6 FPGA.
*/
module trigTable #(
parameter ANGLE_WIDTH = 12,
parameter OUT_WIDTH = 18
) (
input wire clk, ///< System Clock
input wire [ANGLE_WIDTH-1:0] angle, ///< Angle to take sine of
output reg signed [OUT_WIDTH-1:0] cos, ///< Cosine of angle
output reg signed [OUT_WIDTH-1:0] sin ///< Sine of angle
);
///////////////////////////////////////////////////////////////////////////
// PARAMETER AND SIGNAL DECLARATIONS
///////////////////////////////////////////////////////////////////////////
localparam TABLE_LEN = 2**(ANGLE_WIDTH-2);
wire [ANGLE_WIDTH-3:0] sinQuarterAngle;
wire [ANGLE_WIDTH-3:0] cosQuarterAngle;
reg [OUT_WIDTH-2:0] sineTable [TABLE_LEN-1:0];
reg sinBit;
reg cosBit;
reg [OUT_WIDTH-2:0] halfSin;
reg [OUT_WIDTH-2:0] halfCos;
integer i;
///////////////////////////////////////////////////////////////////////////
// MAIN CODE
///////////////////////////////////////////////////////////////////////////
assign sinQuarterAngle = (angle[ANGLE_WIDTH-2]) ? ~angle[ANGLE_WIDTH-3:0] : angle[ANGLE_WIDTH-3:0];
assign cosQuarterAngle = (~angle[ANGLE_WIDTH-2]) ? ~angle[ANGLE_WIDTH-3:0] : angle[ANGLE_WIDTH-3:0];
initial begin
sinBit = 1'b0;
cosBit = 1'b0;
halfSin = 'd0;
halfCos = 'd0;
sin = 'd0;
cos = 'd0;
for(i=0; i<TABLE_LEN; i=i+1) begin
sineTable[i] = $rtoi($floor($sin((i+0.5)*3.14159/(TABLE_LEN*2))*(2**(OUT_WIDTH-1)-1)+0.5));
end
end
always @(posedge clk) begin
sinBit <= angle[ANGLE_WIDTH-1];
cosBit <= angle[ANGLE_WIDTH-1] ^ angle[ANGLE_WIDTH-2];
halfSin <= sineTable[sinQuarterAngle];
halfCos <= sineTable[cosQuarterAngle];
sin <= sinBit ? -$signed({1'b0,halfSin}) : $signed({1'b0, halfSin});
cos <= cosBit ? -$signed({1'b0,halfCos}) : $signed({1'b0, halfCos});
end
endmodule
|
//
// Generated by Bluespec Compiler, version 2019.05.beta2 (build a88bf40db, 2019-05-24)
//
//
//
//
// Ports:
// Name I/O size props
// RDY_server_reset_request_put O 1 reg
// RDY_server_reset_response_get O 1
// read_rs1 O 64
// read_rs1_port2 O 64
// read_rs2 O 64
// CLK I 1 clock
// RST_N I 1 reset
// read_rs1_rs1 I 5
// read_rs1_port2_rs1 I 5
// read_rs2_rs2 I 5
// write_rd_rd I 5
// write_rd_rd_val I 64 reg
// EN_server_reset_request_put I 1
// EN_server_reset_response_get I 1
// EN_write_rd I 1
//
// Combinational paths from inputs to outputs:
// read_rs1_rs1 -> read_rs1
// read_rs1_port2_rs1 -> read_rs1_port2
// read_rs2_rs2 -> read_rs2
//
//
`ifdef BSV_ASSIGNMENT_DELAY
`else
`define BSV_ASSIGNMENT_DELAY
`endif
`ifdef BSV_POSITIVE_RESET
`define BSV_RESET_VALUE 1'b1
`define BSV_RESET_EDGE posedge
`else
`define BSV_RESET_VALUE 1'b0
`define BSV_RESET_EDGE negedge
`endif
module mkGPR_RegFile(CLK,
RST_N,
EN_server_reset_request_put,
RDY_server_reset_request_put,
EN_server_reset_response_get,
RDY_server_reset_response_get,
read_rs1_rs1,
read_rs1,
read_rs1_port2_rs1,
read_rs1_port2,
read_rs2_rs2,
read_rs2,
write_rd_rd,
write_rd_rd_val,
EN_write_rd);
input CLK;
input RST_N;
// action method server_reset_request_put
input EN_server_reset_request_put;
output RDY_server_reset_request_put;
// action method server_reset_response_get
input EN_server_reset_response_get;
output RDY_server_reset_response_get;
// value method read_rs1
input [4 : 0] read_rs1_rs1;
output [63 : 0] read_rs1;
// value method read_rs1_port2
input [4 : 0] read_rs1_port2_rs1;
output [63 : 0] read_rs1_port2;
// value method read_rs2
input [4 : 0] read_rs2_rs2;
output [63 : 0] read_rs2;
// action method write_rd
input [4 : 0] write_rd_rd;
input [63 : 0] write_rd_rd_val;
input EN_write_rd;
// signals for module outputs
wire [63 : 0] read_rs1, read_rs1_port2, read_rs2;
wire RDY_server_reset_request_put, RDY_server_reset_response_get;
// register rg_state
reg [1 : 0] rg_state;
reg [1 : 0] rg_state$D_IN;
wire rg_state$EN;
// ports of submodule f_reset_rsps
wire f_reset_rsps$CLR,
f_reset_rsps$DEQ,
f_reset_rsps$EMPTY_N,
f_reset_rsps$ENQ,
f_reset_rsps$FULL_N;
// ports of submodule regfile
wire [63 : 0] regfile$D_IN,
regfile$D_OUT_1,
regfile$D_OUT_2,
regfile$D_OUT_3;
wire [4 : 0] regfile$ADDR_1,
regfile$ADDR_2,
regfile$ADDR_3,
regfile$ADDR_4,
regfile$ADDR_5,
regfile$ADDR_IN;
wire regfile$WE;
// rule scheduling signals
wire CAN_FIRE_RL_rl_reset_loop,
CAN_FIRE_RL_rl_reset_start,
CAN_FIRE_server_reset_request_put,
CAN_FIRE_server_reset_response_get,
CAN_FIRE_write_rd,
WILL_FIRE_RL_rl_reset_loop,
WILL_FIRE_RL_rl_reset_start,
WILL_FIRE_server_reset_request_put,
WILL_FIRE_server_reset_response_get,
WILL_FIRE_write_rd;
// action method server_reset_request_put
assign RDY_server_reset_request_put = f_reset_rsps$FULL_N ;
assign CAN_FIRE_server_reset_request_put = f_reset_rsps$FULL_N ;
assign WILL_FIRE_server_reset_request_put = EN_server_reset_request_put ;
// action method server_reset_response_get
assign RDY_server_reset_response_get =
rg_state == 2'd2 && f_reset_rsps$EMPTY_N ;
assign CAN_FIRE_server_reset_response_get =
rg_state == 2'd2 && f_reset_rsps$EMPTY_N ;
assign WILL_FIRE_server_reset_response_get = EN_server_reset_response_get ;
// value method read_rs1
assign read_rs1 = (read_rs1_rs1 == 5'd0) ? 64'd0 : regfile$D_OUT_3 ;
// value method read_rs1_port2
assign read_rs1_port2 =
(read_rs1_port2_rs1 == 5'd0) ? 64'd0 : regfile$D_OUT_2 ;
// value method read_rs2
assign read_rs2 = (read_rs2_rs2 == 5'd0) ? 64'd0 : regfile$D_OUT_1 ;
// action method write_rd
assign CAN_FIRE_write_rd = 1'd1 ;
assign WILL_FIRE_write_rd = EN_write_rd ;
// submodule f_reset_rsps
FIFO20 #(.guarded(32'd1)) f_reset_rsps(.RST(RST_N),
.CLK(CLK),
.ENQ(f_reset_rsps$ENQ),
.DEQ(f_reset_rsps$DEQ),
.CLR(f_reset_rsps$CLR),
.FULL_N(f_reset_rsps$FULL_N),
.EMPTY_N(f_reset_rsps$EMPTY_N));
// submodule regfile
RegFile #(.addr_width(32'd5),
.data_width(32'd64),
.lo(5'h0),
.hi(5'd31)) regfile(.CLK(CLK),
.ADDR_1(regfile$ADDR_1),
.ADDR_2(regfile$ADDR_2),
.ADDR_3(regfile$ADDR_3),
.ADDR_4(regfile$ADDR_4),
.ADDR_5(regfile$ADDR_5),
.ADDR_IN(regfile$ADDR_IN),
.D_IN(regfile$D_IN),
.WE(regfile$WE),
.D_OUT_1(regfile$D_OUT_1),
.D_OUT_2(regfile$D_OUT_2),
.D_OUT_3(regfile$D_OUT_3),
.D_OUT_4(),
.D_OUT_5());
// rule RL_rl_reset_start
assign CAN_FIRE_RL_rl_reset_start = rg_state == 2'd0 ;
assign WILL_FIRE_RL_rl_reset_start = rg_state == 2'd0 ;
// rule RL_rl_reset_loop
assign CAN_FIRE_RL_rl_reset_loop = rg_state == 2'd1 ;
assign WILL_FIRE_RL_rl_reset_loop = rg_state == 2'd1 ;
// register rg_state
always@(EN_server_reset_request_put or
WILL_FIRE_RL_rl_reset_loop or WILL_FIRE_RL_rl_reset_start)
case (1'b1)
EN_server_reset_request_put: rg_state$D_IN = 2'd0;
WILL_FIRE_RL_rl_reset_loop: rg_state$D_IN = 2'd2;
WILL_FIRE_RL_rl_reset_start: rg_state$D_IN = 2'd1;
default: rg_state$D_IN = 2'b10 /* unspecified value */ ;
endcase
assign rg_state$EN =
EN_server_reset_request_put || WILL_FIRE_RL_rl_reset_start ||
WILL_FIRE_RL_rl_reset_loop ;
// submodule f_reset_rsps
assign f_reset_rsps$ENQ = EN_server_reset_request_put ;
assign f_reset_rsps$DEQ = EN_server_reset_response_get ;
assign f_reset_rsps$CLR = 1'b0 ;
// submodule regfile
assign regfile$ADDR_1 = read_rs2_rs2 ;
assign regfile$ADDR_2 = read_rs1_port2_rs1 ;
assign regfile$ADDR_3 = read_rs1_rs1 ;
assign regfile$ADDR_4 = 5'h0 ;
assign regfile$ADDR_5 = 5'h0 ;
assign regfile$ADDR_IN = write_rd_rd ;
assign regfile$D_IN = write_rd_rd_val ;
assign regfile$WE = EN_write_rd && write_rd_rd != 5'd0 ;
// handling of inlined registers
always@(posedge CLK)
begin
if (RST_N == `BSV_RESET_VALUE)
begin
rg_state <= `BSV_ASSIGNMENT_DELAY 2'd0;
end
else
begin
if (rg_state$EN) rg_state <= `BSV_ASSIGNMENT_DELAY rg_state$D_IN;
end
end
// synopsys translate_off
`ifdef BSV_NO_INITIAL_BLOCKS
`else // not BSV_NO_INITIAL_BLOCKS
initial
begin
rg_state = 2'h2;
end
`endif // BSV_NO_INITIAL_BLOCKS
// synopsys translate_on
endmodule // mkGPR_RegFile
|
/*
* Copyright 2020 The SkyWater PDK Authors
*
* Licensed under the Apache License, Version 2.0 (the "License");
* you may not use this file except in compliance with the License.
* You may obtain a copy of the License at
*
* https://www.apache.org/licenses/LICENSE-2.0
*
* Unless required by applicable law or agreed to in writing, software
* distributed under the License is distributed on an "AS IS" BASIS,
* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
* See the License for the specific language governing permissions and
* limitations under the License.
*
* SPDX-License-Identifier: Apache-2.0
*/
`ifndef SKY130_FD_SC_HS__DECAP_BEHAVIORAL_PP_V
`define SKY130_FD_SC_HS__DECAP_BEHAVIORAL_PP_V
/**
* decap: Decoupling capacitance filler.
*
* Verilog simulation functional model.
*/
`timescale 1ns / 1ps
`default_nettype none
`celldefine
module sky130_fd_sc_hs__decap (
VGND,
VPWR
);
// Module ports
input VGND;
input VPWR;
// No contents.
endmodule
`endcelldefine
`default_nettype wire
`endif // SKY130_FD_SC_HS__DECAP_BEHAVIORAL_PP_V |
// DESCRIPTION: Verilator: Verilog Test module
//
// This file ONLY is placed into the Public Domain, for any use,
// without warranty, 2009 by Iztok Jeras.
module t (/*AUTOARG*/
// Inputs
clk
);
input clk;
logic [1:0] [3:0] [3:0] array_simp; // big endian array
initial begin
array_simp[0] = '{ 4'd3, 4'd2, 4'd1, 4'd0};
if (array_simp[0] !== 16'h3210) $stop;
// verilator lint_off WIDTH
array_simp[0] = '{ 3 ,2 ,1, 0 };
// verilator lint_on WIDTH
if (array_simp[0] !== 16'h3210) $stop;
// Doesn't seem to work for unpacked arrays in other simulators
//if (array_simp[0] !== 16'h3210) $stop;
//array_simp[0] = '{ 1:4'd3, default:13};
//if (array_simp[0] !== 16'hDD3D) $stop;
array_simp = '{ '{ 4'd3, 4'd2, 4'd1, 4'd0 }, '{ 4'd1, 4'd2, 4'd3, 4'd4 }};
if (array_simp !== 32'h3210_1234) $stop;
// Doesn't seem to work for unpacked arrays in other simulators
//array_simp <= '{2 { '{4 { 4'd3, 4'd2, 4'd1, 4'd0 }} } };
$write("*-* All Finished *-*\n");
$finish;
end
//====================
// parameters for array sizes
localparam WA = 4; // address dimension size
localparam WB = 4; // bit dimension size
localparam NO = 11; // number of access events
// 2D packed arrays
logic [WA-1:0] [WB-1:0] array_bg; // big endian array
/* verilator lint_off LITENDIAN */
logic [0:WA-1] [0:WB-1] array_lt; // little endian array
/* verilator lint_on LITENDIAN */
integer cnt = 0;
// event counter
always @ (posedge clk) begin
cnt <= cnt + 1;
end
// finish report
always @ (posedge clk)
if ((cnt[30:2]==(NO-1)) && (cnt[1:0]==2'd3)) begin
$write("*-* All Finished *-*\n");
$finish;
end
// big endian
always @ (posedge clk)
if (cnt[1:0]==2'd0) begin
// initialize to defaults (all bits 1'b0)
if (cnt[30:2]== 0) array_bg <= '0;
else if (cnt[30:2]== 1) array_bg <= '0;
else if (cnt[30:2]== 2) array_bg <= '0;
else if (cnt[30:2]== 3) array_bg <= '0;
else if (cnt[30:2]== 4) array_bg <= '0;
else if (cnt[30:2]== 5) array_bg <= '0;
else if (cnt[30:2]== 6) array_bg <= '0;
else if (cnt[30:2]== 7) array_bg <= '0;
else if (cnt[30:2]== 8) array_bg <= '0;
else if (cnt[30:2]== 9) array_bg <= '0;
else if (cnt[30:2]==10) array_bg <= '0;
end else if (cnt[1:0]==2'd1) begin
// write data into whole or part of the array using literals
if (cnt[30:2]== 0) begin end
else if (cnt[30:2]== 1) array_bg <= '{ 3 ,2 ,1, 0 };
else if (cnt[30:2]== 2) array_bg <= '{default:13};
else if (cnt[30:2]== 3) array_bg <= '{0:4, 1:5, 2:6, 3:7};
else if (cnt[30:2]== 4) array_bg <= '{2:15, default:13};
else if (cnt[30:2]== 5) array_bg <= '{WA { {WB {2'b10}} }};
else if (cnt[30:2]== 6) array_bg <= '{cnt+0, cnt+1, cnt+2, cnt+3};
end else if (cnt[1:0]==2'd2) begin
// chack array agains expected value
if (cnt[30:2]== 0) begin if (array_bg !== 16'b0000000000000000) begin $display("%b", array_bg); $stop(); end end
else if (cnt[30:2]== 1) begin if (array_bg !== 16'b0011001000010000) begin $display("%b", array_bg); $stop(); end end
else if (cnt[30:2]== 2) begin if (array_bg !== 16'b1101110111011101) begin $display("%b", array_bg); $stop(); end end
else if (cnt[30:2]== 3) begin if (array_bg !== 16'b0111011001010100) begin $display("%b", array_bg); $stop(); end end
else if (cnt[30:2]== 4) begin if (array_bg !== 16'b1101111111011101) begin $display("%b", array_bg); $stop(); end end
else if (cnt[30:2]== 5) begin if (array_bg !== 16'b1010101010101010) begin $display("%b", array_bg); $stop(); end end
else if (cnt[30:2]== 6) begin if (array_bg !== 16'b1001101010111100) begin $display("%b", array_bg); $stop(); end end
end
// little endian
always @ (posedge clk)
if (cnt[1:0]==2'd0) begin
// initialize to defaults (all bits 1'b0)
if (cnt[30:2]== 0) array_lt <= '0;
else if (cnt[30:2]== 1) array_lt <= '0;
else if (cnt[30:2]== 2) array_lt <= '0;
else if (cnt[30:2]== 3) array_lt <= '0;
else if (cnt[30:2]== 4) array_lt <= '0;
else if (cnt[30:2]== 5) array_lt <= '0;
else if (cnt[30:2]== 6) array_lt <= '0;
else if (cnt[30:2]== 7) array_lt <= '0;
else if (cnt[30:2]== 8) array_lt <= '0;
else if (cnt[30:2]== 9) array_lt <= '0;
else if (cnt[30:2]==10) array_lt <= '0;
end else if (cnt[1:0]==2'd1) begin
// write data into whole or part of the array using literals
if (cnt[30:2]== 0) begin end
else if (cnt[30:2]== 1) array_lt <= '{ 3 ,2 ,1, 0 };
else if (cnt[30:2]== 2) array_lt <= '{default:13};
else if (cnt[30:2]== 3) array_lt <= '{3:4, 2:5, 1:6, 0:7};
else if (cnt[30:2]== 4) array_lt <= '{1:15, default:13};
else if (cnt[30:2]== 5) array_lt <= '{WA { {WB/2 {2'b10}} }};
else if (cnt[30:2]==10) array_lt <= '{cnt+0, cnt+1, cnt+2, cnt+3};
end else if (cnt[1:0]==2'd2) begin
// chack array agains expected value
if (cnt[30:2]== 0) begin if (array_lt !== 16'b0000000000000000) begin $display("%b", array_lt); $stop(); end end
else if (cnt[30:2]== 1) begin if (array_lt !== 16'b0011001000010000) begin $display("%b", array_lt); $stop(); end end
else if (cnt[30:2]== 2) begin if (array_lt !== 16'b1101110111011101) begin $display("%b", array_lt); $stop(); end end
else if (cnt[30:2]== 3) begin if (array_lt !== 16'b0111011001010100) begin $display("%b", array_lt); $stop(); end end
else if (cnt[30:2]== 4) begin if (array_lt !== 16'b1101111111011101) begin $display("%b", array_lt); $stop(); end end
else if (cnt[30:2]== 5) begin if (array_lt !== 16'b1010101010101010) begin $display("%b", array_lt); $stop(); end end
else if (cnt[30:2]==10) begin if (array_lt !== 16'b1001101010111100) begin $display("%b", array_lt); $stop(); end end
end
endmodule
|
/*
Copyright 2018 Nuclei System Technology, Inc.
Licensed under the Apache License, Version 2.0 (the "License");
you may not use this file except in compliance with the License.
You may obtain a copy of the License at
http://www.apache.org/licenses/LICENSE-2.0
Unless required by applicable law or agreed to in writing, software
distributed under the License is distributed on an "AS IS" BASIS,
WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
See the License for the specific language governing permissions and
limitations under the License.
*/
//=====================================================================
// Designer : Bob Hu
//
// Description:
// The Regfile module to implement the core's general purpose registers file
//
// ====================================================================
`include "e203_defines.v"
module e203_exu_regfile(
input [`E203_RFIDX_WIDTH-1:0] read_src1_idx,
input [`E203_RFIDX_WIDTH-1:0] read_src2_idx,
output [`E203_XLEN-1:0] read_src1_dat,
output [`E203_XLEN-1:0] read_src2_dat,
input wbck_dest_wen,
input [`E203_RFIDX_WIDTH-1:0] wbck_dest_idx,
input [`E203_XLEN-1:0] wbck_dest_dat,
output [`E203_XLEN-1:0] x1_r,
input test_mode,
input clk,
input rst_n
);
wire [`E203_XLEN-1:0] rf_r [`E203_RFREG_NUM-1:0];
wire [`E203_RFREG_NUM-1:0] rf_wen;
`ifdef E203_REGFILE_LATCH_BASED //{
// Use DFF to buffer the write-port
wire [`E203_XLEN-1:0] wbck_dest_dat_r;
sirv_gnrl_dffl #(`E203_XLEN) wbck_dat_dffl (wbck_dest_wen, wbck_dest_dat, wbck_dest_dat_r, clk);
wire [`E203_RFREG_NUM-1:0] clk_rf_ltch;
`endif//}
genvar i;
generate //{
for (i=0; i<`E203_RFREG_NUM; i=i+1) begin:regfile//{
if(i==0) begin: rf0
// x0 cannot be wrote since it is constant-zeros
assign rf_wen[i] = 1'b0;
assign rf_r[i] = `E203_XLEN'b0;
`ifdef E203_REGFILE_LATCH_BASED //{
assign clk_rf_ltch[i] = 1'b0;
`endif//}
end
else begin: rfno0
assign rf_wen[i] = wbck_dest_wen & (wbck_dest_idx == i) ;
`ifdef E203_REGFILE_LATCH_BASED //{
e203_clkgate u_e203_clkgate(
.clk_in (clk ),
.test_mode(test_mode),
.clock_en(rf_wen[i]),
.clk_out (clk_rf_ltch[i])
);
//from write-enable to clk_rf_ltch to rf_ltch
sirv_gnrl_ltch #(`E203_XLEN) rf_ltch (clk_rf_ltch[i], wbck_dest_dat_r, rf_r[i]);
`else//}{
sirv_gnrl_dffl #(`E203_XLEN) rf_dffl (rf_wen[i], wbck_dest_dat, rf_r[i], clk);
`endif//}
end
end//}
endgenerate//}
assign read_src1_dat = rf_r[read_src1_idx];
assign read_src2_dat = rf_r[read_src2_idx];
// wire [`E203_XLEN-1:0] x0 = rf_r[0];
// wire [`E203_XLEN-1:0] x1 = rf_r[1];
// wire [`E203_XLEN-1:0] x2 = rf_r[2];
// wire [`E203_XLEN-1:0] x3 = rf_r[3];
// wire [`E203_XLEN-1:0] x4 = rf_r[4];
// wire [`E203_XLEN-1:0] x5 = rf_r[5];
// wire [`E203_XLEN-1:0] x6 = rf_r[6];
// wire [`E203_XLEN-1:0] x7 = rf_r[7];
// wire [`E203_XLEN-1:0] x8 = rf_r[8];
// wire [`E203_XLEN-1:0] x9 = rf_r[9];
// wire [`E203_XLEN-1:0] x10 = rf_r[10];
// wire [`E203_XLEN-1:0] x11 = rf_r[11];
// wire [`E203_XLEN-1:0] x12 = rf_r[12];
// wire [`E203_XLEN-1:0] x13 = rf_r[13];
// wire [`E203_XLEN-1:0] x14 = rf_r[14];
// wire [`E203_XLEN-1:0] x15 = rf_r[15];
// `ifdef E203_RFREG_NUM_IS_32 //{
// wire [`E203_XLEN-1:0] x16 = rf_r[16];
// wire [`E203_XLEN-1:0] x17 = rf_r[17];
// wire [`E203_XLEN-1:0] x18 = rf_r[18];
// wire [`E203_XLEN-1:0] x19 = rf_r[19];
// wire [`E203_XLEN-1:0] x20 = rf_r[20];
// wire [`E203_XLEN-1:0] x21 = rf_r[21];
// wire [`E203_XLEN-1:0] x22 = rf_r[22];
// wire [`E203_XLEN-1:0] x23 = rf_r[23];
// wire [`E203_XLEN-1:0] x24 = rf_r[24];
// wire [`E203_XLEN-1:0] x25 = rf_r[25];
// wire [`E203_XLEN-1:0] x26 = rf_r[26];
// wire [`E203_XLEN-1:0] x27 = rf_r[27];
// wire [`E203_XLEN-1:0] x28 = rf_r[28];
// wire [`E203_XLEN-1:0] x29 = rf_r[29];
// wire [`E203_XLEN-1:0] x30 = rf_r[30];
// wire [`E203_XLEN-1:0] x31 = rf_r[31];
// `endif//}
assign x1_r = rf_r[1];
endmodule
|
/**
* Copyright 2020 The SkyWater PDK Authors
*
* Licensed under the Apache License, Version 2.0 (the "License");
* you may not use this file except in compliance with the License.
* You may obtain a copy of the License at
*
* https://www.apache.org/licenses/LICENSE-2.0
*
* Unless required by applicable law or agreed to in writing, software
* distributed under the License is distributed on an "AS IS" BASIS,
* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
* See the License for the specific language governing permissions and
* limitations under the License.
*
* SPDX-License-Identifier: Apache-2.0
*/
`ifndef SKY130_FD_SC_HDLL__OR3B_TB_V
`define SKY130_FD_SC_HDLL__OR3B_TB_V
/**
* or3b: 3-input OR, first input inverted.
*
* Autogenerated test bench.
*
* WARNING: This file is autogenerated, do not modify directly!
*/
`timescale 1ns / 1ps
`default_nettype none
`include "sky130_fd_sc_hdll__or3b.v"
module top();
// Inputs are registered
reg A;
reg B;
reg C_N;
reg VPWR;
reg VGND;
reg VPB;
reg VNB;
// Outputs are wires
wire X;
initial
begin
// Initial state is x for all inputs.
A = 1'bX;
B = 1'bX;
C_N = 1'bX;
VGND = 1'bX;
VNB = 1'bX;
VPB = 1'bX;
VPWR = 1'bX;
#20 A = 1'b0;
#40 B = 1'b0;
#60 C_N = 1'b0;
#80 VGND = 1'b0;
#100 VNB = 1'b0;
#120 VPB = 1'b0;
#140 VPWR = 1'b0;
#160 A = 1'b1;
#180 B = 1'b1;
#200 C_N = 1'b1;
#220 VGND = 1'b1;
#240 VNB = 1'b1;
#260 VPB = 1'b1;
#280 VPWR = 1'b1;
#300 A = 1'b0;
#320 B = 1'b0;
#340 C_N = 1'b0;
#360 VGND = 1'b0;
#380 VNB = 1'b0;
#400 VPB = 1'b0;
#420 VPWR = 1'b0;
#440 VPWR = 1'b1;
#460 VPB = 1'b1;
#480 VNB = 1'b1;
#500 VGND = 1'b1;
#520 C_N = 1'b1;
#540 B = 1'b1;
#560 A = 1'b1;
#580 VPWR = 1'bx;
#600 VPB = 1'bx;
#620 VNB = 1'bx;
#640 VGND = 1'bx;
#660 C_N = 1'bx;
#680 B = 1'bx;
#700 A = 1'bx;
end
sky130_fd_sc_hdll__or3b dut (.A(A), .B(B), .C_N(C_N), .VPWR(VPWR), .VGND(VGND), .VPB(VPB), .VNB(VNB), .X(X));
endmodule
`default_nettype wire
`endif // SKY130_FD_SC_HDLL__OR3B_TB_V
|
//======================================================================
//
// salsa20_qr.v
// -----------
// Verilog 2001 implementation of the stream cipher Salsa20.
// This is the combinational QR logic as a separade module to allow
// us to build versions of the cipher with 1, 2, 4 and even 8
// parallel qr functions.
//
//
// Copyright (c) 2013 Secworks Sweden AB
// All rights reserved.
//
// Redistribution and use in source and binary forms, with or
// without modification, are permitted provided that the following
// conditions are met:
//
// 1. Redistributions of source code must retain the above copyright
// notice, this list of conditions and the following disclaimer.
//
// 2. Redistributions in binary form must reproduce the above copyright
// notice, this list of conditions and the following disclaimer in
// the documentation and/or other materials provided with the
// distribution.
//
// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS
// "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT
// LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS
// FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE
// COPYRIGHT OWNER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT,
// INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING,
// BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES;
// LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER
// CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT,
// STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE)
// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF
// ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
//
//======================================================================
module salsa20_qr(
input wire [31 : 0] y0,
input wire [31 : 0] y1,
input wire [31 : 0] y2,
input wire [31 : 0] y3,
output wire [31 : 0] z0,
output wire [31 : 0] z1,
output wire [31 : 0] z2,
output wire [31 : 0] z3
);
//----------------------------------------------------------------
// Wires.
//----------------------------------------------------------------
reg [31 : 0] tmp_z0;
reg [31 : 0] tmp_z1;
reg [31 : 0] tmp_z2;
reg [31 : 0] tmp_z3;
//----------------------------------------------------------------
// Concurrent connectivity for ports.
//----------------------------------------------------------------
assign z0 = tmp_z0;
assign z1 = tmp_z1;
assign z2 = tmp_z2;
assign z3 = tmp_z3;
//----------------------------------------------------------------
// qr
//
// The actual quarterround function.
//----------------------------------------------------------------
always @*
begin : qr
reg [31 : 0] z0_0;
reg [31 : 0] z1_0;
reg [31 : 0] z2_0;
reg [31 : 0] z3_0;
z1_0 = (y0 + y3);
tmp_z1 = {z1_0[24 : 0], z1_0[31 : 25]} ^ y1;
z2_0 = (tmp_z1 + y0);
tmp_z2 = {z2_0[22 : 0], z2_0[31 : 23]} ^ y2;
z3_0 = (tmp_z2 + tmp_z1);
tmp_z3 = {z3_0[18 : 0], z3_0[31 : 19]} ^ y3;
z0_0 = (tmp_z3 + tmp_z2);
tmp_z0 = {z0_0[13 : 0], z0_0[31 : 14]} ^ y0;
end // qr
endmodule // salsa20_qr
//======================================================================
// EOF salsa20_qr.v
//======================================================================
|
//======================================================================
//
// uart.v
// ------
// Top level wrapper for the uart core.
//
// A simple universal asynchronous receiver/transmitter (UART)
// interface. The interface contains 16 byte wide transmit and
// receivea buffers and can handle start and stop bits. But in
// general is rather simple. The primary purpose is as host
// interface for the coretest design. The core also has a
// loopback mode to allow testing of a serial link.
//
// Note that the UART has a separate API interface to allow
// a control core to change settings such as speed. But the core
// has default values to allow it to start operating directly
// after reset. No config should be needed.
//
//
// Author: Joachim Strombergson
// Copyright (c) 2014, Secworks Sweden AB
//
// Redistribution and use in source and binary forms, with or
// without modification, are permitted provided that the following
// conditions are met:
//
// 1. Redistributions of source code must retain the above copyright
// notice, this list of conditions and the following disclaimer.
//
// 2. Redistributions in binary form must reproduce the above copyright
// notice, this list of conditions and the following disclaimer in
// the documentation and/or other materials provided with the
// distribution.
//
// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS
// "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT
// LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS
// FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE
// COPYRIGHT OWNER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT,
// INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING,
// BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES;
// LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER
// CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT,
// STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE)
// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF
// ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
//
//======================================================================
module uart(
input wire clk,
input wire reset_n,
// External interface.
input wire rxd,
output wire txd,
// Internal receive interface.
output wire rxd_syn,
output [7 : 0] rxd_data,
input wire rxd_ack,
// Internal transmit interface.
input wire txd_syn,
input wire [7 : 0] txd_data,
output wire txd_ack,
// API interface.
input wire cs,
input wire we,
input wire [7 : 0] address,
input wire [31 : 0] write_data,
output wire [31 : 0] read_data,
output wire error,
// Debug output.
output wire [7 : 0] debug
);
//----------------------------------------------------------------
// Internal constant and parameter definitions.
//----------------------------------------------------------------
// API addresses.
parameter ADDR_CORE_NAME0 = 8'h00;
parameter ADDR_CORE_NAME1 = 8'h01;
parameter ADDR_CORE_TYPE = 8'h02;
parameter ADDR_CORE_VERSION = 8'h03;
parameter ADDR_BIT_RATE = 8'h10;
parameter ADDR_DATA_BITS = 8'h11;
parameter ADDR_STOP_BITS = 8'h12;
// Core ID constants.
parameter CORE_NAME0 = 32'h75617274; // "uart"
parameter CORE_NAME1 = 32'h20202020; // " "
parameter CORE_TYPE = 32'h20202031; // " 1"
parameter CORE_VERSION = 32'h302e3031; // "0.01"
// The default bit rate is based on target clock frequency
// divided by the bit rate times in order to hit the
// center of the bits. I.e.
// Clock: 50 MHz, 9600 bps
// Divisor = 50*10E6 / 9600 = 5208
parameter DEFAULT_BIT_RATE = 16'd5208;
parameter DEFAULT_DATA_BITS = 4'h8;
parameter DEFAULT_STOP_BITS = 2'h1;
//----------------------------------------------------------------
// Registers including update variables and write enable.
//----------------------------------------------------------------
reg [15 : 0] bit_rate_reg;
reg [15 : 0] bit_rate_new;
reg bit_rate_we;
reg [3 : 0] data_bits_reg;
reg [3 : 0] data_bits_new;
reg data_bits_we;
reg [1 : 0] stop_bits_reg;
reg [1 : 0] stop_bits_new;
reg stop_bits_we;
//----------------------------------------------------------------
// Wires.
//----------------------------------------------------------------
wire [15 : 0] bit_rate;
wire [1 : 0] stop_bits;
wire core_rxd;
wire core_txd;
wire core_rxd_syn;
wire [7 : 0] core_rxd_data;
wire core_rxd_ack;
wire core_txd_syn;
wire [7 : 0] core_txd_data;
wire core_txd_ack;
reg [31 : 0] tmp_read_data;
reg tmp_error;
//----------------------------------------------------------------
// Concurrent connectivity for ports etc.
//----------------------------------------------------------------
assign txd = core_txd;
assign core_rxd = rxd;
assign rxd_syn = core_rxd_syn;
assign rxd_data = core_rxd_data;
assign core_rxd_ack = rxd_ack;
assign core_txd_syn = txd_syn;
assign core_txd_data = txd_data;
assign txd_ack = core_txd_ack;
assign read_data = tmp_read_data;
assign error = tmp_error;
assign debug = core_rxd_data;
//----------------------------------------------------------------
// core
//
// Instantiation of the uart core.
//----------------------------------------------------------------
uart_core core(
.clk(clk),
.reset_n(reset_n),
// Configuration parameters
.bit_rate(bit_rate_reg),
.data_bits(data_bits_reg),
.stop_bits(stop_bits_reg),
// External data interface
.rxd(core_rxd),
.txd(core_txd),
// Internal receive interface.
.rxd_syn(core_rxd_syn),
.rxd_data(core_rxd_data),
.rxd_ack(core_rxd_ack),
// Internal transmit interface.
.txd_syn(core_txd_syn),
.txd_data(core_txd_data),
.txd_ack(core_txd_ack)
);
//----------------------------------------------------------------
// reg_update
//
// Update functionality for all registers in the core.
// All registers are positive edge triggered with asynchronous
// active low reset.
//----------------------------------------------------------------
always @ (posedge clk or negedge reset_n)
begin: reg_update
if (!reset_n)
begin
bit_rate_reg <= DEFAULT_BIT_RATE;
data_bits_reg <= DEFAULT_DATA_BITS;
stop_bits_reg <= DEFAULT_STOP_BITS;
end
else
begin
if (bit_rate_we)
begin
bit_rate_reg <= bit_rate_new;
end
if (data_bits_we)
begin
data_bits_reg <= data_bits_new;
end
if (stop_bits_we)
begin
stop_bits_reg <= stop_bits_new;
end
end
end // reg_update
//----------------------------------------------------------------
// api
//
// The core API that allows an internal host to control the
// core functionality.
//----------------------------------------------------------------
always @*
begin: api
// Default assignments.
bit_rate_new = 16'h0000;
bit_rate_we = 0;
data_bits_new = 4'h0;
data_bits_we = 0;
stop_bits_new = 2'b00;
stop_bits_we = 0;
tmp_read_data = 32'h00000000;
tmp_error = 0;
if (cs)
begin
if (we)
begin
// Write operations.
case (address)
ADDR_BIT_RATE:
begin
bit_rate_new = write_data[15 : 0];
bit_rate_we = 1;
end
ADDR_DATA_BITS:
begin
data_bits_new = write_data[3 : 0];
data_bits_we = 1;
end
ADDR_STOP_BITS:
begin
stop_bits_new = write_data[1 : 0];
stop_bits_we = 1;
end
default:
begin
tmp_error = 1;
end
endcase // case (address)
end
else
begin
// Read operations.
case (address)
ADDR_CORE_NAME0:
begin
tmp_read_data = CORE_NAME0;
end
ADDR_CORE_NAME1:
begin
tmp_read_data = CORE_NAME1;
end
ADDR_CORE_TYPE:
begin
tmp_read_data = CORE_TYPE;
end
ADDR_CORE_VERSION:
begin
tmp_read_data = CORE_VERSION;
end
ADDR_BIT_RATE:
begin
tmp_read_data = {16'h0000, bit_rate_reg};
end
ADDR_DATA_BITS:
begin
tmp_read_data = {28'h0000000, data_bits_reg};
end
ADDR_STOP_BITS:
begin
tmp_read_data = {30'h0000000, stop_bits_reg};
end
default:
begin
tmp_error = 1;
end
endcase // case (address)
end
end
end
endmodule // uart
//======================================================================
// EOF uart.v
//======================================================================
|
module ereset (/*AUTOARG*/
// Outputs
etx_reset, erx_reset, sys_reset,
// Inputs
reset, sys_clk, tx_lclk_div4, rx_lclk_div4
);
// reset inputs
input reset; // POR | ~elink_en (with appropriate delays..)
//synchronization clocks
input sys_clk; // system clock
input tx_lclk_div4; // slow clock for TX
input rx_lclk_div4; // slow clock for RX
//synchronous reset outputs
output etx_reset; // reset for TX slow logic
output erx_reset; // reset for RX slow logic
output sys_reset; // reset for system FIFOs
wire erx_resetb;
wire etx_resetb;
wire sys_resetb;
//erx reset synchronizer
/*
synchronizer sync_erx (.out (erx_resetb),
.in (1'b1),
.clk (rx_lclk_div4),
.reset (reset)
);
*/
//etx reset synchronizer
synchronizer sync_etx (.out (etx_resetb),
.in (1'b1),
.clk (tx_lclk_div4),
.reset (reset)
);
//system reset synchronizer
synchronizer sync_sys (.out (sys_resetb),
.in (1'b1),
.clk (sys_clk),
.reset (reset)
);
assign etx_reset =~etx_resetb;
assign sys_reset =~sys_resetb;
assign erx_reset = reset; //async reset! can't guarantee rx clock
endmodule // ereset
// Local Variables:
// verilog-library-directories:("." "../../common/hdl/")
// End:
/*
Copyright (C) 2015 Adapteva, Inc.
Contributed by Andreas Olofsson <[email protected]>
This program is free software: you can redistribute it and/or modify
it under the terms of the GNU General Public License as published by
the Free Software Foundation, either version 3 of the License, or
(at your option) any later version.This program is distributed in the hope
that it will be useful,but WITHOUT ANY WARRANTY; without even the implied
warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
GNU General Public License for more details. You should have received a copy
of the GNU General Public License along with this program (see the file
COPYING). If not, see <http://www.gnu.org/licenses/>.
*/
|
/*
* Copyright 2020 The SkyWater PDK Authors
*
* Licensed under the Apache License, Version 2.0 (the "License");
* you may not use this file except in compliance with the License.
* You may obtain a copy of the License at
*
* https://www.apache.org/licenses/LICENSE-2.0
*
* Unless required by applicable law or agreed to in writing, software
* distributed under the License is distributed on an "AS IS" BASIS,
* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
* See the License for the specific language governing permissions and
* limitations under the License.
*
* SPDX-License-Identifier: Apache-2.0
*/
`ifndef SKY130_FD_SC_LP__DLXTN_FUNCTIONAL_PP_V
`define SKY130_FD_SC_LP__DLXTN_FUNCTIONAL_PP_V
/**
* dlxtn: Delay latch, inverted enable, single output.
*
* Verilog simulation functional model.
*/
`timescale 1ns / 1ps
`default_nettype none
// Import user defined primitives.
`include "../../models/udp_dlatch_p_pp_pg_n/sky130_fd_sc_lp__udp_dlatch_p_pp_pg_n.v"
`celldefine
module sky130_fd_sc_lp__dlxtn (
Q ,
D ,
GATE_N,
VPWR ,
VGND ,
VPB ,
VNB
);
// Module ports
output Q ;
input D ;
input GATE_N;
input VPWR ;
input VGND ;
input VPB ;
input VNB ;
// Local signals
wire GATE ;
wire buf_Q ;
wire GATE_N_delayed;
wire D_delayed ;
// Delay Name Output Other arguments
sky130_fd_sc_lp__udp_dlatch$P_pp$PG$N `UNIT_DELAY dlatch0 (buf_Q , D, GATE, , VPWR, VGND);
not not0 (GATE , GATE_N );
buf buf0 (Q , buf_Q );
endmodule
`endcelldefine
`default_nettype wire
`endif // SKY130_FD_SC_LP__DLXTN_FUNCTIONAL_PP_V |
`timescale 1ns / 1ps
`default_nettype none
//////////////////////////////////////////////////////////////////////////////////
// Company:
// Engineer: Miguel Angel Rodriguez Jodar
//
// Create Date: 03:54:40 13/25/2015
// Design Name: SAM Coupé clone
// Module Name: samcoupe
// Project Name: SAM Coupé clone
// Target Devices: Spartan 6
// Tool versions: ISE 12.4
// Description:
//
// Dependencies:
//
// Revision:
// Revision 0.01 - File Created
// Additional Comments:
//
//////////////////////////////////////////////////////////////////////////////////
module samcoupe (
input wire clk24,
input wire clk12,
input wire clk6,
input wire clk8,
input wire master_reset_n,
// Video output
output wire [1:0] r,
output wire [1:0] g,
output wire [1:0] b,
output wire bright,
output wire hsync_pal,
output wire vsync_pal,
// Audio output
input wire ear,
output wire audio_out_left,
output wire audio_out_right,
// PS/2 keyoard interface
inout wire clkps2,
inout wire dataps2,
// SRAM interface
output wire [18:0] sram_addr,
inout wire [7:0] sram_data,
output wire sram_we_n
);
// ROM memory
wire [14:0] romaddr;
wire [7:0] data_from_rom;
// RAM memory
wire [18:0] vramaddr, cpuramaddr;
wire [7:0] data_from_ram;
wire [7:0] data_to_asic;
wire ram_we_n;
wire asic_is_using_ram;
// Keyboard
wire [8:0] kbrows;
wire [7:0] kbcolumns;
wire kb_nmi_n;
wire kb_rst_n;
wire kb_mrst_n;
wire rdmsel;
assign kbrows = {rdmsel, cpuaddr[15:8]};
// CPU signals
wire mreq_n, iorq_n, rd_n, wr_n, int_n, wait_n, rfsh_n;
wire [15:0] cpuaddr;
wire [7:0] data_from_cpu;
wire [7:0] data_to_cpu;
// ASIC signals
wire [7:0] data_from_asic;
wire asic_oe_n;
wire rom_oe_n;
// ROM signals
assign romaddr = {cpuaddr[15], cpuaddr[13:0]};
// RAM signals
wire ram_oe_n;
// Audio signals
wire mic, beep;
wire [7:0] saa_out_l, saa_out_r;
// MUX from memory/devices to Z80 data bus
assign data_to_cpu = (rom_oe_n == 1'b0)? data_from_rom :
(ram_oe_n == 1'b0)? data_from_ram :
(asic_oe_n == 1'b0)? data_from_asic :
8'hFF;
tv80a el_z80 (
.m1_n(),
.mreq_n(mreq_n),
.iorq_n(iorq_n),
.rd_n(rd_n),
.wr_n(wr_n),
.rfsh_n(rfsh_n),
.halt_n(),
.busak_n(),
.A(cpuaddr),
.dout(data_from_cpu),
.reset_n(kb_rst_n & master_reset_n),
.clk(clk6),
.wait_n(wait_n),
.int_n(int_n),
.nmi_n(kb_nmi_n),
.busrq_n(1'b1),
.di(data_to_cpu)
);
asic la_ula_del_sam (
.clk(clk12),
.rst_n(kb_rst_n & master_reset_n),
// CPU interface
.mreq_n(mreq_n),
.iorq_n(iorq_n),
.rd_n(rd_n),
.wr_n(wr_n),
.cpuaddr(cpuaddr),
.data_from_cpu(data_from_cpu),
.data_to_cpu(data_from_asic),
.data_enable_n(asic_oe_n),
.wait_n(wait_n),
// RAM/ROM interface
.vramaddr(vramaddr),
.cpuramaddr(cpuramaddr),
.data_from_ram(data_to_asic),
.ramwr_n(ram_we_n),
.romcs_n(rom_oe_n),
.ramcs_n(ram_oe_n),
.asic_is_using_ram(asic_is_using_ram),
// audio I/O
.ear(ear),
.mic(mic),
.beep(beep),
// keyboard I/O
.keyboard(kbcolumns),
.rdmsel(rdmsel),
// disk I/O
.disc1_n(),
.disc2_n(),
// video output
.r(r),
.g(g),
.b(b),
.bright(bright),
.hsync_pal(hsync_pal),
.vsync_pal(vsync_pal),
.int_n(int_n)
);
rom rom_32k (
.clk(clk24),
.a(romaddr),
.dout(data_from_rom)
);
ram_dual_port_turnos ram_512k (
.clk(1'b0 /*clk24*/),
.whichturn(asic_is_using_ram),
.vramaddr(vramaddr),
.cpuramaddr(cpuramaddr),
.cpu_we_n(ram_we_n),
.data_from_cpu(data_from_cpu),
.data_to_asic(data_to_asic),
.data_to_cpu(data_from_ram),
// Actual interface with SRAM
.sram_a(sram_addr),
.sram_we_n(sram_we_n),
.sram_d(sram_data)
);
// ram_dual_port ram_512k (
// .clk(clk24),
// .whichturn(asic_is_using_ram),
// .vramaddr(vramaddr),
// .cpuramaddr(cpuramaddr),
// .mreq_n(ram_oe_n),
// .rd_n(rd_n),
// .wr_n(ram_we_n),
// .rfsh_n(rfsh_n),
// .data_from_cpu(data_from_cpu),
// .data_to_asic(data_to_asic),
// .data_to_cpu(data_from_ram),
// // Actual interface with SRAM
// .sram_a(sram_addr),
// .sram_we_n(sram_we_n),
// .sram_d(sram_data)
// );
ps2_keyb el_teclado (
.clk(clk6),
.clkps2(clkps2),
.dataps2(dataps2),
//---------------------------------
.rows(kbrows),
.cols(kbcolumns),
.rst_out_n(kb_rst_n),
.nmi_out_n(kb_nmi_n),
.mrst_out_n(kb_mrst_n),
.user_toggles(),
//---------------------------------
.zxuno_addr(8'h00),
.zxuno_regrd(1'b0),
.zxuno_regwr(1'b0),
.regaddr_changed(1'b0),
.din(data_from_cpu),
.keymap_dout(),
.oe_n_keymap(),
.scancode_dout(),
.oe_n_scancode(),
.kbstatus_dout(),
.oe_n_kbstatus()
);
saa1099 el_saa (
.clk(clk8), // 8 MHz
.rst_n(kb_rst_n),
.cs_n(~(cpuaddr[7:0] == 8'hFF && iorq_n == 1'b0)),
.a0(cpuaddr[8]), // 0=data, 1=address
.wr_n(wr_n),
.din(data_from_cpu),
.out_l(saa_out_l),
.out_r(saa_out_r)
);
mixer sam_audio_mixer (
.clk(clk8),
.rst_n(kb_rst_n),
.ear(ear),
.mic(mic),
.spk(beep),
.saa_left(saa_out_l),
.saa_right(saa_out_r),
.audio_left(audio_out_left),
.audio_right(audio_out_right)
);
multiboot back_to_bios (
.clk_icap(clk24), // WARNING: this clock must not be greater than 20MHz (50ns period)
.mrst_n(kb_mrst_n)
);
endmodule
|
/**
* Copyright 2020 The SkyWater PDK Authors
*
* Licensed under the Apache License, Version 2.0 (the "License");
* you may not use this file except in compliance with the License.
* You may obtain a copy of the License at
*
* https://www.apache.org/licenses/LICENSE-2.0
*
* Unless required by applicable law or agreed to in writing, software
* distributed under the License is distributed on an "AS IS" BASIS,
* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
* See the License for the specific language governing permissions and
* limitations under the License.
*
* SPDX-License-Identifier: Apache-2.0
*/
`ifndef SKY130_FD_SC_LP__A2BB2OI_LP_V
`define SKY130_FD_SC_LP__A2BB2OI_LP_V
/**
* a2bb2oi: 2-input AND, both inputs inverted, into first input, and
* 2-input AND into 2nd input of 2-input NOR.
*
* Y = !((!A1 & !A2) | (B1 & B2))
*
* Verilog wrapper for a2bb2oi with size for low power.
*
* WARNING: This file is autogenerated, do not modify directly!
*/
`timescale 1ns / 1ps
`default_nettype none
`include "sky130_fd_sc_lp__a2bb2oi.v"
`ifdef USE_POWER_PINS
/*********************************************************/
`celldefine
module sky130_fd_sc_lp__a2bb2oi_lp (
Y ,
A1_N,
A2_N,
B1 ,
B2 ,
VPWR,
VGND,
VPB ,
VNB
);
output Y ;
input A1_N;
input A2_N;
input B1 ;
input B2 ;
input VPWR;
input VGND;
input VPB ;
input VNB ;
sky130_fd_sc_lp__a2bb2oi base (
.Y(Y),
.A1_N(A1_N),
.A2_N(A2_N),
.B1(B1),
.B2(B2),
.VPWR(VPWR),
.VGND(VGND),
.VPB(VPB),
.VNB(VNB)
);
endmodule
`endcelldefine
/*********************************************************/
`else // If not USE_POWER_PINS
/*********************************************************/
`celldefine
module sky130_fd_sc_lp__a2bb2oi_lp (
Y ,
A1_N,
A2_N,
B1 ,
B2
);
output Y ;
input A1_N;
input A2_N;
input B1 ;
input B2 ;
// Voltage supply signals
supply1 VPWR;
supply0 VGND;
supply1 VPB ;
supply0 VNB ;
sky130_fd_sc_lp__a2bb2oi base (
.Y(Y),
.A1_N(A1_N),
.A2_N(A2_N),
.B1(B1),
.B2(B2)
);
endmodule
`endcelldefine
/*********************************************************/
`endif // USE_POWER_PINS
`default_nettype wire
`endif // SKY130_FD_SC_LP__A2BB2OI_LP_V
|
//+FHDR------------------------------------------------------------------------
//Copyright (c) 2013 Latin Group American Integhrated Circuit, Inc. All rights reserved
//GLADIC Open Source RTL
//-----------------------------------------------------------------------------
//FILE NAME :
//DEPARTMENT : IC Design / Verification
//AUTHOR : Felipe Fernandes da Costa
//AUTHOR’S EMAIL :
//-----------------------------------------------------------------------------
//RELEASE HISTORY
//VERSION DATE AUTHOR DESCRIPTION
//1.0 YYYY-MM-DD name
//-----------------------------------------------------------------------------
//KEYWORDS : General file searching keywords, leave blank if none.
//-----------------------------------------------------------------------------
//PURPOSE : ECSS_E_ST_50_12C_31_july_2008
//-----------------------------------------------------------------------------
//PARAMETERS
//PARAM NAME RANGE : DESCRIPTION : DEFAULT : UNITS
//e.g.DATA_WIDTH [32,16] : width of the data : 32:
//-----------------------------------------------------------------------------
//REUSE ISSUES
//Reset Strategy :
//Clock Domains :
//Critical Timing :
//Test Features :
//Asynchronous I/F :
//Scan Methodology :
//Instantiations :
//Synthesizable (y/n) :
//Other :
//-FHDR------------------------------------------------------------------------
module bit_capture_control(
input negedge_clk,
input posedge_clk,
input rx_resetn,
input rx_din,
output reg bit_c_0,
output reg bit_c_1,
output reg bit_c_2,
output reg bit_c_3
);
always@(posedge posedge_clk or negedge rx_resetn)
begin
if(!rx_resetn)
begin
bit_c_1 <= 1'b0;
bit_c_3 <= 1'b0;
end
else
begin
bit_c_1 <= rx_din;
bit_c_3 <= bit_c_1;
end
end
always@(posedge negedge_clk or negedge rx_resetn)
begin
if(!rx_resetn)
begin
bit_c_0 <= 1'b0;
bit_c_2 <= 1'b0;
end
else
begin
bit_c_0 <= rx_din;
bit_c_2 <= bit_c_0;
end
end
endmodule
|
`default_nettype none
`include "setup.v"
`define ECP3VERSA
module top (
// PCI Express
input rstn,
input board_clk,
input FLIP_LANES,
input refclkp,
input refclkn,
input hdinp,
input hdinn,
output hdoutp,
output hdoutn,
// Ethernet PHY#1
output reg phy1_rst_n,
input phy1_125M_clk,
input phy1_tx_clk,
output phy1_gtx_clk,
output phy1_tx_en,
output [7:0] phy1_tx_data,
input phy1_rx_clk,
input phy1_rx_dv,
input phy1_rx_er,
input [7:0] phy1_rx_data,
input phy1_col,
input phy1_crs,
output phy1_mii_clk,
inout phy1_mii_data,
// Ethernet PHY#2
output reg phy2_rst_n,
input phy2_125M_clk,
input phy2_tx_clk,
output phy2_gtx_clk,
output phy2_tx_en,
output [7:0] phy2_tx_data,
input phy2_rx_clk,
input phy2_rx_dv,
input phy2_rx_er,
input [7:0] phy2_rx_data,
input phy2_col,
input phy2_crs,
output phy2_mii_clk,
inout phy2_mii_data,
// GPIO
input [7:0] dip_switch,
output [7:0] led,
output [13:0] led_out,
output dp,
input reset_n
);
reg [20:0] rstn_cnt;
reg sys_rst_n;
wire sys_rst = ~sys_rst_n;
wire [15:0] rx_data, tx_data;
wire [6:0] rx_bar_hit;
wire [7:0] pd_num;
wire ph_cr, pd_cr, nph_cr, npd_cr;
wire [7:0] bus_num ;
wire [4:0] dev_num ;
wire [2:0] func_num ;
wire rx_st, rx_end;
wire rx_us_req, rx_malf_tlp ;
wire tx_req, tx_rdy, tx_st, tx_end;
wire [8:0] tx_ca_ph ;
wire [12:0] tx_ca_pd ;
wire [8:0] tx_ca_nph ;
wire [12:0] tx_ca_npd ;
wire [8:0] tx_ca_cplh;
wire [12:0] tx_ca_cpld ;
wire tx_ca_p_recheck ;
wire tx_ca_cpl_recheck ;
wire clk_125;
// Reset management
always @(posedge clk_125 or negedge rstn) begin
if (!rstn) begin
rstn_cnt <= 21'd0 ;
sys_rst_n <= 1'b0 ;
end else begin
if (rstn_cnt[20]) // 4ms in real hardware
sys_rst_n <= 1'b1 ;
else
rstn_cnt <= rstn_cnt + 1'b1 ;
end
end
pcie_top pcie(
.refclkp ( refclkp ),
.refclkn ( refclkn ),
.sys_clk_125 ( clk_125 ),
.ext_reset_n ( rstn ),
.rstn ( sys_rst_n ),
.flip_lanes ( FLIP_LANES ),
.hdinp0 ( hdinp ),
.hdinn0 ( hdinn ),
.hdoutp0 ( hdoutp ),
.hdoutn0 ( hdoutn ),
.msi ( 8'd0 ),
.inta_n ( 1'b1 ),
// This PCIe interface uses dynamic IDs.
.vendor_id (16'h3776),
.device_id (16'h8000),
.rev_id (8'h00),
.class_code ({8'h00, 8'h00, 8'h00}),
.subsys_ven_id (16'h3776),
.subsys_id (16'h8000),
.load_id (1'b1),
// Inputs
.force_lsm_active ( 1'b0 ),
.force_rec_ei ( 1'b0 ),
.force_phy_status ( 1'b0 ),
.force_disable_scr ( 1'b0 ),
.hl_snd_beacon ( 1'b0 ),
.hl_disable_scr ( 1'b0 ),
.hl_gto_dis ( 1'b0 ),
.hl_gto_det ( 1'b0 ),
.hl_gto_hrst ( 1'b0 ),
.hl_gto_l0stx ( 1'b0 ),
.hl_gto_l1 ( 1'b0 ),
.hl_gto_l2 ( 1'b0 ),
.hl_gto_l0stxfts ( 1'b0 ),
.hl_gto_lbk ( 1'd0 ),
.hl_gto_rcvry ( 1'b0 ),
.hl_gto_cfg ( 1'b0 ),
.no_pcie_train ( 1'b0 ),
// Power Management Interface
.tx_dllp_val ( 2'd0 ),
.tx_pmtype ( 3'd0 ),
.tx_vsd_data ( 24'd0 ),
.tx_req_vc0 ( tx_req ),
.tx_data_vc0 ( tx_data ),
.tx_st_vc0 ( tx_st ),
.tx_end_vc0 ( tx_end ),
.tx_nlfy_vc0 ( 1'b0 ),
.ph_buf_status_vc0 ( 1'b0 ),
.pd_buf_status_vc0 ( 1'b0 ),
.nph_buf_status_vc0 ( 1'b0 ),
.npd_buf_status_vc0 ( 1'b0 ),
.ph_processed_vc0 ( ph_cr ),
.pd_processed_vc0 ( pd_cr ),
.nph_processed_vc0 ( nph_cr ),
.npd_processed_vc0 ( npd_cr ),
.pd_num_vc0 ( pd_num ),
.npd_num_vc0 ( 8'd1 ),
// From User logic
.cmpln_tout ( 1'b0 ),
.cmpltr_abort_np ( 1'b0 ),
.cmpltr_abort_p ( 1'b0 ),
.unexp_cmpln ( 1'b0 ),
.ur_np_ext ( 1'b0 ),
.ur_p_ext ( 1'b0 ),
.np_req_pend ( 1'b0 ),
.pme_status ( 1'b0 ),
.tx_rdy_vc0 ( tx_rdy ),
.tx_ca_ph_vc0 ( tx_ca_ph ),
.tx_ca_pd_vc0 ( tx_ca_pd ),
.tx_ca_nph_vc0 ( tx_ca_nph ),
.tx_ca_npd_vc0 ( tx_ca_npd ),
.tx_ca_cplh_vc0 ( tx_ca_cplh ),
.tx_ca_cpld_vc0 ( tx_ca_cpld ),
.tx_ca_p_recheck_vc0 ( tx_ca_p_recheck ),
.tx_ca_cpl_recheck_vc0 ( tx_ca_cpl_recheck ),
.rx_data_vc0 ( rx_data ),
.rx_st_vc0 ( rx_st ) ,
.rx_end_vc0 ( rx_end ),
.rx_us_req_vc0 ( rx_us_req ),
.rx_malf_tlp_vc0 ( rx_malf_tlp ),
.rx_bar_hit ( rx_bar_hit ),
// From Config Registers
.bus_num ( bus_num ),
.dev_num ( dev_num ),
.func_num ( func_num )
);
measure_mid measure_mid_inst (
// System
.pcie_clk(clk_125),
.sys_rst(sys_rst),
// Management
.rx_bar_hit(rx_bar_hit),
.bus_num(bus_num),
.dev_num(dev_num),
.func_num(func_num),
// Receive
.rx_st(rx_st),
.rx_end(rx_end),
.rx_data(rx_data),
.rx_malf(rx_malf_tlp),
// Transmit
.tx_req(tx_req),
.tx_rdy(tx_rdy),
.tx_st(tx_st),
.tx_end(tx_end),
.tx_data(tx_data),
.tx_ca_ph(tx_ca_ph),
.tx_ca_pd(tx_ca_pd),
.tx_ca_nph(tx_ca_nph),
.tx_ca_npd(tx_ca_npd),
.tx_ca_cplh(tx_ca_cplh),
.tx_ca_cpld(tx_ca_cpld),
.tx_ca_p_recheck(tx_ca_p_recheck),
.tx_ca_cpl_recheck(tx_ca_cpl_recheck),
//Receive credits
.pd_num(pd_num),
.ph_cr(ph_cr),
.pd_cr(pd_cr),
.nph_cr(nph_cr),
.npd_cr(npd_cr),
// Phy
.phy1_125M_clk(phy1_125M_clk),
.phy1_tx_en(phy1_tx_en),
.phy1_tx_data(phy1_tx_data),
.phy1_rx_clk(phy1_rx_clk),
.phy1_rx_dv(phy1_rx_dv),
.phy1_rx_er(phy1_rx_er),
.phy1_rx_data(phy1_rx_data),
.phy2_125M_clk(phy2_125M_clk),
.phy2_tx_en(phy2_tx_en),
.phy2_tx_data(phy2_tx_data),
.phy2_rx_clk(phy2_rx_clk),
.phy2_rx_dv(phy2_rx_dv),
.phy2_rx_er(phy2_rx_er),
.phy2_rx_data(phy2_rx_data),
// LED and Switches
.dipsw(dip_switch),
.led(led),
.segled(led_out),
.btn(reset_n)
);
//-------------------------------------
// PYH cold reset
//-------------------------------------
reg [7:0] count_rst = 8'd0;
always @(posedge board_clk) begin
if (reset_n == 1'b0) begin
phy1_rst_n <= 1'b0;
phy2_rst_n <= 1'b0;
count_rst <= 8'b0000_0000;
end else begin
if (count_rst[7:0] != 8'b1111_1101) begin
count_rst <= count_rst + 8'd1;
phy1_rst_n <= 1'b0;
phy2_rst_n <= 1'b0;
end else begin
phy1_rst_n <= reset_n;
phy2_rst_n <= reset_n;
end
end
end
assign phy1_mii_clk = 1'b0;
assign phy1_mii_data = 1'b0;
assign phy1_gtx_clk = phy1_125M_clk;
assign phy2_mii_clk = 1'b0;
assign phy2_mii_data = 1'b0;
assign phy2_gtx_clk = phy2_125M_clk;
endmodule
`default_nettype wire
|
/**
* Copyright 2020 The SkyWater PDK Authors
*
* Licensed under the Apache License, Version 2.0 (the "License");
* you may not use this file except in compliance with the License.
* You may obtain a copy of the License at
*
* https://www.apache.org/licenses/LICENSE-2.0
*
* Unless required by applicable law or agreed to in writing, software
* distributed under the License is distributed on an "AS IS" BASIS,
* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
* See the License for the specific language governing permissions and
* limitations under the License.
*
* SPDX-License-Identifier: Apache-2.0
*/
`ifndef SKY130_FD_SC_HVL__LSBUFLV2HV_CLKISO_HLKG_BLACKBOX_V
`define SKY130_FD_SC_HVL__LSBUFLV2HV_CLKISO_HLKG_BLACKBOX_V
/**
* lsbuflv2hv_clkiso_hlkg: Level-shift clock buffer, low voltage to
* high voltage, isolated well
* on input buffer, inverting sleep
* mode input.
*
* Verilog stub definition (black box without power pins).
*
* WARNING: This file is autogenerated, do not modify directly!
*/
`timescale 1ns / 1ps
`default_nettype none
(* blackbox *)
module sky130_fd_sc_hvl__lsbuflv2hv_clkiso_hlkg (
X ,
A ,
SLEEP_B
);
output X ;
input A ;
input SLEEP_B;
// Voltage supply signals
supply1 VPWR ;
supply0 VGND ;
supply1 LVPWR;
supply1 VPB ;
supply0 VNB ;
endmodule
`default_nettype wire
`endif // SKY130_FD_SC_HVL__LSBUFLV2HV_CLKISO_HLKG_BLACKBOX_V
|
/**
* Copyright 2020 The SkyWater PDK Authors
*
* Licensed under the Apache License, Version 2.0 (the "License");
* you may not use this file except in compliance with the License.
* You may obtain a copy of the License at
*
* https://www.apache.org/licenses/LICENSE-2.0
*
* Unless required by applicable law or agreed to in writing, software
* distributed under the License is distributed on an "AS IS" BASIS,
* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
* See the License for the specific language governing permissions and
* limitations under the License.
*
* SPDX-License-Identifier: Apache-2.0
*/
`ifndef SKY130_FD_SC_LP__AND4_LP2_V
`define SKY130_FD_SC_LP__AND4_LP2_V
/**
* and4: 4-input AND.
*
* Verilog wrapper for and4 with size for low power (alternative).
*
* WARNING: This file is autogenerated, do not modify directly!
*/
`timescale 1ns / 1ps
`default_nettype none
`include "sky130_fd_sc_lp__and4.v"
`ifdef USE_POWER_PINS
/*********************************************************/
`celldefine
module sky130_fd_sc_lp__and4_lp2 (
X ,
A ,
B ,
C ,
D ,
VPWR,
VGND,
VPB ,
VNB
);
output X ;
input A ;
input B ;
input C ;
input D ;
input VPWR;
input VGND;
input VPB ;
input VNB ;
sky130_fd_sc_lp__and4 base (
.X(X),
.A(A),
.B(B),
.C(C),
.D(D),
.VPWR(VPWR),
.VGND(VGND),
.VPB(VPB),
.VNB(VNB)
);
endmodule
`endcelldefine
/*********************************************************/
`else // If not USE_POWER_PINS
/*********************************************************/
`celldefine
module sky130_fd_sc_lp__and4_lp2 (
X,
A,
B,
C,
D
);
output X;
input A;
input B;
input C;
input D;
// Voltage supply signals
supply1 VPWR;
supply0 VGND;
supply1 VPB ;
supply0 VNB ;
sky130_fd_sc_lp__and4 base (
.X(X),
.A(A),
.B(B),
.C(C),
.D(D)
);
endmodule
`endcelldefine
/*********************************************************/
`endif // USE_POWER_PINS
`default_nettype wire
`endif // SKY130_FD_SC_LP__AND4_LP2_V
|
/**
* Copyright 2020 The SkyWater PDK Authors
*
* Licensed under the Apache License, Version 2.0 (the "License");
* you may not use this file except in compliance with the License.
* You may obtain a copy of the License at
*
* https://www.apache.org/licenses/LICENSE-2.0
*
* Unless required by applicable law or agreed to in writing, software
* distributed under the License is distributed on an "AS IS" BASIS,
* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
* See the License for the specific language governing permissions and
* limitations under the License.
*
* SPDX-License-Identifier: Apache-2.0
*/
`ifndef SKY130_FD_SC_HD__O2111AI_SYMBOL_V
`define SKY130_FD_SC_HD__O2111AI_SYMBOL_V
/**
* o2111ai: 2-input OR into first input of 4-input NAND.
*
* Y = !((A1 | A2) & B1 & C1 & D1)
*
* Verilog stub (without power pins) for graphical symbol definition
* generation.
*
* WARNING: This file is autogenerated, do not modify directly!
*/
`timescale 1ns / 1ps
`default_nettype none
(* blackbox *)
module sky130_fd_sc_hd__o2111ai (
//# {{data|Data Signals}}
input A1,
input A2,
input B1,
input C1,
input D1,
output Y
);
// Voltage supply signals
supply1 VPWR;
supply0 VGND;
supply1 VPB ;
supply0 VNB ;
endmodule
`default_nettype wire
`endif // SKY130_FD_SC_HD__O2111AI_SYMBOL_V
|
`timescale 1ns / 1ps
//////////////////////////////////////////////////////////////////////////////////
// Company:
// Engineer:
//
// Create Date: 09:14:49 05/10/2016
// Design Name:
// Module Name: prob_computer
// Project Name:
// Target Devices:
// Tool versions:
// Description:
//
// Dependencies:
//
// Revision:
// Revision 0.01 - File Created
// Additional Comments:
//
//////////////////////////////////////////////////////////////////////////////////
module prob_computer(
input clk,
input rst,
input [31:0] new,
input [31:0] old,
input [31:0] Tinv,
input inp_valid,
output [31:0] out,
output out_valid
);
// The circuitry to compute e^-((new-old)/T) = 1 / (e^((new-old)*(1/T)))
reg [31:0] diff_q, diff_d, t_q, t_d;
reg processing_q, processing_d;
wire [31:0] floatval;
wire floatval_valid;
fixed2float f2f(
.aclk(clk),
.s_axis_a_tvalid(processing_q),
.s_axis_a_tdata(diff_q),
.m_axis_result_tvalid(floatval_valid),
.m_axis_result_tdata(floatval)
);
wire [31:0] floatval2_data;
wire floatval2_valid;
floating_point_mult m1(
.aclk(clk),
.s_axis_a_tvalid(processing_q),
.s_axis_a_tdata(t_q),
.s_axis_b_tvalid(floatval_valid),
.s_axis_b_tdata(floatval),
.m_axis_result_tvalid(floatval2_valid),
.m_axis_result_tdata(floatval2_data)
);
wire [31:0] exp_res;
wire [7:0] exp_debug;
wire exp_res_valid;
negexp negexp(
.clk(clk),
.rst(rst),
.inp(floatval2_data),
.inp_valid(floatval2_valid),
.out(exp_res),
.out_valid(exp_res_valid),
.debug(exp_debug)
);
wire [31:0] recip_data;
wire recip_valid;
floating_point_reciprocal recip(
.aclk(clk),
.s_axis_a_tvalid(exp_res_valid),
.s_axis_a_tdata(exp_res),
.m_axis_result_tvalid(recip_valid),
.m_axis_result_tdata(recip_data)
);
assign out = {8'b0,1'b1,recip_data[22:0]}; // Cheap floating-to-fixed, because we know the output is in [0,1]
assign out_valid = recip_valid;
always @(*) begin
processing_d = processing_q;
diff_d = diff_q;
t_d = t_q;
if (processing_q) begin
if (recip_valid) begin
processing_d = 0;
end
end else if (inp_valid) begin
diff_d = new - old;
t_d = Tinv;
processing_d = 1;
end
end
always @(posedge clk) begin
t_q <= t_d;
processing_q <= processing_d;
diff_q <= diff_d;
end
endmodule
|
/*
* Copyright 2020 The SkyWater PDK Authors
*
* Licensed under the Apache License, Version 2.0 (the "License");
* you may not use this file except in compliance with the License.
* You may obtain a copy of the License at
*
* https://www.apache.org/licenses/LICENSE-2.0
*
* Unless required by applicable law or agreed to in writing, software
* distributed under the License is distributed on an "AS IS" BASIS,
* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
* See the License for the specific language governing permissions and
* limitations under the License.
*
* SPDX-License-Identifier: Apache-2.0
*/
`ifndef SKY130_FD_SC_HDLL__INV_FUNCTIONAL_V
`define SKY130_FD_SC_HDLL__INV_FUNCTIONAL_V
/**
* inv: Inverter.
*
* Verilog simulation functional model.
*/
`timescale 1ns / 1ps
`default_nettype none
`celldefine
module sky130_fd_sc_hdll__inv (
Y,
A
);
// Module ports
output Y;
input A;
// Local signals
wire not0_out_Y;
// Name Output Other arguments
not not0 (not0_out_Y, A );
buf buf0 (Y , not0_out_Y );
endmodule
`endcelldefine
`default_nettype wire
`endif // SKY130_FD_SC_HDLL__INV_FUNCTIONAL_V |
Require Import List.
Import ListNotations.
Require Import Omega.
Require Import StructTact.StructTactics.
Require Import StructTact.Util.
Require Import Chord.Chord.
Require Import Chord.HandlerLemmas.
Require Import Chord.SystemLemmas.
Require Import Chord.SystemReachable.
Require Import Chord.SystemPointers.
Require Import Chord.SuccessorNodesAlwaysValid.
Require Import Chord.PtrCorrectInvariant.
Set Bullet Behavior "Strict Subproofs".
Theorem stabilize2_param_matches :
forall gst,
reachable_st gst ->
forall h s s' st p,
sigma gst h = Some st ->
cur_request st = Some (s, Stabilize2 s', p) ->
s = s'.
Proof.
induction 1; intros.
- unfold initial_st in *.
find_apply_lem_hyp sigma_initial_st_start_handler; eauto.
subst.
unfold start_handler in *. repeat break_match; simpl in *; congruence.
- inversion H0; subst; eauto.
+ subst. simpl in *.
update_destruct; subst; rewrite_update; simpl in *; eauto.
find_inversion. simpl in *. congruence.
+ simpl in *.
update_destruct; subst; rewrite_update; simpl in *; eauto.
repeat (handler_def || handler_simpl).
+ repeat (handler_def || handler_simpl;
try (update_destruct; subst; rewrite_update);
repeat find_rewrite;
repeat find_inversion; simpl in *; eauto; try congruence).
Qed.
Theorem join2_unreachable :
forall gst,
reachable_st gst ->
forall h st dstp j req,
sigma gst h = Some st ->
cur_request st = Some (dstp, Join2 j, req) ->
False.
Proof.
intros until 1.
pattern gst.
eapply chord_net_invariant; try assumption; clear H gst;
do 2 autounfold; intros.
- inv_prop initial_st; expand_def.
destruct (In_dec addr_eq_dec h (nodes gst));
[|find_apply_hyp_hyp; congruence].
destruct (start_handler h (nodes gst)) as [[? ?] ?] eqn:?.
copy_eapply_prop_hyp start_handler nodes; eauto; break_and.
rewrite start_handler_init_state_preset in *;
try (pose proof succ_list_len_lower_bound; omega).
repeat (find_rewrite || find_injection).
simpl in *; congruence.
- repeat find_rewrite; update_destruct; rewrite_update; subst.
+ find_injection.
unfold start_handler in *; simpl in *; find_injection.
simpl in *; congruence.
+ eauto.
- repeat find_rewrite; eauto.
- repeat find_rewrite; update_destruct; rewrite_update; subst.
+ find_injection.
repeat handler_def; simpl in *;
solve [congruence
|eauto
|repeat find_rewrite; try find_injection; eauto].
+ eauto.
- repeat find_rewrite; update_destruct; rewrite_update; subst.
+ find_injection.
repeat handler_def; simpl in *;
solve [congruence
|eauto
|repeat find_rewrite; try find_injection; eauto].
+ eauto.
- repeat find_rewrite; update_destruct; rewrite_update; subst.
+ find_injection.
repeat handler_def; simpl in *;
solve [congruence
|eauto
|repeat find_rewrite; try find_injection; eauto].
+ eauto.
- repeat find_rewrite; update_destruct; rewrite_update; subst.
+ find_injection.
repeat handler_def; simpl in *;
solve [congruence
|eauto
|repeat find_rewrite; try find_injection; eauto].
+ eauto.
- repeat find_rewrite; update_destruct; rewrite_update; subst.
+ find_injection.
repeat handler_def;
simpl in *;
try solve [congruence
|eauto].
+ eauto.
- repeat find_rewrite; eauto.
- repeat find_rewrite; eauto.
Qed.
Theorem join2_param_matches :
forall gst,
reachable_st gst ->
forall dst h st ns p,
sigma gst h = Some st ->
cur_request st = Some (dst, Join2 ns, p) ->
dst = ns.
Proof.
intros; exfalso; eauto using join2_unreachable.
Qed.
Lemma sigma_some_in_nodes :
forall gst h st,
reachable_st gst ->
sigma gst h = Some st ->
In h (nodes gst).
Proof.
intros.
induct_reachable_st; intros.
- unfold initial_st in *.
intuition.
destruct (in_dec addr_eq_dec h (nodes gst)); auto.
eapply_prop_hyp In In. congruence.
- invcs H0; simpl in *; eauto;
update_destruct; subst; rewrite_update; simpl in *; eauto.
Qed.
Definition all_states (P : data -> Prop) (sigma : addr -> option data) : Prop :=
forall h st,
sigma h = Some st ->
P st.
Local Hint Unfold all_states.
Definition all_msgs (P : addr -> addr -> payload -> Prop) (ms : list msg) :=
forall src dst p,
In (src, (dst, p)) ms ->
P src dst p.
Local Hint Unfold all_msgs.
Definition all_succs_state (P : pointer -> Prop) (sigma : addr -> option data): Prop :=
all_states (fun st => forall s, In s (succ_list st) -> P s) sigma.
Local Hint Unfold all_succs_state.
Definition all_succs_net (P : pointer -> Prop) (ms : list msg) : Prop :=
all_msgs (fun src dst p =>
forall succs s,
succs_msg p succs ->
In s succs ->
P s)
ms.
Local Hint Unfold all_succs_net.
Definition all_preds_state (P : pointer -> Prop) (sigma : addr -> option data): Prop :=
all_states (fun st => forall p, pred st = Some p -> P p) sigma.
Local Hint Unfold all_preds_state.
Definition all_preds_net (P : pointer -> Prop) (ms : list msg) : Prop :=
all_msgs (fun src dst p =>
forall pred succs,
p = GotPredAndSuccs (Some pred) succs ->
P pred)
ms.
Local Hint Unfold all_preds_net.
Definition all_self_ptr (P : pointer -> Prop) : (addr -> option data) -> Prop :=
all_states (fun st => P (ptr st)).
Local Hint Unfold all_self_ptr.
Definition all_rectify_with (P : pointer -> Prop) (sigma : addr -> option data) : Prop :=
all_states (fun st => forall rw, rectify_with st = Some rw -> P rw) sigma.
Local Hint Unfold all_rectify_with.
Definition all_cur_request (P : pointer -> query -> Prop) (sigma : addr -> option data) : Prop :=
all_states (fun st => forall dstp q m,
cur_request st = Some (dstp, q, m) ->
P dstp q)
sigma.
Local Hint Unfold all_cur_request.
Inductive query_ptr : query -> pointer -> Prop :=
| QPRectify : forall p, query_ptr (Rectify p) p
| QPStabilize2 : forall p, query_ptr (Stabilize2 p) p
| QPJoin : forall p, query_ptr (Join p) p
| QPJoin2 : forall p, query_ptr (Join2 p) p.
Local Hint Constructors query_ptr.
Definition all_query_ptr (P : pointer -> Prop) (sigma : addr -> option data) : Prop :=
all_cur_request (fun _ q => forall p, query_ptr q p -> P p) sigma.
Local Hint Unfold all_query_ptr.
Definition all_lookup_results (P : pointer -> Prop) : list msg -> Prop :=
all_msgs (fun _ _ p => forall res, p = GotBestPredecessor res -> P res).
Local Hint Unfold all_lookup_results.
Inductive all_ptrs P (gst : global_state) :=
| AllPtrs :
all_succs_state P (sigma gst) ->
all_succs_net P (msgs gst) ->
all_preds_state P (sigma gst) ->
all_preds_net P (msgs gst) ->
all_rectify_with P (sigma gst) ->
all_cur_request (fun p _ => P p) (sigma gst) ->
all_query_ptr P (sigma gst) ->
all_lookup_results P (msgs gst) ->
all_self_ptr P (sigma gst) ->
all_ptrs P gst.
Theorem all_msgs_app :
forall P xs ys,
all_msgs P xs ->
all_msgs P ys ->
all_msgs P (xs ++ ys).
Proof.
autounfold; in_crush.
Qed.
Local Hint Resolve all_msgs_app.
Theorem all_msgs_split :
forall P l m xs ys,
l = xs ++ m :: ys ->
all_msgs P l ->
all_msgs P (xs ++ ys).
Proof.
autounfold; in_crush.
Qed.
Local Hint Resolve all_msgs_split.
Theorem all_msgs_cons :
forall (P : addr -> addr -> payload -> Prop) src dst p xs,
P src dst p ->
all_msgs P xs ->
all_msgs P ((src, (dst, p)) :: xs).
Proof.
autounfold; in_crush; congruence.
Qed.
Local Hint Resolve all_msgs_cons.
Theorem all_states_update :
forall P h st' sigma,
all_states P sigma ->
P st' ->
all_states P (update addr_eq_dec sigma h (Some st')).
Proof.
autounfold.
intros.
destruct_update; rewrite_update.
- congruence.
- eauto.
Qed.
Local Hint Resolve all_states_update.
Lemma cons_make_succs :
forall p succs,
make_succs p succs = p :: firstn (SUCC_LIST_LEN - 1) succs.
Proof.
pose proof succ_list_len_lower_bound.
unfold make_succs, chop_succs.
intros; simpl.
destruct SUCC_LIST_LEN; try omega.
simpl.
replace (n - 0) with n by omega.
auto.
Qed.
Local Hint Resolve make_pointer_wf.
Lemma recv_handler_succs_msg_accurate :
forall src dst st p st' ms nts cts h m succs,
recv_handler src dst st p = (st', ms, nts, cts) ->
In (h, m) ms ->
succs_msg m succs ->
succs = succ_list st'.
Proof.
intros; inv_prop succs_msg.
- handler_def.
find_apply_lem_hyp in_app_or; break_or_hyp.
+ find_eapply_lem_hyp handle_delayed_queries_GotSuccList_response_accurate; eauto.
+ handler_def;
repeat match goal with
| H: False |- _ => elim H
| H: _ \/ _ |- _ => destruct H
| H: (_, _) = (_, _) |- _ => injc H; try congruence
| |- _ => progress simpl in *
| |- _ => handler_def
end;
eapply handle_query_req_GotSuccList_response_accurate; eauto.
- eapply recv_handler_GotPredAndSuccs_response_accurate; eauto.
Qed.
Lemma best_predecessor_in_succs_or_ptr :
forall self succs x p,
x = best_predecessor self succs p ->
In x (self :: succs).
Proof.
intros; subst.
unfold best_predecessor, hd.
break_match.
in_crush.
simpl; right.
apply in_rev.
eapply In_filter_In; eauto.
in_crush.
Qed.
Lemma handle_query_req_GotBestPredecessor_in_succs_or_ptr :
forall src st r h p pt succs,
In (h, GotBestPredecessor r) (handle_query_req st src p) ->
pt = ptr st ->
succs = succ_list st ->
r = pt \/ In r succs.
Proof.
unfold handle_query_req.
intros.
subst.
cut (In r (ptr st :: succ_list st)).
{ simpl; intuition congruence. }
break_match; try solve [exfalso; subst; in_crush; congruence].
eapply best_predecessor_in_succs_or_ptr.
subst; in_crush.
find_injection.
eauto.
Qed.
Lemma recv_handler_lookup_response_in_succs :
forall src dst st p st' ms nts cts,
recv_handler src dst st p = (st', ms, nts, cts) ->
forall h p,
In (h, GotBestPredecessor p) ms ->
p = ptr st \/ In p (succ_list st').
Proof.
intros.
repeat handler_def
|| handler_simpl
|| (unfold handle_delayed_query in *)
|| in_crush
|| (try find_eapply_lem_hyp in_concat; expand_def).
all:repeat break_match.
all:try solve [eapply handle_query_req_GotBestPredecessor_in_succs_or_ptr; eauto].
change (x8 = p0 \/ In p0 x11) with (In p0 (x8 :: x11)).
eapply handle_query_req_GotBestPredecessor_in_succs_or_ptr; eauto.
Qed.
(* FIXME: long-running proof *)
Theorem pointers_wf_recv :
chord_recv_handler_invariant (all_ptrs wf_ptr).
Proof.
Admitted.
Lemma hd_error_in :
forall X (l : list X) x,
hd_error l = Some x ->
In x l.
Proof.
intros. unfold hd_error in *.
break_match; try congruence.
find_inversion. in_crush.
Qed.
Lemma pointers_wf_init :
chord_init_invariant (all_ptrs wf_ptr).
Proof.
autounfold_one. intuition.
constructor.
- do 2 autounfold_one; simpl.
intros.
find_eapply_lem_hyp sigma_initial_st_start_handler; auto.
subst.
unfold start_handler in *. repeat break_match; simpl in *; in_crush.
find_apply_lem_hyp in_firstn.
match goal with
| H : In ?s ?l, H' : ?l' = _ :: ?l |- _ => assert (In s l') by (repeat find_rewrite; in_crush)
end. find_apply_lem_hyp in_sort_by_between. in_crush.
- do 2 autounfold_one; simpl. intros.
unfold initial_st in *. intuition. repeat find_rewrite. in_crush.
- do 2 autounfold_one; simpl.
intros.
find_eapply_lem_hyp sigma_initial_st_start_handler; auto.
subst.
unfold start_handler in *. repeat break_match; simpl in *; in_crush; try congruence.
find_apply_lem_hyp hd_error_in.
find_apply_lem_hyp in_rev.
find_reverse_rewrite. find_apply_lem_hyp in_sort_by_between.
in_crush.
- do 2 autounfold_one; simpl. intros.
unfold initial_st in *. intuition. repeat find_rewrite. in_crush.
- do 2 autounfold_one; simpl.
intros.
find_eapply_lem_hyp sigma_initial_st_start_handler; auto.
subst.
unfold start_handler in *. repeat break_match; simpl in *; in_crush; try congruence.
- do 2 autounfold_one; simpl.
intros.
find_eapply_lem_hyp sigma_initial_st_start_handler; auto.
subst.
unfold start_handler in *. repeat break_match; simpl in *; in_crush; try congruence.
find_inversion.
match goal with
| _ : ?l = [?x] |- _ => assert (In x l) by (repeat find_rewrite; in_crush)
end.
find_apply_lem_hyp in_sort_by_between. in_crush.
- do 3 autounfold_one; simpl.
intros.
find_eapply_lem_hyp sigma_initial_st_start_handler; auto.
subst.
unfold start_handler in *. repeat break_match; simpl in *; in_crush; try congruence.
find_inversion.
inv_prop query_ptr.
match goal with
| _ : ?l = [?x] |- _ => assert (In x l) by (repeat find_rewrite; in_crush)
end.
find_apply_lem_hyp in_sort_by_between. in_crush.
- do 2 autounfold_one; simpl. intros.
unfold initial_st in *. intuition. repeat find_rewrite. in_crush.
- do 2 autounfold_one; simpl.
intros.
find_eapply_lem_hyp sigma_initial_st_start_handler; auto.
subst.
unfold start_handler in *. repeat break_match; simpl in *; in_crush; try congruence.
Qed.
Lemma pointers_wf_start :
chord_start_invariant (all_ptrs wf_ptr).
Proof.
do 2 autounfold_one.
intuition.
find_rewrite_lem start_handler_with_single_known.
repeat (handler_def || handler_simpl).
inv_prop all_ptrs.
constructor; repeat find_rewrite.
- apply all_states_update; eauto.
intros.
repeat handler_simpl; intuition.
- apply all_msgs_cons; auto.
intros. inv_prop succs_msg.
- apply all_states_update; eauto.
intros.
repeat handler_simpl; intuition.
- apply all_msgs_cons; auto.
intros. congruence.
- apply all_states_update; eauto.
intros.
repeat handler_simpl; intuition.
- apply all_states_update; eauto.
intros.
repeat handler_simpl; intuition.
- apply all_states_update; eauto.
intros.
repeat handler_simpl; intuition.
inv_prop query_ptr; eauto.
- apply all_msgs_cons; auto.
intros. congruence.
- apply all_states_update; eauto.
intros.
repeat handler_simpl; intuition.
Qed.
Lemma pointers_wf_tick:
chord_tick_invariant (all_ptrs wf_ptr).
Proof.
do 2 autounfold_one. intros; simpl in *.
inv_prop all_ptrs.
constructor; repeat find_rewrite; simpl in *.
- apply all_states_update; eauto; intros.
repeat (handler_def || handler_simpl).
- apply all_msgs_app; eauto; intros; simpl in *.
repeat (handler_def || handler_simpl); intuition.
find_apply_lem_hyp option_map_Some.
break_exists; intuition. find_inversion.
unfold send in *. find_inversion. inv_prop succs_msg.
- apply all_states_update; eauto; intros.
repeat (handler_def || handler_simpl).
- apply all_msgs_app; eauto; intros; simpl in *.
repeat (handler_def || handler_simpl); intuition.
find_apply_lem_hyp option_map_Some.
break_exists; intuition. find_inversion.
unfold send in *. find_inversion.
- apply all_states_update; eauto; intros.
repeat (handler_def || handler_simpl).
- apply all_states_update; eauto; intros.
repeat (handler_def || handler_simpl).
find_apply_lem_hyp option_map_Some.
break_exists. intuition. find_inversion.
eauto using hd_error_in.
- apply all_states_update; eauto; intros.
repeat (handler_def || handler_simpl).
inv_prop query_ptr.
- apply all_msgs_app; eauto; intros; simpl in *.
repeat (handler_def || handler_simpl); intuition.
find_apply_lem_hyp option_map_Some.
break_exists; intuition. find_inversion.
unfold send in *. find_inversion.
- apply all_states_update; eauto; intros.
repeat (handler_def || handler_simpl).
Qed.
Lemma pointers_wf_keepalive :
chord_keepalive_invariant (all_ptrs wf_ptr).
Proof.
do 2 autounfold_one. intros; simpl in *.
inv_prop all_ptrs.
constructor; repeat find_rewrite; simpl in *.
- apply all_states_update; eauto; intros.
repeat (handler_def || handler_simpl).
- apply all_msgs_app; eauto; intros; simpl in *.
repeat (handler_def || handler_simpl); intuition.
in_crush. unfold send_keepalives in *.
in_crush. unfold send in *. find_inversion.
inv_prop succs_msg.
- apply all_states_update; eauto; intros.
repeat (handler_def || handler_simpl).
- apply all_msgs_app; eauto; intros; simpl in *.
repeat (handler_def || handler_simpl); intuition.
subst.
in_crush. unfold send_keepalives in *.
in_crush. unfold send in *. find_inversion.
- apply all_states_update; eauto; intros.
repeat (handler_def || handler_simpl).
- apply all_states_update; eauto; intros.
repeat (handler_def || handler_simpl).
- apply all_states_update; eauto; intros.
repeat (handler_def || handler_simpl).
- apply all_msgs_app; eauto; intros; simpl in *.
repeat (handler_def || handler_simpl); intuition.
subst.
in_crush. unfold send_keepalives in *.
in_crush. unfold send in *. find_inversion.
- apply all_states_update; eauto; intros.
repeat (handler_def || handler_simpl).
Qed.
Lemma pointers_wf_rectify :
chord_rectify_invariant (all_ptrs wf_ptr).
Proof.
do 2 autounfold_one. intros; simpl in *.
inv_prop all_ptrs.
constructor; repeat find_rewrite; simpl in *.
- apply all_states_update; eauto; intros.
repeat (handler_def || handler_simpl).
- apply all_msgs_app; eauto; intros; simpl in *.
repeat (handler_def || handler_simpl); intuition.
find_apply_lem_hyp option_map_Some. break_exists. intuition.
find_inversion.
unfold send in *. find_inversion.
inv_prop succs_msg.
- apply all_states_update; eauto; intros.
repeat (handler_def || handler_simpl).
- apply all_msgs_app; eauto; intros; simpl in *.
repeat (handler_def || handler_simpl); intuition.
find_apply_lem_hyp option_map_Some. break_exists. intuition.
find_inversion.
unfold send in *. find_inversion.
- apply all_states_update; eauto; intros.
repeat (handler_def || handler_simpl).
- apply all_states_update; eauto; intros.
repeat (handler_def || handler_simpl).
find_apply_lem_hyp option_map_Some. break_exists. intuition.
find_inversion. eauto.
- apply all_states_update; eauto; intros.
repeat (handler_def || handler_simpl).
inv_prop query_ptr. eauto.
- apply all_msgs_app; eauto; intros; simpl in *.
repeat (handler_def || handler_simpl); intuition.
subst.
find_apply_lem_hyp option_map_Some. break_exists. intuition.
find_inversion.
unfold send in *. find_inversion.
- apply all_states_update; eauto; intros.
repeat (handler_def || handler_simpl).
Qed.
Ltac handler_simpler :=
discriminate ||
solve [eauto] ||
match goal with
| H : In _ [] |- _ =>
invcs H
| H : In _ (concat _) |- _ =>
apply in_concat in H; break_exists; intuition
| H : In _ (handle_delayed_query _ _ _) |- _ =>
unfold handle_delayed_query, handle_query_req in *
| H : option_map _ _ = Some _ |- _ =>
apply option_map_Some in H; break_exists
| H : succs_msg _ _ |- _ =>
invcs H
| H : In ?x ?l, _ : ?l' = _ :: ?l, _ : In ?x ?l' |- _ =>
idtac
| H : In ?x ?l, _ : ?l' = _ :: ?l |- _ =>
assert (In x l') by (repeat find_rewrite; in_crush)
| H : hd_error _ = Some _ |- _ =>
apply hd_error_in in H
| H : ptr _ = ?x |- context [?x] =>
symmetry in H; rewrite H
| |- context [best_predecessor ?x ?l ?p] =>
pose proof (best_predecessor_in_succs_or_ptr x l (best_predecessor x l p) p);
in_crush
end ||
break_match ||
in_crush ||
handler_simpl.
(* FIXME: long-running proof *)
Lemma pointers_wf_request :
chord_request_invariant (all_ptrs wf_ptr).
Proof.
Admitted.
Lemma pointers_wf_input :
chord_input_invariant (all_ptrs wf_ptr).
Proof.
do 2 autounfold_one. intros; simpl in *.
inv_prop all_ptrs.
constructor; repeat find_rewrite; simpl in *; eauto.
- apply all_msgs_cons; eauto. intros.
inv_prop succs_msg; inv_prop client_payload.
- apply all_msgs_cons; eauto. intros. subst.
inv_prop client_payload.
- apply all_msgs_cons; eauto. intros. subst.
inv_prop client_payload.
Qed.
Lemma pointers_wf_output :
chord_output_invariant (all_ptrs wf_ptr).
Proof.
do 2 autounfold_one. intros; simpl in *.
inv_prop all_ptrs.
constructor; repeat find_rewrite; simpl in *; eauto.
Qed.
Lemma pointers_wf_fail :
chord_fail_invariant (all_ptrs wf_ptr).
Proof.
do 2 autounfold_one. intros; simpl in *.
inv_prop all_ptrs.
constructor; repeat find_rewrite; simpl in *; eauto.
Qed.
Theorem pointers_wf :
forall gst,
reachable_st gst ->
all_ptrs wf_ptr gst.
Proof.
intros until 1. pattern gst.
eapply chord_net_invariant.
(* TODO(doug) need more theorems for each case here *)
all:(try exact pointers_wf_recv; try exact pointers_wf_init; try exact pointers_wf_start;
try exact pointers_wf_fail; try exact pointers_wf_tick; try exact pointers_wf_rectify;
try exact pointers_wf_keepalive; try exact pointers_wf_request;
try exact pointers_wf_input; try exact pointers_wf_output); auto.
Qed.
Inductive query_joined_ptr : pointer -> query -> pointer -> Prop :=
| QJPRectifyH : forall h p, query_joined_ptr h (Rectify p) h
| QJPRectifyP : forall h p, query_joined_ptr h (Rectify p) p
| QPJStabilize : forall h, query_joined_ptr h Stabilize h
| QJPStabilize2H : forall h p, query_joined_ptr h (Stabilize2 p) h
| QJPStabilize2P : forall h p, query_joined_ptr h (Stabilize2 p) p
| QJPJoin2H : forall h p, query_joined_ptr h (Join2 p) h
| QJPJoin2P : forall h p, query_joined_ptr h (Join2 p) p.
Local Hint Constructors query_joined_ptr.
Definition all_joined_query_ptr (P : pointer -> Prop) (sigma : addr -> option data) : Prop :=
all_cur_request (fun h q => forall p, query_joined_ptr h q p -> P p) sigma.
Local Hint Unfold all_joined_query_ptr.
Inductive joined_sender_msg : payload -> Prop :=
| JSMNotify : joined_sender_msg Notify
| JSMGotPredAndSuccs : forall p s, joined_sender_msg (GotPredAndSuccs p s)
(*| JSMGotSuccList : forall s, joined_sender_msg (GotSuccList s)*)
.
Local Hint Constructors joined_sender_msg.
Definition all_joined_senders_net (P : pointer -> Prop) ms :=
all_msgs (fun src _ p => joined_sender_msg p -> (P (make_pointer src))) ms.
Local Hint Unfold all_joined_senders_net.
Inductive joined_receiver_msg : payload -> Prop :=
| JSRGetPredAndSuccs : joined_receiver_msg GetPredAndSuccs
(*| JSMGotSuccList : forall s, joined_sender_msg (GotSuccList s)*)
.
Local Hint Constructors joined_receiver_msg.
Definition all_joined_receivers_net (P : pointer -> Prop) ms :=
all_msgs (fun _ dst p => joined_receiver_msg p -> (P (make_pointer dst))) ms.
Local Hint Unfold all_joined_receivers_net.
Definition all_joined_receivers_delayed_queries_state (P : pointer -> Prop) sigma :=
all_states (fun st => forall h p, In (h, p) (delayed_queries st) -> joined_receiver_msg p -> P (ptr st))
sigma.
Local Hint Unfold all_joined_receivers_delayed_queries_state.
Inductive joined_query : _query -> Prop :=
| JRStabilize : joined_query Stabilize
| JRStabilize2 : forall p, joined_query (Stabilize2 p).
Local Hint Constructors joined_query.
Definition all_joined_cur_request_state (P : pointer -> Prop) sigma :=
all_states (fun st => forall dstp q m, cur_request st = Some (dstp, q, m) -> joined_query q -> P (ptr st))
sigma.
Local Hint Unfold all_joined_cur_request_state.
Inductive all_joined_ptrs P (gst : global_state) :=
| AllJoinedPtrs :
all_succs_state (P gst) (sigma gst) ->
all_succs_net (P gst) (msgs gst) ->
all_preds_state (P gst) (sigma gst) ->
all_preds_net (P gst) (msgs gst) ->
all_rectify_with (P gst) (sigma gst) ->
all_joined_senders_net (P gst) (msgs gst) ->
all_joined_receivers_net (P gst) (msgs gst) ->
all_joined_receivers_delayed_queries_state (P gst) (sigma gst) ->
all_joined_cur_request_state (P gst) (sigma gst) ->
all_joined_query_ptr (P gst) (sigma gst) ->
all_joined_ptrs P gst.
Definition pointer_joined gst p :=
In (addr_of p) (nodes gst) /\
exists st,
sigma gst (addr_of p) = Some st /\
joined st = true.
Lemma pointer_joined_stable :
forall gst gst' p,
reachable_st gst ->
step_dynamic gst gst' ->
pointer_joined gst p ->
pointer_joined gst' p.
Proof.
intros. unfold pointer_joined in *. inv_prop step_dynamic; intuition.
- unfold update_for_start. simpl. intuition.
- unfold update_for_start. simpl. update_destruct; subst; rewrite_update; intuition.
- break_exists. intuition.
find_apply_lem_hyp timeout_handler_definition.
break_exists.
find_apply_lem_hyp joined_preserved_by_timeout_handler_eff.
unfold apply_handler_result. simpl.
update_destruct; subst; rewrite_update; intuition eauto.
eexists; intuition eauto. congruence.
- break_exists. intuition.
unfold apply_handler_result. simpl.
update_destruct; subst; rewrite_update; intuition eauto.
find_apply_lem_hyp joined_preserved_by_recv_handler; eauto.
congruence.
Qed.
Lemma initial_st_joined :
forall gst h,
initial_st gst ->
In h (nodes gst) ->
pointer_joined gst (make_pointer h).
Proof.
intros.
find_copy_apply_lem_hyp in_nodes_sigma_some; auto.
unfold pointer_joined. simpl. intuition.
break_exists_exists. intuition.
unfold initial_st in *. intuition.
specialize (H8 h); intuition.
destruct (start_handler h (nodes gst)) eqn:?.
destruct p.
pose proof succ_list_len_lower_bound.
find_apply_lem_hyp initial_start_handler_st_joined; try omega.
specialize (H10 d l0 l); intuition. repeat find_rewrite. congruence.
Qed.
Local Hint Resolve initial_st_joined.
Lemma pointer_joined_ptr_h :
forall gst h st,
reachable_st gst ->
sigma gst h = Some st ->
pointer_joined gst (ptr st) ->
pointer_joined gst (make_pointer h).
Proof.
intros. erewrite <- ptr_correct; eauto.
Qed.
Local Hint Resolve pointer_joined_ptr_h.
Lemma pointers_joined_init :
chord_init_invariant (all_joined_ptrs pointer_joined).
Proof.
autounfold_one. intuition.
constructor.
- do 2 autounfold_one; simpl.
intros.
find_eapply_lem_hyp sigma_initial_st_start_handler; auto.
subst.
unfold start_handler in *. repeat break_match; simpl in *; in_crush.
find_apply_lem_hyp in_firstn.
match goal with
| H : In ?s ?l, H' : ?l' = _ :: ?l |- _ => assert (In s l') by (repeat find_rewrite; in_crush)
end. find_apply_lem_hyp in_sort_by_between. in_crush.
- do 2 autounfold_one; simpl. intros.
unfold initial_st in *. intuition. repeat find_rewrite. in_crush.
- do 2 autounfold_one; simpl.
intros.
find_eapply_lem_hyp sigma_initial_st_start_handler; auto.
subst.
unfold start_handler in *. repeat break_match; simpl in *; in_crush; try congruence.
find_apply_lem_hyp hd_error_in.
find_apply_lem_hyp in_rev.
find_reverse_rewrite. find_apply_lem_hyp in_sort_by_between.
in_crush.
- do 2 autounfold_one; simpl. intros.
unfold initial_st in *. intuition. repeat find_rewrite. in_crush.
- do 2 autounfold_one; simpl.
intros.
find_eapply_lem_hyp sigma_initial_st_start_handler; auto.
subst.
unfold start_handler in *. repeat break_match; simpl in *; in_crush; try congruence.
- do 2 autounfold_one; simpl. intros.
unfold initial_st in *. intuition. repeat find_rewrite. in_crush.
- do 2 autounfold_one; simpl. intros.
unfold initial_st in *. intuition. repeat find_rewrite. in_crush.
- do 2 autounfold_one; simpl.
intros.
find_eapply_lem_hyp sigma_initial_st_start_handler; auto.
subst.
unfold start_handler in *. repeat break_match; simpl in *; in_crush; try congruence.
- do 2 autounfold_one; simpl.
intros.
find_eapply_lem_hyp sigma_initial_st_start_handler; auto.
subst.
unfold start_handler in *. repeat break_match; simpl in *; in_crush; try congruence.
find_inversion. solve_by_inversion.
- do 3 autounfold_one; simpl.
intros.
find_eapply_lem_hyp sigma_initial_st_start_handler; auto.
subst.
unfold start_handler in *. repeat break_match; simpl in *; in_crush; try congruence.
exfalso.
match goal with
| _ : sort_by_between ?h (_ _ ?l) = _ |- _ =>
pose proof sorted_knowns_same_length h l
end.
repeat find_rewrite. simpl in *.
unfold initial_st in *. intuition.
pose proof succ_list_len_lower_bound.
(* yikes *)
unfold addr in *.
unfold ChordIDParams.name in *.
omega.
Qed.
Local Hint Resolve pointer_joined_stable.
Lemma pointer_joined_make_pointer :
forall gst h,
pointer_joined gst h ->
pointer_joined gst (make_pointer (addr_of h)).
Proof.
unfold pointer_joined. simpl in *. intuition.
Qed.
Local Hint Resolve pointer_joined_make_pointer.
Lemma in_partition :
forall A (l : list A) xs a ys,
l = xs ++ a :: ys ->
In a l.
Proof.
intros. in_crush.
Qed.
Hint Resolve in_partition.
Lemma in_partition_if1 :
forall A (x : A) xs y ys,
In x xs ->
In x (xs ++ y :: ys).
Proof. in_crush. Qed.
Local Hint Resolve in_partition_if1.
Lemma in_partition_if2 :
forall A (x : A) xs y ys,
In x ys ->
In x (xs ++ y :: ys).
Proof. in_crush. Qed.
Local Hint Resolve in_partition_if2.
Ltac handler_simpler_joined :=
discriminate ||
solve [eauto] ||
match goal with
| H : In _ [] |- _ =>
invcs H
| H : In _ (concat _) |- _ =>
apply in_concat in H; break_exists; intuition
| H : In _ (handle_delayed_query _ _ _) |- _ =>
unfold handle_delayed_query, handle_query_req in *
| H : In _ (handle_query_req _ _ _) |- _ =>
unfold handle_query_req in *
| H : option_map _ _ = Some _ |- _ =>
apply option_map_Some in H; break_exists
| H : succs_msg _ _ |- _ =>
invcs H
| H:In ?x ?l, _:?l' = _ :: ?l |- _ =>
match goal with
| _:In x l' |- _ => fail 1
| _ =>
assert (In x l') by (repeat find_rewrite; in_crush)
end
| H : hd_error _ = Some _ |- _ =>
apply hd_error_in in H
| H : ptr _ = ?x |- context [?x] =>
symmetry in H; rewrite H
| |- context [best_predecessor ?x ?l ?p] =>
pose proof (best_predecessor_in_succs_or_ptr x l (best_predecessor x l p) p);
in_crush
| H : joined_sender_msg _ |- _ =>
try solve [inversion H]
| H : joined_receiver_msg _ |- _ =>
try solve [inversion H]
| H : query_joined_ptr _ _ _ |- _ =>
try solve [inversion H; subst; eauto]
end ||
break_match ||
in_crush ||
handler_simpl.
Lemma pointer_h_ptr_joined :
forall gst h st,
reachable_st gst ->
sigma gst h = Some st ->
pointer_joined gst (make_pointer h) ->
pointer_joined gst (ptr st).
Proof.
intros. erewrite ptr_correct; eauto.
Qed.
Local Hint Resolve pointer_h_ptr_joined.
Local Hint Resolve in_dedup_was_in.
(* FIXME: long-running proof *)
Lemma pointers_joined_recv :
chord_recv_handler_invariant (all_joined_ptrs pointer_joined).
Proof.
Admitted.
Ltac handler_simplerer_joined :=
discriminate ||
solve [eauto] ||
match goal with
| H : In _ [] |- _ =>
invcs H
| H : In _ (concat _) |- _ =>
apply in_concat in H; break_exists; intuition
| H : In _ (handle_delayed_query _ _ _) |- _ =>
unfold handle_delayed_query, handle_query_req in *
| H : In _ (handle_query_req _ _ _) |- _ =>
unfold handle_query_req in *
| H : option_map _ _ = Some _ |- _ =>
apply option_map_Some in H; break_exists
| H : succs_msg _ _ |- _ =>
invcs H
| H:In ?x ?l, _:?l' = _ :: ?l |- _ =>
match goal with
| _:In x l' |- _ => fail 1
| _ =>
assert (In x l') by (repeat find_rewrite; in_crush)
end
| H : hd_error _ = Some _ |- _ =>
apply hd_error_in in H
| H : ptr _ = ?x |- context [?x] =>
symmetry in H; rewrite H
| |- context [best_predecessor ?x ?l ?p] =>
pose proof (best_predecessor_in_succs_or_ptr x l (best_predecessor x l p) p);
in_crush
| H : joined_sender_msg _ |- _ =>
try solve [inversion H]
| H : joined_receiver_msg _ |- _ =>
try solve [inversion H]
| H : joined_query _ |- _ =>
try solve [inversion H]
| H : query_joined_ptr _ _ _ |- _ =>
try solve [inversion H; subst; eauto]
end ||
break_match ||
in_crush ||
handler_simpl.
(* FIXME: long-running proof *)
Lemma pointers_joined_start :
chord_start_invariant (all_joined_ptrs pointer_joined).
Proof.
Admitted.
Local Hint Unfold send_keepalives.
(* FIXME: long-running proof *)
Lemma pointers_joined_keepalive :
chord_keepalive_invariant (all_joined_ptrs pointer_joined).
Proof.
Admitted.
(* FIXME: long-running proof *)
Lemma pointers_joined_tick :
chord_tick_invariant (all_joined_ptrs pointer_joined).
Proof.
Admitted.
(* FIXME: long-running proof *)
Lemma pointers_joined_fail :
chord_fail_invariant (all_joined_ptrs pointer_joined).
Proof.
Admitted.
(* FIXME: long-running proof *)
Lemma pointers_joined_rectify :
chord_rectify_invariant (all_joined_ptrs pointer_joined).
Proof.
Admitted.
(* FIXME: long-running proof *)
Lemma pointers_joined_request :
chord_request_invariant (all_joined_ptrs pointer_joined).
Proof.
Admitted.
(* FIXME: long-running proof *)
Lemma pointers_joined_input :
chord_input_invariant (all_joined_ptrs pointer_joined).
Proof.
Admitted.
(* FIXME: long-running proof *)
Lemma pointers_joined_output :
chord_output_invariant (all_joined_ptrs pointer_joined).
Proof.
Admitted.
Theorem pointers_joined :
forall gst,
reachable_st gst ->
all_joined_ptrs pointer_joined gst.
Proof.
intros until 1. pattern gst.
eapply chord_net_invariant.
(* TODO(doug) need more theorems for each case here *)
all:(try exact pointers_joined_recv; try exact pointers_joined_init; try exact pointers_joined_start;
try exact pointers_joined_fail; try exact pointers_joined_tick; try exact pointers_joined_rectify;
try exact pointers_joined_keepalive; try exact pointers_joined_request;
try exact pointers_joined_input; try exact pointers_joined_output); auto.
Qed.
Lemma find_pred_in :
forall h p l,
find_pred h l = Some p ->
In p l.
Proof.
induction l using List.rev_ind.
- unfold find_pred. simpl. intuition. congruence.
- unfold find_pred. simpl.
rewrite rev_unit. simpl.
in_crush. find_inversion. auto.
Qed.
Lemma in_make_succs :
forall p s l,
In p (make_succs s l) ->
p = s \/ In p l.
Proof.
unfold make_succs. intros.
find_apply_lem_hyp in_firstn.
in_crush.
Qed.
Lemma best_predecessor_elim :
forall h l h',
best_predecessor h l h' = h \/
In (best_predecessor h l h') l.
Proof.
intros. unfold best_predecessor, hd.
break_match; intuition.
right.
apply in_rev.
eapply filter_In. rewrite Heql0. in_crush.
Qed.
Theorem stabilize_target_joined :
forall gst h st dst m,
reachable_st gst ->
sigma gst h = Some st ->
cur_request st = Some (dst, Stabilize, m) ->
exists st__d,
sigma gst (addr_of dst) = Some st__d /\
joined st__d = true.
Proof.
intros.
assert (pointer_joined gst dst) by
(find_eapply_lem_hyp pointers_joined; eauto).
unfold pointer_joined in *. intuition eauto.
Qed.
Theorem stabilize2_target_joined :
forall gst h st dst sdst m,
reachable_st gst ->
sigma gst h = Some st ->
cur_request st = Some (dst, Stabilize2 sdst, m) ->
exists st__d,
sigma gst (addr_of dst) = Some st__d /\
joined st__d = true.
Proof.
intros.
assert (pointer_joined gst dst) by
(find_eapply_lem_hyp pointers_joined; eauto).
unfold pointer_joined in *. intuition eauto.
Qed.
Theorem join2_target_joined :
forall gst h st dst jdst m,
reachable_st gst ->
sigma gst h = Some st ->
cur_request st = Some (dst, Join2 jdst, m) ->
exists st__d,
sigma gst (addr_of dst) = Some st__d /\
joined st__d = true.
Proof.
intros.
exfalso; eauto using join2_unreachable.
Qed.
Lemma first_elem_in :
forall A (P Q : A -> Prop) l,
(forall y, In y l -> P y \/ Q y) ->
(forall y, In y l -> Q y -> ~ P y) ->
(forall y, In y l -> P y -> ~ Q y) ->
forall x,
In x l ->
P x ->
exists y xs ys,
l = xs ++ y :: ys /\
(forall z, In z xs -> Q z) /\
P y.
Proof.
induction l; intros; try solve_by_inversion.
in_crush.
- exists x. exists []. exists l. intuition.
solve_by_inversion.
- assert (P a \/ Q a); intuition.
+ exists a. exists []. exists l. intuition. solve_by_inversion.
+ repeat conclude_using in_crush.
forward IHl; [firstorder|]. concludes.
forward IHl; [firstorder|]. concludes.
specialize (IHl x). intuition.
break_exists_name y. exists y.
break_exists_name xs. exists (a :: xs).
break_exists_exists.
intuition; simpl; try congruence.
in_crush.
Qed.
Lemma live_node_not_dead_node :
forall gst x,
live_node gst x -> ~ dead_node gst x.
Proof.
unfold live_node, dead_node. intuition.
Qed.
Lemma dead_node_not_live_node :
forall gst x,
dead_node gst x -> ~ live_node gst x.
Proof.
unfold live_node, dead_node. intuition.
Qed.
Theorem live_node_in_succs_best_succ :
forall gst h st l,
reachable_st gst ->
sigma gst h = Some st ->
live_node gst l ->
live_node gst h ->
In l (map addr_of (succ_list st)) ->
exists s, best_succ gst h s.
Proof.
intros.
pose proof (first_elem_in _ (live_node gst) (dead_node gst) (map addr_of (succ_list st))).
forwards.
{
intros.
find_apply_lem_hyp in_map_iff.
break_exists. intuition. subst.
find_apply_lem_hyp successor_nodes_always_valid.
eapply_prop_hyp successor_nodes_valid In; conclude_using eauto.
intuition.
unfold live_node, dead_node.
destruct (in_dec addr_eq_dec (addr_of x) (failed_nodes gst)); intuition.
right. intuition.
break_exists_exists. intuition.
} repeat conclude_using ltac:(eauto using live_node_not_dead_node, dead_node_not_live_node).
clear H5. eapply_prop_hyp In In; eauto.
break_exists_exists.
unfold best_succ. exists st. break_exists_exists.
intuition.
Qed.
Lemma delayed_query_sources_active_or_clients :
forall gst h st,
reachable_st gst ->
sigma gst h = Some st ->
forall src m,
In (src, m) (delayed_queries st) ->
In src (nodes gst) \/ client_addr src.
Proof.
Admitted.
Lemma non_client_msgs_only_to_live_nodes :
forall gst src dst p,
reachable_st gst ->
~ client_addr src ->
~ client_addr dst ->
In (src, (dst, p)) (msgs gst) ->
In dst (nodes gst).
Proof.
Admitted.
Lemma successors_in_nodes :
forall gst h st s,
reachable_st gst ->
sigma gst h = Some st ->
In s (succ_list st) ->
In (addr_of s) (nodes gst).
Proof.
intros.
cut (pointer_joined gst s); [unfold pointer_joined; solve [intuition]|].
cut (all_joined_ptrs pointer_joined gst); eauto using pointers_joined.
intros. inv_prop all_joined_ptrs. eauto.
Qed.
Theorem non_client_msgs_out_of_net_go_to_clients :
forall gst,
reachable_st gst ->
forall src dst p,
~ client_addr src ->
In (src, (dst, p)) (msgs gst) ->
~ In dst (nodes gst) ->
client_addr dst.
Proof.
Admitted.
|
/**
* Copyright 2020 The SkyWater PDK Authors
*
* Licensed under the Apache License, Version 2.0 (the "License");
* you may not use this file except in compliance with the License.
* You may obtain a copy of the License at
*
* https://www.apache.org/licenses/LICENSE-2.0
*
* Unless required by applicable law or agreed to in writing, software
* distributed under the License is distributed on an "AS IS" BASIS,
* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
* See the License for the specific language governing permissions and
* limitations under the License.
*
* SPDX-License-Identifier: Apache-2.0
*/
`ifndef SKY130_FD_SC_HS__TAPVPWRVGND_TB_V
`define SKY130_FD_SC_HS__TAPVPWRVGND_TB_V
/**
* tapvpwrvgnd: Substrate and well tap cell.
*
* Autogenerated test bench.
*
* WARNING: This file is autogenerated, do not modify directly!
*/
`timescale 1ns / 1ps
`default_nettype none
`include "sky130_fd_sc_hs__tapvpwrvgnd.v"
module top();
// Inputs are registered
reg VPWR;
reg VGND;
// Outputs are wires
initial
begin
// Initial state is x for all inputs.
VGND = 1'bX;
VPWR = 1'bX;
#20 VGND = 1'b0;
#40 VPWR = 1'b0;
#60 VGND = 1'b1;
#80 VPWR = 1'b1;
#100 VGND = 1'b0;
#120 VPWR = 1'b0;
#140 VPWR = 1'b1;
#160 VGND = 1'b1;
#180 VPWR = 1'bx;
#200 VGND = 1'bx;
end
sky130_fd_sc_hs__tapvpwrvgnd dut (.VPWR(VPWR), .VGND(VGND));
endmodule
`default_nettype wire
`endif // SKY130_FD_SC_HS__TAPVPWRVGND_TB_V
|
//////////////////////////////////////////////////////////////////////
//// ////
//// File name "ge_1000baseX_test.v" ////
//// ////
//// This file is part of the : ////
//// ////
//// "1000BASE-X IEEE 802.3-2008 Clause 36 - PCS project" ////
//// ////
//// http://opencores.org/project,1000base-x ////
//// ////
//// Author(s): ////
//// - D.W.Pegler Cambridge Broadband Networks Ltd ////
//// ////
//// { [email protected], [email protected] } ////
//// ////
//////////////////////////////////////////////////////////////////////
//// ////
//// Copyright (C) 2009 AUTHORS. All rights reserved. ////
//// ////
//// This source file may be used and distributed without ////
//// restriction provided that this copyright statement is not ////
//// removed from the file and that any derivative work contains ////
//// the original copyright notice and the associated disclaimer. ////
//// ////
//// This source file is free software; you can redistribute it ////
//// and/or modify it under the terms of the GNU Lesser General ////
//// Public License as published by the Free Software Foundation; ////
//// either version 2.1 of the License, or (at your option) any ////
//// later version. ////
//// ////
//// This source is distributed in the hope that it will be ////
//// useful, but WITHOUT ANY WARRANTY; without even the implied ////
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR ////
//// PURPOSE. See the GNU Lesser General Public License for more ////
//// details. ////
//// ////
//// You should have received a copy of the GNU Lesser General ////
//// Public License along with this source; if not, download it ////
//// from http://www.opencores.org/lgpl.shtml ////
//// ////
//////////////////////////////////////////////////////////////////////
//// ////
//// This module is based on the coding method described in ////
//// IEEE Std 802.3-2008 Clause 36 "Physical Coding Sublayer(PCS) ////
//// and Physical Medium Attachment (PMA) sublayer, type ////
//// 1000BASE-X"; see : ////
//// ////
//// http://standards.ieee.org/about/get/802/802.3.html ////
//// and ////
//// doc/802.3-2008_section3.pdf, Clause/Section 36. ////
//// ////
//////////////////////////////////////////////////////////////////////
`include "timescale.v"
module ge_1000baseX_test
(
// --- Resets ---
input reset_pin,
// --- GE 125MHz reference clock ---
input GE_125MHz_ref_ckpin,
// --- FO TBI 125MHz Rx clk ---
input tbi_rx_ckpin,
// --- Fibre-Optic (fo) GE TBI Interface ---
input [9:0] tbi_rxd,
output [9:0] tbi_txd,
// --- GMII interface ---
output [7:0] gmii_rxd,
output gmii_rx_dv,
output gmii_rx_er,
output gmii_col,
output gmii_cs,
input [7:0] gmii_txd,
input gmii_tx_en,
input gmii_tx_er,
// --- Fibre-Optic (fo) ctrl signals ---
output sync_en,
output loop_en,
output prbs_en,
input signal_detect,
input sync,
// --- MDIO interface
inout mdio,
input mdio_ckpin
);
assign sync_en = 1'b0;
assign loop_en = 1'b0;
assign prbs_en = 1'b0;
//----------------------------------------------------------------------------
// MDIO/MDC clock buffering
//----------------------------------------------------------------------------
IBUFG mdio_ckpin_bufi(.I(mdio_ckpin), .O(mdio_ckpin_buf));
BUFG mdio_ck_bufi(.I(mdio_ckpin_buf), .O(mdc));
//----------------------------------------------------------------------------
// GE 125MHz reference clock
//----------------------------------------------------------------------------
IBUFG GE_125MHz_ref_ckpin_bufi(.I(GE_125MHz_ref_ckpin), .O(GE_125MHz_ref_ckpin_buf));
wire GE_125MHz_ref_ck_locked;
DCM #(
.CLKIN_PERIOD(8.0), // Specify period of input clock in ns
.CLKFX_MULTIPLY(5),
.CLKFX_DIVIDE(8)
) GE_125MHz_ref_ck_DCMi(
.CLK0(GE_125MHz_ref_ck_unbuf),
.CLK180(),
.CLK270(),
.CLK2X(),
.CLK2X180(),
.CLK90(),
.CLKDV(),
.CLKFX(),
.CLKFX180(),
.LOCKED(GE_125MHz_ref_ck_locked),
.PSDONE(),
.STATUS(),
.CLKFB(GE_125MHz_ref_ck),
.CLKIN(GE_125MHz_ref_ckpin_buf),
.DSSEN(1'b0),
.PSCLK(1'b0),
.PSEN(1'b0),
.PSINCDEC(1'b0),
.RST(reset_pin)
);
//----------------------------------------------------------------------------
// 125MHz refence clock
//----------------------------------------------------------------------------
`ifdef MODEL_TECH
BUFG GE_125MHz_ref_ck_bufi(.I(GE_125MHz_ref_ck_unbuf), .O(GE_125MHz_ref_ck));
`else
BUFGMUX GE_125MHz_ref_ck_bufi(.I1(GE_125MHz_ref_ck_unbuf), .O(GE_125MHz_ref_ck), .S(1'b1));
`endif
//----------------------------------------------------------------------------
// Fibre-Optic (FO) TBI RX clock.
//----------------------------------------------------------------------------
IBUFG tbi_rx_ckpin_bufi(.I(tbi_rx_ckpin), .O(tbi_rx_ckpin_buf));
DCM #(
.CLKIN_PERIOD(8.0)
) tbi_rx_ck_DCMi(
.CLK0(tbi_rx_ck_unbuf),
.CLK180(),
.CLK270(),
.CLK2X(),
.CLK2X180(),
.CLK90(),
.CLKDV(),
.CLKFX(),
.CLKFX180(),
.LOCKED(tbi_rx_ck_locked),
.PSDONE(),
.STATUS(),
.CLKFB(tbi_rx_ck),
.CLKIN(tbi_rx_ckpin_buf),
.DSSEN(1'b0),
.PSCLK(1'b0),
.PSEN(1'b0),
.PSINCDEC(1'b0),
.RST(reset_pin)
);
// FO TBI 125MHz rx clock
BUFG tbi_rx_ck_bufi( .I(tbi_rx_ck_unbuf), .O(tbi_rx_ck));
//----------------------------------------------------------------------------
// Reset Cleaners
//----------------------------------------------------------------------------
wire main_clocks_locked = GE_125MHz_ref_ck_locked;
wire tbi_rxck_reset_in = reset_pin | ~main_clocks_locked;
wire GE_125MHz_reset_in = reset_pin | ~main_clocks_locked;
wire mdc_reset_in = reset_pin | ~main_clocks_locked;
wire GE_125MHz_reset, tbi_rx_reset;
clean_rst GE_125MHz_reset_cleaneri(.clk(GE_125MHz_ref_ck), .rsti(GE_125MHz_reset_in), .rsto(GE_125MHz_reset));
clean_rst tbi_rx_reset_cleaneri( .clk(tbi_rx_ck), .rsti(tbi_rxck_reset_in), .rsto(tbi_rx_reset));
clean_rst mdc_reset_cleaneri( .clk(mdc), .rsti(mdc_reset_in), .rsto(mdc_reset));
//-------------------------------------------------------------------------------
// --- IEEE 802.3-2008 1000baseX PCS ---
//-------------------------------------------------------------------------------
ge_1000baseX ge_1000baseX_i(
// --- Clocks ---
.rx_ck(tbi_rx_ck), .tx_ck(GE_125MHz_ref_ck),
// --- resets ---
.tx_reset(GE_125MHz_reset), .rx_reset(tbi_rx_reset),
// --- Startup interface. ---
.startup_enable(~GE_125MHz_reset),
// --- Signal detect from FO transceiver
.signal_detect(signal_detect),
// --- Receive GMII bus ---
.gmii_rxd(gmii_rxd),
.gmii_rx_dv(gmii_rx_dv),
.gmii_rx_er(gmii_rx_er),
.gmii_col(gmii_col),
.gmii_cs(gmii_cs),
// --- Transmit GMII bus ---
.gmii_tx_en(gmii_tx_en),
.gmii_tx_er(gmii_tx_er),
.gmii_txd(gmii_txd),
// --- Receive 8B10B bus ---
.tbi_rxd(tbi_rxd),
// --- Transmit 8B10B bus ---
.tbi_txd(tbi_txd),
// --- Mode of operation ---
.repeater_mode(1'b0),
// --- MDIO interface ---
.mdc_reset(mdc_reset),
.mdc(mdc),
.mdio(mdio)/* synthesis xc_pullup = 1 */
);
endmodule
|
/*
* Copyright 2020 The SkyWater PDK Authors
*
* Licensed under the Apache License, Version 2.0 (the "License");
* you may not use this file except in compliance with the License.
* You may obtain a copy of the License at
*
* https://www.apache.org/licenses/LICENSE-2.0
*
* Unless required by applicable law or agreed to in writing, software
* distributed under the License is distributed on an "AS IS" BASIS,
* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
* See the License for the specific language governing permissions and
* limitations under the License.
*
* SPDX-License-Identifier: Apache-2.0
*/
`ifndef SKY130_FD_SC_HS__DLXTN_BEHAVIORAL_V
`define SKY130_FD_SC_HS__DLXTN_BEHAVIORAL_V
/**
* dlxtn: Delay latch, inverted enable, single output.
*
* Verilog simulation functional model.
*/
`timescale 1ns / 1ps
`default_nettype none
// Import sub cells.
`include "../u_dl_p_no_pg/sky130_fd_sc_hs__u_dl_p_no_pg.v"
`celldefine
module sky130_fd_sc_hs__dlxtn (
Q ,
D ,
GATE_N,
VPWR ,
VGND
);
// Module ports
output Q ;
input D ;
input GATE_N;
input VPWR ;
input VGND ;
// Local signals
wire gate buf_Q ;
wire gate GATE_N_delayed;
wire gate D_delayed ;
reg notifier ;
wire GATE ;
wire awake ;
// Name Output Other arguments
not not0 (GATE , GATE_N_delayed );
sky130_fd_sc_hs__u_dl_p_no_pg u_dl_p_no_pg0 (buf_Q , D_delayed, GATE, notifier, VPWR, VGND);
buf buf0 (Q , buf_Q );
assign awake = ( VPWR === 1'b1 );
endmodule
`endcelldefine
`default_nettype wire
`endif // SKY130_FD_SC_HS__DLXTN_BEHAVIORAL_V |
`default_nettype none
module gci_std_display_sequencer #(
//Area
parameter P_AREA_H = 640,
parameter P_AREA_V = 480,
parameter P_AREAA_HV_N = 19,
parameter P_MEM_ADDR_N = 23
)(
input wire iCLOCK,
input wire inRESET,
input wire iRESET_SYNC,
//Req
input wire iIF_VALID,
output wire oIF_BUSY,
input wire [31:0] iIF_DATA,
//Out
output wire oIF_FINISH,
output wire oIF_VALID,
input wire iIF_BUSY,
output wire [P_MEM_ADDR_N-1:0] oIF_ADDR,
output wire [23:0] oIF_DATA
);
/********************************************
Display Clear
********************************************/
localparam P_L_CLEAR_STT_IDLE = 2'h0;
localparam P_L_CLEAR_STT_CLEAR = 2'h1;
localparam P_L_CLEAR_STT_END = 2'h2;
reg [1:0] b_clear_state;
reg [7:0] b_clear_color_r;
reg [7:0] b_clear_color_g;
reg [7:0] b_clear_color_b;
reg [P_AREAA_HV_N-1:0] b_clear_counter;
always@(posedge iCLOCK or negedge inRESET)begin
if(!inRESET)begin
b_clear_state <= P_L_CLEAR_STT_IDLE;
b_clear_color_r <= 8'h0;
b_clear_color_g <= 8'h0;
b_clear_color_b <= 8'h0;
end
else if(iRESET_SYNC)begin
b_clear_state <= P_L_CLEAR_STT_IDLE;
b_clear_color_r <= 8'h0;
b_clear_color_g <= 8'h0;
b_clear_color_b <= 8'h0;
end
else begin
case(b_clear_state)
P_L_CLEAR_STT_IDLE:
begin
if(iIF_VALID)begin
b_clear_state <= P_L_CLEAR_STT_CLEAR;
{b_clear_color_r,
b_clear_color_g,
b_clear_color_b} <= iIF_DATA[23:0];
end
end
P_L_CLEAR_STT_CLEAR:
begin
if(b_clear_counter == (P_AREA_H*P_AREA_V))begin
b_clear_state <= P_L_CLEAR_STT_END;
end
end
P_L_CLEAR_STT_END:
begin
b_clear_state <= P_L_CLEAR_STT_IDLE;
end
default:
begin
b_clear_state <= P_L_CLEAR_STT_IDLE;
end
endcase
end
end
always@(posedge iCLOCK or negedge inRESET)begin
if(!inRESET)begin
b_clear_counter <= {P_AREAA_HV_N{1'b0}};
end
else if(iRESET_SYNC)begin
b_clear_counter <= {P_AREAA_HV_N{1'b0}};
end
else begin
if(b_clear_state == P_L_CLEAR_STT_CLEAR)begin
if(!iIF_BUSY)begin
b_clear_counter <= b_clear_counter + {{P_AREAA_HV_N-1{1'b0}}, 1'b1};
end
end
else begin
b_clear_counter <= {P_AREAA_HV_N{1'b0}};
end
end
end
assign oIF_BUSY = b_clear_state != P_L_CLEAR_STT_IDLE;
assign oIF_FINISH = b_clear_state == P_L_CLEAR_STT_END;
assign oIF_VALID = !iIF_BUSY && (b_clear_state == P_L_CLEAR_STT_CLEAR);
assign oIF_ADDR = b_clear_counter;
assign oIF_DATA = {b_clear_color_r, b_clear_color_g, b_clear_color_b};
endmodule
`default_nettype wire
|
/**
* Copyright 2020 The SkyWater PDK Authors
*
* Licensed under the Apache License, Version 2.0 (the "License");
* you may not use this file except in compliance with the License.
* You may obtain a copy of the License at
*
* https://www.apache.org/licenses/LICENSE-2.0
*
* Unless required by applicable law or agreed to in writing, software
* distributed under the License is distributed on an "AS IS" BASIS,
* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
* See the License for the specific language governing permissions and
* limitations under the License.
*
* SPDX-License-Identifier: Apache-2.0
*/
`ifndef SKY130_FD_SC_HDLL__A21BO_BLACKBOX_V
`define SKY130_FD_SC_HDLL__A21BO_BLACKBOX_V
/**
* a21bo: 2-input AND into first input of 2-input OR,
* 2nd input inverted.
*
* X = ((A1 & A2) | (!B1_N))
*
* Verilog stub definition (black box without power pins).
*
* WARNING: This file is autogenerated, do not modify directly!
*/
`timescale 1ns / 1ps
`default_nettype none
(* blackbox *)
module sky130_fd_sc_hdll__a21bo (
X ,
A1 ,
A2 ,
B1_N
);
output X ;
input A1 ;
input A2 ;
input B1_N;
// Voltage supply signals
supply1 VPWR;
supply0 VGND;
supply1 VPB ;
supply0 VNB ;
endmodule
`default_nettype wire
`endif // SKY130_FD_SC_HDLL__A21BO_BLACKBOX_V
|
// ----------------------------------------------------------------------
// Copyright (c) 2016, The Regents of the University of California All
// rights reserved.
//
// Redistribution and use in source and binary forms, with or without
// modification, are permitted provided that the following conditions are
// met:
//
// * Redistributions of source code must retain the above copyright
// notice, this list of conditions and the following disclaimer.
//
// * Redistributions in binary form must reproduce the above
// copyright notice, this list of conditions and the following
// disclaimer in the documentation and/or other materials provided
// with the distribution.
//
// * Neither the name of The Regents of the University of California
// nor the names of its contributors may be used to endorse or
// promote products derived from this software without specific
// prior written permission.
//
// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS
// "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT
// LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR
// A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL REGENTS OF THE
// UNIVERSITY OF CALIFORNIA BE LIABLE FOR ANY DIRECT, INDIRECT,
// INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING,
// BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS
// OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND
// ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR
// TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE
// USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH
// DAMAGE.
// ----------------------------------------------------------------------
//----------------------------------------------------------------------------
// Filename: riffa_wrapper_NetFPGA.v
// Version: 1.00.a
// Verilog Standard: Verilog-2001
// Description: RIFFA wrapper for the NetFPGA SUME Development board.
// Author: Dustin Richmond (@darichmond)
//-----------------------------------------------------------------------------
`include "trellis.vh"
`include "riffa.vh"
`include "ultrascale.vh"
`include "functions.vh"
`timescale 1ps / 1ps
module riffa_wrapper_NetFPGA
#(// Number of RIFFA Channels
parameter C_NUM_CHNL = 1,
// Bit-Width from Vivado IP Generator
parameter C_PCI_DATA_WIDTH = 128,
// 4-Byte Name for this FPGA
parameter C_MAX_PAYLOAD_BYTES = 256,
parameter C_LOG_NUM_TAGS = 5,
parameter C_FPGA_ID = "7V30")
(//Interface: CQ Ultrascale (RXR)
input M_AXIS_CQ_TVALID,
input M_AXIS_CQ_TLAST,
input [C_PCI_DATA_WIDTH-1:0] M_AXIS_CQ_TDATA,
input [(C_PCI_DATA_WIDTH/32)-1:0] M_AXIS_CQ_TKEEP,
input [`SIG_CQ_TUSER_W-1:0] M_AXIS_CQ_TUSER,
output M_AXIS_CQ_TREADY,
//Interface: RC Ultrascale (RXC)
input M_AXIS_RC_TVALID,
input M_AXIS_RC_TLAST,
input [C_PCI_DATA_WIDTH-1:0] M_AXIS_RC_TDATA,
input [(C_PCI_DATA_WIDTH/32)-1:0] M_AXIS_RC_TKEEP,
input [`SIG_RC_TUSER_W-1:0] M_AXIS_RC_TUSER,
output M_AXIS_RC_TREADY,
//Interface: CC Ultrascale (TXC)
input S_AXIS_CC_TREADY,
output S_AXIS_CC_TVALID,
output S_AXIS_CC_TLAST,
output [C_PCI_DATA_WIDTH-1:0] S_AXIS_CC_TDATA,
output [(C_PCI_DATA_WIDTH/32)-1:0] S_AXIS_CC_TKEEP,
output [`SIG_CC_TUSER_W-1:0] S_AXIS_CC_TUSER,
//Interface: RQ Ultrascale (TXR)
input S_AXIS_RQ_TREADY,
output S_AXIS_RQ_TVALID,
output S_AXIS_RQ_TLAST,
output [C_PCI_DATA_WIDTH-1:0] S_AXIS_RQ_TDATA,
output [(C_PCI_DATA_WIDTH/32)-1:0] S_AXIS_RQ_TKEEP,
output [`SIG_RQ_TUSER_W-1:0] S_AXIS_RQ_TUSER,
input USER_CLK,
input USER_RESET,
output [3:0] CFG_INTERRUPT_INT,
output [1:0] CFG_INTERRUPT_PENDING,
input [1:0] CFG_INTERRUPT_MSI_ENABLE,
input CFG_INTERRUPT_MSI_MASK_UPDATE,
input [31:0] CFG_INTERRUPT_MSI_DATA,
output [3:0] CFG_INTERRUPT_MSI_SELECT,
output [31:0] CFG_INTERRUPT_MSI_INT,
output [63:0] CFG_INTERRUPT_MSI_PENDING_STATUS,
input CFG_INTERRUPT_MSI_SENT,
input CFG_INTERRUPT_MSI_FAIL,
output [2:0] CFG_INTERRUPT_MSI_ATTR,
output CFG_INTERRUPT_MSI_TPH_PRESENT,
output [1:0] CFG_INTERRUPT_MSI_TPH_TYPE,
output [8:0] CFG_INTERRUPT_MSI_TPH_ST_TAG,
output [2:0] CFG_INTERRUPT_MSI_FUNCTION_NUMBER,
input [7:0] CFG_FC_CPLH,
input [11:0] CFG_FC_CPLD,
output [2:0] CFG_FC_SEL,
input [3:0] CFG_NEGOTIATED_WIDTH, // CONFIG_LINK_WIDTH
input [2:0] CFG_CURRENT_SPEED, // CONFIG_LINK_RATE
input [2:0] CFG_MAX_PAYLOAD, // CONFIG_MAX_PAYLOAD
input [2:0] CFG_MAX_READ_REQ, // CONFIG_MAX_READ_REQUEST
input [7:0] CFG_FUNCTION_STATUS, // [2] = CONFIG_BUS_MASTER_ENABLE
input [1:0] CFG_RCB_STATUS,
output PCIE_CQ_NP_REQ,
// RIFFA Interface Signals
output RST_OUT,
input [C_NUM_CHNL-1:0] CHNL_RX_CLK, // Channel read clock
output [C_NUM_CHNL-1:0] CHNL_RX, // Channel read receive signal
input [C_NUM_CHNL-1:0] CHNL_RX_ACK, // Channel read received signal
output [C_NUM_CHNL-1:0] CHNL_RX_LAST, // Channel last read
output [(C_NUM_CHNL*`SIG_CHNL_LENGTH_W)-1:0] CHNL_RX_LEN, // Channel read length
output [(C_NUM_CHNL*`SIG_CHNL_OFFSET_W)-1:0] CHNL_RX_OFF, // Channel read offset
output [(C_NUM_CHNL*C_PCI_DATA_WIDTH)-1:0] CHNL_RX_DATA, // Channel read data
output [C_NUM_CHNL-1:0] CHNL_RX_DATA_VALID, // Channel read data valid
input [C_NUM_CHNL-1:0] CHNL_RX_DATA_REN, // Channel read data has been recieved
input [C_NUM_CHNL-1:0] CHNL_TX_CLK, // Channel write clock
input [C_NUM_CHNL-1:0] CHNL_TX, // Channel write receive signal
output [C_NUM_CHNL-1:0] CHNL_TX_ACK, // Channel write acknowledgement signal
input [C_NUM_CHNL-1:0] CHNL_TX_LAST, // Channel last write
input [(C_NUM_CHNL*`SIG_CHNL_LENGTH_W)-1:0] CHNL_TX_LEN, // Channel write length (in 32 bit words)
input [(C_NUM_CHNL*`SIG_CHNL_OFFSET_W)-1:0] CHNL_TX_OFF, // Channel write offset
input [(C_NUM_CHNL*C_PCI_DATA_WIDTH)-1:0] CHNL_TX_DATA, // Channel write data
input [C_NUM_CHNL-1:0] CHNL_TX_DATA_VALID, // Channel write data valid
output [C_NUM_CHNL-1:0] CHNL_TX_DATA_REN); // Channel write data has been recieved
localparam C_FPGA_NAME = "REGT"; // This is not yet exposed in the driver
localparam C_MAX_READ_REQ_BYTES = C_MAX_PAYLOAD_BYTES * 2;
// ALTERA, XILINX or ULTRASCALE
localparam C_VENDOR = "ULTRASCALE";
localparam C_KEEP_WIDTH = C_PCI_DATA_WIDTH / 32;
localparam C_PIPELINE_OUTPUT = 1;
localparam C_PIPELINE_INPUT = 1;
localparam C_DEPTH_PACKETS = 4;
wire clk;
wire rst_in;
wire done_txc_rst;
wire done_txr_rst;
wire done_rxr_rst;
wire done_rxc_rst;
// Interface: RXC Engine
wire [C_PCI_DATA_WIDTH-1:0] rxc_data;
wire rxc_data_valid;
wire rxc_data_start_flag;
wire [(C_PCI_DATA_WIDTH/32)-1:0] rxc_data_word_enable;
wire [clog2s(C_PCI_DATA_WIDTH/32)-1:0] rxc_data_start_offset;
wire [`SIG_FBE_W-1:0] rxc_meta_fdwbe;
wire rxc_data_end_flag;
wire [clog2s(C_PCI_DATA_WIDTH/32)-1:0] rxc_data_end_offset;
wire [`SIG_LBE_W-1:0] rxc_meta_ldwbe;
wire [`SIG_TAG_W-1:0] rxc_meta_tag;
wire [`SIG_LOWADDR_W-1:0] rxc_meta_addr;
wire [`SIG_TYPE_W-1:0] rxc_meta_type;
wire [`SIG_LEN_W-1:0] rxc_meta_length;
wire [`SIG_BYTECNT_W-1:0] rxc_meta_bytes_remaining;
wire [`SIG_CPLID_W-1:0] rxc_meta_completer_id;
wire rxc_meta_ep;
// Interface: RXR Engine
wire [C_PCI_DATA_WIDTH-1:0] rxr_data;
wire rxr_data_valid;
wire [(C_PCI_DATA_WIDTH/32)-1:0] rxr_data_word_enable;
wire rxr_data_start_flag;
wire [clog2s(C_PCI_DATA_WIDTH/32)-1:0] rxr_data_start_offset;
wire [`SIG_FBE_W-1:0] rxr_meta_fdwbe;
wire rxr_data_end_flag;
wire [clog2s(C_PCI_DATA_WIDTH/32)-1:0] rxr_data_end_offset;
wire [`SIG_LBE_W-1:0] rxr_meta_ldwbe;
wire [`SIG_TC_W-1:0] rxr_meta_tc;
wire [`SIG_ATTR_W-1:0] rxr_meta_attr;
wire [`SIG_TAG_W-1:0] rxr_meta_tag;
wire [`SIG_TYPE_W-1:0] rxr_meta_type;
wire [`SIG_ADDR_W-1:0] rxr_meta_addr;
wire [`SIG_BARDECODE_W-1:0] rxr_meta_bar_decoded;
wire [`SIG_REQID_W-1:0] rxr_meta_requester_id;
wire [`SIG_LEN_W-1:0] rxr_meta_length;
wire rxr_meta_ep;
// interface: TXC Engine
wire txc_data_valid;
wire [C_PCI_DATA_WIDTH-1:0] txc_data;
wire txc_data_start_flag;
wire [clog2s(C_PCI_DATA_WIDTH/32)-1:0] txc_data_start_offset;
wire txc_data_end_flag;
wire [clog2s(C_PCI_DATA_WIDTH/32)-1:0] txc_data_end_offset;
wire txc_data_ready;
wire txc_meta_valid;
wire [`SIG_FBE_W-1:0] txc_meta_fdwbe;
wire [`SIG_LBE_W-1:0] txc_meta_ldwbe;
wire [`SIG_LOWADDR_W-1:0] txc_meta_addr;
wire [`SIG_TYPE_W-1:0] txc_meta_type;
wire [`SIG_LEN_W-1:0] txc_meta_length;
wire [`SIG_BYTECNT_W-1:0] txc_meta_byte_count;
wire [`SIG_TAG_W-1:0] txc_meta_tag;
wire [`SIG_REQID_W-1:0] txc_meta_requester_id;
wire [`SIG_TC_W-1:0] txc_meta_tc;
wire [`SIG_ATTR_W-1:0] txc_meta_attr;
wire txc_meta_ep;
wire txc_meta_ready;
wire txc_sent;
// Interface: TXR Engine
wire txr_data_valid;
wire [C_PCI_DATA_WIDTH-1:0] txr_data;
wire txr_data_start_flag;
wire [clog2s(C_PCI_DATA_WIDTH/32)-1:0] txr_data_start_offset;
wire txr_data_end_flag;
wire [clog2s(C_PCI_DATA_WIDTH/32)-1:0] txr_data_end_offset;
wire txr_data_ready;
wire txr_meta_valid;
wire [`SIG_FBE_W-1:0] txr_meta_fdwbe;
wire [`SIG_LBE_W-1:0] txr_meta_ldwbe;
wire [`SIG_ADDR_W-1:0] txr_meta_addr;
wire [`SIG_LEN_W-1:0] txr_meta_length;
wire [`SIG_TAG_W-1:0] txr_meta_tag;
wire [`SIG_TC_W-1:0] txr_meta_tc;
wire [`SIG_ATTR_W-1:0] txr_meta_attr;
wire [`SIG_TYPE_W-1:0] txr_meta_type;
wire txr_meta_ep;
wire txr_meta_ready;
wire txr_sent;
// Unconnected Wires (Used in classic interface)
wire wRxTlpReady_nc;
wire [C_PCI_DATA_WIDTH-1:0] wRxTlp_nc = 0;
wire wRxTlpEndFlag_nc = 0;
wire [`SIG_OFFSET_W-1:0] wRxTlpEndOffset_nc = 0;
wire wRxTlpStartFlag_nc = 0;
wire [`SIG_OFFSET_W-1:0] wRxTlpStartOffset_nc = 0;
wire wRxTlpValid_nc = 0;
wire [`SIG_BARDECODE_W-1:0] wRxTlpBarDecode_nc = 0;
wire wTxTlpReady_nc = 0;
wire [C_PCI_DATA_WIDTH-1:0] wTxTlp_nc;
wire wTxTlpEndFlag_nc;
wire [`SIG_OFFSET_W-1:0] wTxTlpEndOffset_nc;
wire wTxTlpStartFlag_nc;
wire [`SIG_OFFSET_W-1:0] wTxTlpStartOffset_nc;
wire wTxTlpValid_nc;
//--------------------------------------------------------------------------
// Interface: Configuration
wire config_bus_master_enable;
wire [`SIG_CPLID_W-1:0] config_completer_id;
wire config_cpl_boundary_sel;
wire config_interrupt_msienable;
wire [`SIG_LINKRATE_W-1:0] config_link_rate;
wire [`SIG_LINKWIDTH_W-1:0] config_link_width;
wire [`SIG_MAXPAYLOAD_W-1:0] config_max_payload_size;
wire [`SIG_MAXREAD_W-1:0] config_max_read_request_size;
wire [`SIG_FC_CPLD_W-1:0] config_max_cpl_data;
wire [`SIG_FC_CPLH_W-1:0] config_max_cpl_hdr;
wire intr_msi_request;
wire intr_msi_rdy;
genvar chnl;
assign clk = USER_CLK;
assign rst_in = USER_RESET;
assign config_completer_id = 0; // Not used in ULTRASCALE implementation
assign config_bus_master_enable = CFG_FUNCTION_STATUS[2];
assign config_link_width = {2'b00,CFG_NEGOTIATED_WIDTH}; // CONFIG_LINK_WIDTH
assign config_link_rate = CFG_CURRENT_SPEED[2]? 2'b11 : CFG_CURRENT_SPEED[2] ? 2'b10 : 2'b01;
assign config_max_payload_size = CFG_MAX_PAYLOAD; // CONFIG_MAX_PAYLOAD
assign config_max_read_request_size = CFG_MAX_READ_REQ; // CONFIG_MAX_READ_REQUEST
assign config_cpl_boundary_sel = CFG_RCB_STATUS[0];
assign config_interrupt_msienable = CFG_INTERRUPT_MSI_ENABLE[0];
assign config_max_cpl_data = CFG_FC_CPLD;
assign config_max_cpl_hdr = CFG_FC_CPLH;
assign CFG_FC_SEL = 3'b001; // Always display credit maximum for the signals below
assign CFG_INTERRUPT_MSI_INT = {31'b0,intr_msi_request};
assign CFG_INTERRUPT_MSI_SELECT = 0;
assign CFG_INTERRUPT_INT = 0;
assign CFG_INTERRUPT_PENDING = 0;
assign CFG_INTERRUPT_MSI_SELECT = 0;
assign CFG_INTERRUPT_MSI_PENDING_STATUS = {63'b0,intr_msi_request};
assign CFG_INTERRUPT_MSI_ATTR = 0;
assign CFG_INTERRUPT_MSI_TPH_PRESENT = 0;
assign CFG_INTERRUPT_MSI_TPH_ST_TAG = 0;
assign CFG_INTERRUPT_MSI_TPH_TYPE = 0;
assign CFG_INTERRUPT_MSI_FUNCTION_NUMBER = 0;
assign intr_msi_rdy = CFG_INTERRUPT_MSI_SENT & ~CFG_INTERRUPT_MSI_FAIL;
assign PCIE_CQ_NP_REQ = 1;
engine_layer
#(// Parameters
.C_MAX_PAYLOAD_DWORDS (C_MAX_PAYLOAD_BYTES/4),
/*AUTOINSTPARAM*/
// Parameters
.C_PCI_DATA_WIDTH (C_PCI_DATA_WIDTH),
.C_LOG_NUM_TAGS (C_LOG_NUM_TAGS),
.C_PIPELINE_INPUT (C_PIPELINE_INPUT),
.C_PIPELINE_OUTPUT (C_PIPELINE_OUTPUT),
.C_VENDOR (C_VENDOR))
engine_layer_inst
(// Outputs
.RXC_DATA (rxc_data[C_PCI_DATA_WIDTH-1:0]),
.RXC_DATA_WORD_ENABLE (rxc_data_word_enable[(C_PCI_DATA_WIDTH/32)-1:0]),
.RXC_DATA_VALID (rxc_data_valid),
.RXC_DATA_START_FLAG (rxc_data_start_flag),
.RXC_DATA_START_OFFSET (rxc_data_start_offset[clog2s(C_PCI_DATA_WIDTH/32)-1:0]),
.RXC_META_FDWBE (rxc_meta_fdwbe[`SIG_FBE_W-1:0]),
.RXC_DATA_END_FLAG (rxc_data_end_flag),
.RXC_DATA_END_OFFSET (rxc_data_end_offset[clog2s(C_PCI_DATA_WIDTH/32)-1:0]),
.RXC_META_LDWBE (rxc_meta_ldwbe[`SIG_LBE_W-1:0]),
.RXC_META_TAG (rxc_meta_tag[`SIG_TAG_W-1:0]),
.RXC_META_ADDR (rxc_meta_addr[`SIG_LOWADDR_W-1:0]),
.RXC_META_TYPE (rxc_meta_type[`SIG_TYPE_W-1:0]),
.RXC_META_LENGTH (rxc_meta_length[`SIG_LEN_W-1:0]),
.RXC_META_BYTES_REMAINING (rxc_meta_bytes_remaining[`SIG_BYTECNT_W-1:0]),
.RXC_META_COMPLETER_ID (rxc_meta_completer_id[`SIG_CPLID_W-1:0]),
.RXC_META_EP (rxc_meta_ep),
.RXR_DATA (rxr_data[C_PCI_DATA_WIDTH-1:0]),
.RXR_DATA_WORD_ENABLE (rxr_data_word_enable[(C_PCI_DATA_WIDTH/32)-1:0]),
.RXR_DATA_VALID (rxr_data_valid),
.RXR_DATA_START_FLAG (rxr_data_start_flag),
.RXR_DATA_START_OFFSET (rxr_data_start_offset[clog2s(C_PCI_DATA_WIDTH/32)-1:0]),
.RXR_DATA_END_FLAG (rxr_data_end_flag),
.RXR_DATA_END_OFFSET (rxr_data_end_offset[clog2s(C_PCI_DATA_WIDTH/32)-1:0]),
.RXR_META_FDWBE (rxr_meta_fdwbe[`SIG_FBE_W-1:0]),
.RXR_META_LDWBE (rxr_meta_ldwbe[`SIG_LBE_W-1:0]),
.RXR_META_TC (rxr_meta_tc[`SIG_TC_W-1:0]),
.RXR_META_ATTR (rxr_meta_attr[`SIG_ATTR_W-1:0]),
.RXR_META_TAG (rxr_meta_tag[`SIG_TAG_W-1:0]),
.RXR_META_TYPE (rxr_meta_type[`SIG_TYPE_W-1:0]),
.RXR_META_ADDR (rxr_meta_addr[`SIG_ADDR_W-1:0]),
.RXR_META_BAR_DECODED (rxr_meta_bar_decoded[`SIG_BARDECODE_W-1:0]),
.RXR_META_REQUESTER_ID (rxr_meta_requester_id[`SIG_REQID_W-1:0]),
.RXR_META_LENGTH (rxr_meta_length[`SIG_LEN_W-1:0]),
.RXR_META_EP (rxr_meta_ep),
.TXC_DATA_READY (txc_data_ready),
.TXC_META_READY (txc_meta_ready),
.TXC_SENT (txc_sent),
.TXR_DATA_READY (txr_data_ready),
.TXR_META_READY (txr_meta_ready),
.TXR_SENT (txr_sent),
.RST_LOGIC (RST_OUT),
// Unconnected Outputs
.TX_TLP (wTxTlp_nc),
.TX_TLP_VALID (wTxTlpValid_nc),
.TX_TLP_START_FLAG (wTxTlpStartFlag_nc),
.TX_TLP_START_OFFSET (wTxTlpStartOffset_nc),
.TX_TLP_END_FLAG (wTxTlpEndFlag_nc),
.TX_TLP_END_OFFSET (wTxTlpEndOffset_nc),
.RX_TLP_READY (wRxTlpReady_nc),
// Inputs
.CLK_BUS (clk),
.RST_BUS (rst_in),
.CONFIG_COMPLETER_ID (config_completer_id[`SIG_CPLID_W-1:0]),
.TXC_DATA_VALID (txc_data_valid),
.TXC_DATA (txc_data[C_PCI_DATA_WIDTH-1:0]),
.TXC_DATA_START_FLAG (txc_data_start_flag),
.TXC_DATA_START_OFFSET (txc_data_start_offset[clog2s(C_PCI_DATA_WIDTH/32)-1:0]),
.TXC_DATA_END_FLAG (txc_data_end_flag),
.TXC_DATA_END_OFFSET (txc_data_end_offset[clog2s(C_PCI_DATA_WIDTH/32)-1:0]),
.TXC_META_VALID (txc_meta_valid),
.TXC_META_FDWBE (txc_meta_fdwbe[`SIG_FBE_W-1:0]),
.TXC_META_LDWBE (txc_meta_ldwbe[`SIG_LBE_W-1:0]),
.TXC_META_ADDR (txc_meta_addr[`SIG_LOWADDR_W-1:0]),
.TXC_META_TYPE (txc_meta_type[`SIG_TYPE_W-1:0]),
.TXC_META_LENGTH (txc_meta_length[`SIG_LEN_W-1:0]),
.TXC_META_BYTE_COUNT (txc_meta_byte_count[`SIG_BYTECNT_W-1:0]),
.TXC_META_TAG (txc_meta_tag[`SIG_TAG_W-1:0]),
.TXC_META_REQUESTER_ID (txc_meta_requester_id[`SIG_REQID_W-1:0]),
.TXC_META_TC (txc_meta_tc[`SIG_TC_W-1:0]),
.TXC_META_ATTR (txc_meta_attr[`SIG_ATTR_W-1:0]),
.TXC_META_EP (txc_meta_ep),
.TXR_DATA_VALID (txr_data_valid),
.TXR_DATA (txr_data[C_PCI_DATA_WIDTH-1:0]),
.TXR_DATA_START_FLAG (txr_data_start_flag),
.TXR_DATA_START_OFFSET (txr_data_start_offset[clog2s(C_PCI_DATA_WIDTH/32)-1:0]),
.TXR_DATA_END_FLAG (txr_data_end_flag),
.TXR_DATA_END_OFFSET (txr_data_end_offset[clog2s(C_PCI_DATA_WIDTH/32)-1:0]),
.TXR_META_VALID (txr_meta_valid),
.TXR_META_FDWBE (txr_meta_fdwbe[`SIG_FBE_W-1:0]),
.TXR_META_LDWBE (txr_meta_ldwbe[`SIG_LBE_W-1:0]),
.TXR_META_ADDR (txr_meta_addr[`SIG_ADDR_W-1:0]),
.TXR_META_LENGTH (txr_meta_length[`SIG_LEN_W-1:0]),
.TXR_META_TAG (txr_meta_tag[`SIG_TAG_W-1:0]),
.TXR_META_TC (txr_meta_tc[`SIG_TC_W-1:0]),
.TXR_META_ATTR (txr_meta_attr[`SIG_ATTR_W-1:0]),
.TXR_META_TYPE (txr_meta_type[`SIG_TYPE_W-1:0]),
.TXR_META_EP (txr_meta_ep),
// Unconnected Inputs
.RX_TLP (wRxTlp_nc),
.RX_TLP_VALID (wRxTlpValid_nc),
.RX_TLP_START_FLAG (wRxTlpStartFlag_nc),
.RX_TLP_START_OFFSET (wRxTlpStartOffset_nc),
.RX_TLP_END_FLAG (wRxTlpEndFlag_nc),
.RX_TLP_END_OFFSET (wRxTlpEndOffset_nc),
.RX_TLP_BAR_DECODE (wRxTlpBarDecode_nc),
.TX_TLP_READY (wTxTlpReady_nc),
.DONE_TXC_RST (done_txc_rst),
.DONE_TXR_RST (done_txr_rst),
.DONE_RXR_RST (done_rxc_rst),
.DONE_RXC_RST (done_rxr_rstsudo),
/*AUTOINST*/
// Outputs
.M_AXIS_CQ_TREADY (M_AXIS_CQ_TREADY),
.M_AXIS_RC_TREADY (M_AXIS_RC_TREADY),
.S_AXIS_CC_TVALID (S_AXIS_CC_TVALID),
.S_AXIS_CC_TLAST (S_AXIS_CC_TLAST),
.S_AXIS_CC_TDATA (S_AXIS_CC_TDATA[C_PCI_DATA_WIDTH-1:0]),
.S_AXIS_CC_TKEEP (S_AXIS_CC_TKEEP[(C_PCI_DATA_WIDTH/32)-1:0]),
.S_AXIS_CC_TUSER (S_AXIS_CC_TUSER[`SIG_CC_TUSER_W-1:0]),
.S_AXIS_RQ_TVALID (S_AXIS_RQ_TVALID),
.S_AXIS_RQ_TLAST (S_AXIS_RQ_TLAST),
.S_AXIS_RQ_TDATA (S_AXIS_RQ_TDATA[C_PCI_DATA_WIDTH-1:0]),
.S_AXIS_RQ_TKEEP (S_AXIS_RQ_TKEEP[(C_PCI_DATA_WIDTH/32)-1:0]),
.S_AXIS_RQ_TUSER (S_AXIS_RQ_TUSER[`SIG_RQ_TUSER_W-1:0]),
// Inputs
.M_AXIS_CQ_TVALID (M_AXIS_CQ_TVALID),
.M_AXIS_CQ_TLAST (M_AXIS_CQ_TLAST),
.M_AXIS_CQ_TDATA (M_AXIS_CQ_TDATA[C_PCI_DATA_WIDTH-1:0]),
.M_AXIS_CQ_TKEEP (M_AXIS_CQ_TKEEP[(C_PCI_DATA_WIDTH/32)-1:0]),
.M_AXIS_CQ_TUSER (M_AXIS_CQ_TUSER[`SIG_CQ_TUSER_W-1:0]),
.M_AXIS_RC_TVALID (M_AXIS_RC_TVALID),
.M_AXIS_RC_TLAST (M_AXIS_RC_TLAST),
.M_AXIS_RC_TDATA (M_AXIS_RC_TDATA[C_PCI_DATA_WIDTH-1:0]),
.M_AXIS_RC_TKEEP (M_AXIS_RC_TKEEP[(C_PCI_DATA_WIDTH/32)-1:0]),
.M_AXIS_RC_TUSER (M_AXIS_RC_TUSER[`SIG_RC_TUSER_W-1:0]),
.S_AXIS_CC_TREADY (S_AXIS_CC_TREADY),
.S_AXIS_RQ_TREADY (S_AXIS_RQ_TREADY));
riffa
#(.C_TAG_WIDTH (C_LOG_NUM_TAGS),/* TODO: Standardize declaration*/
/*AUTOINSTPARAM*/
// Parameters
.C_PCI_DATA_WIDTH (C_PCI_DATA_WIDTH),
.C_NUM_CHNL (C_NUM_CHNL),
.C_MAX_READ_REQ_BYTES (C_MAX_READ_REQ_BYTES),
.C_VENDOR (C_VENDOR),
.C_FPGA_NAME (C_FPGA_NAME),
.C_FPGA_ID (C_FPGA_ID),
.C_DEPTH_PACKETS (C_DEPTH_PACKETS))
riffa_inst
(// Outputs
.TXC_DATA (txc_data[C_PCI_DATA_WIDTH-1:0]),
.TXC_DATA_VALID (txc_data_valid),
.TXC_DATA_START_FLAG (txc_data_start_flag),
.TXC_DATA_START_OFFSET (txc_data_start_offset[clog2s(C_PCI_DATA_WIDTH/32)-1:0]),
.TXC_DATA_END_FLAG (txc_data_end_flag),
.TXC_DATA_END_OFFSET (txc_data_end_offset[clog2s(C_PCI_DATA_WIDTH/32)-1:0]),
.TXC_META_VALID (txc_meta_valid),
.TXC_META_FDWBE (txc_meta_fdwbe[`SIG_FBE_W-1:0]),
.TXC_META_LDWBE (txc_meta_ldwbe[`SIG_LBE_W-1:0]),
.TXC_META_ADDR (txc_meta_addr[`SIG_LOWADDR_W-1:0]),
.TXC_META_TYPE (txc_meta_type[`SIG_TYPE_W-1:0]),
.TXC_META_LENGTH (txc_meta_length[`SIG_LEN_W-1:0]),
.TXC_META_BYTE_COUNT (txc_meta_byte_count[`SIG_BYTECNT_W-1:0]),
.TXC_META_TAG (txc_meta_tag[`SIG_TAG_W-1:0]),
.TXC_META_REQUESTER_ID (txc_meta_requester_id[`SIG_REQID_W-1:0]),
.TXC_META_TC (txc_meta_tc[`SIG_TC_W-1:0]),
.TXC_META_ATTR (txc_meta_attr[`SIG_ATTR_W-1:0]),
.TXC_META_EP (txc_meta_ep),
.TXR_DATA_VALID (txr_data_valid),
.TXR_DATA (txr_data[C_PCI_DATA_WIDTH-1:0]),
.TXR_DATA_START_FLAG (txr_data_start_flag),
.TXR_DATA_START_OFFSET (txr_data_start_offset[clog2s(C_PCI_DATA_WIDTH/32)-1:0]),
.TXR_DATA_END_FLAG (txr_data_end_flag),
.TXR_DATA_END_OFFSET (txr_data_end_offset[clog2s(C_PCI_DATA_WIDTH/32)-1:0]),
.TXR_META_VALID (txr_meta_valid),
.TXR_META_FDWBE (txr_meta_fdwbe[`SIG_FBE_W-1:0]),
.TXR_META_LDWBE (txr_meta_ldwbe[`SIG_LBE_W-1:0]),
.TXR_META_ADDR (txr_meta_addr[`SIG_ADDR_W-1:0]),
.TXR_META_LENGTH (txr_meta_length[`SIG_LEN_W-1:0]),
.TXR_META_TAG (txr_meta_tag[`SIG_TAG_W-1:0]),
.TXR_META_TC (txr_meta_tc[`SIG_TC_W-1:0]),
.TXR_META_ATTR (txr_meta_attr[`SIG_ATTR_W-1:0]),
.TXR_META_TYPE (txr_meta_type[`SIG_TYPE_W-1:0]),
.TXR_META_EP (txr_meta_ep),
.INTR_MSI_REQUEST (intr_msi_request),
// Inputs
.CLK (clk),
.RXR_DATA (rxr_data[C_PCI_DATA_WIDTH-1:0]),
.RXR_DATA_VALID (rxr_data_valid),
.RXR_DATA_START_FLAG (rxr_data_start_flag),
.RXR_DATA_START_OFFSET (rxr_data_start_offset[clog2s(C_PCI_DATA_WIDTH/32)-1:0]),
.RXR_DATA_WORD_ENABLE (rxr_data_word_enable[(C_PCI_DATA_WIDTH/32)-1:0]),
.RXR_DATA_END_FLAG (rxr_data_end_flag),
.RXR_DATA_END_OFFSET (rxr_data_end_offset[clog2s(C_PCI_DATA_WIDTH/32)-1:0]),
.RXR_META_FDWBE (rxr_meta_fdwbe[`SIG_FBE_W-1:0]),
.RXR_META_LDWBE (rxr_meta_ldwbe[`SIG_LBE_W-1:0]),
.RXR_META_TC (rxr_meta_tc[`SIG_TC_W-1:0]),
.RXR_META_ATTR (rxr_meta_attr[`SIG_ATTR_W-1:0]),
.RXR_META_TAG (rxr_meta_tag[`SIG_TAG_W-1:0]),
.RXR_META_TYPE (rxr_meta_type[`SIG_TYPE_W-1:0]),
.RXR_META_ADDR (rxr_meta_addr[`SIG_ADDR_W-1:0]),
.RXR_META_BAR_DECODED (rxr_meta_bar_decoded[`SIG_BARDECODE_W-1:0]),
.RXR_META_REQUESTER_ID (rxr_meta_requester_id[`SIG_REQID_W-1:0]),
.RXR_META_LENGTH (rxr_meta_length[`SIG_LEN_W-1:0]),
.RXR_META_EP (rxr_meta_ep),
.RXC_DATA_VALID (rxc_data_valid),
.RXC_DATA (rxc_data[C_PCI_DATA_WIDTH-1:0]),
.RXC_DATA_START_FLAG (rxc_data_start_flag),
.RXC_DATA_START_OFFSET (rxc_data_start_offset[clog2s(C_PCI_DATA_WIDTH/32)-1:0]),
.RXC_DATA_WORD_ENABLE (rxc_data_word_enable[(C_PCI_DATA_WIDTH/32)-1:0]),
.RXC_DATA_END_FLAG (rxc_data_end_flag),
.RXC_DATA_END_OFFSET (rxc_data_end_offset[clog2s(C_PCI_DATA_WIDTH/32)-1:0]),
.RXC_META_FDWBE (rxc_meta_fdwbe[`SIG_FBE_W-1:0]),
.RXC_META_LDWBE (rxc_meta_ldwbe[`SIG_LBE_W-1:0]),
.RXC_META_TAG (rxc_meta_tag[`SIG_TAG_W-1:0]),
.RXC_META_ADDR (rxc_meta_addr[`SIG_LOWADDR_W-1:0]),
.RXC_META_TYPE (rxc_meta_type[`SIG_TYPE_W-1:0]),
.RXC_META_LENGTH (rxc_meta_length[`SIG_LEN_W-1:0]),
.RXC_META_BYTES_REMAINING (rxc_meta_bytes_remaining[`SIG_BYTECNT_W-1:0]),
.RXC_META_COMPLETER_ID (rxc_meta_completer_id[`SIG_CPLID_W-1:0]),
.RXC_META_EP (rxc_meta_ep),
.TXC_DATA_READY (txc_data_ready),
.TXC_META_READY (txc_meta_ready),
.TXC_SENT (txc_sent),
.TXR_DATA_READY (txr_data_ready),
.TXR_META_READY (txr_meta_ready),
.TXR_SENT (txr_sent),
.CONFIG_COMPLETER_ID (config_completer_id[`SIG_CPLID_W-1:0]),
.CONFIG_BUS_MASTER_ENABLE (config_bus_master_enable),
.CONFIG_LINK_WIDTH (config_link_width[`SIG_LINKWIDTH_W-1:0]),
.CONFIG_LINK_RATE (config_link_rate[`SIG_LINKRATE_W-1:0]),
.CONFIG_MAX_READ_REQUEST_SIZE (config_max_read_request_size[`SIG_MAXREAD_W-1:0]),
.CONFIG_MAX_PAYLOAD_SIZE (config_max_payload_size[`SIG_MAXPAYLOAD_W-1:0]),
.CONFIG_INTERRUPT_MSIENABLE (config_interrupt_msienable),
.CONFIG_CPL_BOUNDARY_SEL (config_cpl_boundary_sel),
.CONFIG_MAX_CPL_DATA (config_max_cpl_data[`SIG_FC_CPLD_W-1:0]),
.CONFIG_MAX_CPL_HDR (config_max_cpl_hdr[`SIG_FC_CPLH_W-1:0]),
.INTR_MSI_RDY (intr_msi_rdy),
.DONE_TXC_RST (done_txc_rst),
.DONE_TXR_RST (done_txr_rst),
.RST_BUS (rst_in),
/*AUTOINST*/
// Outputs
.RST_OUT (RST_OUT),
.CHNL_RX (CHNL_RX[C_NUM_CHNL-1:0]),
.CHNL_RX_LAST (CHNL_RX_LAST[C_NUM_CHNL-1:0]),
.CHNL_RX_LEN (CHNL_RX_LEN[(C_NUM_CHNL*32)-1:0]),
.CHNL_RX_OFF (CHNL_RX_OFF[(C_NUM_CHNL*31)-1:0]),
.CHNL_RX_DATA (CHNL_RX_DATA[(C_NUM_CHNL*C_PCI_DATA_WIDTH)-1:0]),
.CHNL_RX_DATA_VALID (CHNL_RX_DATA_VALID[C_NUM_CHNL-1:0]),
.CHNL_TX_ACK (CHNL_TX_ACK[C_NUM_CHNL-1:0]),
.CHNL_TX_DATA_REN (CHNL_TX_DATA_REN[C_NUM_CHNL-1:0]),
// Inputs
.CHNL_RX_CLK (CHNL_RX_CLK[C_NUM_CHNL-1:0]),
.CHNL_RX_ACK (CHNL_RX_ACK[C_NUM_CHNL-1:0]),
.CHNL_RX_DATA_REN (CHNL_RX_DATA_REN[C_NUM_CHNL-1:0]),
.CHNL_TX_CLK (CHNL_TX_CLK[C_NUM_CHNL-1:0]),
.CHNL_TX (CHNL_TX[C_NUM_CHNL-1:0]),
.CHNL_TX_LAST (CHNL_TX_LAST[C_NUM_CHNL-1:0]),
.CHNL_TX_LEN (CHNL_TX_LEN[(C_NUM_CHNL*32)-1:0]),
.CHNL_TX_OFF (CHNL_TX_OFF[(C_NUM_CHNL*31)-1:0]),
.CHNL_TX_DATA (CHNL_TX_DATA[(C_NUM_CHNL*C_PCI_DATA_WIDTH)-1:0]),
.CHNL_TX_DATA_VALID (CHNL_TX_DATA_VALID[C_NUM_CHNL-1:0]));
endmodule
// Local Variables:
// verilog-library-directories:("../../riffa_hdl/")
// End:
|
/**
* Copyright 2020 The SkyWater PDK Authors
*
* Licensed under the Apache License, Version 2.0 (the "License");
* you may not use this file except in compliance with the License.
* You may obtain a copy of the License at
*
* https://www.apache.org/licenses/LICENSE-2.0
*
* Unless required by applicable law or agreed to in writing, software
* distributed under the License is distributed on an "AS IS" BASIS,
* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
* See the License for the specific language governing permissions and
* limitations under the License.
*
* SPDX-License-Identifier: Apache-2.0
*/
`ifndef SKY130_FD_SC_LP__O21A_SYMBOL_V
`define SKY130_FD_SC_LP__O21A_SYMBOL_V
/**
* o21a: 2-input OR into first input of 2-input AND.
*
* X = ((A1 | A2) & B1)
*
* Verilog stub (without power pins) for graphical symbol definition
* generation.
*
* WARNING: This file is autogenerated, do not modify directly!
*/
`timescale 1ns / 1ps
`default_nettype none
(* blackbox *)
module sky130_fd_sc_lp__o21a (
//# {{data|Data Signals}}
input A1,
input A2,
input B1,
output X
);
// Voltage supply signals
supply1 VPWR;
supply0 VGND;
supply1 VPB ;
supply0 VNB ;
endmodule
`default_nettype wire
`endif // SKY130_FD_SC_LP__O21A_SYMBOL_V
|
Subsets and Splits
No community queries yet
The top public SQL queries from the community will appear here once available.