text
stringlengths
938
1.05M
/** * Copyright 2020 The SkyWater PDK Authors * * Licensed under the Apache License, Version 2.0 (the "License"); * you may not use this file except in compliance with the License. * You may obtain a copy of the License at * * https://www.apache.org/licenses/LICENSE-2.0 * * Unless required by applicable law or agreed to in writing, software * distributed under the License is distributed on an "AS IS" BASIS, * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. * See the License for the specific language governing permissions and * limitations under the License. * * SPDX-License-Identifier: Apache-2.0 */ `ifndef SKY130_FD_SC_LP__O221AI_PP_SYMBOL_V `define SKY130_FD_SC_LP__O221AI_PP_SYMBOL_V /** * o221ai: 2-input OR into first two inputs of 3-input NAND. * * Y = !((A1 | A2) & (B1 | B2) & C1) * * Verilog stub (with power pins) for graphical symbol definition * generation. * * WARNING: This file is autogenerated, do not modify directly! */ `timescale 1ns / 1ps `default_nettype none (* blackbox *) module sky130_fd_sc_lp__o221ai ( //# {{data|Data Signals}} input A1 , input A2 , input B1 , input B2 , input C1 , output Y , //# {{power|Power}} input VPB , input VPWR, input VGND, input VNB ); endmodule `default_nettype wire `endif // SKY130_FD_SC_LP__O221AI_PP_SYMBOL_V
/* * Copyright 2020 The SkyWater PDK Authors * * Licensed under the Apache License, Version 2.0 (the "License"); * you may not use this file except in compliance with the License. * You may obtain a copy of the License at * * https://www.apache.org/licenses/LICENSE-2.0 * * Unless required by applicable law or agreed to in writing, software * distributed under the License is distributed on an "AS IS" BASIS, * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. * See the License for the specific language governing permissions and * limitations under the License. * * SPDX-License-Identifier: Apache-2.0 */ `ifndef SKY130_FD_SC_LS__NOR2_BEHAVIORAL_PP_V `define SKY130_FD_SC_LS__NOR2_BEHAVIORAL_PP_V /** * nor2: 2-input NOR. * * Verilog simulation functional model. */ `timescale 1ns / 1ps `default_nettype none // Import user defined primitives. `include "../../models/udp_pwrgood_pp_pg/sky130_fd_sc_ls__udp_pwrgood_pp_pg.v" `celldefine module sky130_fd_sc_ls__nor2 ( Y , A , B , VPWR, VGND, VPB , VNB ); // Module ports output Y ; input A ; input B ; input VPWR; input VGND; input VPB ; input VNB ; // Local signals wire nor0_out_Y ; wire pwrgood_pp0_out_Y; // Name Output Other arguments nor nor0 (nor0_out_Y , A, B ); sky130_fd_sc_ls__udp_pwrgood_pp$PG pwrgood_pp0 (pwrgood_pp0_out_Y, nor0_out_Y, VPWR, VGND); buf buf0 (Y , pwrgood_pp0_out_Y ); endmodule `endcelldefine `default_nettype wire `endif // SKY130_FD_SC_LS__NOR2_BEHAVIORAL_PP_V
/* * Copyright 2020 The SkyWater PDK Authors * * Licensed under the Apache License, Version 2.0 (the "License"); * you may not use this file except in compliance with the License. * You may obtain a copy of the License at * * https://www.apache.org/licenses/LICENSE-2.0 * * Unless required by applicable law or agreed to in writing, software * distributed under the License is distributed on an "AS IS" BASIS, * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. * See the License for the specific language governing permissions and * limitations under the License. * * SPDX-License-Identifier: Apache-2.0 */ `ifndef SKY130_FD_SC_HVL__SDFXBP_BEHAVIORAL_V `define SKY130_FD_SC_HVL__SDFXBP_BEHAVIORAL_V /** * sdfxbp: Scan delay flop, non-inverted clock, complementary outputs. * * Verilog simulation functional model. */ `timescale 1ns / 1ps `default_nettype none // Import user defined primitives. `include "../../models/udp_dff_p_pp_pg_n/sky130_fd_sc_hvl__udp_dff_p_pp_pg_n.v" `include "../../models/udp_mux_2to1/sky130_fd_sc_hvl__udp_mux_2to1.v" `celldefine module sky130_fd_sc_hvl__sdfxbp ( Q , Q_N, CLK, D , SCD, SCE ); // Module ports output Q ; output Q_N; input CLK; input D ; input SCD; input SCE; // Module supplies supply1 VPWR; supply0 VGND; supply1 VPB ; supply0 VNB ; // Local signals wire buf_Q ; wire mux_out ; reg notifier ; wire cond1 ; wire cond2 ; wire cond3 ; wire D_delayed ; wire SCD_delayed; wire SCE_delayed; wire CLK_delayed; // Name Output Other arguments sky130_fd_sc_hvl__udp_mux_2to1 mux_2to10 (mux_out, D_delayed, SCD_delayed, SCE_delayed ); sky130_fd_sc_hvl__udp_dff$P_pp$PG$N dff0 (buf_Q , mux_out, CLK_delayed, notifier, VPWR, VGND); assign cond1 = ( SCE_delayed === 1'b0 ); assign cond2 = ( SCE_delayed === 1'b1 ); assign cond3 = ( D_delayed !== SCD_delayed ); buf buf0 (Q , buf_Q ); not not0 (Q_N , buf_Q ); endmodule `endcelldefine `default_nettype wire `endif // SKY130_FD_SC_HVL__SDFXBP_BEHAVIORAL_V
// ============================================================================ // Copyright (c) 2013 by Terasic Technologies Inc. // ============================================================================ // // Permission: // // Terasic grants permission to use and modify this code for use // in synthesis for all Terasic Development Boards and Altera Development // Kits made by Terasic. Other use of this code, including the selling // ,duplication, or modification of any portion is strictly prohibited. // // Disclaimer: // // This VHDL/Verilog or C/C++ source code is intended as a design reference // which illustrates how these types of functions can be implemented. // It is the user's responsibility to verify their design for // consistency and functionality through the use of formal // verification methods. Terasic provides no warranty regarding the use // or functionality of this code. // // ============================================================================ // // Terasic Technologies Inc // 9F., No.176, Sec.2, Gongdao 5th Rd, East Dist, Hsinchu City, 30070. Taiwan // // // web: http://www.terasic.com/ // email: [email protected] // // ============================================================================ // ============================================================================ // // Major Functions: SoCKit_Default // // ============================================================================ // Revision History : // ============================================================================ // Ver :| Author :| Mod. Date :| Changes Made: // V1.0 :| xinxian :| 04/02/13 :| Initial Revision // ============================================================================ //`define ENABLE_DDR3 //`define ENABLE_HPS //`define ENABLE_HSMC_XCVR module SoCKit_top( ///////////AUD///////////// AUD_ADCDAT, AUD_ADCLRCK, AUD_BCLK, AUD_DACDAT, AUD_DACLRCK, AUD_I2C_SCLK, AUD_I2C_SDAT, AUD_MUTE, AUD_XCK, `ifdef ENABLE_DDR3 /////////DDR3///////// DDR3_A, DDR3_BA, DDR3_CAS_n, DDR3_CKE, DDR3_CK_n, DDR3_CK_p, DDR3_CS_n, DDR3_DM, DDR3_DQ, DDR3_DQS_n, DDR3_DQS_p, DDR3_ODT, DDR3_RAS_n, DDR3_RESET_n, DDR3_RZQ, DDR3_WE_n, `endif /*ENABLE_DDR3*/ /////////FAN///////// FAN_CTRL, `ifdef ENABLE_HPS /////////HPS///////// HPS_CLOCK_25, HPS_CLOCK_50, HPS_CONV_USB_n, HPS_DDR3_A, HPS_DDR3_BA, HPS_DDR3_CAS_n, HPS_DDR3_CKE, HPS_DDR3_CK_n, HPS_DDR3_CK_p, HPS_DDR3_CS_n, HPS_DDR3_DM, HPS_DDR3_DQ, HPS_DDR3_DQS_n, HPS_DDR3_DQS_p, HPS_DDR3_ODT, HPS_DDR3_RAS_n, HPS_DDR3_RESET_n, HPS_DDR3_RZQ, HPS_DDR3_WE_n, HPS_ENET_GTX_CLK, HPS_ENET_INT_n, HPS_ENET_MDC, HPS_ENET_MDIO, HPS_ENET_RESET_n, HPS_ENET_RX_CLK, HPS_ENET_RX_DATA, HPS_ENET_RX_DV, HPS_ENET_TX_DATA, HPS_ENET_TX_EN, HPS_FLASH_DATA, HPS_FLASH_DCLK, HPS_FLASH_NCSO, HPS_GSENSOR_INT, HPS_I2C_CLK, HPS_I2C_SDA, HPS_KEY, HPS_LCM_D_C, HPS_LCM_RST_N, HPS_LCM_SPIM_CLK, HPS_LCM_SPIM_MISO, HPS_LCM_SPIM_MOSI, HPS_LCM_SPIM_SS, HPS_LED, HPS_LTC_GPIO, HPS_RESET_n, HPS_SD_CLK, HPS_SD_CMD, HPS_SD_DATA, HPS_SPIM_CLK, HPS_SPIM_MISO, HPS_SPIM_MOSI, HPS_SPIM_SS, HPS_SW, HPS_UART_RX, HPS_UART_TX, HPS_USB_CLKOUT, HPS_USB_DATA, HPS_USB_DIR, HPS_USB_NXT, HPS_USB_RESET_PHY, HPS_USB_STP, HPS_WARM_RST_n, `endif /*ENABLE_HPS*/ /////////HSMC///////// HSMC_CLKIN_n, HSMC_CLKIN_p, HSMC_CLKOUT_n, HSMC_CLKOUT_p, HSMC_CLK_IN0, HSMC_CLK_OUT0, HSMC_D, `ifdef ENABLE_HSMC_XCVR HSMC_GXB_RX_p, HSMC_GXB_TX_p, HSMC_REF_CLK_p, `endif HSMC_RX_n, HSMC_RX_p, HSMC_SCL, HSMC_SDA, HSMC_TX_n, HSMC_TX_p, /////////IRDA///////// IRDA_RXD, /////////KEY///////// KEY, /////////LED///////// LED, /////////OSC///////// OSC_50_B3B, OSC_50_B4A, OSC_50_B5B, OSC_50_B8A, /////////PCIE///////// PCIE_PERST_n, PCIE_WAKE_n, /////////RESET///////// RESET_n, /////////SI5338///////// SI5338_SCL, SI5338_SDA, /////////SW///////// SW, /////////TEMP///////// TEMP_CS_n, TEMP_DIN, TEMP_DOUT, TEMP_SCLK, /////////USB///////// USB_B2_CLK, USB_B2_DATA, USB_EMPTY, USB_FULL, USB_OE_n, USB_RD_n, USB_RESET_n, USB_SCL, USB_SDA, USB_WR_n, /////////VGA///////// VGA_B, VGA_BLANK_n, VGA_CLK, VGA_G, VGA_HS, VGA_R, VGA_SYNC_n, VGA_VS, ///////////hps////////// memory_mem_a, memory_mem_ba, memory_mem_ck, memory_mem_ck_n, memory_mem_cke, memory_mem_cs_n, memory_mem_ras_n, memory_mem_cas_n, memory_mem_we_n, memory_mem_reset_n, memory_mem_dq, memory_mem_dqs, memory_mem_dqs_n, memory_mem_odt, memory_mem_dm, memory_oct_rzqin, hps_io_hps_io_emac1_inst_TX_CLK, hps_io_hps_io_emac1_inst_TXD0, hps_io_hps_io_emac1_inst_TXD1, hps_io_hps_io_emac1_inst_TXD2, hps_io_hps_io_emac1_inst_TXD3, hps_io_hps_io_emac1_inst_RXD0, hps_io_hps_io_emac1_inst_MDIO, hps_io_hps_io_emac1_inst_MDC, hps_io_hps_io_emac1_inst_RX_CTL, hps_io_hps_io_emac1_inst_TX_CTL, hps_io_hps_io_emac1_inst_RX_CLK, hps_io_hps_io_emac1_inst_RXD1, hps_io_hps_io_emac1_inst_RXD2, hps_io_hps_io_emac1_inst_RXD3, hps_io_hps_io_qspi_inst_IO0, hps_io_hps_io_qspi_inst_IO1, hps_io_hps_io_qspi_inst_IO2, hps_io_hps_io_qspi_inst_IO3, hps_io_hps_io_qspi_inst_SS0, hps_io_hps_io_qspi_inst_CLK, hps_io_hps_io_sdio_inst_CMD, hps_io_hps_io_sdio_inst_D0, hps_io_hps_io_sdio_inst_D1, hps_io_hps_io_sdio_inst_CLK, hps_io_hps_io_sdio_inst_D2, hps_io_hps_io_sdio_inst_D3, hps_io_hps_io_usb1_inst_D0, hps_io_hps_io_usb1_inst_D1, hps_io_hps_io_usb1_inst_D2, hps_io_hps_io_usb1_inst_D3, hps_io_hps_io_usb1_inst_D4, hps_io_hps_io_usb1_inst_D5, hps_io_hps_io_usb1_inst_D6, hps_io_hps_io_usb1_inst_D7, hps_io_hps_io_usb1_inst_CLK, hps_io_hps_io_usb1_inst_STP, hps_io_hps_io_usb1_inst_DIR, hps_io_hps_io_usb1_inst_NXT, hps_io_hps_io_spim0_inst_CLK, hps_io_hps_io_spim0_inst_MOSI, hps_io_hps_io_spim0_inst_MISO, hps_io_hps_io_spim0_inst_SS0, hps_io_hps_io_spim1_inst_CLK, hps_io_hps_io_spim1_inst_MOSI, hps_io_hps_io_spim1_inst_MISO, hps_io_hps_io_spim1_inst_SS0, hps_io_hps_io_uart0_inst_RX, hps_io_hps_io_uart0_inst_TX, hps_io_hps_io_i2c1_inst_SDA, hps_io_hps_io_i2c1_inst_SCL, hps_io_hps_io_gpio_inst_GPIO00 ); //======================================================= // PORT declarations //======================================================= ///////// AUD ///////// input AUD_ADCDAT; inout AUD_ADCLRCK; inout AUD_BCLK; output AUD_DACDAT; inout AUD_DACLRCK; output AUD_I2C_SCLK; inout AUD_I2C_SDAT; output AUD_MUTE; output AUD_XCK; `ifdef ENABLE_DDR3 ///////// DDR3 ///////// output [14:0] DDR3_A; output [2:0] DDR3_BA; output DDR3_CAS_n; output DDR3_CKE; output DDR3_CK_n; output DDR3_CK_p; output DDR3_CS_n; output [3:0] DDR3_DM; inout [31:0] DDR3_DQ; inout [3:0] DDR3_DQS_n; inout [3:0] DDR3_DQS_p; output DDR3_ODT; output DDR3_RAS_n; output DDR3_RESET_n; input DDR3_RZQ; output DDR3_WE_n; `endif /*ENABLE_DDR3*/ ///////// FAN ///////// output FAN_CTRL; `ifdef ENABLE_HPS ///////// HPS ///////// input HPS_CLOCK_25; input HPS_CLOCK_50; input HPS_CONV_USB_n; output [14:0] HPS_DDR3_A; output [2:0] HPS_DDR3_BA; output HPS_DDR3_CAS_n; output HPS_DDR3_CKE; output HPS_DDR3_CK_n; output HPS_DDR3_CK_p; output HPS_DDR3_CS_n; output [3:0] HPS_DDR3_DM; inout [31:0] HPS_DDR3_DQ; inout [3:0] HPS_DDR3_DQS_n; inout [3:0] HPS_DDR3_DQS_p; output HPS_DDR3_ODT; output HPS_DDR3_RAS_n; output HPS_DDR3_RESET_n; input HPS_DDR3_RZQ; output HPS_DDR3_WE_n; input HPS_ENET_GTX_CLK; input HPS_ENET_INT_n; output HPS_ENET_MDC; inout HPS_ENET_MDIO; output HPS_ENET_RESET_n; input HPS_ENET_RX_CLK; input [3:0] HPS_ENET_RX_DATA; input HPS_ENET_RX_DV; output [3:0] HPS_ENET_TX_DATA; output HPS_ENET_TX_EN; inout [3:0] HPS_FLASH_DATA; output HPS_FLASH_DCLK; output HPS_FLASH_NCSO; input HPS_GSENSOR_INT; inout HPS_I2C_CLK; inout HPS_I2C_SDA; inout [3:0] HPS_KEY; output HPS_LCM_D_C; output HPS_LCM_RST_N; input HPS_LCM_SPIM_CLK; inout HPS_LCM_SPIM_MISO; output HPS_LCM_SPIM_MOSI; output HPS_LCM_SPIM_SS; output [3:0] HPS_LED; inout HPS_LTC_GPIO; input HPS_RESET_n; output HPS_SD_CLK; inout HPS_SD_CMD; inout [3:0] HPS_SD_DATA; output HPS_SPIM_CLK; input HPS_SPIM_MISO; output HPS_SPIM_MOSI; output HPS_SPIM_SS; input [3:0] HPS_SW; input HPS_UART_RX; output HPS_UART_TX; input HPS_USB_CLKOUT; inout [7:0] HPS_USB_DATA; input HPS_USB_DIR; input HPS_USB_NXT; output HPS_USB_RESET_PHY; output HPS_USB_STP; input HPS_WARM_RST_n; `endif /*ENABLE_HPS*/ ///////// HSMC ///////// input [2:1] HSMC_CLKIN_n; input [2:1] HSMC_CLKIN_p; output [2:1] HSMC_CLKOUT_n; output [2:1] HSMC_CLKOUT_p; input HSMC_CLK_IN0; output HSMC_CLK_OUT0; inout [3:0] HSMC_D; `ifdef ENABLE_HSMC_XCVR input [7:0] HSMC_GXB_RX_p; output [7:0] HSMC_GXB_TX_p; input HSMC_REF_CLK_p; `endif inout [16:0] HSMC_RX_n; inout [16:0] HSMC_RX_p; output HSMC_SCL; inout HSMC_SDA; inout [16:0] HSMC_TX_n; inout [16:0] HSMC_TX_p; ///////// IRDA ///////// input IRDA_RXD; ///////// KEY ///////// input [3:0] KEY; ///////// LED ///////// output [3:0] LED; ///////// OSC ///////// input OSC_50_B3B; input OSC_50_B4A; input OSC_50_B5B; input OSC_50_B8A; ///////// PCIE ///////// input PCIE_PERST_n; input PCIE_WAKE_n; ///////// RESET ///////// input RESET_n; ///////// SI5338 ///////// inout SI5338_SCL; inout SI5338_SDA; ///////// SW ///////// input [3:0] SW; ///////// TEMP ///////// output TEMP_CS_n; output TEMP_DIN; input TEMP_DOUT; output TEMP_SCLK; ///////// USB ///////// input USB_B2_CLK; inout [7:0] USB_B2_DATA; output USB_EMPTY; output USB_FULL; input USB_OE_n; input USB_RD_n; input USB_RESET_n; inout USB_SCL; inout USB_SDA; input USB_WR_n; ///////// VGA ///////// output [7:0] VGA_B; output VGA_BLANK_n; output VGA_CLK; output [7:0] VGA_G; output VGA_HS; output [7:0] VGA_R; output VGA_SYNC_n; output VGA_VS; /////////hps pin/////// output wire [14:0] memory_mem_a; output wire [2:0] memory_mem_ba; output wire memory_mem_ck; output wire memory_mem_ck_n; output wire memory_mem_cke; output wire memory_mem_cs_n; output wire memory_mem_ras_n; output wire memory_mem_cas_n; output wire memory_mem_we_n; output wire memory_mem_reset_n; inout wire [31:0] memory_mem_dq; inout wire [3:0] memory_mem_dqs; inout wire [3:0] memory_mem_dqs_n; output wire memory_mem_odt; output wire [3:0] memory_mem_dm; input wire memory_oct_rzqin; output wire hps_io_hps_io_emac1_inst_TX_CLK; output wire hps_io_hps_io_emac1_inst_TXD0; output wire hps_io_hps_io_emac1_inst_TXD1; output wire hps_io_hps_io_emac1_inst_TXD2; output wire hps_io_hps_io_emac1_inst_TXD3; input wire hps_io_hps_io_emac1_inst_RXD0; inout wire hps_io_hps_io_emac1_inst_MDIO; output wire hps_io_hps_io_emac1_inst_MDC; input wire hps_io_hps_io_emac1_inst_RX_CTL; output wire hps_io_hps_io_emac1_inst_TX_CTL; input wire hps_io_hps_io_emac1_inst_RX_CLK; input wire hps_io_hps_io_emac1_inst_RXD1; input wire hps_io_hps_io_emac1_inst_RXD2; input wire hps_io_hps_io_emac1_inst_RXD3; inout wire hps_io_hps_io_qspi_inst_IO0; inout wire hps_io_hps_io_qspi_inst_IO1; inout wire hps_io_hps_io_qspi_inst_IO2; inout wire hps_io_hps_io_qspi_inst_IO3; output wire hps_io_hps_io_qspi_inst_SS0; output wire hps_io_hps_io_qspi_inst_CLK; inout wire hps_io_hps_io_sdio_inst_CMD; inout wire hps_io_hps_io_sdio_inst_D0; inout wire hps_io_hps_io_sdio_inst_D1; output wire hps_io_hps_io_sdio_inst_CLK; inout wire hps_io_hps_io_sdio_inst_D2; inout wire hps_io_hps_io_sdio_inst_D3; inout wire hps_io_hps_io_usb1_inst_D0; inout wire hps_io_hps_io_usb1_inst_D1; inout wire hps_io_hps_io_usb1_inst_D2; inout wire hps_io_hps_io_usb1_inst_D3; inout wire hps_io_hps_io_usb1_inst_D4; inout wire hps_io_hps_io_usb1_inst_D5; inout wire hps_io_hps_io_usb1_inst_D6; inout wire hps_io_hps_io_usb1_inst_D7; input wire hps_io_hps_io_usb1_inst_CLK; output wire hps_io_hps_io_usb1_inst_STP; input wire hps_io_hps_io_usb1_inst_DIR; input wire hps_io_hps_io_usb1_inst_NXT; output wire hps_io_hps_io_spim0_inst_CLK; output wire hps_io_hps_io_spim0_inst_MOSI; input wire hps_io_hps_io_spim0_inst_MISO; output wire hps_io_hps_io_spim0_inst_SS0; output wire hps_io_hps_io_spim1_inst_CLK; output wire hps_io_hps_io_spim1_inst_MOSI; input wire hps_io_hps_io_spim1_inst_MISO; output wire hps_io_hps_io_spim1_inst_SS0; input wire hps_io_hps_io_uart0_inst_RX; output wire hps_io_hps_io_uart0_inst_TX; inout wire hps_io_hps_io_i2c1_inst_SDA; inout wire hps_io_hps_io_i2c1_inst_SCL; inout wire hps_io_hps_io_gpio_inst_GPIO00; //======================================================= // REG/WIRE declarations //======================================================= // For Audio CODEC wire AUD_CTRL_CLK; // For Audio Controller reg [31:0] Cont; wire VGA_CTRL_CLK; wire [9:0] mVGA_R; wire [9:0] mVGA_G; wire [9:0] mVGA_B; wire [19:0] mVGA_ADDR; wire DLY_RST; // For VGA Controller wire mVGA_CLK; wire [9:0] mRed; wire [9:0] mGreen; wire [9:0] mBlue; wire VGA_Read; // VGA data request wire [9:0] recon_VGA_R; wire [9:0] recon_VGA_G; wire [9:0] recon_VGA_B; // For Down Sample wire [3:0] Remain; wire [9:0] Quotient; wire AUD_MUTE; // Drive the LEDs with the switches assign LED = SW; // Make the FPGA reset cause an HPS reset reg [19:0] hps_reset_counter = 20'h0; reg hps_fpga_reset_n = 0; always @(posedge OSC_50_B4A) begin if (hps_reset_counter == 20'h ffffff) hps_fpga_reset_n <= 1; hps_reset_counter <= hps_reset_counter + 1; end ik_swift_hps u0 ( .clk_clk (OSC_50_B4A), // clk.clk .reset_reset_n (hps_fpga_reset_n), // reset.reset_n .memory_mem_a (memory_mem_a), // memory.mem_a .memory_mem_ba (memory_mem_ba), // .mem_ba .memory_mem_ck (memory_mem_ck), // .mem_ck .memory_mem_ck_n (memory_mem_ck_n), // .mem_ck_n .memory_mem_cke (memory_mem_cke), // .mem_cke .memory_mem_cs_n (memory_mem_cs_n), // .mem_cs_n .memory_mem_ras_n (memory_mem_ras_n), // .mem_ras_n .memory_mem_cas_n (memory_mem_cas_n), // .mem_cas_n .memory_mem_we_n (memory_mem_we_n), // .mem_we_n .memory_mem_reset_n (memory_mem_reset_n), // .mem_reset_n .memory_mem_dq (memory_mem_dq), // .mem_dq .memory_mem_dqs (memory_mem_dqs), // .mem_dqs .memory_mem_dqs_n (memory_mem_dqs_n), // .mem_dqs_n .memory_mem_odt (memory_mem_odt), // .mem_odt .memory_mem_dm (memory_mem_dm), // .mem_dm .memory_oct_rzqin (memory_oct_rzqin), // .oct_rzqin .hps_io_hps_io_emac1_inst_TX_CLK (hps_io_hps_io_emac1_inst_TX_CLK), // .hps_0_hps_io.hps_io_emac1_inst_TX_CLK .hps_io_hps_io_emac1_inst_TXD0 (hps_io_hps_io_emac1_inst_TXD0), // .hps_io_emac1_inst_TXD0 .hps_io_hps_io_emac1_inst_TXD1 (hps_io_hps_io_emac1_inst_TXD1), // .hps_io_emac1_inst_TXD1 .hps_io_hps_io_emac1_inst_TXD2 (hps_io_hps_io_emac1_inst_TXD2), // .hps_io_emac1_inst_TXD2 .hps_io_hps_io_emac1_inst_TXD3 (hps_io_hps_io_emac1_inst_TXD3), // .hps_io_emac1_inst_TXD3 .hps_io_hps_io_emac1_inst_RXD0 (hps_io_hps_io_emac1_inst_RXD0), // .hps_io_emac1_inst_RXD0 .hps_io_hps_io_emac1_inst_MDIO (hps_io_hps_io_emac1_inst_MDIO), // .hps_io_emac1_inst_MDIO .hps_io_hps_io_emac1_inst_MDC (hps_io_hps_io_emac1_inst_MDC), // .hps_io_emac1_inst_MDC .hps_io_hps_io_emac1_inst_RX_CTL (hps_io_hps_io_emac1_inst_RX_CTL), // .hps_io_emac1_inst_RX_CTL .hps_io_hps_io_emac1_inst_TX_CTL (hps_io_hps_io_emac1_inst_TX_CTL), // .hps_io_emac1_inst_TX_CTL .hps_io_hps_io_emac1_inst_RX_CLK (hps_io_hps_io_emac1_inst_RX_CLK), // .hps_io_emac1_inst_RX_CLK .hps_io_hps_io_emac1_inst_RXD1 (hps_io_hps_io_emac1_inst_RXD1), // .hps_io_emac1_inst_RXD1 .hps_io_hps_io_emac1_inst_RXD2 (hps_io_hps_io_emac1_inst_RXD2), // .hps_io_emac1_inst_RXD2 .hps_io_hps_io_emac1_inst_RXD3 (hps_io_hps_io_emac1_inst_RXD3), // .hps_io_emac1_inst_RXD3 .hps_io_hps_io_qspi_inst_IO0 (hps_io_hps_io_qspi_inst_IO0), // .hps_io_qspi_inst_IO0 .hps_io_hps_io_qspi_inst_IO1 (hps_io_hps_io_qspi_inst_IO1), // .hps_io_qspi_inst_IO1 .hps_io_hps_io_qspi_inst_IO2 (hps_io_hps_io_qspi_inst_IO2), // .hps_io_qspi_inst_IO2 .hps_io_hps_io_qspi_inst_IO3 (hps_io_hps_io_qspi_inst_IO3), // .hps_io_qspi_inst_IO3 .hps_io_hps_io_qspi_inst_SS0 (hps_io_hps_io_qspi_inst_SS0), // .hps_io_qspi_inst_SS0 .hps_io_hps_io_qspi_inst_CLK (hps_io_hps_io_qspi_inst_CLK), // .hps_io_qspi_inst_CLK .hps_io_hps_io_sdio_inst_CMD (hps_io_hps_io_sdio_inst_CMD), // .hps_io_sdio_inst_CMD .hps_io_hps_io_sdio_inst_D0 (hps_io_hps_io_sdio_inst_D0), // .hps_io_sdio_inst_D0 .hps_io_hps_io_sdio_inst_D1 (hps_io_hps_io_sdio_inst_D1), // .hps_io_sdio_inst_D1 .hps_io_hps_io_sdio_inst_CLK (hps_io_hps_io_sdio_inst_CLK), // .hps_io_sdio_inst_CLK .hps_io_hps_io_sdio_inst_D2 (hps_io_hps_io_sdio_inst_D2), // .hps_io_sdio_inst_D2 .hps_io_hps_io_sdio_inst_D3 (hps_io_hps_io_sdio_inst_D3), // .hps_io_sdio_inst_D3 .hps_io_hps_io_usb1_inst_D0 (hps_io_hps_io_usb1_inst_D0), // .hps_io_usb1_inst_D0 .hps_io_hps_io_usb1_inst_D1 (hps_io_hps_io_usb1_inst_D1), // .hps_io_usb1_inst_D1 .hps_io_hps_io_usb1_inst_D2 (hps_io_hps_io_usb1_inst_D2), // .hps_io_usb1_inst_D2 .hps_io_hps_io_usb1_inst_D3 (hps_io_hps_io_usb1_inst_D3), // .hps_io_usb1_inst_D3 .hps_io_hps_io_usb1_inst_D4 (hps_io_hps_io_usb1_inst_D4), // .hps_io_usb1_inst_D4 .hps_io_hps_io_usb1_inst_D5 (hps_io_hps_io_usb1_inst_D5), // .hps_io_usb1_inst_D5 .hps_io_hps_io_usb1_inst_D6 (hps_io_hps_io_usb1_inst_D6), // .hps_io_usb1_inst_D6 .hps_io_hps_io_usb1_inst_D7 (hps_io_hps_io_usb1_inst_D7), // .hps_io_usb1_inst_D7 .hps_io_hps_io_usb1_inst_CLK (hps_io_hps_io_usb1_inst_CLK), // .hps_io_usb1_inst_CLK .hps_io_hps_io_usb1_inst_STP (hps_io_hps_io_usb1_inst_STP), // .hps_io_usb1_inst_STP .hps_io_hps_io_usb1_inst_DIR (hps_io_hps_io_usb1_inst_DIR), // .hps_io_usb1_inst_DIR .hps_io_hps_io_usb1_inst_NXT (hps_io_hps_io_usb1_inst_NXT), // .hps_io_usb1_inst_NXT .hps_io_hps_io_spim0_inst_CLK (hps_io_hps_io_spim0_inst_CLK), // .hps_io_spim0_inst_CLK .hps_io_hps_io_spim0_inst_MOSI (hps_io_hps_io_spim0_inst_MOSI), // .hps_io_spim0_inst_MOSI .hps_io_hps_io_spim0_inst_MISO (hps_io_hps_io_spim0_inst_MISO), // .hps_io_spim0_inst_MISO .hps_io_hps_io_spim0_inst_SS0 (hps_io_hps_io_spim0_inst_SS0), // .hps_io_spim0_inst_SS0 .hps_io_hps_io_spim1_inst_CLK (hps_io_hps_io_spim1_inst_CLK), // .hps_io_spim1_inst_CLK .hps_io_hps_io_spim1_inst_MOSI (hps_io_hps_io_spim1_inst_MOSI), // .hps_io_spim1_inst_MOSI .hps_io_hps_io_spim1_inst_MISO (hps_io_hps_io_spim1_inst_MISO), // .hps_io_spim1_inst_MISO .hps_io_hps_io_spim1_inst_SS0 (hps_io_hps_io_spim1_inst_SS0), // .hps_io_spim1_inst_SS0 .hps_io_hps_io_uart0_inst_RX (hps_io_hps_io_uart0_inst_RX), // .hps_io_uart0_inst_RX .hps_io_hps_io_uart0_inst_TX (hps_io_hps_io_uart0_inst_TX), // .hps_io_uart0_inst_TX .hps_io_hps_io_i2c1_inst_SDA (hps_io_hps_io_i2c1_inst_SDA), // .hps_io_i2c1_inst_SDA .hps_io_hps_io_i2c1_inst_SCL (hps_io_hps_io_i2c1_inst_SCL) // .hps_io_i2c1_inst_SCL ); endmodule
`default_nettype none `timescale 1ns / 1ps /*********************************************************************************************************************** * * * ANTIKERNEL v0.1 * * * * Copyright (c) 2012-2017 Andrew D. Zonenberg * * All rights reserved. * * * * Redistribution and use in source and binary forms, with or without modification, are permitted provided that the * * following conditions are met: * * * * * Redistributions of source code must retain the above copyright notice, this list of conditions, and the * * following disclaimer. * * * * * Redistributions in binary form must reproduce the above copyright notice, this list of conditions and the * * following disclaimer in the documentation and/or other materials provided with the distribution. * * * * * Neither the name of the author nor the names of any contributors may be used to endorse or promote products * * derived from this software without specific prior written permission. * * * * THIS SOFTWARE IS PROVIDED BY THE AUTHORS "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED * * TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL * * THE AUTHORS BE HELD LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES * * (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR * * BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT * * (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE * * POSSIBILITY OF SUCH DAMAGE. * * * ***********************************************************************************************************************/ /** @file @author Andrew D. Zonenberg @brief Router for RPC network, protocol version 3 High level architecture: grid of stars. Each router peers with other routers to the north/south/east/west, and has up to 256 IP cores attached to its crossbar. All networks must a router at (0,0). The network must be convex (no internal cutouts allowed). */ module RPCv3Router #( //Data width (must be one of 16, 32, 64, 128). parameter CORE_DATA_WIDTH = 32, //Configuration of this router's child port(s) //1: one child interface with 256 addresses, goes to a debug bridge / soft CPU etc //0: up to 256 child interfaces with one address each, goes to IP cores parameter CHILD_IS_TRUNK = 1'b0, //Number of child ports (must be 1 for CHILD_IS_TRUNK = 1) //Must be <= 256. parameter CHILD_COUNT = 4, //Width of the bus going to each child node. //8 bits per link, must be 16/32/64/128. parameter CHILD_DATA_WIDTH = 32'h20202020, //Bit indicating if we have a neighbor in each direction. The transceiver is optimized out. //TODO: Return RPC_TYPE_HOST_UNREACH to any traffic sent in that direction //Concatenated {north, south, east, west} parameter NEIGHBOR_PRESENT = {4'b1111}, //Width of the bus going to each router, or zero if no router in that direction. //8 bits per link, must be 16/32/64/128. //Concatenated {north, south, east, west} parameter NEIGHBOR_DATA_WIDTH = 32'h20202020, //Coordinates of this router in the grid. //The base address of this router is {X_POS, Y_POS, 8'h00}. //North = positive Y //East = positive X parameter X_POS = 4'h0, parameter Y_POS = 4'h0 ) ( //Internal clock (also used for all links, for now) input wire clk, //Interfaces to neighboring routers. Concatenated {north, south, east, west} //Declare all links 128 bits wide and let unused bits get optimized out output wire[3:0] neighbor_tx_en, output wire[511:0] neighbor_tx_data, input wire[3:0] neighbor_tx_ready, input wire[3:0] neighbor_rx_en, input wire[511:0] neighbor_rx_data, output wire[3:0] neighbor_rx_ready, //Interfaces to child nodes. //Declare all links 128 bits wide and let unused bits get optimized out output wire[CHILD_COUNT-1 : 0] child_tx_en, output wire[CHILD_COUNT*128 - 1 : 0] child_tx_data, input wire[CHILD_COUNT-1 : 0] child_tx_ready, input wire[CHILD_COUNT-1 : 0] child_rx_en, input wire[CHILD_COUNT*128 - 1 : 0] child_rx_data, output wire[CHILD_COUNT-1 : 0] child_rx_ready ); //////////////////////////////////////////////////////////////////////////////////////////////////////////////////// // Compute a few useful constants //Number of clocks in one message through the core localparam CORE_WORD_COUNT = 128 / CORE_DATA_WIDTH; //////////////////////////////////////////////////////////////////////////////////////////////////////////////////// // Synthesis-time sanity checking initial begin //Must have exactly 1 child if we're a trunk if(CHILD_IS_TRUNK) begin if(CHILD_COUNT != 1) begin $display("ERROR: RPCv3Router: must have only one child if CHILD_IS_TRUNK is set"); $finish; end end //Must have <256 children otherwise else if(CHILD_COUNT > 256) begin $display("ERROR: RPCv3Router: must have <256 children"); $finish; end end //////////////////////////////////////////////////////////////////////////////////////////////////////////////////// // Receivers and FIFOs for neighbor ports wire[3:0] neighbor_fifo_rd; wire[3:0] neighbor_fifo_empty; wire[CORE_DATA_WIDTH*4 - 1:0] neighbor_fifo_dout; wire[23:0] neighbor_fifo_rsize; genvar i; generate for(i=0; i<4; i=i+1) begin : neighbor_rxs if(NEIGHBOR_PRESENT[i]) begin //Bus from receiver to FIFO wire fifo_space_available; wire[5:0] fifo_wr_size; wire fifo_wr_en; wire[CORE_DATA_WIDTH-1:0] fifo_wr_data; //True if there is enough room in the FIFO for one entire packet assign fifo_space_available = (fifo_wr_size >= CORE_WORD_COUNT); //Receiver pushes data directly to FIFO. //Ignore packet start/done signals, we only care about the data bus. //Receiver is proven to never send partial packets, so we can't lose sync! RPCv3RouterReceiver #( .IN_DATA_WIDTH(NEIGHBOR_DATA_WIDTH[i*8 +: 8]), .OUT_DATA_WIDTH(CORE_DATA_WIDTH) ) rxvr ( .clk(clk), .rpc_rx_en(neighbor_rx_en[i]), .rpc_rx_data(neighbor_rx_data[i*128 +: NEIGHBOR_DATA_WIDTH[i*8 +: 8] ] ), .rpc_rx_ready(neighbor_rx_ready[i]), .rpc_fab_rx_space_available(fifo_space_available), .rpc_fab_rx_packet_start(), .rpc_fab_rx_data_valid(fifo_wr_en), .rpc_fab_rx_data(fifo_wr_data), .rpc_fab_rx_packet_done() ); SingleClockShiftRegisterFifo #( .WIDTH(CORE_DATA_WIDTH), .DEPTH(32), .OUT_REG(1) ) rx_fifo ( .clk(clk), .wr(fifo_wr_en), .din(fifo_wr_data), .rd(neighbor_fifo_rd[i]), .dout(neighbor_fifo_dout[i*CORE_DATA_WIDTH +: CORE_DATA_WIDTH]), .overflow(), //ignored, can never under/overflow b/c of receiver flow control .underflow(), .empty(neighbor_fifo_empty[i]), .full(), .rsize(neighbor_fifo_rsize[6*i +: 6]), .wsize(fifo_wr_size), .reset(1'b0) //never reset the fifo ); end //No neighbor? Tie everything off to zero else begin assign neighbor_fifo_empty[i] = 1; assign neighbor_fifo_rsize[i*6 +: 6] = 0; assign neighbor_fifo_dout[i*CORE_DATA_WIDTH +: CORE_DATA_WIDTH] = 0; end end endgenerate //////////////////////////////////////////////////////////////////////////////////////////////////////////////////// // Receivers and FIFOs for child ports wire[CHILD_COUNT-1:0] child_fifo_rd; wire[CHILD_COUNT-1:0] child_fifo_empty; wire[CHILD_COUNT*CORE_DATA_WIDTH - 1:0] child_fifo_dout; wire[CHILD_COUNT*6-1:0] child_fifo_rsize; generate for(i=0; i<CHILD_COUNT; i=i+1) begin : child_rxs //Bus from receiver to FIFO wire fifo_space_available; wire[5:0] fifo_wr_size; wire fifo_wr_en; wire[CORE_DATA_WIDTH-1:0] fifo_wr_data; //True if there is enough room in the FIFO for one entire packet assign fifo_space_available = (fifo_wr_size >= CHILD_DATA_WIDTH[i*8 +: 8]); //Receiver pushes data directly to FIFO. //Ignore packet start/done signals, we only care about the data bus. //Receiver is proven to never send partial packets, so we can't lose sync! RPCv3RouterReceiver #( .IN_DATA_WIDTH(CHILD_DATA_WIDTH[i*8 +: 8]), .OUT_DATA_WIDTH(CORE_DATA_WIDTH) ) rxvr ( .clk(clk), .rpc_rx_en(child_rx_en[i]), .rpc_rx_data(child_rx_data[i*128 +: CHILD_DATA_WIDTH[i*8 +: 8] ] ), .rpc_rx_ready(child_rx_ready[i]), .rpc_fab_rx_space_available(fifo_space_available), .rpc_fab_rx_packet_start(), .rpc_fab_rx_data_valid(fifo_wr_en), .rpc_fab_rx_data(fifo_wr_data), .rpc_fab_rx_packet_done() ); SingleClockShiftRegisterFifo #( .WIDTH(CORE_DATA_WIDTH), .DEPTH(32), .OUT_REG(1) ) rx_fifo ( .clk(clk), .wr(fifo_wr_en), .din(fifo_wr_data), .rd(child_fifo_rd[i]), .dout(child_fifo_dout[i*CORE_DATA_WIDTH +: CORE_DATA_WIDTH]), .overflow(), //ignored, can never under/overflow b/c of receiver flow control .underflow(), .empty(child_fifo_empty[i]), .full(), .rsize(child_fifo_rsize[6*i +: 6]), .wsize(fifo_wr_size), .reset(1'b0) //never reset the fifo ); end endgenerate //////////////////////////////////////////////////////////////////////////////////////////////////////////////////// // Transmitters for neighbor ports //////////////////////////////////////////////////////////////////////////////////////////////////////////////////// // Transmitters for child ports //////////////////////////////////////////////////////////////////////////////////////////////////////////////////// // The actual switch crossbar RPCv3RouterCrossbar #( .CORE_DATA_WIDTH(CORE_DATA_WIDTH), .CHILD_IS_TRUNK(CHILD_IS_TRUNK), .CHILD_COUNT(CHILD_COUNT), .CHILD_DATA_WIDTH(CHILD_DATA_WIDTH), .NEIGHBOR_PRESENT(NEIGHBOR_PRESENT), .NEIGHBOR_DATA_WIDTH(NEIGHBOR_DATA_WIDTH), .X_POS(X_POS), .Y_POS(Y_POS) ) crossbar ( .clk(clk), .rx_fifo_rd({child_fifo_rd, neighbor_fifo_rd}), .rx_fifo_empty({child_fifo_empty, neighbor_fifo_empty}), .rx_fifo_dout({child_fifo_dout, neighbor_fifo_dout}), .rx_fifo_rsize({child_fifo_rsize, neighbor_fifo_rsize}) ); endmodule
`include "hrfp_defs.vh" module hrfp_mult_normalize (output reg expdiff, output reg [53:0] normalized_mantissa, input wire clk, input wire [53:0] mantissa4, mantissa5); parameter EARLY_EXPDIFF = 1; parameter EARLY_NORMALIZE = 0; generate // IDEA: In case of emergency: Can check only one bit of expdiff // here and the remaining bits beforehand! if(EARLY_EXPDIFF) begin : CHECK_EXPDIFF_EARLY always @(posedge clk) begin expdiff <= 1; if(!mantissa4[53:50]) begin expdiff <= 0; end end end else begin : CHECK_EXPDIFF_LATE always @* begin expdiff = 1; if(!mantissa5[53:50]) begin expdiff = 0; end end end endgenerate generate // Needed for non parallell rounding if(EARLY_NORMALIZE) begin : CHECK_NORMALIZATION_EARLY always @(posedge clk) begin normalized_mantissa <= mantissa4[53:0]; if(!mantissa4[53:50]) begin normalized_mantissa <= {mantissa4[49:0], 4'b0000}; end end end else begin : CHECK_NORMALIZATION_LATE always @* begin normalized_mantissa = mantissa5[53:0]; if(!expdiff) begin normalized_mantissa = {mantissa5[49:0], 4'b0000}; end end end endgenerate endmodule
// ========== Copyright Header Begin ========================================== // // OpenSPARC T1 Processor File: ctu_clsp_clkgn_ddiv.v // Copyright (c) 2006 Sun Microsystems, Inc. All Rights Reserved. // DO NOT ALTER OR REMOVE COPYRIGHT NOTICES. // // The above named program is free software; you can redistribute it and/or // modify it under the terms of the GNU General Public // License version 2 as published by the Free Software Foundation. // // The above named program is distributed in the hope that it will be // useful, but WITHOUT ANY WARRANTY; without even the implied warranty of // MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU // General Public License for more details. // // You should have received a copy of the GNU General Public // License along with this work; if not, write to the Free Software // Foundation, Inc., 51 Franklin St, Fifth Floor, Boston, MA 02110-1301, USA. // // ========== Copyright Header End ============================================ //////////////////////////////////////////////////////////////////////// // // Module Name: clk_ddiv // // Description: clock divider based on Johnson counter // // - supports odd/even divisors from 2 to 24 (12 stages of Jons. cnt) // - supports clock stretch for duration of sig "stretch" // // - positive clock counter have sigs *joa* // - negative clock counter have sigs *job* // // - outputs joa_q_2, joa_q_1, joa_q_0 might be used for synchronization // // - the last stages of counters "joa_q[0]" and "job_q[0]" // are registered into "joa_q_0_reg" and "job_q_0_reg" // // - the "joa_q_0_reg" and "job_q_0_reg" are ORed into "out_gclk". // // // // Mimi 7/8/03 : Added input stretch_b,rst_b_l module ctu_clsp_clkgn_ddiv (/*AUTOARG*/ // Outputs dom_div0, align_edge, align_edge_b, dom_div1, so, // Inputs pll_clk_out, pll_clk_out_l, rst_l, rst_b_l, div_dec, stretch_l, stretch_b_l, se, si ); // Globals input pll_clk_out; input pll_clk_out_l; input rst_l; input rst_b_l; // Divisor input [14:0] div_dec ; // The "stretch" is expected synced with pll_clk_out input stretch_l; input stretch_b_l; // Clock outputs output dom_div0; output align_edge; output align_edge_b; output dom_div1; input si; input se; output so; /* output out_gclk; output out_gclk_l; */ ctu_clsp_clkgn_1div pos( // Outputs .dom_div (dom_div0), .align_edge (align_edge), .align_edge_b (align_edge_b), .so (), // Inputs .pll_clk (pll_clk_out), .pll_clk_l (pll_clk_out_l), .init_l (rst_l), .div_dec (div_dec[14:0]), .stretch_l (stretch_l), .se (se), .si ()); ctu_clsp_clkgn_1div neg( // Outputs .dom_div (dom_div1), .align_edge (), .align_edge_b (), .so (), // Inputs .pll_clk (pll_clk_out_l), .pll_clk_l (pll_clk_out), .init_l (rst_b_l), .div_dec (div_dec[14:0]), .stretch_l (stretch_b_l), .se (se), .si ()); endmodule // clk_ddiv
/** * Copyright 2020 The SkyWater PDK Authors * * Licensed under the Apache License, Version 2.0 (the "License"); * you may not use this file except in compliance with the License. * You may obtain a copy of the License at * * https://www.apache.org/licenses/LICENSE-2.0 * * Unless required by applicable law or agreed to in writing, software * distributed under the License is distributed on an "AS IS" BASIS, * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. * See the License for the specific language governing permissions and * limitations under the License. * * SPDX-License-Identifier: Apache-2.0 */ `ifndef SKY130_FD_SC_MS__OR4B_1_V `define SKY130_FD_SC_MS__OR4B_1_V /** * or4b: 4-input OR, first input inverted. * * Verilog wrapper for or4b with size of 1 units. * * WARNING: This file is autogenerated, do not modify directly! */ `timescale 1ns / 1ps `default_nettype none `include "sky130_fd_sc_ms__or4b.v" `ifdef USE_POWER_PINS /*********************************************************/ `celldefine module sky130_fd_sc_ms__or4b_1 ( X , A , B , C , D_N , VPWR, VGND, VPB , VNB ); output X ; input A ; input B ; input C ; input D_N ; input VPWR; input VGND; input VPB ; input VNB ; sky130_fd_sc_ms__or4b base ( .X(X), .A(A), .B(B), .C(C), .D_N(D_N), .VPWR(VPWR), .VGND(VGND), .VPB(VPB), .VNB(VNB) ); endmodule `endcelldefine /*********************************************************/ `else // If not USE_POWER_PINS /*********************************************************/ `celldefine module sky130_fd_sc_ms__or4b_1 ( X , A , B , C , D_N ); output X ; input A ; input B ; input C ; input D_N; // Voltage supply signals supply1 VPWR; supply0 VGND; supply1 VPB ; supply0 VNB ; sky130_fd_sc_ms__or4b base ( .X(X), .A(A), .B(B), .C(C), .D_N(D_N) ); endmodule `endcelldefine /*********************************************************/ `endif // USE_POWER_PINS `default_nettype wire `endif // SKY130_FD_SC_MS__OR4B_1_V
`timescale 1 ns / 1 ps module hapara_axis_id_generator_v1_0_S00_AXI # ( // Users to add parameters here // User parameters ends // Do not modify the parameters beyond this line // Width of S_AXI data bus parameter integer C_S_AXI_DATA_WIDTH = 32, // Width of S_AXI address bus parameter integer C_S_AXI_ADDR_WIDTH = 4 ) ( // Users to add ports here input wire Finish, output wire En, // output wire [C_S_AXI_DATA_WIDTH - 1 : 0] orgX, // output wire [C_S_AXI_DATA_WIDTH - 1 : 0] orgY, // output wire [C_S_AXI_DATA_WIDTH - 1 : 0] lengthX, // output wire [C_S_AXI_DATA_WIDTH - 1 : 0] lengthY, output wire [C_S_AXI_DATA_WIDTH - 1 : 0] org, output wire [C_S_AXI_DATA_WIDTH - 1 : 0] len, output wire [C_S_AXI_DATA_WIDTH - 1 : 0] numOfSlv, // User ports ends // Do not modify the ports beyond this line // Global Clock Signal input wire S_AXI_ACLK, // Global Reset Signal. This Signal is Active LOW input wire S_AXI_ARESETN, // Write address (issued by master, acceped by Slave) input wire [C_S_AXI_ADDR_WIDTH-1 : 0] S_AXI_AWADDR, // Write channel Protection type. This signal indicates the // privilege and security level of the transaction, and whether // the transaction is a data access or an instruction access. input wire [2 : 0] S_AXI_AWPROT, // Write address valid. This signal indicates that the master signaling // valid write address and control information. input wire S_AXI_AWVALID, // Write address ready. This signal indicates that the slave is ready // to accept an address and associated control signals. output wire S_AXI_AWREADY, // Write data (issued by master, acceped by Slave) input wire [C_S_AXI_DATA_WIDTH-1 : 0] S_AXI_WDATA, // Write strobes. This signal indicates which byte lanes hold // valid data. There is one write strobe bit for each eight // bits of the write data bus. input wire [(C_S_AXI_DATA_WIDTH/8)-1 : 0] S_AXI_WSTRB, // Write valid. This signal indicates that valid write // data and strobes are available. input wire S_AXI_WVALID, // Write ready. This signal indicates that the slave // can accept the write data. output wire S_AXI_WREADY, // Write response. This signal indicates the status // of the write transaction. output wire [1 : 0] S_AXI_BRESP, // Write response valid. This signal indicates that the channel // is signaling a valid write response. output wire S_AXI_BVALID, // Response ready. This signal indicates that the master // can accept a write response. input wire S_AXI_BREADY, // Read address (issued by master, acceped by Slave) input wire [C_S_AXI_ADDR_WIDTH-1 : 0] S_AXI_ARADDR, // Protection type. This signal indicates the privilege // and security level of the transaction, and whether the // transaction is a data access or an instruction access. input wire [2 : 0] S_AXI_ARPROT, // Read address valid. This signal indicates that the channel // is signaling valid read address and control information. input wire S_AXI_ARVALID, // Read address ready. This signal indicates that the slave is // ready to accept an address and associated control signals. output wire S_AXI_ARREADY, // Read data (issued by slave) output wire [C_S_AXI_DATA_WIDTH-1 : 0] S_AXI_RDATA, // Read response. This signal indicates the status of the // read transfer. output wire [1 : 0] S_AXI_RRESP, // Read valid. This signal indicates that the channel is // signaling the required read data. output wire S_AXI_RVALID, // Read ready. This signal indicates that the master can // accept the read data and response information. input wire S_AXI_RREADY ); // AXI4LITE signals reg [C_S_AXI_ADDR_WIDTH-1 : 0] axi_awaddr; reg axi_awready; reg axi_wready; reg [1 : 0] axi_bresp; reg axi_bvalid; reg [C_S_AXI_ADDR_WIDTH-1 : 0] axi_araddr; reg axi_arready; reg [C_S_AXI_DATA_WIDTH-1 : 0] axi_rdata; reg [1 : 0] axi_rresp; reg axi_rvalid; // Example-specific design signals // local parameter for addressing 32 bit / 64 bit C_S_AXI_DATA_WIDTH // ADDR_LSB is used for addressing 32/64 bit registers/memories // ADDR_LSB = 2 for 32 bits (n downto 2) // ADDR_LSB = 3 for 64 bits (n downto 3) localparam integer ADDR_LSB = (C_S_AXI_DATA_WIDTH/32) + 1; localparam integer OPT_MEM_ADDR_BITS = 1; //---------------------------------------------- //-- Signals for user logic register space example //------------------------------------------------ //-- Number of Slave Registers 4 reg [C_S_AXI_DATA_WIDTH-1:0] slv_reg0; reg [C_S_AXI_DATA_WIDTH-1:0] slv_reg1; reg [C_S_AXI_DATA_WIDTH-1:0] slv_reg2; reg [C_S_AXI_DATA_WIDTH-1:0] slv_reg3; wire slv_reg_rden; wire slv_reg_wren; reg [C_S_AXI_DATA_WIDTH-1:0] reg_data_out; integer byte_index; // I/O Connections assignments assign S_AXI_AWREADY = axi_awready; assign S_AXI_WREADY = axi_wready; assign S_AXI_BRESP = axi_bresp; assign S_AXI_BVALID = axi_bvalid; assign S_AXI_ARREADY = axi_arready; assign S_AXI_RDATA = axi_rdata; assign S_AXI_RRESP = axi_rresp; assign S_AXI_RVALID = axi_rvalid; // Implement axi_awready generation // axi_awready is asserted for one S_AXI_ACLK clock cycle when both // S_AXI_AWVALID and S_AXI_WVALID are asserted. axi_awready is // de-asserted when reset is low. always @( posedge S_AXI_ACLK ) begin if ( S_AXI_ARESETN == 1'b0 ) begin axi_awready <= 1'b0; end else begin if (~axi_awready && S_AXI_AWVALID && S_AXI_WVALID) begin // slave is ready to accept write address when // there is a valid write address and write data // on the write address and data bus. This design // expects no outstanding transactions. axi_awready <= 1'b1; end else begin axi_awready <= 1'b0; end end end // Implement axi_awaddr latching // This process is used to latch the address when both // S_AXI_AWVALID and S_AXI_WVALID are valid. always @( posedge S_AXI_ACLK ) begin if ( S_AXI_ARESETN == 1'b0 ) begin axi_awaddr <= 0; end else begin if (~axi_awready && S_AXI_AWVALID && S_AXI_WVALID) begin // Write Address latching axi_awaddr <= S_AXI_AWADDR; end end end // Implement axi_wready generation // axi_wready is asserted for one S_AXI_ACLK clock cycle when both // S_AXI_AWVALID and S_AXI_WVALID are asserted. axi_wready is // de-asserted when reset is low. always @( posedge S_AXI_ACLK ) begin if ( S_AXI_ARESETN == 1'b0 ) begin axi_wready <= 1'b0; end else begin if (~axi_wready && S_AXI_WVALID && S_AXI_AWVALID) begin // slave is ready to accept write data when // there is a valid write address and write data // on the write address and data bus. This design // expects no outstanding transactions. axi_wready <= 1'b1; end else begin axi_wready <= 1'b0; end end end // Implement memory mapped register select and write logic generation // The write data is accepted and written to memory mapped registers when // axi_awready, S_AXI_WVALID, axi_wready and S_AXI_WVALID are asserted. Write strobes are used to // select byte enables of slave registers while writing. // These registers are cleared when reset (active low) is applied. // Slave register write enable is asserted when valid address and data are available // and the slave is ready to accept the write address and write data. assign slv_reg_wren = axi_wready && S_AXI_WVALID && axi_awready && S_AXI_AWVALID; always @( posedge S_AXI_ACLK ) begin if ( S_AXI_ARESETN == 1'b0 || curr_state == counting) begin slv_reg0 <= 0; slv_reg1 <= 0; slv_reg2 <= 0; // slv_reg3 <= 0; end else begin if (slv_reg_wren) begin case ( axi_awaddr[ADDR_LSB+OPT_MEM_ADDR_BITS:ADDR_LSB] ) 2'h0: for ( byte_index = 0; byte_index <= (C_S_AXI_DATA_WIDTH/8)-1; byte_index = byte_index+1 ) if ( S_AXI_WSTRB[byte_index] == 1 ) begin // Respective byte enables are asserted as per write strobes // Slave register 0 slv_reg0[(byte_index*8) +: 8] <= S_AXI_WDATA[(byte_index*8) +: 8]; end 2'h1: for ( byte_index = 0; byte_index <= (C_S_AXI_DATA_WIDTH/8)-1; byte_index = byte_index+1 ) if ( S_AXI_WSTRB[byte_index] == 1 ) begin // Respective byte enables are asserted as per write strobes // Slave register 1 slv_reg1[(byte_index*8) +: 8] <= S_AXI_WDATA[(byte_index*8) +: 8]; end 2'h2: for ( byte_index = 0; byte_index <= (C_S_AXI_DATA_WIDTH/8)-1; byte_index = byte_index+1 ) if ( S_AXI_WSTRB[byte_index] == 1 ) begin // Respective byte enables are asserted as per write strobes // Slave register 2 slv_reg2[(byte_index*8) +: 8] <= S_AXI_WDATA[(byte_index*8) +: 8]; end // 2'h3: // for ( byte_index = 0; byte_index <= (C_S_AXI_DATA_WIDTH/8)-1; byte_index = byte_index+1 ) // if ( S_AXI_WSTRB[byte_index] == 1 ) begin // Respective byte enables are asserted as per write strobes // Slave register 3 // slv_reg3[(byte_index*8) +: 8] <= S_AXI_WDATA[(byte_index*8) +: 8]; // end default : begin slv_reg0 <= slv_reg0; slv_reg1 <= slv_reg1; slv_reg2 <= slv_reg2; // slv_reg3 <= slv_reg3; end endcase end end end //logic for writing slv_reg3; always @(posedge S_AXI_ACLK) begin if (!S_AXI_ARESETN || curr_state == reset || curr_state == counting) begin slv_reg3 <= 0; end else if (curr_state == finish) begin slv_reg3 <= 1; end end // Implement write response logic generation // The write response and response valid signals are asserted by the slave // when axi_wready, S_AXI_WVALID, axi_wready and S_AXI_WVALID are asserted. // This marks the acceptance of address and indicates the status of // write transaction. always @( posedge S_AXI_ACLK ) begin if ( S_AXI_ARESETN == 1'b0 ) begin axi_bvalid <= 0; axi_bresp <= 2'b0; end else begin if (axi_awready && S_AXI_AWVALID && ~axi_bvalid && axi_wready && S_AXI_WVALID) begin // indicates a valid write response is available axi_bvalid <= 1'b1; axi_bresp <= 2'b0; // 'OKAY' response end // work error responses in future else begin if (S_AXI_BREADY && axi_bvalid) //check if bready is asserted while bvalid is high) //(there is a possibility that bready is always asserted high) begin axi_bvalid <= 1'b0; end end end end // Implement axi_arready generation // axi_arready is asserted for one S_AXI_ACLK clock cycle when // S_AXI_ARVALID is asserted. axi_awready is // de-asserted when reset (active low) is asserted. // The read address is also latched when S_AXI_ARVALID is // asserted. axi_araddr is reset to zero on reset assertion. always @( posedge S_AXI_ACLK ) begin if ( S_AXI_ARESETN == 1'b0 ) begin axi_arready <= 1'b0; axi_araddr <= 32'b0; end else begin if (~axi_arready && S_AXI_ARVALID) begin // indicates that the slave has acceped the valid read address axi_arready <= 1'b1; // Read address latching axi_araddr <= S_AXI_ARADDR; end else begin axi_arready <= 1'b0; end end end // Implement axi_arvalid generation // axi_rvalid is asserted for one S_AXI_ACLK clock cycle when both // S_AXI_ARVALID and axi_arready are asserted. The slave registers // data are available on the axi_rdata bus at this instance. The // assertion of axi_rvalid marks the validity of read data on the // bus and axi_rresp indicates the status of read transaction.axi_rvalid // is deasserted on reset (active low). axi_rresp and axi_rdata are // cleared to zero on reset (active low). always @( posedge S_AXI_ACLK ) begin if ( S_AXI_ARESETN == 1'b0 ) begin axi_rvalid <= 0; axi_rresp <= 0; end else begin if (axi_arready && S_AXI_ARVALID && ~axi_rvalid) begin // Valid read data is available at the read data bus axi_rvalid <= 1'b1; axi_rresp <= 2'b0; // 'OKAY' response end else if (axi_rvalid && S_AXI_RREADY) begin // Read data is accepted by the master axi_rvalid <= 1'b0; end end end // Implement memory mapped register select and read logic generation // Slave register read enable is asserted when valid address is available // and the slave is ready to accept the read address. assign slv_reg_rden = axi_arready & S_AXI_ARVALID & ~axi_rvalid; always @(*) begin // Address decoding for reading registers case ( axi_araddr[ADDR_LSB+OPT_MEM_ADDR_BITS:ADDR_LSB] ) 2'h0 : reg_data_out <= slv_reg0; 2'h1 : reg_data_out <= slv_reg1; 2'h2 : reg_data_out <= slv_reg2; 2'h3 : reg_data_out <= slv_reg3; default : reg_data_out <= 0; endcase end // Output register or memory read data always @( posedge S_AXI_ACLK ) begin if ( S_AXI_ARESETN == 1'b0 ) begin axi_rdata <= 0; end else begin // When there is a valid read address (S_AXI_ARVALID) with // acceptance of read address by the slave (axi_arready), // output the read dada if (slv_reg_rden) begin axi_rdata <= reg_data_out; // register read data end end end // Add user logic here // X: vertical // Y: horizontal // slv_reg0: orgX, orgY // slv_reg1: lenX, lenY // slv_reg2: num of slaves // slv_reg3: isFinish? // reg [C_S_AXI_DATA_WIDTH - 1 : 0] reg_orgX; //slv_reg0 // reg [C_S_AXI_DATA_WIDTH - 1 : 0] reg_orgY; //slv_reg1 // reg [C_S_AXI_DATA_WIDTH - 1 : 0] reg_lengthX; //slv_reg2 // reg [C_S_AXI_DATA_WIDTH - 1 : 0] reg_lengthY; //slv_reg3 reg [C_S_AXI_DATA_WIDTH - 1 : 0] reg_org; reg [C_S_AXI_DATA_WIDTH - 1 : 0] reg_len; reg [C_S_AXI_DATA_WIDTH - 1 : 0] reg_numOfSlv; localparam LENGTH = C_S_AXI_DATA_WIDTH / 2; localparam reset = 3'b001; localparam counting = 3'b010; localparam finish = 3'b100; reg [2 : 0] next_state; reg [2 : 0] curr_state; // logic for reg_* always @(posedge S_AXI_ACLK or negedge S_AXI_ARESETN) begin if (!S_AXI_ARESETN) begin reg_org <= 0; reg_len <= 0; reg_numOfSlv <= 0; end else begin if (curr_state == reset) begin reg_org <= slv_reg0; reg_len <= slv_reg1; reg_numOfSlv <= slv_reg2; end else begin reg_org <= reg_org; reg_len <= reg_len; reg_numOfSlv <= reg_numOfSlv; end end end // logic for curr_state; always @(posedge S_AXI_ACLK or negedge S_AXI_ARESETN) begin if (!S_AXI_ARESETN) begin // reset curr_state <= reset; end else begin curr_state <= next_state; end end wire data_ready; assign data_ready = (slv_reg1[C_S_AXI_DATA_WIDTH - 1 : LENGTH] != {LENGTH{1'b0}}) && (slv_reg1[LENGTH - 1 : 0] != {LENGTH{1'b0}}); always @(curr_state or data_ready or Finish) begin case(curr_state) reset: if (data_ready) begin next_state = counting; end else begin next_state = reset; end counting: if (Finish) begin next_state = finish; end else begin next_state = counting; end finish: if (data_ready) begin next_state = reset; end else begin next_state = finish; end default : next_state = 3'bxxx; endcase end assign En = curr_state == counting; // assign orgX = reg_orgX; // assign orgY = reg_orgY; // assign lengthX = reg_lengthX; // assign lengthY = reg_lengthY; assign org = reg_org; assign len = reg_len; assign numOfSlv = reg_numOfSlv; // User logic ends endmodule
`timescale 1ns / 1ps module Print( input clk, // ʱÖÓÐźŠinput [15:0] num, // ÒªÏÔʾµÄ4λÊý input [3:0] flash, // 4λ, ÊÇ·ñÉÁ˸, 1 => true, 0 => false output reg [7:0] display, // Êä³ö, 8λ¶ÎÑ¡¶Ë(CA, CB, CC, CD, CE, CF, CG, DP) output reg [3:0] an // Êä³ö, 4λλѡ¶Ë ); reg flash_state; // µ±Ç°ÉÁ˸״̬, 1 => ´¦ÓÚÉÁ˸״̬ reg [3:0] tmp; reg [15:0] counter; reg [31:0] flash_counter; reg [3:0] an_tmp; parameter [15:0] MAX_COUNTER = 16'D5_0000; parameter [31:0] MAX_FLASH_COUNTER = 32'D5000_0000; initial begin an_tmp = 4'B0111; counter = 0; flash_counter = 0; flash_state = 0; end always@(an_tmp) begin case(an_tmp) 4'B0111: tmp = num[15:12]; 4'B1011: tmp = num[11:8]; 4'B1101: tmp = num[7:4]; 4'B1110: tmp = num[3:0]; endcase case(tmp) 4'H0: display = 8'B0000_0011; 4'H1: display = 8'B1001_1111; 4'H2: display = 8'B0010_0101; 4'H3: display = 8'B0000_1101; 4'H4: display = 8'B1001_1001; 4'H5: display = 8'B0100_1001; 4'H6: display = 8'B0100_0001; 4'H7: display = 8'B0001_1111; 4'H8: display = 8'B0000_0001; 4'H9: display = 8'B0000_1001; endcase end always@(posedge clk) begin // ÏÔʾɨÃè counter = counter + 1; if(counter == MAX_COUNTER) begin an_tmp = (an_tmp >> 1) + 4'B1000; counter = 0; end if(an_tmp == 4'B1111) begin an_tmp = 4'B0111; end // ÉÁ˸ɨÃè flash_counter = flash_counter + 1; if(flash_counter == MAX_FLASH_COUNTER) begin flash_counter = 0; flash_state = ~flash_state; end // »ñµÃ×îÖÕanÖµ if(flash_state) an = an_tmp | flash; else an = an_tmp; end endmodule
// Copyright (c) 2012-2013 Ludvig Strigeus // This program is GPL Licensed. See COPYING for the full license. // Module handles updating the loopy scroll register module LoopyGen(input clk, input ce, input is_rendering, input [2:0] ain, // input address from CPU input [7:0] din, // data input input read, // read input write, // write input is_pre_render, // Is this the pre-render scanline input [8:0] cycle, output [14:0] loopy, output [2:0] fine_x_scroll); // Current loopy value // Controls how much to increment on each write reg ppu_incr; // 0 = 1, 1 = 32 // Current VRAM address reg [14:0] loopy_v; // Temporary VRAM address reg [14:0] loopy_t; // Fine X scroll (3 bits) reg [2:0] loopy_x; // Latch reg ppu_address_latch; initial begin ppu_incr = 0; loopy_v = 0; loopy_t = 0; loopy_x = 0; ppu_address_latch = 0; end // Handle updating loopy_t and loopy_v always @(posedge clk) if (ce) begin if (is_rendering) begin // Increment course X scroll right after attribute table byte was fetched. if (cycle[2:0] == 3 && (cycle < 256 || cycle >= 320 && cycle < 336)) begin loopy_v[4:0] <= loopy_v[4:0] + 1; loopy_v[10] <= loopy_v[10] ^ (loopy_v[4:0] == 31); end // Vertical Increment if (cycle == 251) begin loopy_v[14:12] <= loopy_v[14:12] + 1; if (loopy_v[14:12] == 7) begin if (loopy_v[9:5] == 29) begin loopy_v[9:5] <= 0; loopy_v[11] <= !loopy_v[11]; end else begin loopy_v[9:5] <= loopy_v[9:5] + 1; end end end // Horizontal Reset at cycle 257 if (cycle == 256) {loopy_v[10], loopy_v[4:0]} <= {loopy_t[10], loopy_t[4:0]}; // On cycle 256 of each scanline, copy horizontal bits from loopy_t into loopy_v // On cycle 304 of the pre-render scanline, copy loopy_t into loopy_v if (cycle == 304 && is_pre_render) begin loopy_v <= loopy_t; end end if (write && ain == 0) begin loopy_t[10] <= din[0]; loopy_t[11] <= din[1]; ppu_incr <= din[2]; end else if (write && ain == 5) begin if (!ppu_address_latch) begin loopy_t[4:0] <= din[7:3]; loopy_x <= din[2:0]; end else begin loopy_t[9:5] <= din[7:3]; loopy_t[14:12] <= din[2:0]; end ppu_address_latch <= !ppu_address_latch; end else if (write && ain == 6) begin if (!ppu_address_latch) begin loopy_t[13:8] <= din[5:0]; loopy_t[14] <= 0; end else begin loopy_t[7:0] <= din; loopy_v <= {loopy_t[14:8], din}; end ppu_address_latch <= !ppu_address_latch; end else if (read && ain == 2) begin ppu_address_latch <= 0; //Reset PPU address latch end else if ((read || write) && ain == 7 && !is_rendering) begin // Increment address every time we accessed a reg loopy_v <= loopy_v + (ppu_incr ? 32 : 1); end end assign loopy = loopy_v; assign fine_x_scroll = loopy_x; endmodule // Generates the current scanline / cycle counters module ClockGen(input clk, input ce, input reset, input is_rendering, output reg [8:0] scanline, output reg [8:0] cycle, output reg is_in_vblank, output end_of_line, output at_last_cycle_group, output exiting_vblank, output entering_vblank, output reg is_pre_render); reg second_frame; // Scanline 0..239 = picture scan lines // Scanline 240 = dummy scan line // Scanline 241..260 = VBLANK // Scanline -1 = Pre render scanline (Fetches objects for next line) assign at_last_cycle_group = (cycle[8:3] == 42); // Every second pre-render frame is only 340 cycles instead of 341. assign end_of_line = at_last_cycle_group && cycle[3:0] == (is_pre_render && second_frame && is_rendering ? 3 : 4); // Set the clock right before vblank begins assign entering_vblank = end_of_line && scanline == 240; // Set the clock right before vblank ends assign exiting_vblank = end_of_line && scanline == 260; // New value for is_in_vblank flag wire new_is_in_vblank = entering_vblank ? 1'b1 : exiting_vblank ? 1'b0 : is_in_vblank; // Set if the current line is line 0..239 always @(posedge clk) if (reset) begin cycle <= 0; is_in_vblank <= 1; end else if (ce) begin cycle <= end_of_line ? 0 : cycle + 1; is_in_vblank <= new_is_in_vblank; end // always @(posedge clk) if (ce) begin // $write("%x %x %x %x %x\n", new_is_in_vblank, entering_vblank, exiting_vblank, is_in_vblank, entering_vblank ? 1'b1 : exiting_vblank ? 1'b0 : is_in_vblank); // end always @(posedge clk) if (reset) begin scanline <= 0; is_pre_render <= 0; second_frame <= 0; end else if (ce && end_of_line) begin // Once the scanline counter reaches end of 260, it gets reset to -1. scanline <= exiting_vblank ? 9'b111111111 : scanline + 1; // The pre render flag is set while we're on scanline -1. is_pre_render <= exiting_vblank; if (exiting_vblank) second_frame <= !second_frame; end endmodule // ClockGen // 8 of these exist, they are used to output sprites. module Sprite(input clk, input ce, input enable, input [3:0] load, input [26:0] load_in, output [26:0] load_out, output [4:0] bits); // Low 4 bits = pixel, high bit = prio reg [1:0] upper_color; // Upper 2 bits of color reg [7:0] x_coord; // X coordinate where we want things reg [7:0] pix1, pix2; // Shift registers, output when x_coord == 0 reg aprio; // Current prio wire active = (x_coord == 0); always @(posedge clk) if (ce) begin if (enable) begin if (!active) begin // Decrease until x_coord is zero. x_coord <= x_coord - 8'h01; end else begin pix1 <= pix1 >> 1; pix2 <= pix2 >> 1; end end if (load[3]) pix1 <= load_in[26:19]; if (load[2]) pix2 <= load_in[18:11]; if (load[1]) x_coord <= load_in[10:3]; if (load[0]) {upper_color, aprio} <= load_in[2:0]; end assign bits = {aprio, upper_color, active && pix2[0], active && pix1[0]}; assign load_out = {pix1, pix2, x_coord, upper_color, aprio}; endmodule // SpriteGen // This contains all 8 sprites. Will return the pixel value of the highest prioritized sprite. // When load is set, and clocked, load_in is loaded into sprite 7 and all others are shifted down. // Sprite 0 has highest prio. // 226 LUTs, 68 Slices module SpriteSet(input clk, input ce, // Input clock input enable, // Enable pixel generation input [3:0] load, // Which parts of the state to load/shift. input [26:0] load_in, // State to load with output [4:0] bits, // Output bits output is_sprite0); // Set to true if sprite #0 was output wire [26:0] load_out7, load_out6, load_out5, load_out4, load_out3, load_out2, load_out1, load_out0; wire [4:0] bits7, bits6, bits5, bits4, bits3, bits2, bits1, bits0; Sprite sprite7(clk, ce, enable, load, load_in, load_out7, bits7); Sprite sprite6(clk, ce, enable, load, load_out7, load_out6, bits6); Sprite sprite5(clk, ce, enable, load, load_out6, load_out5, bits5); Sprite sprite4(clk, ce, enable, load, load_out5, load_out4, bits4); Sprite sprite3(clk, ce, enable, load, load_out4, load_out3, bits3); Sprite sprite2(clk, ce, enable, load, load_out3, load_out2, bits2); Sprite sprite1(clk, ce, enable, load, load_out2, load_out1, bits1); Sprite sprite0(clk, ce, enable, load, load_out1, load_out0, bits0); // Determine which sprite is visible on this pixel. assign bits = bits0[1:0] != 0 ? bits0 : bits1[1:0] != 0 ? bits1 : bits2[1:0] != 0 ? bits2 : bits3[1:0] != 0 ? bits3 : bits4[1:0] != 0 ? bits4 : bits5[1:0] != 0 ? bits5 : bits6[1:0] != 0 ? bits6 : bits7; assign is_sprite0 = bits0[1:0] != 0; endmodule // SpriteSet module SpriteRAM(input clk, input ce, input reset_line, // OAM evaluator needs to be reset before processing is started. input sprites_enabled, // Set to 1 if evaluations are enabled input exiting_vblank, // Set to 1 when exiting vblank so spr_overflow can be reset input obj_size, // Set to 1 if objects are 16 pixels. input [8:0] scanline, // Current scan line (compared against Y) input [8:0] cycle, // Current cycle. output reg [7:0] oam_bus, // Current value on the OAM bus, returned to NES through $2004. input oam_ptr_load, // Load oam with specified value, when writing to NES $2003. input oam_load, // Load oam_ptr with specified value, when writing to NES $2004. input [7:0] data_in, // New value for oam or oam_ptr output reg spr_overflow, // Set to true if we had more than 8 objects on a scan line. Reset when exiting vblank. output reg sprite0); // True if sprite#0 is included on the scan line currently being painted. reg [7:0] sprtemp[0:31]; // Sprite Temporary Memory. 32 bytes. reg [7:0] oam[0:255]; // Sprite OAM. 256 bytes. reg [7:0] oam_ptr; // Pointer into oam_ptr. reg [2:0] p; // Upper 3 bits of pointer into temp, the lower bits are oam_ptr[1:0]. reg [1:0] state; // Current state machine state wire [7:0] oam_data = oam[oam_ptr]; // Compute the current address we read/write in sprtemp. reg [4:0] sprtemp_ptr; // Check if the current Y coordinate is inside. wire [8:0] spr_y_coord = scanline - {1'b0, oam_data}; wire spr_is_inside = (spr_y_coord[8:4] == 0) && (obj_size || spr_y_coord[3] == 0); reg [7:0] new_oam_ptr; // [wire] New value for oam ptr reg [1:0] oam_inc; // [wire] How much to increment oam ptr reg sprite0_curr; // If sprite0 is included on the line being processed. reg oam_wrapped; // [wire] if new_oam or new_p wrapped. wire [7:0] sprtemp_data = sprtemp[sprtemp_ptr]; always @* begin // Compute address to read/write in temp sprite ram case({cycle[8], cycle[2]}) 2'b0_?: sprtemp_ptr = {p, oam_ptr[1:0]}; 2'b1_0: sprtemp_ptr = {cycle[5:3], cycle[1:0]}; // 1-4. Read Y, Tile, Attribs 2'b1_1: sprtemp_ptr = {cycle[5:3], 2'b11}; // 5-8. Keep reading X. endcase end always @* begin /* verilator lint_off CASEOVERLAP */ // Compute value to return to cpu through $2004. And also the value that gets written to temp sprite ram. case({sprites_enabled, cycle[8], cycle[6], state, oam_ptr[1:0]}) 7'b1_10_??_??: oam_bus = sprtemp_data; // At cycle 256-319 we output what's in sprite temp ram 7'b1_??_00_??: oam_bus = 8'b11111111; // On the first 64 cycles (while inside state 0), we output 0xFF. 7'b1_??_01_00: oam_bus = {4'b0000, spr_y_coord[3:0]}; // Y coord that will get written to temp ram. 7'b?_??_??_10: oam_bus = {oam_data[7:5], 3'b000, oam_data[1:0]}; // Bits 2-4 of attrib are always zero when reading oam. default: oam_bus = oam_data; // Default to outputting from oam. endcase end always @* begin // Compute incremented oam counters case ({oam_load, state, oam_ptr[1:0]}) 5'b1_??_??: oam_inc = {oam_ptr[1:0] == 3, 1'b1}; // Always increment by 1 when writing to oam. 5'b0_00_??: oam_inc = 2'b01; // State 0: On the the first 64 cycles we fill temp ram with 0xFF, increment low bits. 5'b0_01_00: oam_inc = {!spr_is_inside, spr_is_inside}; // State 1: Copy Y coordinate and increment oam by 1 if it's inside, otherwise 4. 5'b0_01_??: oam_inc = {oam_ptr[1:0] == 3, 1'b1}; // State 1: Copy remaining 3 bytes of the oam. // State 3: We've had more than 8 sprites. Set overflow flag if we found a sprite that overflowed. // NES BUG: It increments both low and high counters. 5'b0_11_??: oam_inc = 2'b11; // While in the final state, keep incrementing the low bits only until they're zero. 5'b0_10_??: oam_inc = {1'b0, oam_ptr[1:0] != 0}; endcase /* verilator lint_on CASEOVERLAP */ new_oam_ptr[1:0] = oam_ptr[1:0] + {1'b0, oam_inc[0]}; {oam_wrapped, new_oam_ptr[7:2]} = {1'b0, oam_ptr[7:2]} + {6'b0, oam_inc[1]}; end always @(posedge clk) if (ce) begin // Some bits of the OAM are hardwired to zero. if (oam_load) oam[oam_ptr] <= (oam_ptr & 3) == 2 ? data_in & 8'hE3: data_in; if (cycle[0] && sprites_enabled || oam_load || oam_ptr_load) begin oam_ptr <= oam_ptr_load ? data_in : new_oam_ptr; end // Set overflow flag? if (sprites_enabled && state == 2'b11 && spr_is_inside) spr_overflow <= 1; // Remember if sprite0 is included on the scanline, needed for hit test later. sprite0_curr <= (state == 2'b01 && oam_ptr[7:2] == 0 && spr_is_inside || sprite0_curr); // if (scanline == 0 && cycle[0] && (state == 2'b01 || state == 2'b00)) // $write("Drawing sprite %d/%d. bus=%d oam_ptr=%X->%X oam_data=%X p=%d (%d %d %d)\n", scanline, cycle, oam_bus, oam_ptr, new_oam_ptr, oam_data, p, // cycle[0] && sprites_enabled, oam_load, oam_ptr_load); // Always writing to temp ram while we're in state 0 or 1. if (!state[1]) sprtemp[sprtemp_ptr] <= oam_bus; // Update state machine on every second cycle. if (cycle[0]) begin // Increment p whenever oam_ptr carries in state 0 or 1. if (!state[1] && oam_ptr[1:0] == 2'b11) p <= p + 1; // Set sprite0 if sprite1 was included on the scan line case({state, (p == 7) && (oam_ptr[1:0] == 2'b11), oam_wrapped}) 4'b00_0_?: state <= 2'b00; // State #0: Keep filling 4'b00_1_?: state <= 2'b01; // State #0: Until we filled 64 items. 4'b01_?_1: state <= 2'b10; // State #1: Goto State 2 if processed all OAM 4'b01_1_0: state <= 2'b11; // State #1: Goto State 3 if we found 8 sprites 4'b01_0_0: state <= 2'b01; // State #1: Keep comparing Y coordinates. 4'b11_?_1: state <= 2'b10; // State #3: Goto State 2 if processed all OAM 4'b11_?_0: state <= 2'b11; // State #3: Keep comparing Y coordinates 4'b10_?_?: state <= 2'b10; // Stuck in state 2. endcase end if (reset_line) begin state <= 0; p <= 0; oam_ptr <= 0; sprite0_curr <= 0; sprite0 <= sprite0_curr; end if (exiting_vblank) spr_overflow <= 0; end endmodule // SpriteRAM // Generates addresses in VRAM where we'll fetch sprite graphics from, // and populates load, load_in so the SpriteGen can be loaded. // 10 LUT, 4 Slices module SpriteAddressGen(input clk, input ce, input enabled, // If unset, |load| will be all zeros. input obj_size, // 0: Sprite Height 8, 1: Sprite Height 16. input obj_patt, // Object pattern table selection input [2:0] cycle, // Current load cycle. At #4, first bitmap byte is loaded. At #6, second bitmap byte is. input [7:0] temp, // Input temp data from SpriteTemp. #0 = Y Coord, #1 = Tile, #2 = Attribs, #3 = X Coord output [12:0] vram_addr,// Low bits of address in VRAM that we'd like to read. input [7:0] vram_data, // Byte of VRAM in the specified address output [3:0] load, // Which subset of load_in that is now valid, will be loaded into SpritesGen. output [26:0] load_in); // Bits to load into SpritesGen. reg [7:0] temp_tile; // Holds the tile that we will get reg [3:0] temp_y; // Holds the Y coord (will be swapped based on FlipY). reg flip_x, flip_y; // If incoming bitmap data needs to be flipped in the X or Y direction. wire load_y = (cycle == 0); wire load_tile = (cycle == 1); wire load_attr = (cycle == 2) && enabled; wire load_x = (cycle == 3) && enabled; wire load_pix1 = (cycle == 5) && enabled; wire load_pix2 = (cycle == 7) && enabled; reg dummy_sprite; // Set if attrib indicates the sprite is invalid. // Flip incoming vram data based on flipx. Zero out the sprite if it's invalid. The bits are already flipped once. wire [7:0] vram_f = dummy_sprite ? 0 : !flip_x ? {vram_data[0], vram_data[1], vram_data[2], vram_data[3], vram_data[4], vram_data[5], vram_data[6], vram_data[7]} : vram_data; wire [3:0] y_f = temp_y ^ {flip_y, flip_y, flip_y, flip_y}; assign load = {load_pix1, load_pix2, load_x, load_attr}; assign load_in = {vram_f, vram_f, temp, temp[1:0], temp[5]}; // If $2000.5 = 0, the tile index data is used as usual, and $2000.3 // selects the pattern table to use. If $2000.5 = 1, the MSB of the range // result value become the LSB of the indexed tile, and the LSB of the tile // index value determines pattern table selection. The lower 3 bits of the // range result value are always used as the fine vertical offset into the // selected pattern. assign vram_addr = {obj_size ? temp_tile[0] : obj_patt, temp_tile[7:1], obj_size ? y_f[3] : temp_tile[0], cycle[1], y_f[2:0] }; always @(posedge clk) if (ce) begin if (load_y) temp_y <= temp[3:0]; if (load_tile) temp_tile <= temp; if (load_attr) {flip_y, flip_x, dummy_sprite} <= {temp[7:6], temp[4]}; end // always @(posedge clk) begin // if (load[3]) $write("Loading pix1: %x\n", load_in[26:19]); // if (load[2]) $write("Loading pix2: %x\n", load_in[18:11]); // if (load[1]) $write("Loading x: %x\n", load_in[10:3]); // // if (valid_sprite && enabled) // $write("%d. Found %d. Flip:%d%d, Addr: %x, Vram: %x!\n", cycle, temp, flip_x, flip_y, vram_addr, vram_data); // end endmodule // SpriteAddressGen module BgPainter(input clk, input ce, input enable, // Shift registers activated input [2:0] cycle, input [2:0] fine_x_scroll, input [14:0] loopy, output [7:0] name_table, // VRAM name table to read next. input [7:0] vram_data, output [3:0] pixel); reg [15:0] playfield_pipe_1; // Name table pixel pipeline #1 reg [15:0] playfield_pipe_2; // Name table pixel pipeline #2 reg [8:0] playfield_pipe_3; // Attribute table pixel pipe #1 reg [8:0] playfield_pipe_4; // Attribute table pixel pipe #2 reg [7:0] current_name_table; // Holds the current name table byte reg [1:0] current_attribute_table; // Holds the 2 current attribute table bits reg [7:0] bg0; // Pixel data for last loaded background wire [7:0] bg1 = vram_data; initial begin playfield_pipe_1 = 0; playfield_pipe_2 = 0; playfield_pipe_3 = 0; playfield_pipe_4 = 0; current_name_table = 0; current_attribute_table = 0; bg0 = 0; end always @(posedge clk) if (ce) begin case (cycle[2:0]) 1: current_name_table <= vram_data; 3: current_attribute_table <= (!loopy[1] && !loopy[6]) ? vram_data[1:0] : ( loopy[1] && !loopy[6]) ? vram_data[3:2] : (!loopy[1] && loopy[6]) ? vram_data[5:4] : vram_data[7:6]; 5: bg0 <= vram_data; // Pattern table bitmap #0 // 7: bg1 <= vram_data; // Pattern table bitmap #1 endcase if (enable) begin playfield_pipe_1[14:0] <= playfield_pipe_1[15:1]; playfield_pipe_2[14:0] <= playfield_pipe_2[15:1]; playfield_pipe_3[7:0] <= playfield_pipe_3[8:1]; playfield_pipe_4[7:0] <= playfield_pipe_4[8:1]; // Load the new values into the shift registers at the last pixel. if (cycle[2:0] == 7) begin playfield_pipe_1[15:8] <= {bg0[0], bg0[1], bg0[2], bg0[3], bg0[4], bg0[5], bg0[6], bg0[7]}; playfield_pipe_2[15:8] <= {bg1[0], bg1[1], bg1[2], bg1[3], bg1[4], bg1[5], bg1[6], bg1[7]}; playfield_pipe_3[8] <= current_attribute_table[0]; playfield_pipe_4[8] <= current_attribute_table[1]; end end end assign name_table = current_name_table; wire [3:0] i = {1'b0, fine_x_scroll}; assign pixel = {playfield_pipe_4[i], playfield_pipe_3[i], playfield_pipe_2[i], playfield_pipe_1[i]}; endmodule // BgPainter module PixelMuxer(input [3:0] bg, input [3:0] obj, input obj_prio, output [3:0] out, output is_obj); wire bg_flag = bg[0] | bg[1]; wire obj_flag = obj[0] | obj[1]; assign is_obj = !(obj_prio && bg_flag) && obj_flag; assign out = is_obj ? obj : bg; endmodule module PaletteRam(input clk, input ce, input [4:0] addr, input [5:0] din, output [5:0] dout, input write); reg [5:0] palette [0:31]; initial begin //$readmemh("oam_palette.txt", palette); end // Force read from backdrop channel if reading from any addr 0. wire [4:0] addr2 = (addr[1:0] == 0) ? 0 : addr; assign dout = palette[addr2]; always @(posedge clk) if (ce && write) begin // Allow writing only to x0 if (!(addr[3:2] != 0 && addr[1:0] == 0)) palette[addr2] <= din; end endmodule // PaletteRam module PPU(input clk, input ce, input reset, // input clock 21.48 MHz / 4. 1 clock cycle = 1 pixel output [5:0] color, // output color value, one pixel outputted every clock input [7:0] din, // input data from bus output [7:0] dout, // output data to CPU input [2:0] ain, // input address from CPU input read, // read input write, // write output nmi, // one while inside vblank output vram_r, // read from vram active output vram_w, // write to vram active output [13:0] vram_a, // vram address input [7:0] vram_din, // vram input output [7:0] vram_dout, output [8:0] scanline, output [8:0] cycle, output [19:0] mapper_ppu_flags); // These are stored in control register 0 reg obj_patt; // Object pattern table reg bg_patt; // Background pattern table reg obj_size; // 1 if sprites are 16 pixels high, else 0. reg vbl_enable; // Enable VBL flag // These are stored in control register 1 reg grayscale; // Disable color burst reg playfield_clip; // 0: Left side 8 pixels playfield clipping reg object_clip; // 0: Left side 8 pixels object clipping reg enable_playfield; // Enable playfield display reg enable_objects; // Enable objects display reg [2:0] color_intensity; // Color intensity initial begin obj_patt = 0; bg_patt = 0; obj_size = 0; vbl_enable = 0; grayscale = 0; playfield_clip = 0; object_clip = 0; enable_playfield = 0; enable_objects = 0; color_intensity = 0; end reg nmi_occured; // True if NMI has occured but not cleared. reg [7:0] vram_latch; // Clock generator wire is_in_vblank; // True if we're in VBLANK //wire [8:0] scanline; // Current scanline //wire [8:0] cycle; // Current cycle inside of the line wire end_of_line; // At the last pixel of a line wire at_last_cycle_group; // At the very last cycle group of the scan line. wire exiting_vblank; // At the very last cycle of the vblank wire entering_vblank; // wire is_pre_render_line; // True while we're on the pre render scanline wire is_rendering = (enable_playfield || enable_objects) && !is_in_vblank && scanline != 240; ClockGen clock(clk, ce, reset, is_rendering, scanline, cycle, is_in_vblank, end_of_line, at_last_cycle_group, exiting_vblank, entering_vblank, is_pre_render_line); // The loopy module handles updating of the loopy address wire [14:0] loopy; wire [2:0] fine_x_scroll; LoopyGen loopy0(clk, ce, is_rendering, ain, din, read, write, is_pre_render_line, cycle, loopy, fine_x_scroll); // Set to true if the current ppu_addr pointer points into // palette ram. wire is_pal_address = (loopy[13:8] == 6'b111111); // Paints background wire [7:0] bg_name_table; wire [3:0] bg_pixel_noblank; BgPainter bg_painter(clk, ce, !at_last_cycle_group, cycle[2:0], fine_x_scroll, loopy, bg_name_table, vram_din, bg_pixel_noblank); // Blank out BG in the leftmost 8 pixels? wire show_bg_on_pixel = (playfield_clip || (cycle[7:3] != 0)) && enable_playfield; wire [3:0] bg_pixel = {bg_pixel_noblank[3:2], show_bg_on_pixel ? bg_pixel_noblank[1:0] : 2'b00}; // This will set oam_ptr to 0 right before the scanline 240 and keep it there throughout vblank. wire before_line = (enable_playfield || enable_objects) && (exiting_vblank || end_of_line && !is_in_vblank); wire [7:0] oam_bus; wire sprite_overflow; wire obj0_on_line; // True if sprite#0 is included on the current line SpriteRAM sprite_ram(clk, ce, before_line, // Condition for resetting the sprite line state. is_rendering, // Condition for enabling sprite ram logic. Check so we're not on exiting_vblank, obj_size, scanline, cycle, oam_bus, write && (ain == 3), // Write to oam_ptr write && (ain == 4), // Write to oam[oam_ptr] din, sprite_overflow, obj0_on_line); wire [4:0] obj_pixel_noblank; wire [12:0] sprite_vram_addr; wire is_obj0_pixel; // True if obj_pixel originates from sprite0. wire [3:0] spriteset_load; // Which subset of the |load_in| to load into SpriteSet wire [26:0] spriteset_load_in; // Bits to load into SpriteSet // Between 256..319 (64 cycles), fetches bitmap data for the 8 sprites and fills in the SpriteSet // so that it can start drawing on the next frame. SpriteAddressGen address_gen(clk, ce, cycle[8] && !cycle[6], // Load sprites between 256..319 obj_size, obj_patt, // Object size and pattern table cycle[2:0], // Cycle counter oam_bus, // Info from temp buffer. sprite_vram_addr, // [out] VRAM Address that we want data from vram_din, // [in] Data at the specified address spriteset_load, spriteset_load_in); // Which parts of SpriteGen to load // Between 0..255 (256 cycles), draws pixels. // Between 256..319 (64 cycles), will be populated for next line SpriteSet sprite_gen(clk, ce, !cycle[8], spriteset_load, spriteset_load_in, obj_pixel_noblank, is_obj0_pixel); // Blank out obj in the leftmost 8 pixels? wire show_obj_on_pixel = (object_clip || (cycle[7:3] != 0)) && enable_objects; wire [4:0] obj_pixel = {obj_pixel_noblank[4:2], show_obj_on_pixel ? obj_pixel_noblank[1:0] : 2'b00}; reg sprite0_hit_bg; // True if sprite#0 has collided with the BG in the last frame. always @(posedge clk) if (ce) begin if (exiting_vblank) sprite0_hit_bg <= 0; else if (is_rendering && // Object rendering is enabled !cycle[8] && // X Pixel 0..255 cycle[7:0] != 255 && // X pixel != 255 !is_pre_render_line && // Y Pixel 0..239 obj0_on_line && // True if sprite#0 is included on the scan line. is_obj0_pixel && // True if the pixel came from tempram #0. show_obj_on_pixel && bg_pixel[1:0] != 0) begin // Background pixel nonzero. sprite0_hit_bg <= 1; end // if (!cycle[8] && is_visible_line && obj0_on_line && is_obj0_pixel) // $write("Sprite0 hit bg scan %d!!\n", scanline); // if (is_obj0_pixel) // $write("drawing obj0 pixel %d/%d\n", scanline, cycle); end wire [3:0] pixel; wire pixel_is_obj; PixelMuxer pixel_muxer(bg_pixel, obj_pixel[3:0], obj_pixel[4], pixel, pixel_is_obj); // Compute the value to put on the VRAM address bus assign vram_a = !is_rendering ? loopy[13:0] : // VRAM (cycle[2:1] == 0) ? {2'b10, loopy[11:0]} : // Name table (cycle[2:1] == 1) ? {2'b10, loopy[11:10], 4'b1111, loopy[9:7], loopy[4:2]} : // Attribute table cycle[8] && !cycle[6] ? {1'b0, sprite_vram_addr} : {1'b0, bg_patt, bg_name_table, cycle[1], loopy[14:12]}; // Pattern table bitmap #0, #1 // Read from VRAM, either when user requested a manual read, or when we're generating pixels. assign vram_r = read && (ain == 7) || is_rendering && cycle[0] == 0 && !end_of_line; // Write to VRAM? assign vram_w = write && (ain == 7) && !is_pal_address && !is_rendering; wire [5:0] color2; PaletteRam palette_ram(clk, ce, is_rendering ? {pixel_is_obj, pixel[3:0]} : (is_pal_address ? loopy[4:0] : 5'b0000), // Read addr din[5:0], // Value to write color2, // Output color write && (ain == 7) && is_pal_address); // Condition for writing assign color = grayscale ? {color2[5:4], 4'b0} : color2; // always @(posedge clk) // if (scanline == 194 && cycle < 8 && color == 15) begin // $write("Pixel black %x %x %x %x %x\n", bg_pixel,obj_pixel,pixel,pixel_is_obj,color); // end always @(posedge clk) if (ce) begin // if (!is_in_vblank && write) // $write("%d/%d: $200%d <= %x\n", scanline, cycle, ain, din); if (write) begin case (ain) 0: begin // PPU Control Register 1 // t:....BA.. ........ = d:......BA obj_patt <= din[3]; bg_patt <= din[4]; obj_size <= din[5]; vbl_enable <= din[7]; //$write("PPU Control #0 <= %X\n", din); end 1: begin // PPU Control Register 2 grayscale <= din[0]; playfield_clip <= din[1]; object_clip <= din[2]; enable_playfield <= din[3]; enable_objects <= din[4]; color_intensity <= din[7:5]; if (!din[3] && scanline == 59) $write("Disabling playfield at cycle %d\n", cycle); end endcase end // Reset frame specific counters upon exiting vblank if (exiting_vblank) nmi_occured <= 0; // Set the if (entering_vblank) nmi_occured <= 1; // Reset NMI register when reading from Status if (read && ain == 2) nmi_occured <= 0; end // If we're triggering a VBLANK NMI assign nmi = nmi_occured && vbl_enable; // One cycle after vram_r was asserted, the value // is available on the bus. reg vram_read_delayed; always @(posedge clk) if (ce) begin if (vram_read_delayed) vram_latch <= vram_din; vram_read_delayed = vram_r; end // Value currently being written to video ram assign vram_dout = din; reg [7:0] latched_dout; always @* begin case (ain) 2: latched_dout = {nmi_occured, sprite0_hit_bg, sprite_overflow, 5'b00000}; 4: latched_dout = oam_bus; default: if (is_pal_address) begin latched_dout = {2'b00, color}; end else begin latched_dout = vram_latch; end endcase end assign dout = latched_dout; assign mapper_ppu_flags = {scanline, cycle, obj_size, is_rendering}; endmodule // PPU
/* * Copyright 2020 The SkyWater PDK Authors * * Licensed under the Apache License, Version 2.0 (the "License"); * you may not use this file except in compliance with the License. * You may obtain a copy of the License at * * https://www.apache.org/licenses/LICENSE-2.0 * * Unless required by applicable law or agreed to in writing, software * distributed under the License is distributed on an "AS IS" BASIS, * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. * See the License for the specific language governing permissions and * limitations under the License. * * SPDX-License-Identifier: Apache-2.0 */ `ifndef SKY130_FD_SC_HS__NAND4B_FUNCTIONAL_V `define SKY130_FD_SC_HS__NAND4B_FUNCTIONAL_V /** * nand4b: 4-input NAND, first input inverted. * * Verilog simulation functional model. */ `timescale 1ns / 1ps `default_nettype none // Import sub cells. `include "../u_vpwr_vgnd/sky130_fd_sc_hs__u_vpwr_vgnd.v" `celldefine module sky130_fd_sc_hs__nand4b ( VPWR, VGND, Y , A_N , B , C , D ); // Module ports input VPWR; input VGND; output Y ; input A_N ; input B ; input C ; input D ; // Local signals wire D not0_out ; wire nand0_out_Y ; wire u_vpwr_vgnd0_out_Y; // Name Output Other arguments not not0 (not0_out , A_N ); nand nand0 (nand0_out_Y , D, C, B, not0_out ); sky130_fd_sc_hs__u_vpwr_vgnd u_vpwr_vgnd0 (u_vpwr_vgnd0_out_Y, nand0_out_Y, VPWR, VGND); buf buf0 (Y , u_vpwr_vgnd0_out_Y ); endmodule `endcelldefine `default_nettype wire `endif // SKY130_FD_SC_HS__NAND4B_FUNCTIONAL_V
/** * Copyright 2020 The SkyWater PDK Authors * * Licensed under the Apache License, Version 2.0 (the "License"); * you may not use this file except in compliance with the License. * You may obtain a copy of the License at * * https://www.apache.org/licenses/LICENSE-2.0 * * Unless required by applicable law or agreed to in writing, software * distributed under the License is distributed on an "AS IS" BASIS, * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. * See the License for the specific language governing permissions and * limitations under the License. * * SPDX-License-Identifier: Apache-2.0 */ `ifndef SKY130_FD_SC_LP__DLRBP_TB_V `define SKY130_FD_SC_LP__DLRBP_TB_V /** * dlrbp: Delay latch, inverted reset, non-inverted enable, * complementary outputs. * * Autogenerated test bench. * * WARNING: This file is autogenerated, do not modify directly! */ `timescale 1ns / 1ps `default_nettype none `include "sky130_fd_sc_lp__dlrbp.v" module top(); // Inputs are registered reg RESET_B; reg D; reg VPWR; reg VGND; reg VPB; reg VNB; // Outputs are wires wire Q; wire Q_N; initial begin // Initial state is x for all inputs. D = 1'bX; RESET_B = 1'bX; VGND = 1'bX; VNB = 1'bX; VPB = 1'bX; VPWR = 1'bX; #20 D = 1'b0; #40 RESET_B = 1'b0; #60 VGND = 1'b0; #80 VNB = 1'b0; #100 VPB = 1'b0; #120 VPWR = 1'b0; #140 D = 1'b1; #160 RESET_B = 1'b1; #180 VGND = 1'b1; #200 VNB = 1'b1; #220 VPB = 1'b1; #240 VPWR = 1'b1; #260 D = 1'b0; #280 RESET_B = 1'b0; #300 VGND = 1'b0; #320 VNB = 1'b0; #340 VPB = 1'b0; #360 VPWR = 1'b0; #380 VPWR = 1'b1; #400 VPB = 1'b1; #420 VNB = 1'b1; #440 VGND = 1'b1; #460 RESET_B = 1'b1; #480 D = 1'b1; #500 VPWR = 1'bx; #520 VPB = 1'bx; #540 VNB = 1'bx; #560 VGND = 1'bx; #580 RESET_B = 1'bx; #600 D = 1'bx; end // Create a clock reg GATE; initial begin GATE = 1'b0; end always begin #5 GATE = ~GATE; end sky130_fd_sc_lp__dlrbp dut (.RESET_B(RESET_B), .D(D), .VPWR(VPWR), .VGND(VGND), .VPB(VPB), .VNB(VNB), .Q(Q), .Q_N(Q_N), .GATE(GATE)); endmodule `default_nettype wire `endif // SKY130_FD_SC_LP__DLRBP_TB_V
// ====================================================================== // YAB Observer PSoC.v generated from TopDesign.cysch // 03/26/2016 at 16:40 // This file is auto generated. ANY EDITS YOU MAKE MAY BE LOST WHEN THIS FILE IS REGENERATED!!! // ====================================================================== `define CYDEV_CHIP_FAMILY_UNKNOWN 0 `define CYDEV_CHIP_MEMBER_UNKNOWN 0 `define CYDEV_CHIP_FAMILY_PSOC3 1 `define CYDEV_CHIP_MEMBER_3A 1 `define CYDEV_CHIP_REVISION_3A_PRODUCTION 3 `define CYDEV_CHIP_REVISION_3A_ES3 3 `define CYDEV_CHIP_REVISION_3A_ES2 1 `define CYDEV_CHIP_REVISION_3A_ES1 0 `define CYDEV_CHIP_FAMILY_PSOC4 2 `define CYDEV_CHIP_MEMBER_4G 2 `define CYDEV_CHIP_REVISION_4G_PRODUCTION 17 `define CYDEV_CHIP_REVISION_4G_ES 17 `define CYDEV_CHIP_REVISION_4G_ES2 33 `define CYDEV_CHIP_MEMBER_4U 3 `define CYDEV_CHIP_REVISION_4U_PRODUCTION 0 `define CYDEV_CHIP_MEMBER_4E 4 `define CYDEV_CHIP_REVISION_4E_PRODUCTION 0 `define CYDEV_CHIP_MEMBER_4N 5 `define CYDEV_CHIP_REVISION_4N_PRODUCTION 0 `define CYDEV_CHIP_MEMBER_4D 6 `define CYDEV_CHIP_REVISION_4D_PRODUCTION 0 `define CYDEV_CHIP_MEMBER_4J 7 `define CYDEV_CHIP_REVISION_4J_PRODUCTION 0 `define CYDEV_CHIP_MEMBER_4K 8 `define CYDEV_CHIP_REVISION_4K_PRODUCTION 0 `define CYDEV_CHIP_MEMBER_4H 9 `define CYDEV_CHIP_REVISION_4H_PRODUCTION 0 `define CYDEV_CHIP_MEMBER_4A 10 `define CYDEV_CHIP_REVISION_4A_PRODUCTION 17 `define CYDEV_CHIP_REVISION_4A_ES0 17 `define CYDEV_CHIP_MEMBER_4F 11 `define CYDEV_CHIP_REVISION_4F_PRODUCTION 0 `define CYDEV_CHIP_REVISION_4F_PRODUCTION_256K 0 `define CYDEV_CHIP_REVISION_4F_PRODUCTION_256DMA 0 `define CYDEV_CHIP_MEMBER_4F 12 `define CYDEV_CHIP_REVISION_4F_PRODUCTION 0 `define CYDEV_CHIP_REVISION_4F_PRODUCTION_256K 0 `define CYDEV_CHIP_REVISION_4F_PRODUCTION_256DMA 0 `define CYDEV_CHIP_MEMBER_4M 13 `define CYDEV_CHIP_REVISION_4M_PRODUCTION 0 `define CYDEV_CHIP_MEMBER_4L 14 `define CYDEV_CHIP_REVISION_4L_PRODUCTION 0 `define CYDEV_CHIP_MEMBER_4I 15 `define CYDEV_CHIP_REVISION_4I_PRODUCTION 0 `define CYDEV_CHIP_MEMBER_4C 16 `define CYDEV_CHIP_REVISION_4C_PRODUCTION 0 `define CYDEV_CHIP_FAMILY_PSOC5 3 `define CYDEV_CHIP_MEMBER_5B 17 `define CYDEV_CHIP_REVISION_5B_PRODUCTION 0 `define CYDEV_CHIP_REVISION_5B_ES0 0 `define CYDEV_CHIP_MEMBER_5A 18 `define CYDEV_CHIP_REVISION_5A_PRODUCTION 1 `define CYDEV_CHIP_REVISION_5A_ES1 1 `define CYDEV_CHIP_REVISION_5A_ES0 0 `define CYDEV_CHIP_FAMILY_USED 2 `define CYDEV_CHIP_MEMBER_USED 11 `define CYDEV_CHIP_REVISION_USED 0 // Component: ZeroTerminal `ifdef CY_BLK_DIR `undef CY_BLK_DIR `endif `ifdef WARP `define CY_BLK_DIR "C:\Program Files (x86)\Cypress\PSoC Creator\3.3\PSoC Creator\psoc\content\cyprimitives\CyPrimitives.cylib\ZeroTerminal" `include "C:\Program Files (x86)\Cypress\PSoC Creator\3.3\PSoC Creator\psoc\content\cyprimitives\CyPrimitives.cylib\ZeroTerminal\ZeroTerminal.v" `else `define CY_BLK_DIR "C:\Program Files (x86)\Cypress\PSoC Creator\3.3\PSoC Creator\psoc\content\cyprimitives\CyPrimitives.cylib\ZeroTerminal" `include "C:\Program Files (x86)\Cypress\PSoC Creator\3.3\PSoC Creator\psoc\content\cyprimitives\CyPrimitives.cylib\ZeroTerminal\ZeroTerminal.v" `endif // Component: cy_virtualmux_v1_0 `ifdef CY_BLK_DIR `undef CY_BLK_DIR `endif `ifdef WARP `define CY_BLK_DIR "C:\Program Files (x86)\Cypress\PSoC Creator\3.3\PSoC Creator\psoc\content\cyprimitives\CyPrimitives.cylib\cy_virtualmux_v1_0" `include "C:\Program Files (x86)\Cypress\PSoC Creator\3.3\PSoC Creator\psoc\content\cyprimitives\CyPrimitives.cylib\cy_virtualmux_v1_0\cy_virtualmux_v1_0.v" `else `define CY_BLK_DIR "C:\Program Files (x86)\Cypress\PSoC Creator\3.3\PSoC Creator\psoc\content\cyprimitives\CyPrimitives.cylib\cy_virtualmux_v1_0" `include "C:\Program Files (x86)\Cypress\PSoC Creator\3.3\PSoC Creator\psoc\content\cyprimitives\CyPrimitives.cylib\cy_virtualmux_v1_0\cy_virtualmux_v1_0.v" `endif // Component: or_v1_0 `ifdef CY_BLK_DIR `undef CY_BLK_DIR `endif `ifdef WARP `define CY_BLK_DIR "C:\Program Files (x86)\Cypress\PSoC Creator\3.3\PSoC Creator\psoc\content\cyprimitives\CyPrimitives.cylib\or_v1_0" `include "C:\Program Files (x86)\Cypress\PSoC Creator\3.3\PSoC Creator\psoc\content\cyprimitives\CyPrimitives.cylib\or_v1_0\or_v1_0.v" `else `define CY_BLK_DIR "C:\Program Files (x86)\Cypress\PSoC Creator\3.3\PSoC Creator\psoc\content\cyprimitives\CyPrimitives.cylib\or_v1_0" `include "C:\Program Files (x86)\Cypress\PSoC Creator\3.3\PSoC Creator\psoc\content\cyprimitives\CyPrimitives.cylib\or_v1_0\or_v1_0.v" `endif // SCB_P4_v3_0(ApplySbClockParam=false, BitWidthReplacementStringRx=uint8, BitWidthReplacementStringTx=uint8, BufNum=1, Cond=#, DBGW_SCB_IP_V0=false, DBGW_SCB_IP_V1=false, DBGW_SCB_IP_V2=true, EndCond=#endif, EzI2cBitWidthReplacementString=uint16, EzI2cBusVoltage=3.3, EzI2cByteModeEnable=false, EzI2cClkFreqDes=1550, EzI2cClockFromTerm=false, EzI2cClockStretching=true, EzI2cDataRate=100, EzI2cIsPrimarySlaveAddressHex=true, EzI2cIsSecondarySlaveAddressHex=true, EzI2cMedianFilterEnable=true, EzI2cNumberOfAddresses=0, EzI2cOvsFactor=16, EzI2cPrimarySlaveAddress=8, EzI2cSecondarySlaveAddress=9, EzI2cSlaveAddressMask=254, EzI2cSlewRate=0, EzI2cSubAddressSize=0, EzI2cWakeEnable=false, I2cAcceptAddress=false, I2cAcceptGeneralCall=false, I2cBusVoltage=3.3, I2cBusVoltageLevel=, I2cByteModeEnable=false, I2cClkFreqDes=1550, I2cClockFromTerm=false, I2cDataRate=100, I2cExternIntrHandler=false, I2cIsSlaveAddressHex=true, I2cIsSlaveAddressMaskHex=true, I2cManualOversampleControl=true, I2cMedianFilterEnable=true, I2cMode=1, I2cOvsFactor=16, I2cOvsFactorHigh=8, I2cOvsFactorLow=8, I2cSlaveAddress=8, I2cSlaveAddressMask=254, I2cSlewRate=0, I2cSlewRateSettings=0, I2cWakeEnable=false, PinLocationP4A=false, PinName0Unconfig=uart_rx_i2c_sda_spi_mosi, PinName0UnconfigWake=uart_rx_wake_i2c_sda_spi_mosi, PinName1Unconfig=uart_tx_i2c_scl_spi_miso, PinName2Unconfig=uart_cts_spi_sclk, PinName3Unconfig=uart_rts_spi_ss0, Pn0Unconfig=RX_SDA_MOSI, Pn0UnconfigWake=RX_WAKE_SDA_MOSI, Pn1Unconfig=TX_SCL_MISO, Pn2Unconfig=CTS_SCLK, Pn3Unconfig=RTS_SS0, RemoveI2cPins=true, RemoveMisoSdaTx=true, RemoveMosiSclRx=true, RemoveMosiSclRxWake=true, RemoveScbClk=false, RemoveScbIrq=true, RemoveSpiMasterMiso=true, RemoveSpiMasterMosi=true, RemoveSpiMasterPins=true, RemoveSpiMasterSclk=true, RemoveSpiMasterSs0Pin=true, RemoveSpiMasterSs1Pin=true, RemoveSpiMasterSs2Pin=true, RemoveSpiMasterSs3Pin=true, RemoveSpiSclk=true, RemoveSpiSlaveMiso=true, RemoveSpiSlaveMosi=true, RemoveSpiSlavePins=true, RemoveSpiSs0=true, RemoveSpiSs1=true, RemoveSpiSs2=true, RemoveSpiSs3=true, RemoveUartCtsPin=true, RemoveUartRtsPin=true, RemoveUartRxPin=false, RemoveUartRxTxPin=true, RemoveUartRxWake=true, RemoveUartRxWakeupIrq=true, RemoveUartTxPin=false, RxTriggerOutputEnable=false, ScbClkFreqDes=1497.6, ScbClkMinusTolerance=5, ScbClkPlusTolerance=5, ScbClockSelect=1, ScbClockTermEnable=false, ScbCustomIntrHandlerEnable=true, ScbInterruptTermEnable=false, ScbMisoSdaTxEnable=true, ScbMode=4, ScbModeHw=2, ScbMosiSclRxEnable=true, ScbRxWakeIrqEnable=false, ScbSclkEnable=false, ScbSs0Enable=false, ScbSs1Enable=false, ScbSs2Enable=false, ScbSs3Enable=false, SpiBitRate=1000, SpiBitsOrder=1, SpiByteModeEnable=false, SpiClkFreqDes=16000, SpiClockFromTerm=false, SpiFreeRunningSclk=false, SpiInterruptMode=0, SpiIntrMasterSpiDone=false, SpiIntrRxFull=false, SpiIntrRxNotEmpty=false, SpiIntrRxOverflow=false, SpiIntrRxTrigger=false, SpiIntrRxUnderflow=false, SpiIntrSlaveBusError=false, SpiIntrTxEmpty=false, SpiIntrTxNotFull=false, SpiIntrTxOverflow=false, SpiIntrTxTrigger=false, SpiIntrTxUnderflow=false, SpiLateMisoSampleEnable=false, SpiManualOversampleControl=true, SpiMedianFilterEnable=false, SpiMode=0, SpiNumberOfRxDataBits=8, SpiNumberOfSelectLines=1, SpiNumberOfTxDataBits=8, SpiOvsFactor=16, SpiRemoveMiso=false, SpiRemoveMosi=false, SpiRemoveSclk=false, SpiRxBufferSize=8, SpiRxIntrMask=0, SpiRxOutputEnable=false, SpiRxTriggerLevel=7, SpiSclkMode=0, SpiSs0Polarity=0, SpiSs1Polarity=0, SpiSs2Polarity=0, SpiSs3Polarity=0, SpiSubMode=0, SpiTransferSeparation=1, SpiTxBufferSize=8, SpiTxIntrMask=0, SpiTxOutputEnable=false, SpiTxTriggerLevel=0, SpiWakeEnable=false, TermMode_clock=0, TermMode_interrupt=0, TermVisibility_clock=false, TermVisibility_interrupt=false, TriggerOutputEnable=false, TxTriggerOutputEnable=false, UartByteModeEnable=false, UartClkFreqDes=1497.6, UartClockFromTerm=false, UartCtsEnable=false, UartCtsPolarity=0, UartDataRate=115200, UartDirection=3, UartDropOnFrameErr=false, UartDropOnParityErr=false, UartInterruptMode=0, UartIntrRxFrameErr=false, UartIntrRxFull=false, UartIntrRxNotEmpty=false, UartIntrRxOverflow=false, UartIntrRxParityErr=false, UartIntrRxTrigger=false, UartIntrRxUnderflow=false, UartIntrTxEmpty=false, UartIntrTxNotFull=false, UartIntrTxOverflow=false, UartIntrTxTrigger=false, UartIntrTxUartDone=false, UartIntrTxUartLostArb=false, UartIntrTxUartNack=false, UartIntrTxUnderflow=false, UartIrdaLowPower=false, UartIrdaPolarity=0, UartMedianFilterEnable=false, UartMpEnable=false, UartMpRxAcceptAddress=false, UartMpRxAddress=2, UartMpRxAddressMask=255, UartNumberOfDataBits=8, UartNumberOfStopBits=2, UartOvsFactor=13, UartParityType=2, UartRtsEnable=false, UartRtsPolarity=0, UartRtsTriggerLevel=4, UartRxBufferSize=8, UartRxEnable=true, UartRxIntrMask=0, UartRxOutputEnable=false, UartRxTriggerLevel=7, UartSmCardRetryOnNack=false, UartSubMode=0, UartTxBufferSize=8, UartTxEnable=true, UartTxIntrMask=0, UartTxOutputEnable=false, UartTxTriggerLevel=0, UartWakeEnable=false, CY_API_CALLBACK_HEADER_INCLUDE=, CY_COMPONENT_NAME=SCB_P4_v3_0, CY_CONTROL_FILE=<:default:>, CY_DATASHEET_FILE=<:default:>, CY_FITTER_NAME=UART, CY_INSTANCE_SHORT_NAME=UART, CY_MAJOR_VERSION=3, CY_MINOR_VERSION=0, CY_REMOVE=false, CY_SUPPRESS_API_GEN=false, CY_VERSION=PSoC Creator 3.3 CP1, INSTANCE_NAME=UART, ) module SCB_P4_v3_0_0 ( interrupt, clock, rx_tr_out, tx_tr_out); output interrupt; input clock; output rx_tr_out; output tx_tr_out; wire uncfg_rx_irq; wire Net_1191; wire Net_1258; wire Net_1099; wire rx_irq; wire [3:0] ss; wire Net_1257; wire Net_1197; wire Net_1196; wire Net_1195; wire Net_1194; wire Net_1193; wire Net_1263; wire Net_663; wire Net_547; wire Net_467; wire Net_1090; wire Net_1091; wire Net_1172; wire Net_1089; wire Net_1088; wire Net_387; wire Net_252; wire Net_1087; wire Net_1086; wire Net_1000; wire Net_915; wire Net_916; wire Net_1175; wire Net_654; wire Net_990; wire Net_652; wire Net_459; wire Net_580; wire Net_581; wire Net_452; wire Net_909; wire Net_1001; wire Net_899; wire Net_747; wire Net_891; wire Net_1028; wire Net_1170; wire Net_1061; wire Net_1053; wire Net_1055; wire Net_1062; wire Net_1059; wire Net_847; cy_clock_v1_0 #(.id("43ec2fa1-bf22-4b71-9477-b6ca7b97f0b0/2dc2d7a8-ce2b-43c7-af4a-821c8cd73ccf"), .source_clock_id(""), .divisor(0), .period("667735042.735043"), .is_direct(0), .is_digital(0)) SCBCLK (.clock_out(Net_847)); ZeroTerminal ZeroTerminal_5 ( .z(Net_459)); // select_s_VM (cy_virtualmux_v1_0) assign Net_652 = Net_459; ZeroTerminal ZeroTerminal_4 ( .z(Net_452)); ZeroTerminal ZeroTerminal_3 ( .z(Net_1194)); ZeroTerminal ZeroTerminal_2 ( .z(Net_1195)); ZeroTerminal ZeroTerminal_1 ( .z(Net_1196)); // rx_VM (cy_virtualmux_v1_0) assign Net_654 = Net_1197; // rx_wake_VM (cy_virtualmux_v1_0) assign Net_1257 = uncfg_rx_irq; // clock_VM (cy_virtualmux_v1_0) assign Net_1170 = Net_847; // sclk_s_VM (cy_virtualmux_v1_0) assign Net_990 = Net_1196; // mosi_s_VM (cy_virtualmux_v1_0) assign Net_909 = Net_1194; // miso_m_VM (cy_virtualmux_v1_0) assign Net_663 = Net_1195; wire [0:0] tmpOE__tx_net; wire [0:0] tmpFB_0__tx_net; wire [0:0] tmpIO_0__tx_net; wire [0:0] tmpINTERRUPT_0__tx_net; electrical [0:0] tmpSIOVREF__tx_net; cy_psoc3_pins_v1_10 #(.id("43ec2fa1-bf22-4b71-9477-b6ca7b97f0b0/23b8206d-1c77-4e61-be4a-b4037d5de5fc"), .drive_mode(3'b110), .ibuf_enabled(1'b0), .init_dr_st(1'b1), .input_clk_en(0), .input_sync(1'b0), .input_sync_mode(1'b0), .intr_mode(2'b00), .invert_in_clock(0), .invert_in_clock_en(0), .invert_in_reset(0), .invert_out_clock(0), .invert_out_clock_en(0), .invert_out_reset(0), .io_voltage(""), .layout_mode("CONTIGUOUS"), .oe_conn(1'b0), .oe_reset(0), .oe_sync(1'b0), .output_clk_en(0), .output_clock_mode(1'b0), .output_conn(1'b1), .output_mode(1'b0), .output_reset(0), .output_sync(1'b0), .pa_in_clock(-1), .pa_in_clock_en(-1), .pa_in_reset(-1), .pa_out_clock(-1), .pa_out_clock_en(-1), .pa_out_reset(-1), .pin_aliases(""), .pin_mode("B"), .por_state(4), .sio_group_cnt(0), .sio_hyst(1'b1), .sio_ibuf(""), .sio_info(2'b00), .sio_obuf(""), .sio_refsel(""), .sio_vtrip(""), .slew_rate(1'b0), .spanning(0), .use_annotation(1'b0), .vtrip(2'b00), .width(1), .ovt_hyst_trim(1'b0), .ovt_needed(1'b0), .ovt_slew_control(2'b00), .input_buffer_sel(2'b00)) tx (.oe(tmpOE__tx_net), .y({Net_1062}), .fb({tmpFB_0__tx_net[0:0]}), .io({tmpIO_0__tx_net[0:0]}), .siovref(tmpSIOVREF__tx_net), .interrupt({tmpINTERRUPT_0__tx_net[0:0]}), .in_clock({1'b0}), .in_clock_en({1'b1}), .in_reset({1'b0}), .out_clock({1'b0}), .out_clock_en({1'b1}), .out_reset({1'b0})); assign tmpOE__tx_net = (`CYDEV_CHIP_MEMBER_USED == `CYDEV_CHIP_MEMBER_3A && `CYDEV_CHIP_REVISION_USED < `CYDEV_CHIP_REVISION_3A_ES3) ? ~{1'b1} : {1'b1}; ZeroTerminal ZeroTerminal_7 ( .z(Net_1099)); assign Net_1258 = Net_847 | Net_1099; wire [0:0] tmpOE__rx_net; wire [0:0] tmpIO_0__rx_net; wire [0:0] tmpINTERRUPT_0__rx_net; electrical [0:0] tmpSIOVREF__rx_net; cy_psoc3_pins_v1_10 #(.id("43ec2fa1-bf22-4b71-9477-b6ca7b97f0b0/78e33e5d-45ea-4b75-88d5-73274e8a7ce4"), .drive_mode(3'b001), .ibuf_enabled(1'b1), .init_dr_st(1'b0), .input_clk_en(0), .input_sync(1'b0), .input_sync_mode(1'b0), .intr_mode(2'b00), .invert_in_clock(0), .invert_in_clock_en(0), .invert_in_reset(0), .invert_out_clock(0), .invert_out_clock_en(0), .invert_out_reset(0), .io_voltage(""), .layout_mode("CONTIGUOUS"), .oe_conn(1'b0), .oe_reset(0), .oe_sync(1'b0), .output_clk_en(0), .output_clock_mode(1'b0), .output_conn(1'b0), .output_mode(1'b0), .output_reset(0), .output_sync(1'b0), .pa_in_clock(-1), .pa_in_clock_en(-1), .pa_in_reset(-1), .pa_out_clock(-1), .pa_out_clock_en(-1), .pa_out_reset(-1), .pin_aliases(""), .pin_mode("I"), .por_state(4), .sio_group_cnt(0), .sio_hyst(1'b1), .sio_ibuf(""), .sio_info(2'b00), .sio_obuf(""), .sio_refsel(""), .sio_vtrip(""), .slew_rate(1'b0), .spanning(0), .use_annotation(1'b0), .vtrip(2'b00), .width(1), .ovt_hyst_trim(1'b0), .ovt_needed(1'b0), .ovt_slew_control(2'b00), .input_buffer_sel(2'b00)) rx (.oe(tmpOE__rx_net), .y({1'b0}), .fb({Net_1197}), .io({tmpIO_0__rx_net[0:0]}), .siovref(tmpSIOVREF__rx_net), .interrupt({tmpINTERRUPT_0__rx_net[0:0]}), .in_clock({1'b0}), .in_clock_en({1'b1}), .in_reset({1'b0}), .out_clock({1'b0}), .out_clock_en({1'b1}), .out_reset({1'b0})); assign tmpOE__rx_net = (`CYDEV_CHIP_MEMBER_USED == `CYDEV_CHIP_MEMBER_3A && `CYDEV_CHIP_REVISION_USED < `CYDEV_CHIP_REVISION_3A_ES3) ? ~{1'b1} : {1'b1}; // cts_VM (cy_virtualmux_v1_0) assign Net_1175 = Net_747; cy_m0s8_scb_v2_0 SCB ( .rx(Net_654), .miso_m(Net_663), .select_m(ss[3:0]), .sclk_m(Net_1059), .mosi_s(Net_909), .select_s(Net_652), .sclk_s(Net_990), .mosi_m(Net_1061), .scl(Net_580), .sda(Net_581), .tx(Net_1062), .miso_s(Net_1055), .interrupt(interrupt), .cts(Net_1175), .rts(Net_1053), .tx_req(tx_tr_out), .rx_req(rx_tr_out), .clock(Net_1170)); defparam SCB.scb_mode = 2; ZeroTerminal ZeroTerminal_6 ( .z(Net_747)); // Device_VM1 (cy_virtualmux_v1_0) assign Net_547 = Net_1090; // Device_VM5 (cy_virtualmux_v1_0) assign Net_891 = Net_1089; // Device_VM2 (cy_virtualmux_v1_0) assign Net_1001 = Net_1086; // Device_VM3 (cy_virtualmux_v1_0) assign Net_899 = Net_916; // Device_VM4 (cy_virtualmux_v1_0) assign uncfg_rx_irq = Net_1000; endmodule // Component: cy_constant_v1_0 `ifdef CY_BLK_DIR `undef CY_BLK_DIR `endif `ifdef WARP `define CY_BLK_DIR "C:\Program Files (x86)\Cypress\PSoC Creator\3.3\PSoC Creator\psoc\content\cyprimitives\CyPrimitives.cylib\cy_constant_v1_0" `include "C:\Program Files (x86)\Cypress\PSoC Creator\3.3\PSoC Creator\psoc\content\cyprimitives\CyPrimitives.cylib\cy_constant_v1_0\cy_constant_v1_0.v" `else `define CY_BLK_DIR "C:\Program Files (x86)\Cypress\PSoC Creator\3.3\PSoC Creator\psoc\content\cyprimitives\CyPrimitives.cylib\cy_constant_v1_0" `include "C:\Program Files (x86)\Cypress\PSoC Creator\3.3\PSoC Creator\psoc\content\cyprimitives\CyPrimitives.cylib\cy_constant_v1_0\cy_constant_v1_0.v" `endif // BLE_v2_30(GapConfig=<?xml version="1.0" encoding="utf-16"?>\r\n<CyGapConfiguration xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance" xmlns:xsd="http://www.w3.org/2001/XMLSchema">\r\n <DevAddress>00A500000000</DevAddress>\r\n <SiliconGeneratedAddress>true</SiliconGeneratedAddress>\r\n <MtuSize>23</MtuSize>\r\n <TxPowerLevel>0</TxPowerLevel>\r\n <TxPowerLevelConnection>3</TxPowerLevelConnection>\r\n <TxPowerLevelAdvScan>3</TxPowerLevelAdvScan>\r\n <SecurityConfig>\r\n <SecurityMode>SECURITY_MODE_1</SecurityMode>\r\n <SecurityLevel>NO_SECURITY</SecurityLevel>\r\n <IOCapability>DISPLAY</IOCapability>\r\n <PairingMethod>JUST_WORKS</PairingMethod>\r\n <Bonding>BOND</Bonding>\r\n <EncryptionKeySize>16</EncryptionKeySize>\r\n </SecurityConfig>\r\n <AdvertisementConfig>\r\n <AdvScanMode>FAST_CONNECTION</AdvScanMode>\r\n <AdvFastScanInterval>\r\n <Minimum>100</Minimum>\r\n <Maximum>150</Maximum>\r\n </AdvFastScanInterval>\r\n <AdvReducedScanInterval>\r\n <Minimum>1000</Minimum>\r\n <Maximum>10240</Maximum>\r\n </AdvReducedScanInterval>\r\n <AdvDiscoveryMode>NON_DISCOVERABLE</AdvDiscoveryMode>\r\n <AdvType>SCANNABLE</AdvType>\r\n <AdvFilterPolicy>SCAN_REQUEST_ANY_CONNECT_REQUEST_ANY</AdvFilterPolicy>\r\n <AdvChannelMap>ALL</AdvChannelMap>\r\n <AdvFastTimeout>30</AdvFastTimeout>\r\n <AdvReducedTimeout>150</AdvReducedTimeout>\r\n <ConnectionInterval>\r\n <Minimum>7.5</Minimum>\r\n <Maximum>50</Maximum>\r\n </ConnectionInterval>\r\n <ConnectionSlaveLatency>0</ConnectionSlaveLatency>\r\n <ConnectionTimeout>10000</ConnectionTimeout>\r\n </AdvertisementConfig>\r\n <ScanConfig>\r\n <ScanFastWindow>30</ScanFastWindow>\r\n <ScanFastInterval>30</ScanFastInterval>\r\n <ScanTimeout>0</ScanTimeout>\r\n <ScanReducedWindow>1125</ScanReducedWindow>\r\n <ScanReducedInterval>1280</ScanReducedInterval>\r\n <ScanReducedTimeout>65535</ScanReducedTimeout>\r\n <EnableReducedScan>false</EnableReducedScan>\r\n <ScanDiscoveryMode>GENERAL</ScanDiscoveryMode>\r\n <ScanningState>ACTIVE</ScanningState>\r\n <ScanFilterPolicy>ACCEPT_ALL_ADV_PACKETS</ScanFilterPolicy>\r\n <DuplicateFiltering>true</DuplicateFiltering>\r\n <ConnectionInterval>\r\n <Minimum>7.5</Minimum>\r\n <Maximum>50</Maximum>\r\n </ConnectionInterval>\r\n <ConnectionSlaveLatency>0</ConnectionSlaveLatency>\r\n <ConnectionTimeout>10000</ConnectionTimeout>\r\n </ScanConfig>\r\n <AdvertisementPacket>\r\n <PacketType>ADVERTISEMENT</PacketType>\r\n <Items>\r\n <CyADStructure>\r\n <ADType>1</ADType>\r\n <ADData>04</ADData>\r\n </CyADStructure>\r\n <CyADStructure>\r\n <ADType>10</ADType>\r\n <ADData>03</ADData>\r\n </CyADStructure>\r\n <CyADStructure>\r\n <ADType>33</ADType>\r\n <ADData>FB:34:9B:5F:80:00:00:80:00:10:00:00:00:00:00:00</ADData>\r\n </CyADStructure>\r\n </Items>\r\n </AdvertisementPacket>\r\n <ScanResponsePacket>\r\n <PacketType>SCAN_RESPONSE</PacketType>\r\n <Items />\r\n </ScanResponsePacket>\r\n</CyGapConfiguration>, HalBaudRate=115200, ImportFilePath=, L2capMpsSize=23, L2capMtuSize=23, L2capNumChannels=1, L2capNumPsm=1, Mode=0, ProfileConfig=<?xml version="1.0" encoding="utf-16"?>\r\n<Profile xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance" xmlns:xsd="http://www.w3.org/2001/XMLSchema" ID="1" DisplayName="Custom" Name="Custom" Type="org.bluetooth.profile.custom">\r\n <CyProfileRole ID="36" DisplayName="Client" Name="Client">\r\n <CyService ID="37" DisplayName="Generic Access" Name="Generic Access" Type="org.bluetooth.service.generic_access" UUID="1800">\r\n <CyCharacteristic ID="38" DisplayName="Device Name" Name="Device Name" Type="org.bluetooth.characteristic.gap.device_name" UUID="2A00">\r\n <Field Name="Name">\r\n <DataFormat>utf8s</DataFormat>\r\n <ByteLength>0</ByteLength>\r\n <FillRequirement>C1</FillRequirement>\r\n <ValueType>BASIC</ValueType>\r\n <ArrayValue />\r\n </Field>\r\n <Properties>\r\n <Property Type="READ" Present="true" Mandatory="true" />\r\n <Property Type="WRITE" Present="false" Mandatory="false" />\r\n </Properties>\r\n <Permission />\r\n </CyCharacteristic>\r\n <CyCharacteristic ID="39" DisplayName="Appearance" Name="Appearance" Type="org.bluetooth.characteristic.gap.appearance" UUID="2A01">\r\n <Field Name="Category">\r\n <DataFormat>16bit</DataFormat>\r\n <ByteLength>2</ByteLength>\r\n <FillRequirement>C1</FillRequirement>\r\n <ValueType>ENUM</ValueType>\r\n <ArrayValue />\r\n </Field>\r\n <Properties>\r\n <Property Type="READ" Present="true" Mandatory="true" />\r\n </Properties>\r\n <Permission />\r\n </CyCharacteristic>\r\n <Declaration>Primary</Declaration>\r\n <IncludedServices />\r\n </CyService>\r\n <CyService ID="41" DisplayName="Generic Attribute" Name="Generic Attribute" Type="org.bluetooth.service.generic_attribute" UUID="1801">\r\n <CyCharacteristic ID="42" DisplayName="Service Changed" Name="Service Changed" Type="org.bluetooth.characteristic.gatt.service_changed" UUID="2A05">\r\n <CyDescriptor ID="43" DisplayName="Client Characteristic Configuration" Name="Client Characteristic Configuration" Type="org.bluetooth.descriptor.gatt.client_characteristic_configuration" UUID="2902">\r\n <Field Name="Properties">\r\n <DataFormat>16bit</DataFormat>\r\n <ByteLength>2</ByteLength>\r\n <Range>\r\n <IsDeclared>true</IsDeclared>\r\n <Minimum>0</Minimum>\r\n <Maximum>3</Maximum>\r\n </Range>\r\n <ValueType>BITFIELD</ValueType>\r\n <Bit>\r\n <Index>0</Index>\r\n <Size>1</Size>\r\n <Value>0</Value>\r\n <Enumerations>\r\n <Enumeration key="0" value="Notifications disabled" />\r\n <Enumeration key="1" value="Notifications enabled" />\r\n </Enumerations>\r\n </Bit>\r\n <Bit>\r\n <Index>1</Index>\r\n <Size>1</Size>\r\n <Value>0</Value>\r\n <Enumerations>\r\n <Enumeration key="0" value="Indications disabled" />\r\n <Enumeration key="1" value="Indications enabled" />\r\n </Enumerations>\r\n </Bit>\r\n <ArrayValue />\r\n </Field>\r\n <Properties>\r\n <Property Type="READ" Present="true" Mandatory="true" />\r\n <Property Type="WRITE" Present="true" Mandatory="true" />\r\n </Properties>\r\n <Permission>\r\n <AccessPermission>READ_WRITE</AccessPermission>\r\n </Permission>\r\n </CyDescriptor>\r\n <Field Name="Start of Affected Attribute Handle Range">\r\n <DataFormat>uint16</DataFormat>\r\n <ByteLength>2</ByteLength>\r\n <Range>\r\n <IsDeclared>true</IsDeclared>\r\n <Minimum>1</Minimum>\r\n <Maximum>65535</Maximum>\r\n </Range>\r\n <ValueType>BASIC</ValueType>\r\n <ArrayValue />\r\n </Field>\r\n <Field Name="End of Affected Attribute Handle Range">\r\n <DataFormat>uint16</DataFormat>\r\n <ByteLength>2</ByteLength>\r\n <Range>\r\n <IsDeclared>true</IsDeclared>\r\n <Minimum>1</Minimum>\r\n <Maximum>65535</Maximum>\r\n </Range>\r\n <ValueType>BASIC</ValueType>\r\n <ArrayValue />\r\n </Field>\r\n <Properties>\r\n <Property Type="READ" Present="true" Mandatory="true" />\r\n <Property Type="INDICATE" Present="true" Mandatory="true" />\r\n </Properties>\r\n <Permission />\r\n </CyCharacteristic>\r\n <Declaration>Primary</Declaration>\r\n <IncludedServices />\r\n </CyService>\r\n <CyService ID="44" DisplayName="Custom Service" Name="Custom Service" Type="org.bluetooth.service.custom" UUID="0000000000001000800000805F9B34FB">\r\n <CyCharacteristic ID="45" DisplayName="Custom Characteristic" Name="Custom Characteristic" Type="org.bluetooth.characteristic.custom" UUID="0000000000001000800000805F9B34FB">\r\n <CyDescriptor ID="46" DisplayName="Custom Descriptor" Name="Custom Descriptor" Type="org.bluetooth.descriptor.custom" UUID="0000000000001000800000805F9B34FB">\r\n <Field Name="New field">\r\n <DataFormat>uint8</DataFormat>\r\n <ByteLength>1</ByteLength>\r\n <ValueType>BASIC</ValueType>\r\n <ArrayValue />\r\n </Field>\r\n <Properties>\r\n <Property Type="READ" Present="false" Mandatory="false" />\r\n <Property Type="WRITE" Present="false" Mandatory="false" />\r\n </Properties>\r\n <Permission />\r\n </CyDescriptor>\r\n <Field Name="New field">\r\n <DataFormat>uint8</DataFormat>\r\n <ByteLength>1</ByteLength>\r\n <ValueType>BASIC</ValueType>\r\n <ArrayValue />\r\n </Field>\r\n <Properties>\r\n <Property Type="BROADCAST" Present="false" Mandatory="false" />\r\n <Property Type="READ" Present="false" Mandatory="false" />\r\n <Property Type="WRITE" Present="false" Mandatory="false" />\r\n <Property Type="WRITE_WITHOUT_RESPONSE" Present="false" Mandatory="false" />\r\n <Property Type="NOTIFY" Present="false" Mandatory="false" />\r\n <Property Type="INDICATE" Present="false" Mandatory="false" />\r\n <Property Type="AUTHENTICATED_SIGNED_WRITES" Present="false" Mandatory="false" />\r\n <Property Type="RELIABLE_WRITE" Present="false" Mandatory="false" />\r\n <Property Type="WRITABLE_AUXILIARIES" Present="false" Mandatory="false" />\r\n </Properties>\r\n <Permission>\r\n <AccessPermission>NONE</AccessPermission>\r\n </Permission>\r\n </CyCharacteristic>\r\n <Declaration>Primary</Declaration>\r\n <IncludedServices />\r\n </CyService>\r\n <ProfileRoleIndex>1</ProfileRoleIndex>\r\n <RoleType>CLIENT</RoleType>\r\n </CyProfileRole>\r\n <CyProfileRole ID="47" DisplayName="Server" Name="Server">\r\n <CyService ID="14" DisplayName="Generic Access" Name="Generic Access" Type="org.bluetooth.service.generic_access" UUID="1800">\r\n <CyCharacteristic ID="15" DisplayName="Device Name" Name="Device Name" Type="org.bluetooth.characteristic.gap.device_name" UUID="2A00">\r\n <Field Name="Name">\r\n <DataFormat>utf8s</DataFormat>\r\n <ByteLength>7</ByteLength>\r\n <FillRequirement>C1</FillRequirement>\r\n <ValueType>BASIC</ValueType>\r\n <GeneralValue>YAB_OBS</GeneralValue>\r\n <ArrayValue />\r\n </Field>\r\n <Properties>\r\n <Property Type="READ" Present="true" Mandatory="true" />\r\n <Property Type="WRITE" Present="false" Mandatory="false" />\r\n </Properties>\r\n <Permission />\r\n </CyCharacteristic>\r\n <CyCharacteristic ID="16" DisplayName="Appearance" Name="Appearance" Type="org.bluetooth.characteristic.gap.appearance" UUID="2A01">\r\n <Field Name="Category">\r\n <DataFormat>16bit</DataFormat>\r\n <ByteLength>2</ByteLength>\r\n <FillRequirement>C1</FillRequirement>\r\n <ValueType>ENUM</ValueType>\r\n <ArrayValue />\r\n </Field>\r\n <Properties>\r\n <Property Type="READ" Present="true" Mandatory="true" />\r\n </Properties>\r\n <Permission />\r\n </CyCharacteristic>\r\n <Declaration>Primary</Declaration>\r\n <IncludedServices />\r\n </CyService>\r\n <CyService ID="52" DisplayName="Generic Attribute" Name="Generic Attribute" Type="org.bluetooth.service.generic_attribute" UUID="1801">\r\n <CyCharacteristic ID="53" DisplayName="Service Changed" Name="Service Changed" Type="org.bluetooth.characteristic.gatt.service_changed" UUID="2A05">\r\n <CyDescriptor ID="54" DisplayName="Client Characteristic Configuration" Name="Client Characteristic Configuration" Type="org.bluetooth.descriptor.gatt.client_characteristic_configuration" UUID="2902">\r\n <Field Name="Properties">\r\n <DataFormat>16bit</DataFormat>\r\n <ByteLength>2</ByteLength>\r\n <Range>\r\n <IsDeclared>true</IsDeclared>\r\n <Minimum>0</Minimum>\r\n <Maximum>3</Maximum>\r\n </Range>\r\n <ValueType>BITFIELD</ValueType>\r\n <Bit>\r\n <Index>0</Index>\r\n <Size>1</Size>\r\n <Value>0</Value>\r\n <Enumerations>\r\n <Enumeration key="0" value="Notifications disabled" />\r\n <Enumeration key="1" value="Notifications enabled" />\r\n </Enumerations>\r\n </Bit>\r\n <Bit>\r\n <Index>1</Index>\r\n <Size>1</Size>\r\n <Value>0</Value>\r\n <Enumerations>\r\n <Enumeration key="0" value="Indications disabled" />\r\n <Enumeration key="1" value="Indications enabled" />\r\n </Enumerations>\r\n </Bit>\r\n <ArrayValue />\r\n </Field>\r\n <Properties>\r\n <Property Type="READ" Present="true" Mandatory="true" />\r\n <Property Type="WRITE" Present="true" Mandatory="true" />\r\n </Properties>\r\n <Permission>\r\n <AccessPermission>READ_WRITE</AccessPermission>\r\n </Permission>\r\n </CyDescriptor>\r\n <Field Name="Start of Affected Attribute Handle Range">\r\n <DataFormat>uint16</DataFormat>\r\n <ByteLength>2</ByteLength>\r\n <Range>\r\n <IsDeclared>true</IsDeclared>\r\n <Minimum>1</Minimum>\r\n <Maximum>65535</Maximum>\r\n </Range>\r\n <ValueType>BASIC</ValueType>\r\n <ArrayValue />\r\n </Field>\r\n <Field Name="End of Affected Attribute Handle Range">\r\n <DataFormat>uint16</DataFormat>\r\n <ByteLength>2</ByteLength>\r\n <Range>\r\n <IsDeclared>true</IsDeclared>\r\n <Minimum>1</Minimum>\r\n <Maximum>65535</Maximum>\r\n </Range>\r\n <ValueType>BASIC</ValueType>\r\n <ArrayValue />\r\n </Field>\r\n <Properties>\r\n <Property Type="READ" Present="true" Mandatory="true" />\r\n <Property Type="INDICATE" Present="true" Mandatory="true" />\r\n </Properties>\r\n <Permission />\r\n </CyCharacteristic>\r\n <Declaration>Primary</Declaration>\r\n <IncludedServices />\r\n </CyService>\r\n <CyService ID="55" DisplayName="Custom Service" Name="Custom Service" Type="org.bluetooth.service.custom" UUID="0000000000001000800000805F9B34FB">\r\n <CyCharacteristic ID="56" DisplayName="Custom Characteristic" Name="Custom Characteristic" Type="org.bluetooth.characteristic.custom" UUID="0000000000001000800000805F9B34FB">\r\n <CyDescriptor ID="57" DisplayName="Custom Descriptor" Name="Custom Descriptor" Type="org.bluetooth.descriptor.custom" UUID="0000000000001000800000805F9B34FB">\r\n <Field Name="New field">\r\n <DataFormat>uint8</DataFormat>\r\n <ByteLength>1</ByteLength>\r\n <ValueType>BASIC</ValueType>\r\n <ArrayValue />\r\n </Field>\r\n <Properties>\r\n <Property Type="READ" Present="false" Mandatory="false" />\r\n <Property Type="WRITE" Present="false" Mandatory="false" />\r\n </Properties>\r\n <Permission />\r\n </CyDescriptor>\r\n <Field Name="New field">\r\n <DataFormat>uint8</DataFormat>\r\n <ByteLength>1</ByteLength>\r\n <ValueType>BASIC</ValueType>\r\n <ArrayValue />\r\n </Field>\r\n <Properties>\r\n <Property Type="BROADCAST" Present="false" Mandatory="false" />\r\n <Property Type="READ" Present="false" Mandatory="false" />\r\n <Property Type="WRITE" Present="false" Mandatory="false" />\r\n <Property Type="WRITE_WITHOUT_RESPONSE" Present="false" Mandatory="false" />\r\n <Property Type="NOTIFY" Present="false" Mandatory="false" />\r\n <Property Type="INDICATE" Present="false" Mandatory="false" />\r\n <Property Type="AUTHENTICATED_SIGNED_WRITES" Present="false" Mandatory="false" />\r\n <Property Type="RELIABLE_WRITE" Present="false" Mandatory="false" />\r\n <Property Type="WRITABLE_AUXILIARIES" Present="false" Mandatory="false" />\r\n </Properties>\r\n <Permission>\r\n <AccessPermission>NONE</AccessPermission>\r\n </Permission>\r\n </CyCharacteristic>\r\n <Declaration>PrimarySingleInstance</Declaration>\r\n <IncludedServices />\r\n </CyService>\r\n <ProfileRoleIndex>0</ProfileRoleIndex>\r\n <RoleType>SERVER</RoleType>\r\n </CyProfileRole>\r\n <GapRole>OBSERVER</GapRole>\r\n</Profile>, SharingMode=0, StackMode=3, UseDeepSleep=false, CY_API_CALLBACK_HEADER_INCLUDE=, CY_COMPONENT_NAME=BLE_v2_30, CY_CONTROL_FILE=<:default:>, CY_DATASHEET_FILE=BLE_v2_30.pdf, CY_FITTER_NAME=BLE, CY_INSTANCE_SHORT_NAME=BLE, CY_MAJOR_VERSION=2, CY_MINOR_VERSION=30, CY_REMOVE=false, CY_SUPPRESS_API_GEN=false, CY_VERSION=PSoC Creator 3.3 CP1, INSTANCE_NAME=BLE, ) module BLE_v2_30_1 ( clk); output clk; wire Net_53; wire Net_64; wire Net_63; wire Net_37; wire Net_15; wire Net_14; wire Net_60; wire Net_55; cy_m0s8_ble_v1_0 cy_m0s8_ble ( .interrupt(Net_15)); cy_isr_v1_0 #(.int_type(2'b10)) bless_isr (.int_signal(Net_15)); cy_clock_v1_0 #(.id("7926b0c4-24d0-41e9-9cd3-d62a85d7b9c1/5ae6fa4d-f41a-4a35-8821-7ce70389cb0c"), .source_clock_id("9A908CA6-5BB3-4db0-B098-959E5D90882B"), .divisor(0), .period("0"), .is_direct(1), .is_digital(0)) LFCLK (.clock_out(Net_53)); assign clk = Net_53 | Net_55; assign Net_55 = 1'h0; endmodule // top module top ; wire Net_3187; wire Net_3192; wire Net_3191; wire Net_3189; wire Net_3188; SCB_P4_v3_0_0 UART ( .interrupt(Net_3188), .clock(1'b0), .rx_tr_out(Net_3191), .tx_tr_out(Net_3192)); BLE_v2_30_1 BLE ( .clk(Net_3187)); endmodule
/** Based on: https://github.com/dirjud/Nitro-Parts-lib-Xilinx */ `timescale 1ps/1ps `default_nettype none module clock_divider_sim #( parameter DIVISOR = 2 ) ( input wire CLK, output reg CLOCK ); integer cnt; initial cnt = 0; wire [31:0] DIV; assign DIV = DIVISOR; always @(posedge CLK) if(cnt == DIVISOR -1) cnt <= 0; else cnt <= cnt + 1; initial CLOCK = 0; always @(posedge CLK or negedge CLK) begin if(cnt == DIVISOR-1 && CLK == 1'b1) // posedge CLOCK <= 1; else if (cnt == DIVISOR/2-1 && DIV[0] == 0 && CLK == 1'b1) // posedge CLOCK <= 0; else if (cnt == DIVISOR/2 && DIV[0] == 1 && CLK == 1'b0) // negedge CLOCK <= 0; end endmodule module DCM #( parameter CLKFX_MULTIPLY = 4, parameter CLKFX_DIVIDE = 1, parameter CLKDV_DIVIDE = 2, parameter CLKIN_PERIOD = 10, parameter CLK_FEEDBACK = 0, parameter CLKOUT_PHASE_SHIFT = 0, parameter CLKIN_DIVIDE_BY_2 = "FALSE", parameter DESKEW_ADJUST = "SYSTEM_SYNCHRONOUS", parameter DFS_FREQUENCY_MODE = "LOW", parameter DLL_FREQUENCY_MODE = "LOW", parameter DUTY_CYCLE_CORRECTION = "TRUE", parameter FACTORY_JF = 16'hC080, parameter PHASE_SHIFT = 0, parameter STARTUP_WAIT = "TRUE" ) ( CLK0, CLK180, CLK270, CLK2X, CLK2X180, CLK90, CLKDV, CLKFX, CLKFX180, LOCKED, PSDONE, STATUS, CLKFB, CLKIN, DSSEN, PSCLK, PSEN, PSINCDEC, RST ); input wire CLKFB, CLKIN, DSSEN; input wire PSCLK, PSEN, PSINCDEC, RST; output wire CLKDV, CLKFX, CLKFX180, LOCKED, PSDONE; output wire CLK0, CLK180, CLK270, CLK2X, CLK2X180, CLK90; output wire [7:0] STATUS; assign STATUS = 0; assign CLK0 = CLKIN; assign CLK180 = ~CLKIN; assign CLK270 = ~CLK90; assign CLK2X180 = ~CLK2X; assign CLKFX180 = ~CLKFX; wire resetb = ~RST; wire clk2x; clock_multiplier #( .MULTIPLIER(2) ) i_clock_multiplier_two( .CLK(CLKIN), .CLOCK(clk2x) ); reg clk90; reg [1:0] cnt; always @(posedge clk2x or negedge clk2x or negedge resetb) begin if (!resetb) begin clk90 <= 0; cnt <= 0; end else begin cnt <= cnt + 1; if (!cnt[0]) clk90 <= ~clk90; end end assign CLK2X = clk2x; assign CLK90 = clk90; generate if (CLKFX_MULTIPLY==2 && CLKFX_DIVIDE==1) begin assign CLKFX = clk2x; end else begin wire CLKINM; clock_multiplier #( .MULTIPLIER(CLKFX_MULTIPLY) ) i_clock_multiplier(.CLK(CLKIN),.CLOCK(CLKINM)); clock_divider_sim #(.DIVISOR(CLKFX_DIVIDE)) i_clock_divisor_rx (.CLK(CLKINM), .CLOCK(CLKFX)); end endgenerate clock_divider_sim #( .DIVISOR(CLKDV_DIVIDE) ) i_clock_divisor_dv ( .CLK(CLKIN), .CLOCK(CLKDV) ); assign LOCKED = 1'b1; endmodule
///////////////////////////////////////////////////////////////////// //// //// //// WISHBONE AC 97 Controller //// //// PCM Request Controller //// //// //// //// //// //// Author: Rudolf Usselmann //// //// [email protected] //// //// //// //// //// //// Downloaded from: http://www.opencores.org/cores/ac97_ctrl/ //// //// //// ///////////////////////////////////////////////////////////////////// //// //// //// Copyright (C) 2000-2002 Rudolf Usselmann //// //// www.asics.ws //// //// [email protected] //// //// //// //// This source file may be used and distributed without //// //// restriction provided that this copyright statement is not //// //// removed from the file and that any derivative work contains //// //// the original copyright notice and the associated disclaimer.//// //// //// //// THIS SOFTWARE IS PROVIDED ``AS IS'' AND WITHOUT ANY //// //// EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED //// //// TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS //// //// FOR A PARTICULAR PURPOSE. IN NO EVENT SHALL THE AUTHOR //// //// OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, //// //// INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES //// //// (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE //// //// GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR //// //// BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF //// //// LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT //// //// (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT //// //// OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE //// //// POSSIBILITY OF SUCH DAMAGE. //// //// //// ///////////////////////////////////////////////////////////////////// // CVS Log // // $Id: ac97_prc.v,v 1.4 2002/09/19 06:30:56 rudi Exp $ // // $Date: 2002/09/19 06:30:56 $ // $Revision: 1.4 $ // $Author: rudi $ // $Locker: $ // $State: Exp $ // // Change History: // $Log: ac97_prc.v,v $ // Revision 1.4 2002/09/19 06:30:56 rudi // Fixed a bug reported by Igor. Apparently this bug only shows up when // the WB clock is very low (2x bit_clk). Updated Copyright header. // // Revision 1.3 2002/03/05 04:44:05 rudi // // - Fixed the order of the thrash hold bits to match the spec. // - Many minor synthesis cleanup items ... // // Revision 1.2 2001/08/10 08:09:42 rudi // // - Removed RTY_O output. // - Added Clock and Reset Inputs to documentation. // - Changed IO names to be more clear. // - Uniquifyed define names to be core specific. // // Revision 1.1 2001/08/03 06:54:50 rudi // // // - Changed to new directory structure // // Revision 1.1.1.1 2001/05/19 02:29:17 rudi // Initial Checkin // // // // `include "ac97_defines.v" module ac97_prc(clk, rst, // SR Slot Interface valid, in_valid, out_slt0, in_slt0, in_slt1, // Codec Register Access crac_valid, crac_wr, // Channel Configuration oc0_cfg, oc1_cfg, oc2_cfg, oc3_cfg, oc4_cfg, oc5_cfg, ic0_cfg, ic1_cfg, ic2_cfg, // FIFO Status o3_empty, o4_empty, o6_empty, o7_empty, o8_empty, o9_empty, i3_full, i4_full, i6_full, // FIFO Control o3_re, o4_re, o6_re, o7_re, o8_re, o9_re, i3_we, i4_we, i6_we ); input clk, rst; input valid; input [2:0] in_valid; output [15:0] out_slt0; input [15:0] in_slt0; input [19:0] in_slt1; input crac_valid; input crac_wr; input [7:0] oc0_cfg; input [7:0] oc1_cfg; input [7:0] oc2_cfg; input [7:0] oc3_cfg; input [7:0] oc4_cfg; input [7:0] oc5_cfg; input [7:0] ic0_cfg; input [7:0] ic1_cfg; input [7:0] ic2_cfg; input o3_empty; input o4_empty; input o6_empty; input o7_empty; input o8_empty; input o9_empty; input i3_full; input i4_full; input i6_full; output o3_re; output o4_re; output o6_re; output o7_re; output o8_re; output o9_re; output i3_we; output i4_we; output i6_we; //////////////////////////////////////////////////////////////////// // // Local Wires // wire o3_re_l; wire o4_re_l; wire o6_re_l; wire o7_re_l; wire o8_re_l; wire o9_re_l; reg crac_valid_r; reg crac_wr_r; //////////////////////////////////////////////////////////////////// // // Output Tag Assembly // assign out_slt0[15] = |out_slt0[14:6]; assign out_slt0[14] = crac_valid_r; assign out_slt0[13] = crac_wr_r; assign out_slt0[12] = o3_re_l; assign out_slt0[11] = o4_re_l; assign out_slt0[10] = 1'b0; assign out_slt0[09] = o6_re_l; assign out_slt0[08] = o7_re_l; assign out_slt0[07] = o8_re_l; assign out_slt0[06] = o9_re_l; assign out_slt0[5:0] = 6'h0; //////////////////////////////////////////////////////////////////// // // FIFO Control // always @(posedge clk) if(valid) crac_valid_r <= #1 crac_valid; always @(posedge clk) if(valid) crac_wr_r <= #1 crac_valid & crac_wr; // Output Channel 0 (Out Slot 3) ac97_fifo_ctrl u0( .clk( clk ), .valid( valid ), .ch_en( oc0_cfg[0] ), .srs( oc0_cfg[1] ), .full_empty( o3_empty ), .req( ~in_slt1[11] ), .crdy( in_slt0[15] ), .en_out( o3_re ), .en_out_l( o3_re_l ) ); // Output Channel 1 (Out Slot 4) ac97_fifo_ctrl u1( .clk( clk ), .valid( valid ), .ch_en( oc1_cfg[0] ), .srs( oc1_cfg[1] ), .full_empty( o4_empty ), .req( ~in_slt1[10] ), .crdy( in_slt0[15] ), .en_out( o4_re ), .en_out_l( o4_re_l ) ); `ifdef AC97_CENTER // Output Channel 2 (Out Slot 6) ac97_fifo_ctrl u2( .clk( clk ), .valid( valid ), .ch_en( oc2_cfg[0] ), .srs( oc2_cfg[1] ), .full_empty( o6_empty ), .req( ~in_slt1[8] ), .crdy( in_slt0[15] ), .en_out( o6_re ), .en_out_l( o6_re_l ) ); `else assign o6_re = 1'b0; assign o6_re_l = 1'b0; `endif `ifdef AC97_SURROUND // Output Channel 3 (Out Slot 7) ac97_fifo_ctrl u3( .clk( clk ), .valid( valid ), .ch_en( oc3_cfg[0] ), .srs( oc3_cfg[1] ), .full_empty( o7_empty ), .req( ~in_slt1[7] ), .crdy( in_slt0[15] ), .en_out( o7_re ), .en_out_l( o7_re_l ) ); // Output Channel 4 (Out Slot 8) ac97_fifo_ctrl u4( .clk( clk ), .valid( valid ), .ch_en( oc4_cfg[0] ), .srs( oc4_cfg[1] ), .full_empty( o8_empty ), .req( ~in_slt1[6] ), .crdy( in_slt0[15] ), .en_out( o8_re ), .en_out_l( o8_re_l ) ); `else assign o7_re = 1'b0; assign o7_re_l = 1'b0; assign o8_re = 1'b0; assign o8_re_l = 1'b0; `endif `ifdef AC97_LFE // Output Channel 5 (Out Slot 9) ac97_fifo_ctrl u5( .clk( clk ), .valid( valid ), .ch_en( oc5_cfg[0] ), .srs( oc5_cfg[1] ), .full_empty( o9_empty ), .req( ~in_slt1[5] ), .crdy( in_slt0[15] ), .en_out( o9_re ), .en_out_l( o9_re_l ) ); `else assign o9_re = 1'b0; assign o9_re_l = 1'b0; `endif `ifdef AC97_SIN // Input Channel 0 (In Slot 3) ac97_fifo_ctrl u6( .clk( clk ), .valid( in_valid[0] ), .ch_en( ic0_cfg[0] ), .srs( ic0_cfg[1] ), .full_empty( i3_full ), .req( in_slt0[12] ), .crdy( in_slt0[15] ), .en_out( i3_we ), .en_out_l( ) ); // Input Channel 1 (In Slot 4) ac97_fifo_ctrl u7( .clk( clk ), .valid( in_valid[1] ), .ch_en( ic1_cfg[0] ), .srs( ic1_cfg[1] ), .full_empty( i4_full ), .req( in_slt0[11] ), .crdy( in_slt0[15] ), .en_out( i4_we ), .en_out_l( ) ); `else assign i3_we = 1'b0; assign i4_we = 1'b0; `endif `ifdef AC97_MICIN // Input Channel 2 (In Slot 6) ac97_fifo_ctrl u8( .clk( clk ), .valid( in_valid[2] ), .ch_en( ic2_cfg[0] ), .srs( ic2_cfg[1] ), .full_empty( i6_full ), .req( in_slt0[9] ), .crdy( in_slt0[15] ), .en_out( i6_we ), .en_out_l( ) ); `else assign i6_we = 1'b0; `endif endmodule
/* * Copyright (C)2005-2015 AQUAXIS TECHNOLOGY. * Don't remove this header. * When you use this source, there is a need to inherit this header. * * This software is released under the MIT License. * http://opensource.org/licenses/mit-license.php * * For further information please contact. * URI: http://www.aquaxis.com/ * E-Mail: info(at)aquaxis.com */ module aq_func_ctl( input RST_N, input CLK, input LOCAL_CS, input LOCAL_RNW, output LOCAL_ACK, input [31:0] LOCAL_ADDR, input [3:0] LOCAL_BE, input [31:0] LOCAL_WDATA, output [31:0] LOCAL_RDATA, output FUNC_START, input FUNC_READY, input FUNC_DONE ); localparam A_FUNC_START = 8'h00; localparam A_FUNC_STATUS = 8'h04; localparam A_FUNC_ARGS_00 = 8'h10; wire wr_ena, rd_ena, wr_ack; reg rd_ack; reg reg_func_start, reg_func_start_d; reg [31:0] reg_func_args_00; reg [31:0] reg_rdata; assign wr_ena = (LOCAL_CS & ~LOCAL_RNW)?1'b1:1'b0; assign rd_ena = (LOCAL_CS & LOCAL_RNW)?1'b1:1'b0; assign wr_ack = wr_ena; // Write Register always @(posedge CLK or negedge RST_N) begin if(!RST_N) begin reg_func_start <= 1'b0; reg_func_start_d <= 1'b0; reg_func_args_00 <= 32'd0; end else begin if(wr_ena & ((LOCAL_ADDR[7:0] & 8'hFC) == A_FUNC_START)) begin reg_func_start <= 1'b1; end else begin reg_func_start <= 1'b0; end reg_func_start_d <= reg_func_start; if(wr_ena) begin case(LOCAL_ADDR[7:0] & 8'hFC) A_FUNC_ARGS_00: begin reg_func_args_00[31:0] <= LOCAL_WDATA[31:0]; end default: begin end endcase end end end // Read Register always @(posedge CLK or negedge RST_N) begin if(!RST_N) begin reg_rdata[31:0] <= 32'd0; rd_ack <= 1'b0; end else begin rd_ack <= rd_ena; if(rd_ena) begin case(LOCAL_ADDR[7:0] & 8'hFC) A_FUNC_START: begin reg_rdata[31:0] <= 32'd0; end A_FUNC_STATUS: begin reg_rdata[31:0] <= {30'd0, FUNC_READY, FUNC_DONE}; end A_FUNC_ARGS_00: begin reg_rdata[31:0] <= reg_func_args_00[31:0]; end default: begin reg_rdata[31:0] <= 32'd0; end endcase end else begin reg_rdata[31:0] <= 32'd0; end end end assign LOCAL_ACK = (wr_ack | rd_ack); assign LOCAL_RDATA[31:0] = reg_rdata[31:0]; assign FUNC_START = (reg_func_start & ~reg_func_start_d)?1'b1:1'b0; assign FUNC_ARGS_00 = reg_func_args_00; endmodule
module fsa_stream #( parameter integer C_TEST = 0, parameter integer C_OUT_DW = 1, parameter integer C_OUT_DV = 1, parameter integer C_IMG_HW = 12, parameter integer C_IMG_WW = 12, parameter integer BR_AW = 12 /// same as C_IMG_WW )( input clk, input resetn, input wire [C_IMG_HW-1:0] height , input wire [C_IMG_WW-1:0] width , output wire rd_sof , output reg rd_en , output wire [BR_AW-1:0] rd_addr , input wire rd_black, input wire rd_val_outer, input wire [C_IMG_HW-1:0] rd_top_outer, input wire [C_IMG_HW-1:0] rd_bot_outer, input wire rd_val_inner, input wire [C_IMG_HW-1:0] rd_top_inner, input wire [C_IMG_HW-1:0] rd_bot_inner, input wire lft_valid , input wire [C_IMG_WW-1:0] lft_edge , input wire rt_valid , input wire [C_IMG_WW-1:0] rt_edge , input wire lft_header_outer_valid, input wire [C_IMG_WW-1:0] lft_header_outer_x , input wire lft_corner_valid, input wire [C_IMG_WW-1:0] lft_corner_top_x, input wire [C_IMG_HW-1:0] lft_corner_top_y, input wire [C_IMG_WW-1:0] lft_corner_bot_x, input wire [C_IMG_HW-1:0] lft_corner_bot_y, input wire rt_header_outer_valid, input wire [C_IMG_WW-1:0] rt_header_outer_x , input wire rt_corner_valid, input wire [C_IMG_WW-1:0] rt_corner_top_x, input wire [C_IMG_HW-1:0] rt_corner_top_y, input wire [C_IMG_WW-1:0] rt_corner_bot_x, input wire [C_IMG_HW-1:0] rt_corner_bot_y, input wire fsync, output wire m_axis_tvalid, output wire [C_TEST+C_OUT_DW-1:0] m_axis_tdata, output wire m_axis_tuser, output wire m_axis_tlast, input wire m_axis_tready ); localparam integer FIFO_DW = 2 + C_OUT_DW + C_TEST; localparam integer FD_SOF = 0; localparam integer FD_LAST = 1; localparam integer FD_DATA = 2; localparam integer FD_TEST = 2 + C_OUT_DW; assign rd_sof = fsync; /// store fsa result reg r_lft_valid ; reg [C_IMG_WW-1:0] r_lft_edge ; reg r_rt_valid ; reg [C_IMG_WW-1:0] r_rt_edge ; reg r_lft_header_outer_valid; reg [C_IMG_WW-1:0] r_lft_header_outer_x ; reg r_lft_corner_valid; reg [C_IMG_WW-1:0] r_lft_corner_top_x; reg [C_IMG_HW-1:0] r_lft_corner_top_y; reg [C_IMG_WW-1:0] r_lft_corner_bot_x; reg [C_IMG_HW-1:0] r_lft_corner_bot_y; reg r_rt_header_outer_valid ; reg [C_IMG_WW-1:0] r_rt_header_outer_x ; reg r_rt_corner_valid ; reg [C_IMG_WW-1:0] r_rt_corner_top_x ; reg [C_IMG_HW-1:0] r_rt_corner_top_y ; reg [C_IMG_WW-1:0] r_rt_corner_bot_x ; reg [C_IMG_HW-1:0] r_rt_corner_bot_y ; always @ (posedge clk) begin if (fsync) begin r_lft_valid <= lft_valid ; r_lft_edge <= lft_edge ; r_rt_valid <= rt_valid ; r_rt_edge <= rt_edge ; r_lft_header_outer_valid <= lft_header_outer_valid; r_lft_header_outer_x <= lft_header_outer_x ; r_lft_corner_valid <= lft_corner_valid; r_lft_corner_top_x <= lft_corner_top_x; r_lft_corner_top_y <= lft_corner_top_y; r_lft_corner_bot_x <= lft_corner_bot_x; r_lft_corner_bot_y <= lft_corner_bot_y; r_rt_header_outer_valid <= rt_header_outer_valid ; r_rt_header_outer_x <= rt_header_outer_x ; r_rt_corner_valid <= rt_corner_valid ; r_rt_corner_top_x <= rt_corner_top_x ; r_rt_corner_top_y <= rt_corner_top_y ; r_rt_corner_bot_x <= rt_corner_bot_x ; r_rt_corner_bot_y <= rt_corner_bot_y ; end end reg fw_en; reg [FIFO_DW-1:0] fw_data; wire fw_af; wire fr_en; wire[FIFO_DW-1:0] fr_data; wire fr_empty; simple_fifo # ( .DEPTH_WIDTH(3), .DATA_WIDTH(FIFO_DW), .ALMOST_FULL_TH(6), .ALMOST_EMPTY_TH(1) ) fifo_inst ( .clk(clk), .rst(~resetn), .wr_data(fw_data), .wr_en (fw_en ), .rd_data(fr_data), .rd_en (fr_en ), .full(), .empty(fr_empty), .almost_full(fw_af), .almost_empty() ); reg working; reg[C_IMG_WW-1:0] px; reg[C_IMG_HW-1:0] py; reg pfirst; wire plast; reg xlast; reg ylast; assign rd_addr = px; assign plast = (xlast && ylast); always @ (posedge clk) begin if (resetn == 1'b0) begin px <= 0; py <= 0; pfirst <= 1'b1; xlast <= 0; ylast <= 0; end else if (rd_en) begin if (xlast) px <= 0; else px <= px + 1; xlast <= (px == width - 2); if (xlast) begin if (ylast) py <= 0; else py <= py + 1; ylast <= (py == height - 2); end if (plast) pfirst <= 1; else pfirst <= 0; end end always @ (posedge clk) begin if (resetn == 1'b0) working <= 1'b0; else if (fsync) working <= 1'b1; else if (rd_en && plast) working <= 1'b0; end always @ (posedge clk) begin if (resetn == 1'b0) rd_en <= 0; else if ((working && ~fw_af) && ~(plast && rd_en)) rd_en <= 1; else rd_en <= 0; end reg rd_en_d1; reg pfirst_d1; reg xlast_d1; reg [C_IMG_HW-1:0] py_d1; reg [C_IMG_WW-1:0] px_d1; always @ (posedge clk) begin if (resetn == 1'b0) begin rd_en_d1 <= 0; py_d1 <= 0; px_d1 <= 0; pfirst_d1 <= 0; xlast_d1 <= 0; end else begin rd_en_d1 <= rd_en; px_d1 <= px; py_d1 <= py; pfirst_d1 <= pfirst; xlast_d1 <= xlast; end end reg rd_en_d2; reg pfirst_d2; reg xlast_d2; reg [C_IMG_HW-1:0] py_d2; reg [C_IMG_WW-1:0] px_d2; always @ (posedge clk) begin if (resetn == 1'b0) begin rd_en_d2 <= 0; py_d2 <= 0; px_d2 <= 0; pfirst_d2 <= 0; xlast_d2 <= 0; end else begin rd_en_d2 <= rd_en_d1; px_d2 <= px_d1; py_d2 <= py_d1; pfirst_d2 <= pfirst_d1; xlast_d2 <= xlast_d1; end end reg rd_en_d3; reg pfirst_d3; reg xlast_d3; reg [C_IMG_HW-1:0] py_d3; reg [C_IMG_WW-1:0] px_d3; always @ (posedge clk) begin if (resetn == 1'b0) begin rd_en_d3 <= 0; py_d3 <= 0; px_d3 <= 0; pfirst_d3 <= 0; xlast_d3 <= 0; end else begin rd_en_d3 <= rd_en_d2; py_d3 <= py_d2; px_d3 <= px_d2; pfirst_d3 <= pfirst_d2; xlast_d3 <= xlast_d2; end end /// rd_data is valid reg rd_en_d4; reg pfirst_d4; reg xlast_d4; //reg [C_IMG_HW-1:0] py_d4; /// corner reg lc_t; reg lc_b; reg rc_t; reg rc_b; /// body reg lb; reg lb_t; reg lb_b; reg rb; reg rb_t; reg rb_b; always @ (posedge clk) begin if (resetn == 1'b0) begin rd_en_d4 <= 0; //py_d4 <= 0; pfirst_d4 <= 0; xlast_d4 <= 0; lc_t <= 0; lc_b <= 0; rc_t <= 0; rc_b <= 0; lb <= 0; lb_t <= 0; lb_b <= 0; rb <= 0; rb_t <= 0; rb_b <= 0; end else begin rd_en_d4 <= rd_en_d3; //py_d4 <= py_d3; pfirst_d4 <= pfirst_d3; xlast_d4 <= xlast_d3; lc_t <= r_lft_corner_valid && ((r_lft_corner_top_y <= py_d3 && py_d3 < rd_top_outer) && (r_lft_corner_top_x < px_d3 && px_d3 <= r_lft_edge)); lc_b <= r_lft_corner_valid && ((r_lft_corner_bot_y >= py_d3 && py_d3 > rd_bot_outer) && (r_lft_corner_bot_x < px_d3 && px_d3 <= r_lft_edge)); rc_t <= r_rt_corner_valid && ((r_rt_corner_top_y <= py_d3 && py_d3 < rd_top_outer) && (r_rt_edge <= px_d3 && px_d3 < r_rt_corner_top_x)); rc_b <= r_rt_corner_valid && ((r_rt_corner_bot_y >= py_d3 && py_d3 > rd_bot_outer) && (r_rt_edge <= px_d3 && px_d3 < r_rt_corner_bot_x)); lb <= r_lft_header_outer_valid && (px_d3 <= r_lft_header_outer_x); rb <= r_rt_header_outer_valid && (px_d3 >= r_rt_header_outer_x); lb_t <= r_lft_corner_valid && ((px_d3 <= r_lft_corner_top_x) && (py_d3 < rd_top_outer)); lb_b <= r_lft_corner_valid && ((px_d3 <= r_lft_corner_bot_x) && (py_d3 > rd_bot_outer)); rb_t <= r_rt_corner_valid && ((px_d3 >= r_rt_corner_top_x) && (py_d3 < rd_top_outer)); rb_b <= r_rt_corner_valid && ((px_d3 >= r_rt_corner_bot_x) && (py_d3 > rd_bot_outer)); end end /// @NOTE: delay 5, the almost_full for blockram must be 6 /// if you add delay, don't forget to change blockram config. always @ (posedge clk) begin if (resetn == 1'b0) begin fw_en <= 0; fw_data <= 0; end else if (rd_en_d4) begin fw_en <= 1'b1; fw_data[FD_SOF] <= pfirst_d4; fw_data[FD_LAST] <= xlast_d4; if (1) begin if ((r_lft_valid && (lc_t | lc_b)) || (r_rt_valid && (rc_t | rc_b))) begin fw_data[FD_DATA+C_OUT_DW-1:FD_DATA] <= C_OUT_DV; end else begin fw_data[FD_DATA+C_OUT_DW-1:FD_DATA] <= 1'b0; end end else begin if (rd_val_outer && py_d4 >= rd_top_outer && py_d4 <= rd_bot_outer) fw_data[FD_DATA+C_OUT_DW-1:FD_DATA] <= C_OUT_DV; else fw_data[FD_DATA+C_OUT_DW-1:FD_DATA] <= 1'b0; end end else begin fw_en <= 0; end end generate if (C_TEST > 0) begin reg[C_TEST-1:0] test_d1; reg[C_TEST-1:0] test_d2; reg[C_TEST-1:0] test_d3; always @ (posedge clk) begin test_d1 <= px; test_d2 <= test_d1; test_d3 <= test_d2; fw_data[FIFO_DW-1:FD_TEST] <= test_d3; end end endgenerate /////////////////////////////////// read side ////////////////////////// reg r_tvalid; wire [FIFO_DW-FD_DATA-1:0] r_tdata; wire r_tuser; wire r_tlast; wire r_tready; assign fr_en = (~r_tvalid || r_tready) && ~fr_empty; always @ (posedge clk) begin if (resetn == 1'b0) r_tvalid <= 0; else if (fr_en) r_tvalid <= 1; else if (r_tready) r_tvalid <= 0; end assign r_tdata = fr_data[FIFO_DW-1:FD_DATA]; assign r_tuser = fr_data[FD_SOF]; assign r_tlast = fr_data[FD_LAST]; axis_relay # ( .C_PIXEL_WIDTH(C_OUT_DW + C_TEST) ) relay_inst ( .clk(clk), .resetn(resetn), .s_axis_tvalid(r_tvalid), .s_axis_tdata (r_tdata ), .s_axis_tuser (r_tuser ), .s_axis_tlast (r_tlast ), .s_axis_tready(r_tready), .m_axis_tvalid(m_axis_tvalid), .m_axis_tdata (m_axis_tdata ), .m_axis_tuser (m_axis_tuser ), .m_axis_tlast (m_axis_tlast ), .m_axis_tready(m_axis_tready) ); endmodule
// *************************************************************************** // *************************************************************************** // Copyright 2011(c) Analog Devices, Inc. // // All rights reserved. // // Redistribution and use in source and binary forms, with or without modification, // are permitted provided that the following conditions are met: // - Redistributions of source code must retain the above copyright // notice, this list of conditions and the following disclaimer. // - Redistributions in binary form must reproduce the above copyright // notice, this list of conditions and the following disclaimer in // the documentation and/or other materials provided with the // distribution. // - Neither the name of Analog Devices, Inc. nor the names of its // contributors may be used to endorse or promote products derived // from this software without specific prior written permission. // - The use of this software may or may not infringe the patent rights // of one or more patent holders. This license does not release you // from the requirement that you obtain separate licenses from these // patent holders to use this software. // - Use of the software either in source or binary form, must be run // on or directly connected to an Analog Devices Inc. component. // // THIS SOFTWARE IS PROVIDED BY ANALOG DEVICES "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, // INCLUDING, BUT NOT LIMITED TO, NON-INFRINGEMENT, MERCHANTABILITY AND FITNESS FOR A // PARTICULAR PURPOSE ARE DISCLAIMED. // // IN NO EVENT SHALL ANALOG DEVICES BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, // EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, INTELLECTUAL PROPERTY // RIGHTS, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR // BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, // STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF // THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. // *************************************************************************** // *************************************************************************** // *************************************************************************** // *************************************************************************** // dds data to samples conversion module cf_ddsv_vdma ( // vdma interface vdma_clk, vdma_fs, vdma_valid, vdma_data, vdma_ready, vdma_ovf, vdma_unf, // dac side (interpolator default) interface dac_div3_clk, dds_master_enable, dds_rd, dds_rdata, // frame count (for vdma fs) up_vdma_fscnt, // debug data (chipscope) vdma_dbg_data, vdma_dbg_trigger, // debug data (chipscope) dac_dbg_data, dac_dbg_trigger); // vdma interface input vdma_clk; output vdma_fs; input vdma_valid; input [63:0] vdma_data; output vdma_ready; output vdma_ovf; output vdma_unf; // dac side (interpolator default) interface input dac_div3_clk; input dds_master_enable; input dds_rd; output [95:0] dds_rdata; // frame count (for vdma fs) input [15:0] up_vdma_fscnt; // debug data (chipscope) output [198:0] vdma_dbg_data; output [ 7:0] vdma_dbg_trigger; // debug data (chipscope) output [107:0] dac_dbg_data; output [ 7:0] dac_dbg_trigger; reg dds_start_m1 = 'd0; reg dds_start = 'd0; reg [ 7:0] dds_raddr = 'd0; reg [ 7:0] dds_raddr_g = 'd0; reg [95:0] dds_rdata = 'd0; reg vdma_master_enable_m1 = 'd0; reg vdma_master_enable = 'd0; reg vdma_master_enable_d = 'd0; reg [15:0] vdma_fscnt = 'd0; reg [15:0] vdma_rdcnt = 'd0; reg vdma_fs = 'd0; reg vdma_start = 'd0; reg [ 1:0] vdma_dcnt = 'd0; reg [63:0] vdma_data_d = 'd0; reg vdma_wr = 'd0; reg [ 7:0] vdma_waddr = 'd0; reg [95:0] vdma_wdata = 'd0; reg [ 7:0] vdma_raddr_g_m1 = 'd0; reg [ 7:0] vdma_raddr_g_m2 = 'd0; reg [ 7:0] vdma_raddr = 'd0; reg [ 7:0] vdma_addr_diff = 'd0; reg vdma_ready = 'd0; reg vdma_almost_full = 'd0; reg vdma_almost_empty = 'd0; reg [ 4:0] vdma_ovf_count = 'd0; reg vdma_ovf = 'd0; reg [ 4:0] vdma_unf_count = 'd0; reg vdma_unf = 'd0; wire vdma_we_s; wire [ 8:0] vdma_addr_diff_s; wire vdma_ovf_s; wire vdma_unf_s; wire [95:0] dds_rdata_s; // binary to grey coversion function [7:0] b2g; input [7:0] b; reg [7:0] g; begin g[7] = b[7]; g[6] = b[7] ^ b[6]; g[5] = b[6] ^ b[5]; g[4] = b[5] ^ b[4]; g[3] = b[4] ^ b[3]; g[2] = b[3] ^ b[2]; g[1] = b[2] ^ b[1]; g[0] = b[1] ^ b[0]; b2g = g; end endfunction // grey to binary conversion function [7:0] g2b; input [7:0] g; reg [7:0] b; begin b[7] = g[7]; b[6] = b[7] ^ g[6]; b[5] = b[6] ^ g[5]; b[4] = b[5] ^ g[4]; b[3] = b[4] ^ g[3]; b[2] = b[3] ^ g[2]; b[1] = b[2] ^ g[1]; b[0] = b[1] ^ g[0]; g2b = b; end endfunction // debug signals assign vdma_dbg_trigger[7:7] = vdma_valid; assign vdma_dbg_trigger[6:6] = vdma_ready; assign vdma_dbg_trigger[5:5] = vdma_master_enable; assign vdma_dbg_trigger[4:4] = vdma_start; assign vdma_dbg_trigger[3:3] = vdma_wr; assign vdma_dbg_trigger[2:2] = vdma_fs; assign vdma_dbg_trigger[1:1] = vdma_ovf_s; assign vdma_dbg_trigger[0:0] = vdma_unf_s; assign vdma_dbg_data[198:198] = vdma_valid; assign vdma_dbg_data[197:197] = vdma_ready; assign vdma_dbg_data[196:196] = vdma_ovf; assign vdma_dbg_data[195:195] = vdma_unf; assign vdma_dbg_data[194:194] = vdma_fs; assign vdma_dbg_data[193:193] = vdma_master_enable; assign vdma_dbg_data[192:192] = vdma_start; assign vdma_dbg_data[191:191] = vdma_wr; assign vdma_dbg_data[190:190] = vdma_almost_full; assign vdma_dbg_data[189:189] = vdma_almost_empty; assign vdma_dbg_data[188:188] = vdma_we_s; assign vdma_dbg_data[187:187] = vdma_ovf_s; assign vdma_dbg_data[186:186] = vdma_unf_s; assign vdma_dbg_data[185:184] = vdma_dcnt; assign vdma_dbg_data[183:176] = vdma_waddr; assign vdma_dbg_data[175:168] = vdma_raddr; assign vdma_dbg_data[167:160] = vdma_addr_diff; assign vdma_dbg_data[159: 96] = vdma_data; assign vdma_dbg_data[ 95: 80] = vdma_rdcnt; assign vdma_dbg_data[ 79: 64] = vdma_fscnt; assign vdma_dbg_data[ 63: 0] = vdma_wdata[63:0]; assign dac_dbg_trigger[7:4] = 'd0; assign dac_dbg_trigger[3:3] = dds_master_enable; assign dac_dbg_trigger[2:2] = dds_rd; assign dac_dbg_trigger[1:1] = dds_start_m1; assign dac_dbg_trigger[0:0] = dds_start; assign dac_dbg_data[107:107] = dds_master_enable; assign dac_dbg_data[106:106] = dds_rd; assign dac_dbg_data[105:105] = dds_start_m1; assign dac_dbg_data[104:104] = dds_start; assign dac_dbg_data[103: 96] = dds_raddr; assign dac_dbg_data[ 95: 0] = dds_rdata; // dds read and data output (nothing special) always @(posedge dac_div3_clk) begin dds_start_m1 <= vdma_start; dds_start <= dds_start_m1; if (dds_start == 1'b0) begin dds_raddr <= 8'h80; end else if (dds_rd == 1'b1) begin dds_raddr <= dds_raddr + 1'b1; end dds_raddr_g <= b2g(dds_raddr); dds_rdata <= dds_rdata_s; end // a free running counter is used to generate frame sync for vdma- it is up to the software // to set it's value. the only thing is that it should be greater than the frame size. always @(posedge vdma_clk) begin vdma_master_enable_m1 <= dds_master_enable; vdma_master_enable <= vdma_master_enable_m1; vdma_master_enable_d <= vdma_master_enable; if ((vdma_master_enable == 1'b1) && (vdma_master_enable_d == 1'b0)) begin vdma_fscnt <= up_vdma_fscnt; end if (((vdma_master_enable == 1'b1) && (vdma_master_enable_d == 1'b0)) || (vdma_rdcnt >= vdma_fscnt)) begin vdma_rdcnt <= 16'd0; end else if (vdma_we_s == 1'b1) begin vdma_rdcnt <= vdma_rdcnt + 1'b1; end if (((vdma_master_enable == 1'b1) && (vdma_master_enable_d == 1'b0)) || ((vdma_rdcnt >= vdma_fscnt) && (vdma_master_enable_d == 1'b1))) begin vdma_fs <= 1'b1; end else begin vdma_fs <= 1'b0; end end // vdma write, the incoming data is 4 samples (64bits), in order to interface seamlessly to the // OSERDES 3:1 ratio, the dac is set to read 3 (or 6) samples. So data is written to the // memory as 6 samples (96bits). assign vdma_we_s = vdma_valid & vdma_ready; always @(posedge vdma_clk) begin if (vdma_master_enable == 1'b0) begin vdma_start <= 1'b0; vdma_dcnt <= 2'd0; vdma_data_d <= 64'd0; vdma_wr <= 1'b0; vdma_waddr <= 8'd0; vdma_wdata <= 96'd0; end else if (vdma_we_s == 1'b1) begin vdma_start <= 1'b1; if (vdma_dcnt >= 2'd2) begin vdma_dcnt <= 2'd0; end else begin vdma_dcnt <= vdma_dcnt + 1'b1; end vdma_data_d <= vdma_data; vdma_wr <= vdma_dcnt[0] | vdma_dcnt[1]; if (vdma_wr == 1'b1) begin vdma_waddr <= vdma_waddr + 1'b1; end if (vdma_dcnt == 2'd1) begin vdma_wdata[95:80] <= vdma_data_d[15: 0]; vdma_wdata[79:64] <= vdma_data_d[31:16]; vdma_wdata[63:48] <= vdma_data_d[47:32]; vdma_wdata[47:32] <= vdma_data_d[63:48]; vdma_wdata[31:16] <= vdma_data[15: 0]; vdma_wdata[15: 0] <= vdma_data[31:16]; end else begin vdma_wdata[95:80] <= vdma_data_d[47:32]; vdma_wdata[79:64] <= vdma_data_d[63:48]; vdma_wdata[63:48] <= vdma_data[15: 0]; vdma_wdata[47:32] <= vdma_data[31:16]; vdma_wdata[31:16] <= vdma_data[47:32]; vdma_wdata[15: 0] <= vdma_data[63:48]; end end end // overflow or underflow status assign vdma_addr_diff_s = {1'b1, vdma_waddr} - vdma_raddr; assign vdma_ovf_s = (vdma_addr_diff < 3) ? vdma_almost_full : 1'b0; assign vdma_unf_s = (vdma_addr_diff > 250) ? vdma_almost_empty : 1'b0; always @(posedge vdma_clk) begin vdma_raddr_g_m1 <= dds_raddr_g; vdma_raddr_g_m2 <= vdma_raddr_g_m1; vdma_raddr <= g2b(vdma_raddr_g_m2); vdma_addr_diff <= vdma_addr_diff_s[7:0]; if (vdma_addr_diff >= 250) begin vdma_ready <= ~vdma_master_enable; end else if (vdma_addr_diff <= 200) begin vdma_ready <= 1'b1; end vdma_almost_full = (vdma_addr_diff > 250) ? 1'b1 : 1'b0; vdma_almost_empty = (vdma_addr_diff < 3) ? 1'b1 : 1'b0; if (vdma_ovf_s == 1'b1) begin vdma_ovf_count <= 5'h10; end else if (vdma_ovf_count[4] == 1'b1) begin vdma_ovf_count <= vdma_ovf_count + 1'b1; end vdma_ovf <= vdma_ovf_count[4]; if (vdma_unf_s == 1'b1) begin vdma_unf_count <= 5'h10; end else if (vdma_unf_count[4] == 1'b1) begin vdma_unf_count <= vdma_unf_count + 1'b1; end vdma_unf <= vdma_unf_count[4]; end // memory cf_mem #(.DW(96), .AW(8)) i_mem ( .clka (vdma_clk), .wea (vdma_wr), .addra (vdma_waddr), .dina (vdma_wdata), .clkb (dac_div3_clk), .addrb (dds_raddr), .doutb (dds_rdata_s)); endmodule // *************************************************************************** // ***************************************************************************
/* * Copyright 2020 The SkyWater PDK Authors * * Licensed under the Apache License, Version 2.0 (the "License"); * you may not use this file except in compliance with the License. * You may obtain a copy of the License at * * https://www.apache.org/licenses/LICENSE-2.0 * * Unless required by applicable law or agreed to in writing, software * distributed under the License is distributed on an "AS IS" BASIS, * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. * See the License for the specific language governing permissions and * limitations under the License. * * SPDX-License-Identifier: Apache-2.0 */ `ifndef SKY130_FD_SC_HD__FAHCIN_FUNCTIONAL_V `define SKY130_FD_SC_HD__FAHCIN_FUNCTIONAL_V /** * fahcin: Full adder, inverted carry in. * * Verilog simulation functional model. */ `timescale 1ns / 1ps `default_nettype none `celldefine module sky130_fd_sc_hd__fahcin ( COUT, SUM , A , B , CIN ); // Module ports output COUT; output SUM ; input A ; input B ; input CIN ; // Local signals wire ci ; wire xor0_out_SUM; wire a_b ; wire a_ci ; wire b_ci ; wire or0_out_COUT; // Name Output Other arguments not not0 (ci , CIN ); xor xor0 (xor0_out_SUM, A, B, ci ); buf buf0 (SUM , xor0_out_SUM ); and and0 (a_b , A, B ); and and1 (a_ci , A, ci ); and and2 (b_ci , B, ci ); or or0 (or0_out_COUT, a_b, a_ci, b_ci); buf buf1 (COUT , or0_out_COUT ); endmodule `endcelldefine `default_nettype wire `endif // SKY130_FD_SC_HD__FAHCIN_FUNCTIONAL_V
///////////////////////////////////////////////////////////////////// //// //// //// WISHBONE AC 97 Controller //// //// Serial Output Controller //// //// //// //// //// //// Author: Rudolf Usselmann //// //// [email protected] //// //// //// //// //// //// Downloaded from: http://www.opencores.org/cores/ac97_ctrl/ //// //// //// ///////////////////////////////////////////////////////////////////// //// //// //// Copyright (C) 2000-2002 Rudolf Usselmann //// //// www.asics.ws //// //// [email protected] //// //// //// //// This source file may be used and distributed without //// //// restriction provided that this copyright statement is not //// //// removed from the file and that any derivative work contains //// //// the original copyright notice and the associated disclaimer.//// //// //// //// THIS SOFTWARE IS PROVIDED ``AS IS'' AND WITHOUT ANY //// //// EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED //// //// TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS //// //// FOR A PARTICULAR PURPOSE. IN NO EVENT SHALL THE AUTHOR //// //// OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, //// //// INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES //// //// (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE //// //// GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR //// //// BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF //// //// LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT //// //// (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT //// //// OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE //// //// POSSIBILITY OF SUCH DAMAGE. //// //// //// ///////////////////////////////////////////////////////////////////// // CVS Log // // $Id: ac97_soc.v,v 1.3 2002/09/19 06:30:56 rudi Exp $ // // $Date: 2002/09/19 06:30:56 $ // $Revision: 1.3 $ // $Author: rudi $ // $Locker: $ // $State: Exp $ // // Change History: // $Log: ac97_soc.v,v $ // Revision 1.3 2002/09/19 06:30:56 rudi // Fixed a bug reported by Igor. Apparently this bug only shows up when // the WB clock is very low (2x bit_clk). Updated Copyright header. // // Revision 1.2 2002/03/05 04:44:05 rudi // // - Fixed the order of the thrash hold bits to match the spec. // - Many minor synthesis cleanup items ... // // Revision 1.1 2001/08/03 06:54:50 rudi // // // - Changed to new directory structure // // Revision 1.1.1.1 2001/05/19 02:29:15 rudi // Initial Checkin // // // // `include "ac97_defines.v" module ac97_soc(clk, wclk, rst, ps_ce, resume, suspended, sync, out_le, in_valid, ld, valid ); input clk, wclk, rst; input ps_ce; input resume; output suspended; output sync; output [5:0] out_le; output [2:0] in_valid; output ld; output valid; //////////////////////////////////////////////////////////////////// // // Local Wires // reg [7:0] cnt; reg sync_beat; reg sync_resume; reg [5:0] out_le; reg ld; reg valid; reg [2:0] in_valid; reg bit_clk_capture; reg bit_clk_capture_r; //reg bit_clk_r; //reg bit_clk_r1; reg bit_clk_e; reg suspended; wire to; reg [5:0] to_cnt; reg [3:0] res_cnt; wire resume_done; assign sync = sync_beat | sync_resume; //////////////////////////////////////////////////////////////////// // // Misc Logic // always @(posedge clk or negedge rst) if(!rst) cnt <= #1 8'hff; else if(suspended) cnt <= #1 8'hff; else cnt <= #1 cnt + 8'h1; always @(posedge clk) ld <= #1 (cnt == 8'h00); always @(posedge clk) sync_beat <= #1 (cnt == 8'h00) | ((cnt > 8'h00) & (cnt < 8'h10)); always @(posedge clk) valid <= #1 (cnt > 8'h39); always @(posedge clk) out_le[0] <= #1 (cnt == 8'h11); // Slot 0 Latch Enable always @(posedge clk) out_le[1] <= #1 (cnt == 8'h25); // Slot 1 Latch Enable always @(posedge clk) out_le[2] <= #1 (cnt == 8'h39); // Slot 2 Latch Enable always @(posedge clk) out_le[3] <= #1 (cnt == 8'h4d); // Slot 3 Latch Enable always @(posedge clk) out_le[4] <= #1 (cnt == 8'h61); // Slot 4 Latch Enable always @(posedge clk) out_le[5] <= #1 (cnt == 8'h89); // Slot 6 Latch Enable always @(posedge clk) in_valid[0] <= #1 (cnt > 8'h4d); // Input Slot 3 Valid always @(posedge clk) in_valid[1] <= #1 (cnt > 8'h61); // Input Slot 3 Valid always @(posedge clk) in_valid[2] <= #1 (cnt > 8'h89); // Input Slot 3 Valid //////////////////////////////////////////////////////////////////// // // Suspend Detect // always @(clk or bit_clk_e) if(clk) bit_clk_capture <= #1 1'b1; else if(bit_clk_e) bit_clk_capture <= #1 1'b0; //always @(posedge wclk) // bit_clk_r <= #1 clk; // //always @(posedge wclk) // bit_clk_r1 <= #1 bit_clk_r; // //always @(posedge wclk) // bit_clk_e <= #1 (bit_clk_r & !bit_clk_r1) | (!bit_clk_r & bit_clk_r1); always @(posedge wclk) bit_clk_capture_r <= #1 bit_clk_capture; always @(posedge wclk) bit_clk_e <= #1 bit_clk_capture_r; always @(posedge wclk) suspended <= #1 to; assign to = (to_cnt == `AC97_SUSP_DET); always @(posedge wclk or negedge rst) if(!rst) to_cnt <= #1 6'h0; else if(bit_clk_e) to_cnt <= #1 6'h0; else if(!to) to_cnt <= #1 to_cnt + 6'h1; //////////////////////////////////////////////////////////////////// // // Resume Signaling // always @(posedge wclk or negedge rst) if(!rst) sync_resume <= #1 1'b0; else if(resume_done) sync_resume <= #1 1'b0; else if(suspended & resume) sync_resume <= #1 1'b1; assign resume_done = (res_cnt == `AC97_RES_SIG); always @(posedge wclk) if(!sync_resume) res_cnt <= #1 4'h0; else if(ps_ce) res_cnt <= #1 res_cnt + 4'h1; endmodule
/* Distributed under the MIT license. Copyright (c) 2015 Dave McCoy ([email protected]) Permission is hereby granted, free of charge, to any person obtaining a copy of this software and associated documentation files (the "Software"), to deal in the Software without restriction, including without limitation the rights to use, copy, modify, merge, publish, distribute, sublicense, and/or sell copies of the Software, and to permit persons to whom the Software is furnished to do so, subject to the following conditions: The above copyright notice and this permission notice shall be included in all copies or substantial portions of the Software. THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN THE SOFTWARE. */ /* * Author: * Description: * This module generates a CRC16 value from an incomming bitstream * the value is generated from bit that is currently shifting out * The final crc is valid after the last bit is sent, it might be * necessary to send this value one clock cycle before * * Last two bytes of the data * CCCCCCCCCCCCCCCC * C = CRC bit * * Hold in reset when not using * * Online documentation is way to fucking complicated * x^16 + x^12 + x^5 + 1 * To find the polynomial remove the top x^16 then add 2^12 + 2^5 + 1 = 0x1021 * * * Changes: * 2015.08.08: Initial Add * */ module crc16 #( parameter POLYNOMIAL = 16'h1021, parameter SEED = 16'h0000 )( input clk, input rst, input bit, output reg [15:0] crc ); //local parameters //registes/wires //submodules //asynchronous logic //synchronous logic //XXX: Does this need to be asynchronous? always @ (posedge clk) begin if (rst) begin crc <= SEED; end else begin //Shift the output value crc <= bit ? ({crc[14:0], 1'b0} ^ POLYNOMIAL) : {crc[14:0], 1'b0}; end end endmodule
`timescale 1ns / 1ps //////////////////////////////////////////////////////////////////////////////// // Company: // Engineer: // // Create Date: 01:21:06 10/15/2013 // Design Name: Logica_Barra // Module Name: C:/Users/Fabian/Documents/GitHub/taller-diseno-digital/Lab4/lab_pong/Test_Logica_Barra.v // Project Name: lab_pong // Target Device: // Tool versions: // Description: // // Verilog Test Fixture created by ISE for module: Logica_Barra // // Dependencies: // // Revision: // Revision 0.01 - File Created // Additional Comments: // //////////////////////////////////////////////////////////////////////////////// module Test_Logica_Barra; // Inputs reg clock; reg reset; reg actualizar_posicion; reg revisar_bordes; reg up_sync; reg down_sync; // Outputs wire [8:0] barra_y; // Instantiate the Unit Under Test (UUT) Logica_Barra uut ( .clock(clock), .reset(reset), .actualizar_posicion(actualizar_posicion), .revisar_bordes(revisar_bordes), .up_sync(up_sync), .down_sync(down_sync), .barra_y(barra_y) ); initial begin // Initialize Inputs clock = 0; reset = 0; actualizar_posicion = 0; revisar_bordes = 0; up_sync = 0; down_sync = 1; // Wait 100 ns for global reset to finish #100; // Add stimulus here end endmodule
////////////////////////////////////////////////////////////////////////////////// // Company: RMIT University // Engineer: Matthew Myungha Kim // [email protected], [email protected] // // Create Date: 14:32:00 18/03/2014 // Design Name: stimulus_gen // Module Name: stimulus_gen // Project Name: Streaming Media on Null Convention Logic // Description: Stimulus signal generation for gates test - for Testbench // Used .txt file read function // ////////////////////////////////////////////////////////////////////////////////// `timescale 1ns / 1ps module stimulus_gen(clk, rst, req, stm_value, rsb, gnt); parameter INPUT_PORTS = 3; parameter RESET_PORT = 1; // 0: no reset, 1: has reset parameter RESET_SENS = 0; // 0: Active Low, 1: Active High input clk; input rst; input req; output [INPUT_PORTS-1: 0] stm_value; output rsb; output gnt; reg rsb; reg gnt; reg [INPUT_PORTS-1:0] data; integer fd; integer code, dummy; reg [(INPUT_PORTS)*8-1:0] str; assign stm_value = data; initial begin rsb = 1'b0; gnt = 1'b0; @(posedge clk); #100; @(posedge clk); wait (req == 1'b1); @(posedge clk); //@(posedge clk); if(RESET_PORT == 0) begin // select stimulus input text file if(INPUT_PORTS == 1) fd = $fopen("ncl_stimul_1input.txt","r"); else if(INPUT_PORTS == 2) fd = $fopen("ncl_stimul_2input.txt","r"); else if(INPUT_PORTS == 3) fd = $fopen("ncl_stimul_3input.txt","r"); else if(INPUT_PORTS == 4) fd = $fopen("ncl_stimul_4input.txt","r"); data = {INPUT_PORTS-1{1'b0}}; code = 1; while (code) begin code = $fgets(str, fd); dummy = $sscanf(str, "%b", data); code = $fgets(str, fd); // added because of <CR><LF> of .txt file rsb = 1'b0; $monitor("data = %b", data); @(posedge clk); end end else if(RESET_PORT == 1) begin // select stimulus input text file if(INPUT_PORTS == 1) fd = $fopen("ncl_stimul_1input.txt","r"); else if(INPUT_PORTS == 2) fd = $fopen("ncl_stimul_2input.txt","r"); else if(INPUT_PORTS == 3) fd = $fopen("ncl_stimul_3input.txt","r"); else if(INPUT_PORTS == 4) fd = $fopen("ncl_stimul_4input.txt","r"); data = {INPUT_PORTS-1{1'b0}}; code = 1; while (code) begin code = $fgets(str, fd); dummy = $sscanf(str, "%b", data); code = $fgets(str, fd); // added because of <CR><LF> of .txt file rsb = 1'b0; // Reset generation to 0 $monitor("data = %b", data); @(posedge clk); end // close the file for next file open $fclose(fd); // select stimulus input text file if(INPUT_PORTS == 1) fd = $fopen("ncl_stimul_1input.txt","r"); else if(INPUT_PORTS == 2) fd = $fopen("ncl_stimul_2input.txt","r"); else if(INPUT_PORTS == 3) fd = $fopen("ncl_stimul_3input.txt","r"); else if(INPUT_PORTS == 4) fd = $fopen("ncl_stimul_4input.txt","r"); data = {INPUT_PORTS-1{1'b0}}; code = 1; while (code) begin code = $fgets(str, fd); dummy = $sscanf(str, "%b", data); code = $fgets(str, fd); // added to remove <CR><LF> of .txt file rsb = 1'b1; // Reset generation to 1 $monitor("data = %b", data); @(posedge clk); end $fclose(fd); end @(posedge clk); gnt = 1'b1; @(posedge clk); gnt = 1'b0; #100; end endmodule ////////////////////////////////////////////////////////// // Example ////////////////////////////////////////////////////////// // 3-input stimulus example // Null to Data sequence // 000 // -> // 001 010 100 // -> -> -> // 011 101 011 110 101 110 // -> -> -> -> -> -> // 111 111 111 111 111 111 // 000 // 001 // 011 // 111 // 000 // 001 // 101 // 111 // 000 // 010 // 011 // 111 // 000 // 010 // 110 // 111 // 000 // 100 // 101 // 111 // 000 // 100 // 110 // 111 // Alse we need to check more than two value change cases // 000 // 011 // 111 // 000 // 110 // 111 // 000 // 101 // 111 // 000 // 111 // Data to Null sequence // 111 // -> // 110 101 011 // -> -> -> // 100 010 100 001 010 001 // -> -> -> -> -> -> // 000 000 000 000 000 000 // 111 // 110 // 100 // 000 // 111 // 110 // 010 // 000 // 111 // 101 // 100 // 000 // 111 // 101 // 001 // 000 // 111 // 011 // 010 // 000 // 111 // 011 // 001 // 000 // Alse we need to check more than two value change cases // 111 // 100 // 000 // 111 // 001 // 000 // 111 // 010 // 000 // 111 // 000 // NCL doesn't allow these type of signal changes // 0000 // 0100 // 0010 -> This is illegal // 0011 // 1100 -> This is illegal
// ------------------------------------------------------------- // // Generated Architecture Declaration for rtl of ent_b // // Generated // by: wig // on: Tue Jul 4 08:52:39 2006 // cmd: /cygdrive/h/work/eclipse/MIX/mix_0.pl ../../verilog.xls // // !!! Do not edit this file! Autogenerated by MIX !!! // $Author: wig $ // $Id: ent_b.v,v 1.2 2006/07/04 09:54:11 wig Exp $ // $Date: 2006/07/04 09:54:11 $ // $Log: ent_b.v,v $ // Revision 1.2 2006/07/04 09:54:11 wig // Update more testcases, add configuration/cfgfile // // // Based on Mix Verilog Architecture Template built into RCSfile: MixWriter.pm,v // Id: MixWriter.pm,v 1.90 2006/06/22 07:13:21 wig Exp // // Generator: mix_0.pl Revision: 1.46 , [email protected] // (C) 2003,2005 Micronas GmbH // // -------------------------------------------------------------- `timescale 1ns/10ps // // // Start of Generated Module rtl of ent_b // // No user `defines in this module module ent_b // // Generated Module inst_b // ( port_b_1, // Will create p_mix_sig_1_go port port_b_3, // Interhierachy link, will create p_mix_sig_3_go port_b_4, // Interhierachy link, will create p_mix_sig_4_gi port_b_5_1, // Bus, single bits go to outside, will create p_mix_sig_5_2_2_go port_b_5_2, // Bus, single bits go to outside, will create P_MIX_sound_alarm_test5_1_1_GO port_b_6i, // Conflicting definition port_b_6o, // Conflicting definition sig_07, // Conflicting definition, IN false! sig_08 // VHDL intermediate needed (port name) ); // Generated Module Inputs: input port_b_1; input port_b_3; input port_b_5_1; input port_b_5_2; input [3:0] port_b_6i; input [5:0] sig_07; input [8:2] sig_08; // Generated Module Outputs: output port_b_4; output [3:0] port_b_6o; // Generated Wires: wire port_b_1; wire port_b_3; wire port_b_4; wire port_b_5_1; wire port_b_5_2; wire [3:0] port_b_6i; wire [3:0] port_b_6o; wire [5:0] sig_07; wire [8:2] sig_08; // End of generated module header // Internal signals // // Generated Signal List // // // End of Generated Signal List // // %COMPILER_OPTS% // // Generated Signal Assignments // // // Generated Instances and Port Mappings // `ifdef exclude_inst_ba `else // Generated Instance Port Map for inst_ba ent_ba inst_ba ( ); // End of Generated Instance Port Map for inst_ba `endif `ifdef exclude_inst_bb `else // Generated Instance Port Map for inst_bb ent_bb inst_bb ( ); // End of Generated Instance Port Map for inst_bb `endif endmodule // // End of Generated Module rtl of ent_b // // //!End of Module/s // --------------------------------------------------------------
/** * Copyright 2020 The SkyWater PDK Authors * * Licensed under the Apache License, Version 2.0 (the "License"); * you may not use this file except in compliance with the License. * You may obtain a copy of the License at * * https://www.apache.org/licenses/LICENSE-2.0 * * Unless required by applicable law or agreed to in writing, software * distributed under the License is distributed on an "AS IS" BASIS, * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. * See the License for the specific language governing permissions and * limitations under the License. * * SPDX-License-Identifier: Apache-2.0 */ `ifndef SKY130_FD_SC_LP__BUFINV_BLACKBOX_V `define SKY130_FD_SC_LP__BUFINV_BLACKBOX_V /** * bufinv: Buffer followed by inverter. * * Verilog stub definition (black box without power pins). * * WARNING: This file is autogenerated, do not modify directly! */ `timescale 1ns / 1ps `default_nettype none (* blackbox *) module sky130_fd_sc_lp__bufinv ( Y, A ); output Y; input A; // Voltage supply signals supply1 VPWR; supply0 VGND; supply1 VPB ; supply0 VNB ; endmodule `default_nettype wire `endif // SKY130_FD_SC_LP__BUFINV_BLACKBOX_V
// *************************************************************************** // *************************************************************************** // Copyright 2011(c) Analog Devices, Inc. // // All rights reserved. // // Redistribution and use in source and binary forms, with or without modification, // are permitted provided that the following conditions are met: // - Redistributions of source code must retain the above copyright // notice, this list of conditions and the following disclaimer. // - Redistributions in binary form must reproduce the above copyright // notice, this list of conditions and the following disclaimer in // the documentation and/or other materials provided with the // distribution. // - Neither the name of Analog Devices, Inc. nor the names of its // contributors may be used to endorse or promote products derived // from this software without specific prior written permission. // - The use of this software may or may not infringe the patent rights // of one or more patent holders. This license does not release you // from the requirement that you obtain separate licenses from these // patent holders to use this software. // - Use of the software either in source or binary form, must be run // on or directly connected to an Analog Devices Inc. component. // // THIS SOFTWARE IS PROVIDED BY ANALOG DEVICES "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, // INCLUDING, BUT NOT LIMITED TO, NON-INFRINGEMENT, MERCHANTABILITY AND FITNESS FOR A // PARTICULAR PURPOSE ARE DISCLAIMED. // // IN NO EVENT SHALL ANALOG DEVICES BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, // EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, INTELLECTUAL PROPERTY // RIGHTS, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR // BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, // STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF // THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. // *************************************************************************** // *************************************************************************** // *************************************************************************** // *************************************************************************** `timescale 1ns/100ps module system_top ( sys_rst, sys_clk_p, sys_clk_n, uart_sin, uart_sout, ddr3_addr, ddr3_ba, ddr3_cas_n, ddr3_ck_n, ddr3_ck_p, ddr3_cke, ddr3_cs_n, ddr3_dm, ddr3_dq, ddr3_dqs_n, ddr3_dqs_p, ddr3_odt, ddr3_ras_n, ddr3_reset_n, ddr3_we_n, sgmii_rxp, sgmii_rxn, sgmii_txp, sgmii_txn, phy_rstn, mgt_clk_p, mgt_clk_n, mdio_mdc, mdio_mdio, fan_pwm, linear_flash_addr, linear_flash_adv_ldn, linear_flash_ce_n, linear_flash_oen, linear_flash_wen, linear_flash_dq_io, gpio_lcd, gpio_bd, iic_rstn, iic_scl, iic_sda, dac_clk_in_p, dac_clk_in_n, dac_clk_out_p, dac_clk_out_n, dac_frame_out_p, dac_frame_out_n, dac_data_out_p, dac_data_out_n, adc_clk_in_p, adc_clk_in_n, adc_or_in_p, adc_or_in_n, adc_data_in_p, adc_data_in_n, ref_clk_out_p, ref_clk_out_n ); input sys_rst; input sys_clk_p; input sys_clk_n; input uart_sin; output uart_sout; output [13:0] ddr3_addr; output [ 2:0] ddr3_ba; output ddr3_cas_n; output [ 0:0] ddr3_ck_n; output [ 0:0] ddr3_ck_p; output [ 0:0] ddr3_cke; output [ 0:0] ddr3_cs_n; output [ 7:0] ddr3_dm; inout [63:0] ddr3_dq; inout [ 7:0] ddr3_dqs_n; inout [ 7:0] ddr3_dqs_p; output [ 0:0] ddr3_odt; output ddr3_ras_n; output ddr3_reset_n; output ddr3_we_n; input sgmii_rxp; input sgmii_rxn; output sgmii_txp; output sgmii_txn; output phy_rstn; input mgt_clk_p; input mgt_clk_n; output mdio_mdc; inout mdio_mdio; output fan_pwm; output [26:1] linear_flash_addr; output linear_flash_adv_ldn; output linear_flash_ce_n; output linear_flash_oen; output linear_flash_wen; inout [15:0] linear_flash_dq_io; inout [ 6:0] gpio_lcd; inout [20:0] gpio_bd; output iic_rstn; inout iic_scl; inout iic_sda; input dac_clk_in_p; input dac_clk_in_n; output dac_clk_out_p; output dac_clk_out_n; output dac_frame_out_p; output dac_frame_out_n; output [15:0] dac_data_out_p; output [15:0] dac_data_out_n; input adc_clk_in_p; input adc_clk_in_n; input adc_or_in_p; input adc_or_in_n; input [13:0] adc_data_in_p; input [13:0] adc_data_in_n; output ref_clk_out_p; output ref_clk_out_n; // internal registers reg [63:0] dac_ddata_0 = 'd0; reg [63:0] dac_ddata_1 = 'd0; reg dac_dma_rd = 'd0; reg adc_data_cnt = 'd0; reg adc_dma_wr = 'd0; reg [31:0] adc_dma_wdata = 'd0; // internal signals wire [63:0] gpio_i; wire [63:0] gpio_o; wire [63:0] gpio_t; wire [ 7:0] spi_csn; wire spi_clk; wire spi_mosi; wire spi_miso; wire dac_clk; wire dac_valid_0; wire dac_enable_0; wire dac_valid_1; wire dac_enable_1; wire [63:0] dac_dma_rdata; wire adc_clk; wire adc_valid_0; wire adc_enable_0; wire [15:0] adc_data_0; wire adc_valid_1; wire adc_enable_1; wire [15:0] adc_data_1; wire ref_clk; wire oddr_ref_clk; // assignments assign fan_pwm = 1'b1; assign iic_rstn = 1'b1; // instantiations ODDR #( .DDR_CLK_EDGE ("SAME_EDGE"), .INIT (1'b0), .SRTYPE ("ASYNC")) i_oddr_ref_clk ( .S (1'b0), .CE (1'b1), .R (1'b0), .C (ref_clk), .D1 (1'b1), .D2 (1'b0), .Q (oddr_ref_clk)); OBUFDS i_obufds_ref_clk ( .I (oddr_ref_clk), .O (ref_clk_out_p), .OB (ref_clk_out_n)); always @(posedge dac_clk) begin dac_dma_rd <= dac_valid_0 & dac_enable_0; dac_ddata_1[63:48] <= dac_dma_rdata[63:48]; dac_ddata_1[47:32] <= dac_dma_rdata[63:48]; dac_ddata_1[31:16] <= dac_dma_rdata[31:16]; dac_ddata_1[15: 0] <= dac_dma_rdata[31:16]; dac_ddata_0[63:48] <= dac_dma_rdata[47:32]; dac_ddata_0[47:32] <= dac_dma_rdata[47:32]; dac_ddata_0[31:16] <= dac_dma_rdata[15: 0]; dac_ddata_0[15: 0] <= dac_dma_rdata[15: 0]; end always @(posedge adc_clk) begin adc_data_cnt <= ~adc_data_cnt; case ({adc_enable_1, adc_enable_0}) 2'b10: begin adc_dma_wr <= adc_data_cnt; adc_dma_wdata <= {adc_data_1, adc_dma_wdata[31:16]}; end 2'b01: begin adc_dma_wr <= adc_data_cnt; adc_dma_wdata <= {adc_data_0, adc_dma_wdata[31:16]}; end default: begin adc_dma_wr <= 1'b1; adc_dma_wdata <= {adc_data_1, adc_data_0}; end endcase end ad_iobuf #(.DATA_WIDTH(21)) i_iobuf_sw_led ( .dio_t (gpio_t[20:0]), .dio_i (gpio_o[20:0]), .dio_o (gpio_i[20:0]), .dio_p (gpio_bd)); system_wrapper i_system_wrapper ( .ddr3_addr (ddr3_addr), .ddr3_ba (ddr3_ba), .ddr3_cas_n (ddr3_cas_n), .ddr3_ck_n (ddr3_ck_n), .ddr3_ck_p (ddr3_ck_p), .ddr3_cke (ddr3_cke), .ddr3_cs_n (ddr3_cs_n), .ddr3_dm (ddr3_dm), .ddr3_dq (ddr3_dq), .ddr3_dqs_n (ddr3_dqs_n), .ddr3_dqs_p (ddr3_dqs_p), .ddr3_odt (ddr3_odt), .ddr3_ras_n (ddr3_ras_n), .ddr3_reset_n (ddr3_reset_n), .ddr3_we_n (ddr3_we_n), .iic_main_scl_io (iic_scl), .iic_main_sda_io (iic_sda), .linear_flash_addr (linear_flash_addr), .linear_flash_adv_ldn (linear_flash_adv_ldn), .linear_flash_ce_n (linear_flash_ce_n), .linear_flash_oen (linear_flash_oen), .linear_flash_wen (linear_flash_wen), .linear_flash_dq_io(linear_flash_dq_io), .gpio0_i (gpio_i[31:0]), .gpio0_o (gpio_o[31:0]), .gpio0_t (gpio_t[31:0]), .gpio1_i (gpio_i[63:32]), .gpio1_o (gpio_o[63:32]), .gpio1_t (gpio_t[63:32]), .gpio_lcd_tri_io (gpio_lcd), .adc_clk (adc_clk), .adc_clk_in_n (adc_clk_in_n), .adc_clk_in_p (adc_clk_in_p), .adc_data_0 (adc_data_0), .adc_data_1 (adc_data_1), .adc_data_in_n (adc_data_in_n), .adc_data_in_p (adc_data_in_p), .adc_dma_wdata (adc_dma_wdata), .adc_dma_wr (adc_dma_wr), .adc_enable_0 (adc_enable_0), .adc_enable_1 (adc_enable_1), .adc_or_in_n (adc_or_in_n), .adc_or_in_p (adc_or_in_p), .adc_valid_0 (adc_valid_0), .adc_valid_1 (adc_valid_1), .dac_clk (dac_clk), .dac_clk_in_n (dac_clk_in_n), .dac_clk_in_p (dac_clk_in_p), .dac_clk_out_n (dac_clk_out_n), .dac_clk_out_p (dac_clk_out_p), .dac_data_out_n (dac_data_out_n), .dac_data_out_p (dac_data_out_p), .dac_ddata_0 (dac_ddata_0), .dac_ddata_1 (dac_ddata_1), .dac_dma_rd (dac_dma_rd), .dac_dma_rdata (dac_dma_rdata), .dac_enable_0 (dac_enable_0), .dac_enable_1 (dac_enable_1), .dac_frame_out_n (dac_frame_out_n), .dac_frame_out_p (dac_frame_out_p), .dac_valid_0 (dac_valid_0), .dac_valid_1 (dac_valid_1), .ref_clk (ref_clk), .mb_intr_06 (1'b0), .mb_intr_07 (1'b0), .mb_intr_08 (1'b0), .mb_intr_14 (1'b0), .mb_intr_15 (1'b0), .mdio_mdc (mdio_mdc), .mdio_mdio_io (mdio_mdio), .mgt_clk_clk_n (mgt_clk_n), .mgt_clk_clk_p (mgt_clk_p), .phy_rstn (phy_rstn), .phy_sd (1'b1), .sgmii_rxn (sgmii_rxn), .sgmii_rxp (sgmii_rxp), .sgmii_txn (sgmii_txn), .sgmii_txp (sgmii_txp), .spi_clk_i (1'b0), .spi_clk_o (spi_clk), .spi_csn_i (8'hff), .spi_csn_o (spi_csn), .spi_sdi_i (spi_miso), .spi_sdo_i (1'b0), .spi_sdo_o (spi_mosi), .sys_clk_n (sys_clk_n), .sys_clk_p (sys_clk_p), .sys_rst (sys_rst), .uart_sin (uart_sin), .uart_sout (uart_sout)); endmodule // *************************************************************************** // ***************************************************************************
/* Legal Notice: (C)2009 Altera Corporation. All rights reserved. Your use of Altera Corporation's design tools, logic functions and other software and tools, and its AMPP partner logic functions, and any output files any of the foregoing (including device programming or simulation files), and any associated documentation or information are expressly subject to the terms and conditions of the Altera Program License Subscription Agreement or other applicable license agreement, including, without limitation, that your use is for the sole purpose of programming logic devices manufactured by Altera and sold by Altera or its authorized distributors. Please refer to the applicable agreement for further details. */ /* Author: JCJB Date: 07/01/2009 This optional block is used for two purposes: 1) Relay response information back to the host typically in ST->MM mode. This information is 'actual bytes transferred', 'error', and 'early termination'. 2) Relay response and interrupt information back to a prefetching master block that will write the contents back to memory. Interrupt information is also passed since the interrupt needs to occur when the prefetching master block overwrites the descriptor in main memory and not when the event occurs. The host needs to read the interrupt condition out of memory so it could potentially get out of sync if the interrupt information wasn't buffered and delayed. This block has three response port options: MM slave, ST source, and disabled. When you don't need access to response information (MM->MM or MM->ST) or interrupts in the case of a prefetching descriptor master then you can safely disable the port. By disabling the port you will not consume any logic resources or on-chip memory blocks. When the source port is enabled bit 52 of the data stream represents the "descriptor full" condition. The descriptor prefetching master can use this signal to perform pipelined reads without having to worry about flow control (since there is room for an entire descriptor to be written). This is benefical as apposed to performing descriptor reads, buffering the data, then writting it out to the descriptor buffer block. Version 1.0 1.0 - If you attempt to use the wrong response port type you will be issued a warning but allowed to generate. This is because in some cases you may not need the typical behavior. For example if you perform MM->MM transfers with some streaming IP between the read and write masters you still might need access to error bits. Likewise if you don't enable the streaming sink port while using a descriptor pre-fetching block you may not care if you get interrupted early and want to use the CSR block for interrupts instead. */ // synthesis translate_off `timescale 1ns / 1ps // synthesis translate_on // turn off superfluous verilog processor warnings // altera message_level Level1 // altera message_off 10034 10035 10036 10037 10230 10240 10030 module response_block ( clk, reset, mm_response_readdata, mm_response_read, mm_response_address, mm_response_byteenable, mm_response_waitrequest, src_response_data, src_response_valid, src_response_ready, sw_reset, response_watermark, response_fifo_full, response_fifo_empty, done_strobe, actual_bytes_transferred, error, early_termination, transfer_complete_IRQ_mask, error_IRQ_mask, early_termination_IRQ_mask, descriptor_buffer_full ); parameter RESPONSE_PORT = 0; // when disabled all the outputs will be disconnected by the component wrapper parameter FIFO_DEPTH = 256; // needs to be double the descriptor FIFO depth parameter FIFO_DEPTH_LOG2 = 8; localparam FIFO_WIDTH = (RESPONSE_PORT == 0)? 41 : 51; // when 'RESPONSE_PORT' is 1 then the response port is set to streaming and must pass the interrupt masks as well input clk; input reset; output wire [31:0] mm_response_readdata; input mm_response_read; input mm_response_address; // only have 2 addresses input [3:0] mm_response_byteenable; output wire mm_response_waitrequest; output wire [255:0] src_response_data; // not going to use all these bits, the remainder will be grounded output wire src_response_valid; input src_response_ready; input sw_reset; output wire [15:0] response_watermark; output wire response_fifo_full; output wire response_fifo_empty; input done_strobe; input [31:0] actual_bytes_transferred; input [7:0] error; input early_termination; // all of these signals are only used the ST source response port since the pre-fetching master component will handle the interrupt generation as apposed to the CSR block input transfer_complete_IRQ_mask; input [7:0] error_IRQ_mask; input early_termination_IRQ_mask; input descriptor_buffer_full; // handy signal for the prefetching master to use so that it known when to blast a new descriptor into the dispatcher /* internal signals and registers */ wire [FIFO_DEPTH_LOG2-1:0] fifo_used; wire fifo_full; wire fifo_empty; wire fifo_read; wire [FIFO_WIDTH-1:0] fifo_input; wire [FIFO_WIDTH-1:0] fifo_output; generate if (RESPONSE_PORT == 0) // slave port used for response data begin assign fifo_input = {early_termination, error, actual_bytes_transferred}; assign fifo_read = (mm_response_read == 1) & (fifo_empty == 0) & (mm_response_address == 1) & (mm_response_byteenable[3] == 1); // reading from the upper byte (byte offset 7) pops the fifo scfifo the_response_FIFO ( .clock (clk), .aclr (reset), .sclr (sw_reset), .data (fifo_input), .wrreq (done_strobe), .rdreq (fifo_read), .q (fifo_output), .full (fifo_full), .empty (fifo_empty), .usedw (fifo_used) ); defparam the_response_FIFO.lpm_width = FIFO_WIDTH; defparam the_response_FIFO.lpm_numwords = FIFO_DEPTH; defparam the_response_FIFO.lpm_widthu = FIFO_DEPTH_LOG2; defparam the_response_FIFO.lpm_showahead = "ON"; defparam the_response_FIFO.use_eab = "ON"; defparam the_response_FIFO.overflow_checking = "OFF"; defparam the_response_FIFO.underflow_checking = "OFF"; defparam the_response_FIFO.add_ram_output_register = "ON"; defparam the_response_FIFO.lpm_type = "scfifo"; // either actual bytes transfered when address == 0 or {zero padding, early_termination, error[7:0]} when address = 1 assign mm_response_readdata = (mm_response_address == 0)? fifo_output[31:0] : {{23{1'b0}}, fifo_output[40:32]}; assign mm_response_waitrequest = fifo_empty; assign response_watermark = {{(16-(FIFO_DEPTH_LOG2+1)){1'b0}}, fifo_full, fifo_used}; // zero padding plus the 'true used' FIFO amount assign response_fifo_full = fifo_full; assign response_fifo_empty = fifo_empty; // no streaming port so ground all of its outputs assign src_response_data = 0; assign src_response_valid = 0; end else if (RESPONSE_PORT == 1) // streaming source port used for response data (prefetcher will catch this data) begin assign fifo_input = {early_termination_IRQ_mask, error_IRQ_mask, transfer_complete_IRQ_mask, early_termination, error, actual_bytes_transferred}; assign fifo_read = (fifo_empty == 0) & (src_response_ready == 1); scfifo the_response_FIFO ( .clock (clk), .aclr (reset | sw_reset), .data (fifo_input), .wrreq (done_strobe), .rdreq (fifo_read), .q (fifo_output), .full (fifo_full), .empty (fifo_empty), .usedw (fifo_used) ); defparam the_response_FIFO.lpm_width = FIFO_WIDTH; defparam the_response_FIFO.lpm_numwords = FIFO_DEPTH; defparam the_response_FIFO.lpm_widthu = FIFO_DEPTH_LOG2; defparam the_response_FIFO.lpm_showahead = "ON"; defparam the_response_FIFO.use_eab = "ON"; defparam the_response_FIFO.overflow_checking = "OFF"; defparam the_response_FIFO.underflow_checking = "OFF"; defparam the_response_FIFO.add_ram_output_register = "ON"; defparam the_response_FIFO.lpm_type = "scfifo"; assign src_response_data = {{204{1'b0}}, descriptor_buffer_full, fifo_output}; // zero padding the upper bits, also sending out the descriptor buffer full signal to simplify the throttling in the prefetching master (bit 52) assign src_response_valid = (fifo_empty == 0); assign response_watermark = {{(16-(FIFO_DEPTH_LOG2+1)){1'b0}}, fifo_full, fifo_used}; // zero padding plus the 'true used' FIFO amount; assign response_fifo_full = fifo_full; assign response_fifo_empty = fifo_empty; // no slave port so ground all of its outputs assign mm_response_readdata = 0; assign mm_response_waitrequest = 0; end else // no response port so grounding all outputs begin assign fifo_input = 0; assign fifo_output = 0; assign mm_response_readdata = 0; assign mm_response_waitrequest = 0; assign src_response_data = 0; assign src_response_valid = 0; assign response_watermark = 0; assign response_fifo_full = 0; assign response_fifo_empty = 0; end endgenerate endmodule
// (c) Copyright 1995-2016 Xilinx, Inc. All rights reserved. // // This file contains confidential and proprietary information // of Xilinx, Inc. and is protected under U.S. and // international copyright and other intellectual property // laws. // // DISCLAIMER // This disclaimer is not a license and does not grant any // rights to the materials distributed herewith. Except as // otherwise provided in a valid license issued to you by // Xilinx, and to the maximum extent permitted by applicable // law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND // WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES // AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING // BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- // INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and // (2) Xilinx shall not be liable (whether in contract or tort, // including negligence, or under any other theory of // liability) for any loss or damage of any kind or nature // related to, arising under or in connection with these // materials, including for any direct, or any indirect, // special, incidental, or consequential loss or damage // (including loss of data, profits, goodwill, or any type of // loss or damage suffered as a result of any action brought // by a third party) even if such damage or loss was // reasonably foreseeable or Xilinx had been advised of the // possibility of the same. // // CRITICAL APPLICATIONS // Xilinx products are not designed or intended to be fail- // safe, or for use in any application requiring fail-safe // performance, such as life-support or safety devices or // systems, Class III medical devices, nuclear facilities, // applications related to the deployment of airbags, or any // other applications that could lead to death, personal // injury, or severe property or environmental damage // (individually and collectively, "Critical // Applications"). Customer assumes the sole risk and // liability of any use of Xilinx products in Critical // Applications, subject only to applicable laws and // regulations governing limitations on product liability. // // THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS // PART OF THIS FILE AT ALL TIMES. // // DO NOT MODIFY THIS FILE. // IP VLNV: xilinx.com:ip:axi_protocol_converter:2.1 // IP Revision: 7 (* X_CORE_INFO = "axi_protocol_converter_v2_1_7_axi_protocol_converter,Vivado 2015.4.2" *) (* CHECK_LICENSE_TYPE = "design_SWandHW_standalone_auto_pc_0,axi_protocol_converter_v2_1_7_axi_protocol_converter,{}" *) (* CORE_GENERATION_INFO = "design_SWandHW_standalone_auto_pc_0,axi_protocol_converter_v2_1_7_axi_protocol_converter,{x_ipProduct=Vivado 2015.4.2,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=axi_protocol_converter,x_ipVersion=2.1,x_ipCoreRevision=7,x_ipLanguage=VHDL,x_ipSimLanguage=MIXED,C_FAMILY=zynq,C_M_AXI_PROTOCOL=2,C_S_AXI_PROTOCOL=1,C_IGNORE_ID=0,C_AXI_ID_WIDTH=12,C_AXI_ADDR_WIDTH=32,C_AXI_DATA_WIDTH=32,C_AXI_SUPPORTS_WRITE=1,C_AXI_SUPPORTS_READ=1,C_AXI_SUPPORTS_USER_SIGNALS=0,C_AXI_AWUSER_WIDTH=1,C_AXI_ARUSER_WIDTH=1,C_AXI_WUSER_WIDTH=1,C_AXI_RUSER_WIDTH=1,C_AXI_BUSER_WIDTH=1,C_TRANSLATION_MODE=2}" *) (* DowngradeIPIdentifiedWarnings = "yes" *) module design_SWandHW_standalone_auto_pc_0 ( aclk, aresetn, s_axi_awid, s_axi_awaddr, s_axi_awlen, s_axi_awsize, s_axi_awburst, s_axi_awlock, s_axi_awcache, s_axi_awprot, s_axi_awqos, s_axi_awvalid, s_axi_awready, s_axi_wid, s_axi_wdata, s_axi_wstrb, s_axi_wlast, s_axi_wvalid, s_axi_wready, s_axi_bid, s_axi_bresp, s_axi_bvalid, s_axi_bready, s_axi_arid, s_axi_araddr, s_axi_arlen, s_axi_arsize, s_axi_arburst, s_axi_arlock, s_axi_arcache, s_axi_arprot, s_axi_arqos, s_axi_arvalid, s_axi_arready, s_axi_rid, s_axi_rdata, s_axi_rresp, s_axi_rlast, s_axi_rvalid, s_axi_rready, m_axi_awaddr, m_axi_awprot, m_axi_awvalid, m_axi_awready, m_axi_wdata, m_axi_wstrb, m_axi_wvalid, m_axi_wready, m_axi_bresp, m_axi_bvalid, m_axi_bready, m_axi_araddr, m_axi_arprot, m_axi_arvalid, m_axi_arready, m_axi_rdata, m_axi_rresp, m_axi_rvalid, m_axi_rready ); (* X_INTERFACE_INFO = "xilinx.com:signal:clock:1.0 CLK CLK" *) input wire aclk; (* X_INTERFACE_INFO = "xilinx.com:signal:reset:1.0 RST RST" *) input wire aresetn; (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI AWID" *) input wire [11 : 0] s_axi_awid; (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI AWADDR" *) input wire [31 : 0] s_axi_awaddr; (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI AWLEN" *) input wire [3 : 0] s_axi_awlen; (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI AWSIZE" *) input wire [2 : 0] s_axi_awsize; (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI AWBURST" *) input wire [1 : 0] s_axi_awburst; (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI AWLOCK" *) input wire [1 : 0] s_axi_awlock; (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI AWCACHE" *) input wire [3 : 0] s_axi_awcache; (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI AWPROT" *) input wire [2 : 0] s_axi_awprot; (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI AWQOS" *) input wire [3 : 0] s_axi_awqos; (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI AWVALID" *) input wire s_axi_awvalid; (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI AWREADY" *) output wire s_axi_awready; (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI WID" *) input wire [11 : 0] s_axi_wid; (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI WDATA" *) input wire [31 : 0] s_axi_wdata; (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI WSTRB" *) input wire [3 : 0] s_axi_wstrb; (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI WLAST" *) input wire s_axi_wlast; (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI WVALID" *) input wire s_axi_wvalid; (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI WREADY" *) output wire s_axi_wready; (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI BID" *) output wire [11 : 0] s_axi_bid; (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI BRESP" *) output wire [1 : 0] s_axi_bresp; (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI BVALID" *) output wire s_axi_bvalid; (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI BREADY" *) input wire s_axi_bready; (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI ARID" *) input wire [11 : 0] s_axi_arid; (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI ARADDR" *) input wire [31 : 0] s_axi_araddr; (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI ARLEN" *) input wire [3 : 0] s_axi_arlen; (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI ARSIZE" *) input wire [2 : 0] s_axi_arsize; (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI ARBURST" *) input wire [1 : 0] s_axi_arburst; (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI ARLOCK" *) input wire [1 : 0] s_axi_arlock; (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI ARCACHE" *) input wire [3 : 0] s_axi_arcache; (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI ARPROT" *) input wire [2 : 0] s_axi_arprot; (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI ARQOS" *) input wire [3 : 0] s_axi_arqos; (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI ARVALID" *) input wire s_axi_arvalid; (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI ARREADY" *) output wire s_axi_arready; (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI RID" *) output wire [11 : 0] s_axi_rid; (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI RDATA" *) output wire [31 : 0] s_axi_rdata; (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI RRESP" *) output wire [1 : 0] s_axi_rresp; (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI RLAST" *) output wire s_axi_rlast; (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI RVALID" *) output wire s_axi_rvalid; (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI RREADY" *) input wire s_axi_rready; (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI AWADDR" *) output wire [31 : 0] m_axi_awaddr; (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI AWPROT" *) output wire [2 : 0] m_axi_awprot; (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI AWVALID" *) output wire m_axi_awvalid; (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI AWREADY" *) input wire m_axi_awready; (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI WDATA" *) output wire [31 : 0] m_axi_wdata; (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI WSTRB" *) output wire [3 : 0] m_axi_wstrb; (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI WVALID" *) output wire m_axi_wvalid; (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI WREADY" *) input wire m_axi_wready; (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI BRESP" *) input wire [1 : 0] m_axi_bresp; (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI BVALID" *) input wire m_axi_bvalid; (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI BREADY" *) output wire m_axi_bready; (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI ARADDR" *) output wire [31 : 0] m_axi_araddr; (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI ARPROT" *) output wire [2 : 0] m_axi_arprot; (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI ARVALID" *) output wire m_axi_arvalid; (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI ARREADY" *) input wire m_axi_arready; (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI RDATA" *) input wire [31 : 0] m_axi_rdata; (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI RRESP" *) input wire [1 : 0] m_axi_rresp; (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI RVALID" *) input wire m_axi_rvalid; (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI RREADY" *) output wire m_axi_rready; axi_protocol_converter_v2_1_7_axi_protocol_converter #( .C_FAMILY("zynq"), .C_M_AXI_PROTOCOL(2), .C_S_AXI_PROTOCOL(1), .C_IGNORE_ID(0), .C_AXI_ID_WIDTH(12), .C_AXI_ADDR_WIDTH(32), .C_AXI_DATA_WIDTH(32), .C_AXI_SUPPORTS_WRITE(1), .C_AXI_SUPPORTS_READ(1), .C_AXI_SUPPORTS_USER_SIGNALS(0), .C_AXI_AWUSER_WIDTH(1), .C_AXI_ARUSER_WIDTH(1), .C_AXI_WUSER_WIDTH(1), .C_AXI_RUSER_WIDTH(1), .C_AXI_BUSER_WIDTH(1), .C_TRANSLATION_MODE(2) ) inst ( .aclk(aclk), .aresetn(aresetn), .s_axi_awid(s_axi_awid), .s_axi_awaddr(s_axi_awaddr), .s_axi_awlen(s_axi_awlen), .s_axi_awsize(s_axi_awsize), .s_axi_awburst(s_axi_awburst), .s_axi_awlock(s_axi_awlock), .s_axi_awcache(s_axi_awcache), .s_axi_awprot(s_axi_awprot), .s_axi_awregion(4'H0), .s_axi_awqos(s_axi_awqos), .s_axi_awuser(1'H0), .s_axi_awvalid(s_axi_awvalid), .s_axi_awready(s_axi_awready), .s_axi_wid(s_axi_wid), .s_axi_wdata(s_axi_wdata), .s_axi_wstrb(s_axi_wstrb), .s_axi_wlast(s_axi_wlast), .s_axi_wuser(1'H0), .s_axi_wvalid(s_axi_wvalid), .s_axi_wready(s_axi_wready), .s_axi_bid(s_axi_bid), .s_axi_bresp(s_axi_bresp), .s_axi_buser(), .s_axi_bvalid(s_axi_bvalid), .s_axi_bready(s_axi_bready), .s_axi_arid(s_axi_arid), .s_axi_araddr(s_axi_araddr), .s_axi_arlen(s_axi_arlen), .s_axi_arsize(s_axi_arsize), .s_axi_arburst(s_axi_arburst), .s_axi_arlock(s_axi_arlock), .s_axi_arcache(s_axi_arcache), .s_axi_arprot(s_axi_arprot), .s_axi_arregion(4'H0), .s_axi_arqos(s_axi_arqos), .s_axi_aruser(1'H0), .s_axi_arvalid(s_axi_arvalid), .s_axi_arready(s_axi_arready), .s_axi_rid(s_axi_rid), .s_axi_rdata(s_axi_rdata), .s_axi_rresp(s_axi_rresp), .s_axi_rlast(s_axi_rlast), .s_axi_ruser(), .s_axi_rvalid(s_axi_rvalid), .s_axi_rready(s_axi_rready), .m_axi_awid(), .m_axi_awaddr(m_axi_awaddr), .m_axi_awlen(), .m_axi_awsize(), .m_axi_awburst(), .m_axi_awlock(), .m_axi_awcache(), .m_axi_awprot(m_axi_awprot), .m_axi_awregion(), .m_axi_awqos(), .m_axi_awuser(), .m_axi_awvalid(m_axi_awvalid), .m_axi_awready(m_axi_awready), .m_axi_wid(), .m_axi_wdata(m_axi_wdata), .m_axi_wstrb(m_axi_wstrb), .m_axi_wlast(), .m_axi_wuser(), .m_axi_wvalid(m_axi_wvalid), .m_axi_wready(m_axi_wready), .m_axi_bid(12'H000), .m_axi_bresp(m_axi_bresp), .m_axi_buser(1'H0), .m_axi_bvalid(m_axi_bvalid), .m_axi_bready(m_axi_bready), .m_axi_arid(), .m_axi_araddr(m_axi_araddr), .m_axi_arlen(), .m_axi_arsize(), .m_axi_arburst(), .m_axi_arlock(), .m_axi_arcache(), .m_axi_arprot(m_axi_arprot), .m_axi_arregion(), .m_axi_arqos(), .m_axi_aruser(), .m_axi_arvalid(m_axi_arvalid), .m_axi_arready(m_axi_arready), .m_axi_rid(12'H000), .m_axi_rdata(m_axi_rdata), .m_axi_rresp(m_axi_rresp), .m_axi_rlast(1'H1), .m_axi_ruser(1'H0), .m_axi_rvalid(m_axi_rvalid), .m_axi_rready(m_axi_rready) ); endmodule
/* * This program is free software; you can redistribute it and/or modify * it under the terms of the GNU General Public License as published by * the Free Software Foundation; either version 2 of the License, or * (at your option) any later version. * * This program is distributed in the hope that it will be useful, * but WITHOUT ANY WARRANTY; without even the implied warranty of * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the * GNU General Public License for more details. * * You should have received a copy of the GNU General Public License * along with this program; if not, write to the Free Software * Foundation, Inc., 51 Franklin Street, Fifth Floor, Boston, * MA 02110-1301, USA. * */ `default_nettype none // // This module implements a 16 bit SPI slave. // The main module name is spi. // // A parallel output shift register clocked on rising edge module spirdshft( output [7:0] dout, input din, input clk, input en); reg [7:0] doutregister = 8'h00; assign dout = doutregister; always @(posedge clk) begin if(en) begin doutregister[7:1] <= doutregister[6:0]; doutregister[0] <= din; // Clocked into LSB first end end endmodule // A parallel input shift register clocked on falling edge module spiwrshft( output out, input [7:0] parallelin, input rdld, input clk); reg [7:0] dinregister = 8'h00; assign out = dinregister[7]; always @(negedge clk) begin if(rdld) dinregister <= parallelin; else begin dinregister[7:1] <= dinregister[6:0]; end end endmodule // Clock counter module spiclkcounter( output [3:0] clkcount, input clk, input en); reg [3:0] countreg = 0; assign clkcount = countreg; // en is async always @(posedge clk, negedge en) begin if(en) countreg <= countreg + 1; else countreg <= 4'h0; end endmodule // Address register module addrregister( output [3:0] addr, input clk, input din, input en); reg [3:0] addrreg = 0; assign addr = addrreg; always @(posedge clk) begin if(en) begin addrreg[3:1] <= addrreg[2:0]; addrreg[0] <= din; // Clocked into MSB first end end endmodule // Mode register (Stores first bit shifted out as read/~write in a 16 bit transaction) module moderegister( output mode, input clk, input modet, input in); reg modereg = 0; assign mode = modereg; always@(posedge clk) begin if(modet) modereg = in; // Save the state of the input bit end endmodule // Decode SPI counter counts into transactions module spiseq( input [3:0] spiclkcounter, input spien, input mode, output addrt, output spioe, output rdt, output rdld, output wrt, output modet); reg modetreg; reg addrtreg; reg rdtreg; reg wrtreg; reg rdldreg; reg spioereg; assign modet = modetreg; assign addrt = addrtreg; assign rdt = rdtreg; assign wrt = wrtreg; assign rdld = rdldreg; assign spioe = spioereg; always @(*) begin modetreg = 0; rdtreg = 0; addrtreg = 0; wrtreg = 0; rdldreg = 0; spioereg = spien & mode; case(spiclkcounter) 4'h0: modetreg <= 1; // Signal to load mode register 4'h1, 4'h2, 4'h3, 4'h4: addrtreg <= spien; // Signal to load address register 4'h5, 4'h6, 4'h7: rdtreg <= (mode & spien); // Signal to indicate read transaction 4'h8: begin rdtreg <= (mode & spien); // Signal to indicate read transaction rdldreg <= (mode & spien); // Load shift register wrtreg <= (~mode & spien); // Signal to indicate write transaction end 4'h9, 4'ha, 4'hb, 4'hc, 4'hd, 4'he, 4'hf: wrtreg <= (~mode & spien); // Signal to indicate write transaction default: begin rdtreg <= 1'bx; wrtreg <= 1'bx; addrtreg <= 1'bx; modetreg <= 1'bx; rdldreg <= 1'bx; end endcase end endmodule // Main interface module spi( output spidout, // Data to master (MISO) output rdt, // Indicates a read transaction output wrt, // Indicates a write transaction output spioe, // MISO 3 state enable output [7:0] wrtdata, // Parallel write data out output [3:0] addr, // Parallel address out input spien, // SPI enable (SS) input spiclk, // SPI clock (SCLK) input spidin, // SPIDIN (MOSI) input [7:0] rddata); // Parallel read data in wire mode; wire rdld; wire modet; wire addrt; wire [3:0] clkcount; spiclkcounter scc ( .clk(spiclk), .en(spien), .clkcount(clkcount)); moderegister mreg ( .clk(spiclk), .modet(modet), .in(spidin), .mode(mode)); addrregister areg ( .clk(spiclk), .en(addrt), .din(spidin), .addr(addr)); spirdshft srs ( .clk(spiclk), .din(spidin), .en(wrt), .dout(wrtdata)); spiwrshft sws ( .clk(spiclk), .parallelin(rddata), .rdld(rdld), .out(spidout)); spiseq ssq ( .spiclkcounter(clkcount), .spien(spien), .mode(mode), .modet(modet), .spioe(spioe), .addrt(addrt), .rdt(rdt), .rdld(rdld), .wrt(wrt)); endmodule
// Copyright 1986-2014 Xilinx, Inc. All Rights Reserved. // -------------------------------------------------------------------------------- // Tool Version: Vivado v.2014.1 (lin64) Build 881834 Fri Apr 4 14:00:25 MDT 2014 // Date : Mon May 12 11:09:14 2014 // Host : macbook running 64-bit Arch Linux // Command : write_verilog -force -mode funcsim /home/keith/Documents/VHDL-lib/top/lab_7/part_3/ip/dds/dds_funcsim.v // Design : dds // Purpose : This verilog netlist is a functional simulation representation of the design and should not be modified // or synthesized. This netlist cannot be used for SDF annotated simulation. // Device : xc7z020clg484-1 // -------------------------------------------------------------------------------- `timescale 1 ps / 1 ps (* downgradeipidentifiedwarnings = "yes" *) (* x_core_info = "dds_compiler_v6_0,Vivado 2014.1" *) (* CHECK_LICENSE_TYPE = "dds,dds_compiler_v6_0,{}" *) (* core_generation_info = "dds,dds_compiler_v6_0,{x_ipProduct=Vivado 2014.1,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=dds_compiler,x_ipVersion=6.0,x_ipCoreRevision=4,x_ipLanguage=VHDL,C_XDEVICEFAMILY=zynq,C_MODE_OF_OPERATION=0,C_MODULUS=9,C_ACCUMULATOR_WIDTH=22,C_CHANNELS=1,C_HAS_PHASE_OUT=1,C_HAS_PHASEGEN=1,C_HAS_SINCOS=1,C_LATENCY=7,C_MEM_TYPE=1,C_NEGATIVE_COSINE=0,C_NEGATIVE_SINE=0,C_NOISE_SHAPING=0,C_OUTPUTS_REQUIRED=2,C_OUTPUT_FORM=0,C_OUTPUT_WIDTH=16,C_PHASE_ANGLE_WIDTH=16,C_PHASE_INCREMENT=3,C_PHASE_INCREMENT_VALUE=0_0_0_0_0_0_0_0_0_0_0_0_0_0_0_0,C_RESYNC=0,C_PHASE_OFFSET=0,C_PHASE_OFFSET_VALUE=0_0_0_0_0_0_0_0_0_0_0_0_0_0_0_0,C_OPTIMISE_GOAL=0,C_USE_DSP48=0,C_POR_MODE=0,C_AMPLITUDE=0,C_HAS_ACLKEN=0,C_HAS_ARESETN=0,C_HAS_TLAST=0,C_HAS_TREADY=0,C_HAS_S_PHASE=1,C_S_PHASE_TDATA_WIDTH=24,C_S_PHASE_HAS_TUSER=0,C_S_PHASE_TUSER_WIDTH=1,C_HAS_S_CONFIG=0,C_S_CONFIG_SYNC_MODE=0,C_S_CONFIG_TDATA_WIDTH=1,C_HAS_M_DATA=1,C_M_DATA_TDATA_WIDTH=32,C_M_DATA_HAS_TUSER=0,C_M_DATA_TUSER_WIDTH=1,C_HAS_M_PHASE=1,C_M_PHASE_TDATA_WIDTH=24,C_M_PHASE_HAS_TUSER=0,C_M_PHASE_TUSER_WIDTH=1,C_DEBUG_INTERFACE=0,C_CHAN_WIDTH=1}" *) (* NotValidForBitStream *) module dds (aclk, s_axis_phase_tvalid, s_axis_phase_tdata, m_axis_data_tvalid, m_axis_data_tdata, m_axis_phase_tvalid, m_axis_phase_tdata); (* x_interface_info = "xilinx.com:signal:clock:1.0 aclk_intf CLK" *) input aclk; (* x_interface_info = "xilinx.com:interface:axis:1.0 S_AXIS_PHASE TVALID" *) input s_axis_phase_tvalid; input [23:0]s_axis_phase_tdata; (* x_interface_info = "xilinx.com:interface:axis:1.0 M_AXIS_DATA TVALID" *) output m_axis_data_tvalid; output [31:0]m_axis_data_tdata; (* x_interface_info = "xilinx.com:interface:axis:1.0 M_AXIS_PHASE TVALID" *) output m_axis_phase_tvalid; output [23:0]m_axis_phase_tdata; wire aclk; wire [31:0]m_axis_data_tdata; wire m_axis_data_tvalid; wire [23:0]m_axis_phase_tdata; wire m_axis_phase_tvalid; wire [23:0]s_axis_phase_tdata; wire s_axis_phase_tvalid; wire NLW_U0_debug_axi_resync_in_UNCONNECTED; wire NLW_U0_debug_core_nd_UNCONNECTED; wire NLW_U0_debug_phase_nd_UNCONNECTED; wire NLW_U0_event_phase_in_invalid_UNCONNECTED; wire NLW_U0_event_pinc_invalid_UNCONNECTED; wire NLW_U0_event_poff_invalid_UNCONNECTED; wire NLW_U0_event_s_config_tlast_missing_UNCONNECTED; wire NLW_U0_event_s_config_tlast_unexpected_UNCONNECTED; wire NLW_U0_event_s_phase_chanid_incorrect_UNCONNECTED; wire NLW_U0_event_s_phase_tlast_missing_UNCONNECTED; wire NLW_U0_event_s_phase_tlast_unexpected_UNCONNECTED; wire NLW_U0_m_axis_data_tlast_UNCONNECTED; wire NLW_U0_m_axis_phase_tlast_UNCONNECTED; wire NLW_U0_s_axis_config_tready_UNCONNECTED; wire NLW_U0_s_axis_phase_tready_UNCONNECTED; wire [0:0]NLW_U0_debug_axi_chan_in_UNCONNECTED; wire [21:0]NLW_U0_debug_axi_pinc_in_UNCONNECTED; wire [21:0]NLW_U0_debug_axi_poff_in_UNCONNECTED; wire [21:0]NLW_U0_debug_phase_UNCONNECTED; wire [0:0]NLW_U0_m_axis_data_tuser_UNCONNECTED; wire [0:0]NLW_U0_m_axis_phase_tuser_UNCONNECTED; (* C_ACCUMULATOR_WIDTH = "22" *) (* C_AMPLITUDE = "0" *) (* C_CHANNELS = "1" *) (* C_CHAN_WIDTH = "1" *) (* C_DEBUG_INTERFACE = "0" *) (* C_HAS_ACLKEN = "0" *) (* C_HAS_ARESETN = "0" *) (* C_HAS_M_DATA = "1" *) (* C_HAS_M_PHASE = "1" *) (* C_HAS_PHASEGEN = "1" *) (* C_HAS_PHASE_OUT = "1" *) (* C_HAS_SINCOS = "1" *) (* C_HAS_S_CONFIG = "0" *) (* C_HAS_S_PHASE = "1" *) (* C_HAS_TLAST = "0" *) (* C_HAS_TREADY = "0" *) (* C_LATENCY = "7" *) (* C_MEM_TYPE = "1" *) (* C_MODE_OF_OPERATION = "0" *) (* C_MODULUS = "9" *) (* C_M_DATA_HAS_TUSER = "0" *) (* C_M_DATA_TDATA_WIDTH = "32" *) (* C_M_DATA_TUSER_WIDTH = "1" *) (* C_M_PHASE_HAS_TUSER = "0" *) (* C_M_PHASE_TDATA_WIDTH = "24" *) (* C_M_PHASE_TUSER_WIDTH = "1" *) (* C_NEGATIVE_COSINE = "0" *) (* C_NEGATIVE_SINE = "0" *) (* C_NOISE_SHAPING = "0" *) (* C_OPTIMISE_GOAL = "0" *) (* C_OUTPUTS_REQUIRED = "2" *) (* C_OUTPUT_FORM = "0" *) (* C_OUTPUT_WIDTH = "16" *) (* C_PHASE_ANGLE_WIDTH = "16" *) (* C_PHASE_INCREMENT = "3" *) (* C_PHASE_INCREMENT_VALUE = "0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0" *) (* C_PHASE_OFFSET = "0" *) (* C_PHASE_OFFSET_VALUE = "0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0" *) (* C_POR_MODE = "0" *) (* C_RESYNC = "0" *) (* C_S_CONFIG_SYNC_MODE = "0" *) (* C_S_CONFIG_TDATA_WIDTH = "1" *) (* C_S_PHASE_HAS_TUSER = "0" *) (* C_S_PHASE_TDATA_WIDTH = "24" *) (* C_S_PHASE_TUSER_WIDTH = "1" *) (* C_USE_DSP48 = "0" *) (* C_XDEVICEFAMILY = "zynq" *) (* DONT_TOUCH *) (* downgradeipidentifiedwarnings = "yes" *) ddsdds_compiler_v6_0__parameterized0 U0 (.aclk(aclk), .aclken(1'b1), .aresetn(1'b1), .debug_axi_chan_in(NLW_U0_debug_axi_chan_in_UNCONNECTED[0]), .debug_axi_pinc_in(NLW_U0_debug_axi_pinc_in_UNCONNECTED[21:0]), .debug_axi_poff_in(NLW_U0_debug_axi_poff_in_UNCONNECTED[21:0]), .debug_axi_resync_in(NLW_U0_debug_axi_resync_in_UNCONNECTED), .debug_core_nd(NLW_U0_debug_core_nd_UNCONNECTED), .debug_phase(NLW_U0_debug_phase_UNCONNECTED[21:0]), .debug_phase_nd(NLW_U0_debug_phase_nd_UNCONNECTED), .event_phase_in_invalid(NLW_U0_event_phase_in_invalid_UNCONNECTED), .event_pinc_invalid(NLW_U0_event_pinc_invalid_UNCONNECTED), .event_poff_invalid(NLW_U0_event_poff_invalid_UNCONNECTED), .event_s_config_tlast_missing(NLW_U0_event_s_config_tlast_missing_UNCONNECTED), .event_s_config_tlast_unexpected(NLW_U0_event_s_config_tlast_unexpected_UNCONNECTED), .event_s_phase_chanid_incorrect(NLW_U0_event_s_phase_chanid_incorrect_UNCONNECTED), .event_s_phase_tlast_missing(NLW_U0_event_s_phase_tlast_missing_UNCONNECTED), .event_s_phase_tlast_unexpected(NLW_U0_event_s_phase_tlast_unexpected_UNCONNECTED), .m_axis_data_tdata(m_axis_data_tdata), .m_axis_data_tlast(NLW_U0_m_axis_data_tlast_UNCONNECTED), .m_axis_data_tready(1'b0), .m_axis_data_tuser(NLW_U0_m_axis_data_tuser_UNCONNECTED[0]), .m_axis_data_tvalid(m_axis_data_tvalid), .m_axis_phase_tdata(m_axis_phase_tdata), .m_axis_phase_tlast(NLW_U0_m_axis_phase_tlast_UNCONNECTED), .m_axis_phase_tready(1'b0), .m_axis_phase_tuser(NLW_U0_m_axis_phase_tuser_UNCONNECTED[0]), .m_axis_phase_tvalid(m_axis_phase_tvalid), .s_axis_config_tdata(1'b0), .s_axis_config_tlast(1'b0), .s_axis_config_tready(NLW_U0_s_axis_config_tready_UNCONNECTED), .s_axis_config_tvalid(1'b0), .s_axis_phase_tdata(s_axis_phase_tdata), .s_axis_phase_tlast(1'b0), .s_axis_phase_tready(NLW_U0_s_axis_phase_tready_UNCONNECTED), .s_axis_phase_tuser(1'b0), .s_axis_phase_tvalid(s_axis_phase_tvalid)); endmodule (* ORIG_REF_NAME = "dds_compiler_v6_0" *) (* C_XDEVICEFAMILY = "zynq" *) (* C_MODE_OF_OPERATION = "0" *) (* C_MODULUS = "9" *) (* C_ACCUMULATOR_WIDTH = "22" *) (* C_CHANNELS = "1" *) (* C_HAS_PHASE_OUT = "1" *) (* C_HAS_PHASEGEN = "1" *) (* C_HAS_SINCOS = "1" *) (* C_LATENCY = "7" *) (* C_MEM_TYPE = "1" *) (* C_NEGATIVE_COSINE = "0" *) (* C_NEGATIVE_SINE = "0" *) (* C_NOISE_SHAPING = "0" *) (* C_OUTPUTS_REQUIRED = "2" *) (* C_OUTPUT_FORM = "0" *) (* C_OUTPUT_WIDTH = "16" *) (* C_PHASE_ANGLE_WIDTH = "16" *) (* C_PHASE_INCREMENT = "3" *) (* C_PHASE_INCREMENT_VALUE = "0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0" *) (* C_RESYNC = "0" *) (* C_PHASE_OFFSET = "0" *) (* C_PHASE_OFFSET_VALUE = "0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0" *) (* C_OPTIMISE_GOAL = "0" *) (* C_USE_DSP48 = "0" *) (* C_POR_MODE = "0" *) (* C_AMPLITUDE = "0" *) (* C_HAS_ACLKEN = "0" *) (* C_HAS_ARESETN = "0" *) (* C_HAS_TLAST = "0" *) (* C_HAS_TREADY = "0" *) (* C_HAS_S_PHASE = "1" *) (* C_S_PHASE_TDATA_WIDTH = "24" *) (* C_S_PHASE_HAS_TUSER = "0" *) (* C_S_PHASE_TUSER_WIDTH = "1" *) (* C_HAS_S_CONFIG = "0" *) (* C_S_CONFIG_SYNC_MODE = "0" *) (* C_S_CONFIG_TDATA_WIDTH = "1" *) (* C_HAS_M_DATA = "1" *) (* C_M_DATA_TDATA_WIDTH = "32" *) (* C_M_DATA_HAS_TUSER = "0" *) (* C_M_DATA_TUSER_WIDTH = "1" *) (* C_HAS_M_PHASE = "1" *) (* C_M_PHASE_TDATA_WIDTH = "24" *) (* C_M_PHASE_HAS_TUSER = "0" *) (* C_M_PHASE_TUSER_WIDTH = "1" *) (* C_DEBUG_INTERFACE = "0" *) (* C_CHAN_WIDTH = "1" *) (* downgradeipidentifiedwarnings = "yes" *) module ddsdds_compiler_v6_0__parameterized0 (aclk, aclken, aresetn, s_axis_phase_tvalid, s_axis_phase_tready, s_axis_phase_tdata, s_axis_phase_tlast, s_axis_phase_tuser, s_axis_config_tvalid, s_axis_config_tready, s_axis_config_tdata, s_axis_config_tlast, m_axis_data_tvalid, m_axis_data_tready, m_axis_data_tdata, m_axis_data_tlast, m_axis_data_tuser, m_axis_phase_tvalid, m_axis_phase_tready, m_axis_phase_tdata, m_axis_phase_tlast, m_axis_phase_tuser, event_pinc_invalid, event_poff_invalid, event_phase_in_invalid, event_s_phase_tlast_missing, event_s_phase_tlast_unexpected, event_s_phase_chanid_incorrect, event_s_config_tlast_missing, event_s_config_tlast_unexpected, debug_axi_pinc_in, debug_axi_poff_in, debug_axi_resync_in, debug_axi_chan_in, debug_core_nd, debug_phase, debug_phase_nd); input aclk; input aclken; input aresetn; input s_axis_phase_tvalid; output s_axis_phase_tready; input [23:0]s_axis_phase_tdata; input s_axis_phase_tlast; input [0:0]s_axis_phase_tuser; input s_axis_config_tvalid; output s_axis_config_tready; input [0:0]s_axis_config_tdata; input s_axis_config_tlast; output m_axis_data_tvalid; input m_axis_data_tready; output [31:0]m_axis_data_tdata; output m_axis_data_tlast; output [0:0]m_axis_data_tuser; output m_axis_phase_tvalid; input m_axis_phase_tready; output [23:0]m_axis_phase_tdata; output m_axis_phase_tlast; output [0:0]m_axis_phase_tuser; output event_pinc_invalid; output event_poff_invalid; output event_phase_in_invalid; output event_s_phase_tlast_missing; output event_s_phase_tlast_unexpected; output event_s_phase_chanid_incorrect; output event_s_config_tlast_missing; output event_s_config_tlast_unexpected; output [21:0]debug_axi_pinc_in; output [21:0]debug_axi_poff_in; output debug_axi_resync_in; output [0:0]debug_axi_chan_in; output debug_core_nd; output [21:0]debug_phase; output debug_phase_nd; wire \<const0> ; wire aclk; wire aclken; wire aresetn; wire [0:0]debug_axi_chan_in; wire [21:0]debug_axi_pinc_in; wire [21:0]debug_axi_poff_in; wire debug_core_nd; wire [21:0]debug_phase; wire debug_phase_nd; wire event_phase_in_invalid; wire event_pinc_invalid; wire event_poff_invalid; wire event_s_config_tlast_missing; wire event_s_config_tlast_unexpected; wire event_s_phase_chanid_incorrect; wire event_s_phase_tlast_missing; wire event_s_phase_tlast_unexpected; wire [31:0]m_axis_data_tdata; wire m_axis_data_tlast; wire m_axis_data_tready; wire [0:0]m_axis_data_tuser; wire m_axis_data_tvalid; wire [23:0]m_axis_phase_tdata; wire m_axis_phase_tlast; wire m_axis_phase_tready; wire [0:0]m_axis_phase_tuser; wire m_axis_phase_tvalid; wire [0:0]s_axis_config_tdata; wire s_axis_config_tlast; wire s_axis_config_tready; wire s_axis_config_tvalid; wire [23:0]s_axis_phase_tdata; wire s_axis_phase_tlast; wire s_axis_phase_tready; wire [0:0]s_axis_phase_tuser; wire s_axis_phase_tvalid; wire NLW_i_synth_debug_axi_resync_in_UNCONNECTED; assign debug_axi_resync_in = \<const0> ; GND GND (.G(\<const0> )); (* C_ACCUMULATOR_WIDTH = "22" *) (* C_AMPLITUDE = "0" *) (* C_CHANNELS = "1" *) (* C_CHAN_WIDTH = "1" *) (* C_DEBUG_INTERFACE = "0" *) (* C_HAS_ACLKEN = "0" *) (* C_HAS_ARESETN = "0" *) (* C_HAS_M_DATA = "1" *) (* C_HAS_M_PHASE = "1" *) (* C_HAS_PHASEGEN = "1" *) (* C_HAS_PHASE_OUT = "1" *) (* C_HAS_SINCOS = "1" *) (* C_HAS_S_CONFIG = "0" *) (* C_HAS_S_PHASE = "1" *) (* C_HAS_TLAST = "0" *) (* C_HAS_TREADY = "0" *) (* C_LATENCY = "7" *) (* C_MEM_TYPE = "1" *) (* C_MODE_OF_OPERATION = "0" *) (* C_MODULUS = "9" *) (* C_M_DATA_HAS_TUSER = "0" *) (* C_M_DATA_TDATA_WIDTH = "32" *) (* C_M_DATA_TUSER_WIDTH = "1" *) (* C_M_PHASE_HAS_TUSER = "0" *) (* C_M_PHASE_TDATA_WIDTH = "24" *) (* C_M_PHASE_TUSER_WIDTH = "1" *) (* C_NEGATIVE_COSINE = "0" *) (* C_NEGATIVE_SINE = "0" *) (* C_NOISE_SHAPING = "0" *) (* C_OPTIMISE_GOAL = "0" *) (* C_OUTPUTS_REQUIRED = "2" *) (* C_OUTPUT_FORM = "0" *) (* C_OUTPUT_WIDTH = "16" *) (* C_PHASE_ANGLE_WIDTH = "16" *) (* C_PHASE_INCREMENT = "3" *) (* C_PHASE_INCREMENT_VALUE = "0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0" *) (* C_PHASE_OFFSET = "0" *) (* C_PHASE_OFFSET_VALUE = "0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0" *) (* C_POR_MODE = "0" *) (* C_RESYNC = "0" *) (* C_S_CONFIG_SYNC_MODE = "0" *) (* C_S_CONFIG_TDATA_WIDTH = "1" *) (* C_S_PHASE_HAS_TUSER = "0" *) (* C_S_PHASE_TDATA_WIDTH = "24" *) (* C_S_PHASE_TUSER_WIDTH = "1" *) (* C_USE_DSP48 = "0" *) (* C_XDEVICEFAMILY = "zynq" *) (* downgradeipidentifiedwarnings = "yes" *) (* secure_extras = "A" *) ddsdds_compiler_v6_0_viv__parameterized0 i_synth (.aclk(aclk), .aclken(aclken), .aresetn(aresetn), .debug_axi_chan_in(debug_axi_chan_in), .debug_axi_pinc_in(debug_axi_pinc_in), .debug_axi_poff_in(debug_axi_poff_in), .debug_axi_resync_in(NLW_i_synth_debug_axi_resync_in_UNCONNECTED), .debug_core_nd(debug_core_nd), .debug_phase(debug_phase), .debug_phase_nd(debug_phase_nd), .event_phase_in_invalid(event_phase_in_invalid), .event_pinc_invalid(event_pinc_invalid), .event_poff_invalid(event_poff_invalid), .event_s_config_tlast_missing(event_s_config_tlast_missing), .event_s_config_tlast_unexpected(event_s_config_tlast_unexpected), .event_s_phase_chanid_incorrect(event_s_phase_chanid_incorrect), .event_s_phase_tlast_missing(event_s_phase_tlast_missing), .event_s_phase_tlast_unexpected(event_s_phase_tlast_unexpected), .m_axis_data_tdata(m_axis_data_tdata), .m_axis_data_tlast(m_axis_data_tlast), .m_axis_data_tready(m_axis_data_tready), .m_axis_data_tuser(m_axis_data_tuser), .m_axis_data_tvalid(m_axis_data_tvalid), .m_axis_phase_tdata(m_axis_phase_tdata), .m_axis_phase_tlast(m_axis_phase_tlast), .m_axis_phase_tready(m_axis_phase_tready), .m_axis_phase_tuser(m_axis_phase_tuser), .m_axis_phase_tvalid(m_axis_phase_tvalid), .s_axis_config_tdata(s_axis_config_tdata), .s_axis_config_tlast(s_axis_config_tlast), .s_axis_config_tready(s_axis_config_tready), .s_axis_config_tvalid(s_axis_config_tvalid), .s_axis_phase_tdata(s_axis_phase_tdata), .s_axis_phase_tlast(s_axis_phase_tlast), .s_axis_phase_tready(s_axis_phase_tready), .s_axis_phase_tuser(s_axis_phase_tuser), .s_axis_phase_tvalid(s_axis_phase_tvalid)); endmodule `pragma protect begin_protected `pragma protect version = 1 `pragma protect encrypt_agent = "XILINX" `pragma protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `pragma protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `pragma protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `pragma protect key_block d8xvwbfVVOwe18UXp6OIppOfMlqR2kjI/C6xX05FTHU8t5J1FuCayg1b8DV73j0+lrSU5NbPke7J wKyKo6vZmQ== `pragma protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `pragma protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `pragma protect key_block eHeURXmQty7NeAv3XUoO5qZy5wiWI4KdVxtm2GsoWgcVxvm19Vpj0GV1w7gFqCWnA4FOQTZuRczj Ij8Zgd4djaP+0m+uF1VB+55mfNaKcPG2LmiRY6n1d+6aXiDzlcGYYizcbBz72kRf3eOIqxpeA4D2 3Z2PIkm8MwLtPGSJ/Po= `pragma protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `pragma protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `pragma protect key_block qH9+GhW8bT+j42lWyvygK5/6l4trt1BCmWOpQcKA/HZx2kAGsb+FDG/Xy6w33wIiMr/qkXwfaeaz zlfzzUtccPjNghsznvMRED7lhG+MVvWZ9dxb/eJgA8z59jDK+8wSykzMrx433vlospEmnUeHAQ+H 4dfYGCJl9cTzNC+uQlFaZQsxHSBPlOlJ0GYkyCUnHQQjAEI62DNG0kEkyaiojOK+3cvYSaF6wa2m I1Cx0Gw1ktdWILhOWUSpxci92nn54fp2GViAZYTlm0DB4uFKOskBdOQytDP2f2b1yNgPb5maNLgm +O1ey7vhDLFg2yHH9hL6wSCP3onvhEE46TJLQA== `pragma protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `pragma protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `pragma protect key_block PyfKkUL3/8sDtTLwxhpqedhayaiDS2FNnCfS6sCchY9cwD/PXy3suivOsUKbKwOiyhWnF/tQl4Kq HzosYuk9tWTm2j5KKAjvrbIuKxPEwXnj4hRLEObKTAhKWjc2v2evf+nFlXCB529PJsYPSU+Jmqkr zAHGbiyeXTy5GwBCfYw= `pragma protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `pragma protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `pragma protect key_block ZF+QB2spbWlec/knTfuPrXaT+v7qNpjfq0lmc40Eofb98i14vOGTUx8PEHILvAb2Z54dFdacNzrB d4Uhl9bKx6JU/AkvN8zsp17drYaDzpZrkmxxlVdox34c9gk1gp4pRBazBCiUTMxBrRL7kEPgnOmk /WE9OP1QAhhZeA5r/HbSVnK/CEigmHINLCFfC2uepHTQbur/n29duc7Tjf6CS4lcmDe7A+tmnKFC Gf1+66fm+kSxjOLSIhPwC80VuQ+EeB0rA/PChtXN4H3x/F44vX92xjZ6F5Sx4Jq0NxXAC/h845YU 20Yd7EW+jvXAgaNCRT5u7w6v8I9bFKrVlDcgmQ== `pragma protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-PREC-RSA", key_method = "rsa" `pragma protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `pragma protect key_block MODg0t3HO2+vbKPHjcdzwhctFHI81YJ2IXKQRgTP9i44z/KkauM/YmXMeeEWrYz2qmW15hEK3mFEwAB2YUaHpeBAu9oou7D33x+8JE4oXd6KTG855VlkZBcDYyI++HHm+foLm4yUfkPtnthiYOlgk4XAbWkYfvchmGse3jbPyM6gERSfjkAShyIhYHNjmPeebkP3cz445DJVNtzvDDWkHy6nfhquGqLsH8QGkdEVW8ne8Wxr8hCIVWAj81ieyKCCmIPrV2G9ctu02BmqWaFOX/eizsgEpXthIDvsExMHoWEEm6ZXkbbUrJCK7CBT4HRtw6HrGGkmyVDEFQNMUR7r2Q== `pragma protect key_keyowner = "Synplicity", key_keyname= "SYNP05_001", key_method = "rsa" `pragma protect encoding = (enctype = "BASE64", line_length = 64, bytes = 128) `pragma protect key_block KUy1xUlSbu2u5eBlCjg+nXlz7Amg7D/ILqi2KbFaaVMUlXPK5AxwnqXceGVG4/oaMm0Oe3PZ1Ck7HhTgTctAHGogffHMLKSEDA1+gZnwh6nTBq4+P1nCSwQnYVKBUV52j9d+EeklkE7PTHFxUDAi2XmmIhlaf2ixtzKIeCSbqdjdmCGXusW8JjTR+gd5Lcx0Mixlcvuk31GpUPcTYcQ/LSsGKjP/DMFZRF5Q1SLEFQRruN8jmQoSpjnGs1jN9jOAWD/QI+vq5I2Z+vVFH5ezZa5wOS23o3VPCCi/izbqiSxN0GZB8YAlMrdzoF6V2cc1SVpofsOd1oZGdvQ+3bgfpw== `pragma protect data_method = "AES128-CBC" `pragma protect encoding = (enctype = "BASE64", line_length = 64, bytes = 323440) `pragma protect data_block C7QwWF+4xRcLz7XlpC3ks6YP9lPXkGfSgQJ55VBpmehIiYu7KA17je8Gsmy6RWFMnW76yZqLXpnC CxCXg4AhhkaKJaseS2I5dKmJ39NZk76bzZRP4f2h6YVExdSSbp3B3aVKxuaanK7DpFMixfM6OXTm UH2YSqCeK5737yxo0gCIWmhs/WFU0nZQpf2uiswDdXX3Q7DeHjH0buwyJeSPKTiG/lt68r3rtvG7 /nFlZxN0o02sRzNlr3zUx05LQ5BDi35ofwzW1kGUuznp/i7kzGZa8dZrscor5qRst+jdswUBc53a 7/IjKiXz9y73sHAefUSTRLe0mxdz+hshIxGMJ2pDkMBQ89F+o90Ne0yXyMy99CrNpTMZ3KHq5gDA K0GoS/Xe3AhNjrkm+p8nWzyDpzufdyFiD4BaVm3GBDcDo+W3SeaEmK6zPbPeXNrSvHUnOHhm5JkN z2KiJa9oa2aV+y9aqCGBM94oSLsH29aIvmjfE/YaYzbbu2H0JLWigTMuvDX5VC7Vih5P8/zrWhbl 41Nv/0ingvEzUf7U+0xIQ10FmhqVJT9VBwv8hPOAXaEzjwadyfJUrZ+YJeVgyUSTaIWfNJnXZcE2 dAaIWH67KTvthFdETpDZuF9S7OLr7Rh7Jf5yiNOqQZX1JL8d3GRLznCzCrm2YnkGaoRPd7qsVDi/ ACTUojrIVlQHLWH5ojtwVbjDDsUUVt51Ur4juAW6iiXk+ln5QLEkdjXSvY/zas98YPY0INOMgUgJ ErWi6en4xETl0QaXo5g/gWTLjSd3MF2zBUElKNH/pDu88DncZTDfoOmFD1N18HetYC7NCvR3whnm H6op5OV1UdOY3pPjYdvv+scOloI7T1i+EclO76SdMT6LW2nr+0rTid3N9NwcVrk2AS/YSY38n6DA AmhrxBeUjA5TnSFfvmAPGxiIGHGCKfp4Q/VxCAJd/aBx5Yd94xAnXq7IOYUihDZ3Sc9SBaj2tqWa bt/yIfFIYG7p4mJ7lznIDcWHPxkyf6N3aMap+aDXB6ypGtmaGj0wmamr/+EGYVA2/0kUD42dKR+C AQcVtNBsU9zYb3nC0fTANrJ2Yua99CJAgtpHSIvHydni4Q70utvPg8dHBu8G5ZHd7L/VZic0ekgA kY/nGywp4VoIqKO0dVBZHTyTx6+jA5SsyPsAAJq5egQxkvhTk59mzCOHLFrtZmtrvEM/Mpd7KEW+ DGUAOPy8nZDaCAkSq2pbytD+qP2e6PQEa1WQUhYW0QI8e9djNkX+nSwgmX0mXvlI3gsYOt5A8j6u 92zn8GDcjNuZk6aipcWI+bahJHFrDwqu27XiJ9PYlNMgUXUNmrTs/cPt+qCX08hteOpS65zDk4BB MdvJhcEtBgHUCFPeufDsQKwZSzAokYXZGNt22OF/Zzyihcnq5dyIdjeCD8WvLWrjg/PPv1g/BEa8 Ql27cVnSuAZFegm2VLD+UuQsk6kgQopQ2nZquU4W8WwF2CF4qy5SzMeQ0uXf4onMdPmTfiy4od4F DQBvgwxx1AP865fS3dX2HJfTi/T7/v2ETFTuwbY1MBKqW68ZE9NOxSA/teA53KTn0lCJF3zghC59 5OeHpsUVFO/6LyKGcF223psk6b6cA3OEZZ9dXH97QDIZY2PnsjunHGAWE9vZi/vJkUHVbdR4UJWW akwRV9baAyl286AmNfJ4XN/AqfIIqWaVGxZM5vQc2d+3k/oNiVL7vGelvcJsHBhsRfoEwvei8eZw spZD4samGkhuRfSwKKOX1l6a0Qs7VOO1CP33IDLHN8e/wkdT5aB8A0Kky4lW+Xu7Uo25zXlsobFP H4hIV7IP587n8xnPJCWa8ETTZbKtPUBJi3hIG/TsdwKIJIHjbI/PXWT9mGQWHf2kroPalK/peZoy oaWXn65PP1F0VfrscH4QA5C6Gd7rxKdislnJOj29AzlED6rd5FLPxKM0RTHWA3bjGvv/48gurZw4 8LUqqNpQrYGa9xlGqu3RGaB+m5AyzjxL2a5NNyRaf3nvWbZRn3lmPPULJpYX3C00jR7wiqd6LlxS Sa1Uw8/Q/+DDhdGO2sKdvsalOaSoe5cBwsA/OCQ5l8ajH1CAo9og+v7wrvNwV590vOpT0emO/Zwg XKmSnz2vhHyQMNAM/f8COhrtDa3Hgp1DfArhwAHUwlSoXsIfZq/hLuU/qvqVvXeIIgms3v7+JgF2 8QlMmLkk/9UH/oK2k3BvNiwkxCm1nZbYGODbVWrwnFpFxEBOcoWUjJTq119WoZckCTehkiymqOc3 iwoonKLYKcYt69Cw6iB28s1Ky9KG2gn47hFRJKbzC9W1IqdJVhXLmpDtC2TN4c1jsxxJKkipI8W+ 9/btnM7ocE2FiUQrXj1lLaDW3RGrSrfFMnrm3p814+2V/Mm8i8vV0PPsHmYIuPcJJhEFiuAud/s9 pVmTHz48EejbDVaCcr7CrkJs7A0mKz/gEQPSdaZelXCkUSQU5FAFFfNsqEAI2UsdxoQBrd5Sd9Um Gvu1r+9J7FSRvJK8oSlcmpRIbMU7Z5JC3rQ09Xja4Pe1NIzhlaDBqdu8DR5slpgkqlJIKC3UFtN5 npopVr5eixD7P4VNb/9+3ylaNAYjyb4pwnSvukuh2Zbltr6vYMt/29eTd3+l4kosusKsL4Y5IV3d 2p7DGNwHgSjo0EKWBmfl51QfOi/bQr9r9k+R8gEVUYO6M7M3ByxO5/F4MQk6LJJnjPabzjbdqD/5 TFWKk1rqoqUfLXOSyIO2dh0GsnGLZt96nhYTG/r2j6mB9eKe+WDXA6DiCNF1ihsLGlzT2gGEwX6X 4M6lfuMV3Q1esN3wSmsAeCi71eSYKtZwq7zpXhmyRkET4KVzJ9mwVst8pOjga8ARYahTt3XycIBO imm5ocAiceb46Y1OqajjlgwT2CC5N7OYPZxGf3MmCxZHGqz/hZstWWXNtX3kAj7frBAZnsmUKXHo jE6erg0RGgD0VQEtrRq8jDOz5Fza55xIV8z06fupWb6PYB/mEl94eciD3ujAPbfvgbu7TShjTflr fsGgk/1CqOLhXUKc99ZtCLtk6hRvxXE3IbbsGFYHuq/og676XojF/nJRR2qzUcLDChHeFowY2Pkt MmQ32aLI/GpMqu11a154y2fST6jjxU/xmhZa0fOXMXpn9Hz5KGM8cTnSvmn/3BeAvopMauj6Oa/s 4gXU2lxvAkHoaRAMvAXrvkZyxIBwL516tPwIck292VXQF/bK35wtzspGaF9TXKQCt0B6siJYmM1t Nr97l3qrGjxYibw9e0sPyW350sJjQt7NTysRiuWNkdU6eWAHC7Byr0J6tQdj/iOIdNj2E8ZyhiHp BzFkpd3Z/V+pzEzPPEI673JS7usVbvKt4MEm7Mc9jn76WbkEUvw/6SXW+Fg3Ap9Dbp+uKm3zoy5o HcVW0Yb3BykZ1VhlBWMjtXNt8rViaF2gTZqex/p2+UgnM+c3WVjg9X4MRh2dqx0ksuD/jJgwmYRf C7/MyavJwZR27/EHd3GtiaLeTdcpq1Cmz03FDGftfv5OJtOszurVo/k4GwTngsqI2LVQj880D1+I YqXD38dS3zpQNPMUkFXsMEUPIQ25FI+EG2DFHP/bCo9tfyz0ivHQVzElMcsLYQac7Lm5S5dW+Om/ RrlmxPR4T0w7GakV8tIxHg1jBrKglT3fDzOCMnp+EHl4p/ymtMHrwQ4oN1gMe+sNE+Lt1srwMQls /PDbcGtstBYmCABUvP/+snwo0GRXkWyneqbyqCaxtNJiUK6YvT+WjSg4CMEo6s9AJ+2RXiO9UZwP Y10L/R9kNiABjd0IjTQtDOJmWBzts3J47F9Di9w1ulvMcHEPRipR3oiAS8f27i311nQACWO3l3kv 4A70wdKiFoBBPpENfPxQD11/uufmQTPcUgMcrwBVwqv9KAk7J+SKj3NaJzPgOyA05G1xxJHWKh35 qoKPhMdV+o/P01a86nJM2M0xEeZ8IK/T525562FBpj5+T+q9stes1oRtUuydyyCVFNWej8F4mrJR uzAtR5QyETIg5nFbk3QTAfCcnkDj9VOTmju0w8zximyRm2l4xvDkBqYJO07nTvVwAm9ZcOo6HO/i JbCqV1dJw+FwsYfBJri6O5sj55+a3BCsk2zsecwOPc2t5LJbOG63y21AADrBiqWfzNh+Xywg/IeD zVxFSy68Vf+v4qU0y/BtcLNQbD/3soyyVx5+Rp6g1JjqhG+19khEJMzz5ud/eNmJ0Sr8TMSEWL2o k+A2n2urbaBccI+BC/H2hVCddEpZKN8xsO57/VLvyO0WeGhRN2Tc4KwAaFutSU7W6n50ldupD+fD /Jx7bx6kWmXpGpagrmnznHD+bNf/inSgIIbT4oMFFcpmcQgvfI6HO/kQtiO5wgJ1tPgTNBw9vL0n xI8XfGOtVHZa6RGFbBEhrLBYvyOyLQgP647m0Ftrok3HwOEiVdGoD3rfZr2HXgNXid7jhhUQwHyj aUFoSpJe3bQtq2Guj7Aim1GDWoJvgR5j57UgHJqW9NBh94AKSvQkFNAdXF+XozTAgS99kUI/CaD/ V9HMq98JfOSCKony5EkwEb8Ugj0FB8KrqSDrS3GTinJayHEMiPWwIdA+UCAsFlCPljs6nnKIkyBl br53+VeFvpsz1Dp4XKR/QuinrW46mAwOPGeEaqZ/mmv4e0L5rk2sVf0WjHADP8auyqP1jykIK/PX L+F0n3G4XD1YeqA5D2PqmVacTsOE6KU1eKc0+GvoM16wXhjWw+RFiWjZ1Xu0GIviaDQjCox6f/8T kfXYdJVOZuNZmaqxFmd8b/ZKhchYJhilv2amnZKVw/GCbrMszMGaMxV1bHaTIpZ/mpYkOmuLBHGz uJwdTFwCKW9eJtGOXjy/l/etbG/U0WcufiVbBVrp6b2c8A031/WpVSqoYtmAnQ5pOPN6AupAK9Po gsSb0eiY6racaHUf6ncxO0maj3VqG3hcbp07WKzCbvwEl8NtQs27pDA6cScw2zvBkNLZdHGI8LIY cdkGsYYDfJt74l2XittP11SEZ3UfwmJqGIUPE0EW4xpIzopXpS/2VphXtLlYyu4kyFgOxxxgiOs9 u8OlxyDccXCahp1rG+NLHdzk83VJHXg76joG5wdfdlMIJTAykVbwGG+TYHI2ZQHRSPmQmO0A10zK 2EHU4W3mSUHOQa2M2iDcaHNMSbn16DaD282h4OnrW3mFnCpTx60sWbkI8NKpocq/VGf58z+H8QON tpHVTcG0uQNUsYG01Ravgp4fqwa2Kg+VFAnX8eU9tU5dyHRhAgXafx6psogHiPZPMZ8Kq3vodFCt AuoPmo3JEQ7J5Lckc6F1334Fir7/CX9/UkJL7zkw0D6O/VyBnEvSuAauZ5j2rbbrAunT79tNAuIU 7s+J51n3W+sDR1dh7hTLBkN6nMgNwykfALxg50IJwqb/h97yvnuIJrhN/OtrlqZORxLuEEQAs6JG OOd989H/Y1QrIZrYROdGjmOanO+XjjkTXyGqoX6zz6eXab884+DzGUt/05CfBIaA8ccBqVJ9fl0b Gl0AQHuj60hTx5KvRO878NLDODsWQLwqb1ozDXma/xf4MkjZOjX9sAkYd6IEBO3g8O0noScANKwP toDqD1fJEoSYIpZ376+rywQIdSTrI1pvQADk68xMuCmMeidc+978uJ1/EvIG8ZvzllPgaQPaKwJ1 TnuOLAMqNhc1OsDCGUVk6GmAOOFnpj/IKz4aIwL64caJBNLgQ2qZJYU6bm3ds+NBU0kdxgG+w3Nm ROB45InIppwPx+IXB6V7PT9tSrDpIOf8ZEwVjHSRZIRveaMT/hRdNGQVFl4QLfQu+Ph720FnToPo UAQECzkIdhKMLcwwKLE1oeGwIWN4tuwpsKOQulUH4eznLrEz6nTVmkGw55JKOFvc7mT7kGjn3i7/ I71KIvBMxW886GPoo8uy06VWOXqe9GXSivKMH8lhSmzbIwkwgjCJzz300uaFMenmtXYZGTps+MV6 y2tC/vVh76TGDfhmNQB4ODN8xdXpWmhtTuBkle0gjclyzJrnHeSrFsga/tfrBEQ3EOXGwu8vQ2H2 9eAftB6ZAfF9e9Lap322YTsfSXxFX8AenJ8LmufBWAAW6xbx5oWGzMiuVUrxqBmTg7qAvuBJgIys yOsYOemyZ/QB4kGI66gmNMXIrseDdqAfK/9kL4aoUul2xvnivOgPiY52Wc68ouldoR7qiHwQ9ATl sCxx8OaMJiry62m4TruyPHzOe5nZ5lmVnBCYCmxVeSjeUFQOOkGMjBIEHmfIJq9eeeUg1HGSu+d+ 1nxIKTegFxRweNjlhiS2dTRLDX8jSzYBRg2GsD+K3P1Kr/5vtN4BRyLLkOgYSG+EkwT9H2Z1CCa3 hqQjkh61+aCZvoSnzu1eVWR8bfxvQUegeGit6FsISwWLBIcbvjoNY6AXzHjbwtF1rRxiadVmqPLS nQW7lpXx6foX34nagUVmoPr/aaQP3xzHn6NnN2GCTwEz84fwcN1OD2NlVem1KBewvuzt/S4L/tNj X4/4ixafgH9b0aibBQ+7vS4HIL7lxTNlcqBY9BniDe1ENr1B9ckh/ENuGECHBYjollrrMhEVWMTi BhbrYIxRDaaUC2c7TaGNi5IQomimTmBPtmOi2kSdCVYF8Th6KBrmmeM7ZEOpQlyURmL6vGLVqrgk swbx4rNm78wpjTOod0hSaxlR5n1BSASlsjDEKt5URhK544aiGhKJArcHPuYsWXxTXIH7VZqtyc/f 0sqaOkcqQ70vQMOwkEYpjWheuaa7NmygefgB0nNcurgWlkUb3GuE7sfYmwcV5B/vRq/6Kbv7Nhk0 rSYziLoyJLc6MrRBL+wjncxx1Nd47dzjcgTlbNos/119Lx4gxo6LNYiX4/WgPwyj1ZtK5ZafKon9 ltitLlx+SoyPHkN9g8DkRmA6dimmM2CFvzkO0IdVq1oP1u38pcSjOX81Yn+1Xi/BRdGInO1bz3Ka twwm8och365m4IO+c9+KFJJuEvVSGl8cLgA5Vb21CrxBqF7JOvccLdAK6s6KQJE/Rv9szEbYjSzl iwu0/QLgunquME4HRkmV9ZcTtCq4Ovf0fpcPkkmqBsHT899Flr2ewF/aCV0EzM/KrXUWlLW53Myl v1AhT/DV/MEzq4C8gwtnew5qkJSXv7h+ysgbaGdBD+rJ97SWF9JXa0DaPOLjzpw60oXbEA95ivHz iIiSbCPtlq0G8RfNaTfU3aSr2qnqHgcvm9wRtWncFmQO2cTa8xDioryira0ez458cbOmvHw0yMyM Cg06BAct+sa+QdPJOHqPF7QjD9RlMafQbINtVEkPpagQ+mKo/smLpTJfxq/L2M/D0ZBbbOxT8aXW g5m8Q4vbyO/KmcQydNIZrd15LdwgCK+J+8qwTw8OfV3xkj56jFTcx8gJjy8vERQFr+Uk5ZAVwiBK WF6uOMRESOw4R7NFfrIZDjjYogRIT1N40YPD54DK2rHbDDXrk/Aul25Cu0oUvJgJaKjmn1l8sR7i vNBOPHKattyNxVGZLA7STnBpZgyPSoNZZcekuomAaQmfNRlTG7yUnYpgC+m4wYVtkS7qOMPRzlee cLZDGzP+p/dt1PUkeQzNyTqtmZmpYtympTKm51oDWDm7ZH+Q7Vr/6J4Uxzsg+a8FeMqapcbjAwUN HjTkUPj7GSfKu5e51V/HZIA75YzzjnqXDylJVycrByGepFnEmqWvE+ciX0q/eb3RSAg61gAZb7Bf 1FDlv2rjHqet1RJgnDFMFPho7tx1oZAC2Ofzb4diliVfMYlPaohbOKqmC4AGT2tvLaISJtwVqaI2 sp+R13T8BLR8DHtPCCR4O5L3NuDO/dvebPY2dHecH5q6YewrZ5ZlJkJpb0tOApVN2zrUuuhgldws In9AvDTsOw/kbfyLBunDYW2aJJdP/oNhlgniLNOWytTrHtPxRjANUOpX7/sjqlUNt22f3OFZ+hks Sp1SLuwLiXLypuMbZy3T+dMkLUqcjoPqW2os93kYBGZJz+Qh+XmJhttp6M6eFCVOXqtrauXXor8o H34yUs+X6GJrvagZ4YmUSM+oTpzc+pDLT/koAnG3PVqTWvxDWtL9z2HcGeTtRgylZ/EG6jj/6I3x +emO1SDAy6C0j9WNZ0+xTdQL9p4W61ODmLDu94AGnNOLyektwW4gjKe9jbdC2KHD5bJWB6KXKQM8 E/eCBDRCdc61gHa4uE6h3MNHgQn/hXp6iBP33r0TI//8e4Sy82La09a0FehSamiDpVQo9j9LBnDC eeQ/FCNXMUvyBDLtAypoMj7F+3b6xx002pWHkOvZO1Mgfx6phqCfvNGCswrV/Yu9qr935gdoNU+a 80qD/zTTuaUva+Jg2ZpxZuHkDMvK+3SUGtzBwZNTEYleWgBm7stQlhJtYWSfm0D4F/W6+dp3EDrp Iw+MhkbTXnEHjhop2Lg381Jrkgjc92C3bzKgV9hNX37TSOFNVJOGn2i4GMMLhLVoIiv+rjGvsJBX uVZmMJ7hAwELA16Luc5fRYa98IEGYUc7+xhiIvosLqvkYgsnb7+n0pzb9e70HjbARh3Zuyd1f0Mr mpaNd0MrnmM3+2w9hQwrXzQGGyLk8Xf9uaEgbs5hcB5pxYgG8xFfa1vvLrR6ZM1xJKFUn69Kqvxv 5UNhxpbK+wRp0SOAzlK8WT7FAjU2kXg2/XppNssdG4t2fhDyB6NOC2hppKycYfkwFeBhlxfb98g1 qzVuJtJ0bOR3g0gb5qWNve/aItBMPI+kksJ7lw1ou0E7WNP3WtHILWE9bWwjOaR3GSg/Zqv8T4tB OdwuIlkIBvDr824WIKAMoMFh+UjNtvwfcQqYGnm9td7OBz1qA+RQ6uoIHcgaoqYReZjwg4qumex2 i+ruykwlDNb8b7kGhag9v8u6Z1KLjP4v3ySpqdvda+XezMHEv2SfOaMHtm+/0CqLYTE3l23pdRJg V3C+uw2gqjQ2z91JSvGSjQ4SR39hYIW/Ul116M74aEXgFzHu+RmIxqsGRFRxeuz24wdjFYjmSnc3 y97TbMv/si2btoj89irvZVbn3JJLbI97mwWh/bhlRA3/EOHrf+ZeBkgabRhdWRUEfdsI13AhgfPV 0FWISewM6PPXXg8m6uFE9yrQMULP4r9OqkfeOA+iraz+S8o0tq3eIodSPnDQ3QMTwydrMBfkyYsP F7bEIOFpcAE51PVr5pvKHKrRKEqqrbrJgx1ZMCwzA4k1Lw2zmu54qnbY9/R887Mqz6Ns8amu1xoS WWQOYoBLBJykgxViZkhOidCqd58/XJTBYSIisYs0Fj+GsiBmO2rhUtp+3FHqt3lWsU3ybKH6jbYb mbDKhmGG0v0xSvyyk9940kJ9S8xJRl+8sjSW/eSIboThaKm7rIWSouMNlN//q4YF81RfkMnooPJF B8+OReOGS9WTSiC9JODdGR22llr3FpPZO0to3ZSK1deQLqGD/OVEe6O4lMSG4XFLVxq3m6y2aLD8 d+KpCBRp6phN17YFcrYrcAb5Cw7xbr6kvRiNv4/tt4GmYuWxReTbZD0ELQZXSVZV9GZB09WXrQp9 5HYjaOQZbib3wB/KLnx7JArrEqFF0Q8xIt402VWrtrfyLty2/z8Wi8plfVVGmDQoxWY8mNbYra3W oVBCj+E5IrlIWEfByoe78OGnUAUhoD65oKdYb2b4dZ5xGcs7tfrzKVRP+NR0WMzbwG3t8RDHZkZm vzKE4sRjeFvADliO3bwdH2gEwMPRaBPqjpTOV6aL3YzpVjkyrMnxKBaZ5/ilF61fpdzZeK3FRCxf DBaa8eHYh6JJ18+36K/Q9ioyDlCThvDbvWUPSFpKraND01JB0BMUyz7Qwq+GjrItFoqCpRIn4dUV WDUGbkgqHQrmwHAGocX10PmNvXIbjsq50g+Y94mhT5+fcIhOODHCBvw2SPcpj5rDUJgcVkreJ/yp BLdQCzWWMYOa4ht3wHJyJiJe+4VTXypP2MC3feL3+DwcEwQgOjUonVJsfNwhYXtyAMSmxRodsY1f Swy8D2xQlF5tQqGiYVk4pCAjM+EQG4UBzffXZhRMSisiyJAyLMHBYBjXj7n90AzZ+uMoEYgL9Czu 9bITzzH1cK0WeGEZeYtKc9J23SY8A4DNSdlVk/xTIlL2qojHPU7g0HY8TcoorW0eIYCA0QYQVAAr TnXKOD0PM4XT4ZmMlYDGY5k5XFBctz++CxWpugi/3UX0WiBbmGxlsVR+Au+t8nkt0q1vT4LsgcBa oYH6eMJsNl3h7GEBj9MjNP0R/U70iKTlyJhYdMg0FIrOKxNsI6kXmHjnIYJkB8gpn1w1JLa8U//o HUH/cV1Ue9hgNzrWCMPgmJ7VBFPk8G0A71NohbNXMBMj5QuFOk/1zsNrw6nfXYZ5+pfzNfodm4YQ NfX8tzDKp0nwTj61lDG7SDahDygbWo/nAFumSdvU8yTG5KHkqhZMnGLxkzvS/BE1looXkE4Ctfx3 agZWgDW6cfnyeYnPah3QesOJ5XSyzCutyKF3HmdGpPEL1hfaCmJgbNDTeF5OLIEsTDBMeYviehh8 houITyPIQl5ZX27xI6/97AA4roBEEFhvDLuTd2yI/6E46KUHD5QXS5cfcokGpO/i0AmtlIzcXXzC yAcmT5y5R2Gumw7lfyFV5kLnOBrZNyQ9+NgP/O3PP/IdeiaF6viVfaWzD95KmPrEdkBRETL3tclF bM59zjBdoW/LLO00L5MfyBwIZJDatvPD8loa9iszPXjrdpv4/zYuxPr5XoB9lUO/2bWd6fYI7rYf +Q5rRTy0g215J8fqikwZwTdAsfppoU7bFmExL+AyRxMRZfy9wSfVDhkiZbVqlJI6jicjHV8q58ma q1Gmatpp24Z7sRexxAC4KwNb35L7U9WA7pDySCAChPrFI23uqVP1iE+b87rXKVtLuklUsv4CHCIE Yqteig/hpPpSJYcKAwkiH5fURSL5e0BWvTNGLhxSqsCczNuZDvcy5vMePW0tiAToW3tZoUyXTHYr NlBCpVqaTWPtqld1Gc0Z8WEys/FrwNW4z15PyHzsuOt4Jwj4zkFZos+lXpEn47ITnILhNDGaIuDX zhXXrK/3kNLnLOeygo0V5xkEZH+29u9K1MSBjRynaBatDSgvGXSFQ9FKSF+9TvBsRRNAVv+yixLR ahHWvYN8C46gj9hqPsFsNj2Zfcq3WUuEQvdm3nS2LDV8cVtvgeuAITMFSclWEHtRpE96TeKK/ah7 apzDi61vlzNzY7Mf/tvvHgXFbR+AZ2syYwRhtG/lYMRo8wcJ8LtfWeKHEHg/nk/NTqJzIFe+feBA NoLkrk1/kzo2geeFTnmxsvghJwxYBv2TfBN5bC5vRve59TsBA06tse5w+9zFw+KP2yQpSl+cyo9H YNZBGY4t8hSgiwvBeRTAjRVTAjJE90NEQg6LHvG6EpRIb5ZPspf6hKfTt6ibYZPaSRKTmbEhqY8f 1+poJTUeAvdpEGLOhAqShxwv10qyB7SqS8AtT8uKFQA7eaQz8oM3Pz9AqUNxBAkvyRJfLEGKwtZs WSLIRfzyrXVQ2LD35KSz/DDS2dN+oaHVSgotW/iUvRKyUOJR0XnjgosDphOEe5irGT3u5vcSRDzB rrHR20tTJ4+ZnWn/JCHFgbNeKmkO781yix/ThtnPYpkn7kS4hcN8Os0S4YcOe3HvQuP19sCZB/b/ /oeg1Sv6mER6IqaKX0RGPRFvSqAwB2SoVfV3taydhHpzaNBJghILQlPLqcKhMezmoCxEfQrgb2F0 1OpWtZx4OfBhwaKuiPromL2lwY20OSItf0k+bAGCszTNNo7/lapMQ7WwPLBFMa9885H3FwJyk49Z 4cdgnVkSQKIpy8tl/JjxPaZZ6fY3IPZv7xLvZA6pe9+3/gBQEIl0taNox5wFk7eawPiTjc/5AopX v+MRBRnUV137HleCJTRx6ZZDwXXCYQmoWfkCuiP1htjhsDF2fyExFubkXx0YU205UNupkHB0PluD SJNh2zvXSl0+T8zMnMynO2fUSZMqGVWyL42unJLsBRZ2tK/IawMsuLJeGAULjNMwns7F92RVKPg5 UY6cAuih6C6WM8YilSLdzQ72cX5sYNXg4NCRYV1YQEesdoubHc5+BiY7Z9ku7hhT2gHuFoH/RvWM IgpqS59evmtJK6SqpiZQg1KtgSMiizeKvePbdQmwiir6X5sffTX0xcMEPkvEhZBSJffta6qrC1Gy SjUZdLF/NWBlytV0utJrg/AF3JGypKIuWaLgxV5NsTxehN4Scv8glfH9qzkxr1sdd3bFsyewM/mQ CQhj0ZGbXO+ujLqpqUcOR1Qf/MbZbBl9H51R2bZjZyxoPgqJcxr9TvfaO+EOvnXuA//kphC4nxpw 3SIw7M/v+aqB3nUC07jImnYcCdSOkeb6oxNR+NvfHFNH0YQaikzaVGRW1SIXKuNBgTC6dtvKeWaC MM8y3nU3CudvMpDeRzVZASB/i8qEK06jLWoStHW5i/QS0xLCukvJSdjegHLaCmqSo5tlGh/4/rFk vdUw8eletnlYErU6TGcr0JI1gkuoF/ooJlmGcfAU3iE55R/64X4vE/Uy+V3GXgG2VT5eLgxd4QZA VmuDN03WN3Cm02oh23p95nOfgpEGRTE5GmmQZHKAaEIaCbXiisZ9vmVz0uV9hBN/or28lpNRAbqT 5bHmxltB7EbwxlLSlyk2p/bZfZPjMfB0ZpkCIrUDvEx4sbjUFvv5XA2Lb/jTVlzVB4wSlLRmF3UU ez6cHy56Oq0UVTAJFIHwGUEiJXtdy0YdSWJG+oBMZctRSxG4e5vI2j7XDt6S9mwHZy2dURzi5Uch NEqPenZSfqllzFk991bwAwWRdkwzC4pGQV39JKF6YEC7jc8+Ou7yxbHRdwhY9xryV/1yexObTk5U CeshcUFXbQb0NpOBI70WXMWWLErKXgwG+ifeYPr0Oa40c0txbI54bDIPA0WfWaA8L+W+ZjS/pe4W G+E0xXpHuPNWrAMLaDg3Dq/oGbGIQvDjWSfZnj4NLf6M41vu0B7R0bnNevD3NR5MqrTyXu/gi+Hr otGAdwEg1N2u4wIRCTY/2J6xL4EVfkI2j415yGyLRmr+ww3gDtKkIoPaLpgOoZavZcmLgEAPCDzz FzvRAhtPpP+KTbj6BTj7C2Cp5+RCvYg+XF91eC4TX/GvzmGCMAU4anDMv9UGRZf+oKNnWwiuMRk5 Khq2BQbigK10WMADSXyG41aKCHOm3oXV2mSZgE63j8OcDBkYoNX2GnWIhYXTnVMa4v8jmSVhHuRU Om+IBsyfVthSurswpOAogu6NdhPFLjtwJ82cfQNRwLnr/RQBK1U74/RQ/Cryz7UDKs32WUw+BEyf at8tuGizUIvcAGDSG2j9gcMy47/NmCPGbRK4T6H0K9cxZQlZNTQJ0t/Pia/WotYezLMw1LPHovMK sdfUxGdYk1WpQvRoLPgO9z7v/hs+j1S96Ial/4jvktmnWcN8JjGzT/cXIxVZZWvUjQptWGS4Q8l6 Fihpd0Gi5j68MDwOhT1V5qYKSVdKi0bSNiY0uqy0E2hkUX52FsyYaTOh0urR5RYhbFIRjZiIdphO 7Cm600Gnw0oD+cW6zstdEi+FV/BH3A6E/ktP3oRVIPhQjcf0ST+RfUYQdyVI4EvcSccJLbfp/do6 c2kAy7VlKlB5PZ77Hh8guX4oxZz/YAzqNsCMiy8sBTjpxO3Nab0aenln/wLZVcTXPXEbPMlSBlYH 1dfN6wU3yfamItU8OeDISaq8UgTa13tWFKHp1Cb6mBEgO1I5gBwrXut6ofwlhLtlHNfQqEFxW+fv pwITwdU3hG9igFDMv32ojR0vDU21XJTbete4AEgR0hisuTO1H6ULiUx4ToYnWRHJneYVKDxeYyq8 Sf96TfWSURav0zzXFEsWTRPgIG8ECCLACXFabIprPFkQDdsVj6VyMmWZyBjlxPJE5uPjoWMb5O8A uzEtk5IxfCdfiB+dTCMjcK+EOEHi46czTzd2YEpv3sqB5aBwth0HC/BRphm5N4WjDXJPEyTlHyQc 9C65bbb11Xt8xtvbnBP+7iV3z+Bg8KWjc/sjXWbEWxDeAS5DfiBUk7UEfvBRtQSrGfdNbkyWqoIk L/cpFNx0o7TrwgWB6P5N6XHMMQX6q6OTbWknhsXn/HfslJsv+4q2hahFmBRFPiQq8xiLmvi1w+Gf pOcwtLut8T1TSe74A8Xe/42e6PmsIdjbDN3SLbBBnRsLepejm3gIALqhAq70/eajCtzChGuj6Lo2 cY4vPDFQ4j9mX5alGYKQZYfwcHQZw3CDlVZOsAsh1m/3pDQSy0jBOvDEyQvH1BV4lK31Nt2OLum3 /vVH8Sq1MKGV0rnegTIlUkFUwxRzZ+ly9ijLNjsdAbXwkV/H1qZI0zcZsg2x4Jox47tWkqcdJQ9x rTJd/s9sFtO8pC5xGQQ3sgfqRnabW2kJxlekzdIFPqByoznjZfGszRE5cEkV7YYY0HCREWx5xiCG YHmQ2zdidvt9YsMHTD5L4m1QO/krUTc7u8LcAtgVofFUZCYSpbj9T+hqA+jXPy5QwTR4Nh5kpqVp i2aNeUIndy7uG8sh75HpmrIfqEu/ja1so969fZ01PezamAkTrVtLviWw22ih8u5sYqBfUSmssb8g GfX8YU4IA4R0QzNO+UWSFb4WQEK1z0fOXIr1kSi9niSx36kJVWuV8LHs+suIApXEVzpt3TlFH+cv KNAlctvuLOdMmfiAlh5Y3kBPKhVJAQBViSiQGtDg4IVvgfP1ZNZeHgi7XbKP6OO1FiXaOk6TvwD2 Gdc4fxK8M2lgToovZ9DzJCPLobYU+veOijIo+a8rdWVOBmHnFyIQ5r3vdmD9zRnV10DFB8EZNX6f dEH+hv9fcAIdAwcz3LdkwIkd8PP/kAeMOD89nf+SB13bwAkxYQd3Djc0Mexmxev/DdJs/kZzuaHN VPL584IwokXUGFqzW4Qxm0QebhvCSuc7AMhe1Rd36zM8z8In3Ncg0cVaC09GzZZxaSrlpJvz1ra2 L3vyS8Lk/FhGDw6dWs7TeoTFgq5Gc5Uov1vquLN+rJCtJLVn+3OyVSfUSUhGgh+/r6JwXeYi5HXU ILdhJ7TTe62AGiaGmPhm3Nz86LyyThCvW5C/Ji409TwGcE2E6qQcXE9pLyDLZkn8pgE4pgpDBdW3 E8IGVcxHCYmE+dQ9K2Co+phqvH/55UGWhWAhaR6MV2wJ4cQev9oF47VnQF8sl0Ujbg6SJJW6VntS 4mc1iOBe7sZC8knJ/tBxRGslwLVD0L/Dd2faCwxYsTNUwFoAi7oBDYDkO3pMktXoHUsLwsDJdtOO /nR/wwvRcTViqH2xXkMkQFjdigWPoVPvAIGUJ6Ii0oYI7iEv0qYfYGm21dPAou1x5V7zvhf0GdjQ YUvAqW2Ibzt77K3pI5OW7DN9eBWVxG9ZG3ZPDI3SiEr9MCMDpZRe9YvXQYqbqxYmm2z4P9ROPpF/ c9jIkBt4WQHgSaNYsj7Tt9F9bb5Qi0bM8ucJJu1LjuEywykYZ5zz8p1jnSYUoidmKnclevrdhDcX O5lxy6VrXS/eKxgtlxwZf97Cde+0WJA5Pj2xakxDuFzeK16yxI4wxtyfUC0I+Dzo93TBAhI7mXiT DACtShKKUfgPdiZ+3MdZ9HC49OagB6vzKICXLzJAxRJ7QU1KAe44JHRLh/wIco0UHfNEWwO+gFZq LDYZSxGIaRia8o0t08dMm8ugjD5Gi+nL6xF2KC4DrOqHUN/ysH1x1kyoJ+8no5qsx7/JZw7BV4yF QyNc76yP04C7SGS9NVYTFrQV7VwOnSg+FHbS/kE+c0GEytFFsGo5sNFvRmwg9LLW75XY5Y6wLm7D bzXya+zdc1UUgZxxxoeY6YrAq5r04xtBgAGO6WkSb8GmrT0pq+4XNVpkG/uXFJMNONDcYjvx7ylq pJCA+Khne5bvztIe88w96vStUCS9WfhjiIvcGjyLI53oicNcW/32GFhGi2NaaPbm/sbVQ+nyZgYi uUY3t1Bg1WcOY4sImOIcoG7+J6DxrfhxtVM21nBX1lZrBuIbE+j5CWuct9CJLSRdaTTDAeF3wQhv DZqut7rVL+b2KpIxekamPyQ3KAxWC0FLFrcz67QW1RzxFshetCg2zkDA/hveqjyR/gRG01aebf8e PqLOBlw1Ut2+b8yQOCR/BT5nJDb1z3J9E/9JF76cmMRStfWRsozYv9wnUW4RIPdsgGUGVXBck6Qq qOpyjxUC5Q+0VmCYfVk4KnmIUwP3FEwr6r9ceUyHoWnW7dghXE5MVRzjzV6b2w1HyXtsrd3euoVA koZRWOXwum08NyJZ7dq3A70YTjz0cXLscSTVn2UBGM3EzEIixCn42FcQWNmtTmYdclac4pu3m/k5 a2rwlLgP227sxVvzsblg0Umu2qvT6hxf33pMVS0eL/SdANZ8mGAixoDeTg6tyTf90sesbNh6zJZb ztYYf76pDgtO6ZkFeRnjR/R7VpgGyLih2t3PBKJu7XVsWKvirfelVJYFPtTwAvonkldIS+rqUekm r/mOjP9i/vamckQtfKD0YsSUj6xo3VojCjBgXFCPnkpKQc1bbMDfw779Qb7iMltV2jcW2lMI3UoD 8Kjye31bSHaqZCXGXCrAhTOZx2Jv0z5IJZJeaMqV/ZgstAdBKIfYXAyw0XUFjnBNarRmX7lUyvV9 LIyENBmcOspqklTxBIMQdq/Q5YA/R7kX8gyMqn0V/0Za0bArgLe4lVnY7Tid+eQK+YB7ENaZVtqP 44bHDdPpzMjKZAN9bEUxLaR2DgQS5sXL55sdAMqmmvJiBKgvF7TC1US0g9vL85qTn5rg5zmByTws YjSNr+yNCrUazCjnJ26q/KHe9flV1ZAu2vXdCCBiWQ5E1uwYsqDIweKvrjIaYCeDHH1Ax4yzaYLK 7+PrmBkmQAb0zn34x1Eiig3Zi60TKsFSIjbCB6viHSZ6FsH8DDvscV3Z8AhpQU1Dviu2uuxY6MFN /Z4/DejWCzxTyzLNme2LZ/a03RtwYYHeOTz7OgQiCykANvKkJDzQttY9DhePDNIhOkSFVLkd0dKc uW906h5XEswCMwxeLgMKf7umv52GAw3UDnr8n+tqpjRp31WVPsWoDfLmKgAbhyxStJjj20dqQpOu x1u8cKeS4895DVGN61sadSc88HZYHh6BLzbtiTlqJXzN/ziSWPcZgzBh6MFFRRpo5jCfS9VAx9Cd lqYOO2a5CXeBR7aoR//dtgFsWc8wpFAHBWVkMODcezb80BAPrTQfX8zPGgdZW3U+DZ/2CLuDbcGn rIIyLRBfRZi3KQJ9KwKEITHikkS2JTB4Gc70RgG9oyQfjb680ZWz01qwRHvNSFOh88PD51tcXk91 kfDMCFV431/S/WSGJzSroHOlARmlEsDdtNd5ToNj2NblwkWIXk3B3PUkEu3NOQ6IODUpWfd8ivhY LqEDEdn7krr/RXu+130qdMD4DPl16XECZ+GeeyvV/lKur3JnA7ARF+sdZbAeunRL2fCI1ejx1Yrb 0/FEh9yQTvb9YULwMNz4aWVW7T4WcUdPau9EkYOSOWYcWz8I4j3uvNwyvmg9eNd5gq3IAW4dVOmi WeqfO48hZB8aDOq6tkIUN5BtWL7123YRUXj7AQOkN2fD5XL8rxnkAFBIlwshHUy0CZsdQqfkvZyX SN8nMy2ss7xFm4ENJ3I3xrYYZfB25Osj8Ed0zXPDgDkZbb87bmgSbQ4+87VOcMAQmHy0TYYY77pj Y21dbp0mv744XEHBmqXHmW7/a8ScvaF5VNPQqXRMmBleAfJBmXgbqlkRJs97CYmtJ7Or4XV6J+/W hzak+vIw+8WmPa3gJVuGOFonAInIkr/QKRJ+tcVxRWhP0nW7EbxmQVHH/a+t4sipFJ+SaCSXdmUr grRGJidfbZCx6Je6WXcHMhQdkLrUZOt3ADViBMk6ZyUvOHQmDtqk+LbInaGZKpn85Mwj3uX8azXN almk74LJXdQ8IGToQLVoTDVTafg7AfTHZu+LFby0Ub14+DiuNFytkJPsEbMiXeiqtUDU2U6GhQTn 9Ju7cxKMelAMNdkGgqbjAaDb76Mi76EFmvelPEmcxBIzBY3m+pbybeDh/bGhBrxBB+aRuvQ0Gxw6 0sYxKzqc3Jl2xJYX+9FZvFnPMOU9jATwxox/ZRYrVecuYgx/JNNCMHS0jALoqqxPOf9Mztz3QOLX iCjbAae3qB5ky/lBcd2pmI9V7fAJVg65AX2n+nb27lkn+YghGQy00mHGcLfpyuHDSonMYa9wCdQK X9WvGVAS/SDOrfKtcy/l3IcVU4hL6gKqt4MbxKMbGZa5UFXxr1NxlbYJyD8EBV2O6tZTNvhhtUr4 Olf9q9e/I/n/aiXqBW/sHgHwMwGG3uwreMOPNi63Qxh8t8qTLWd106fpXyVoVrzhzpFjhg4X/IRb Qwsrrk7xA8sBnKWaxXi1YvIYEd83nWKraNQQNbUnMcQIv2v5JQn1bWQYt/byicxNPvyXi+MKESAr 2wo2HVaL3ePd+J4+zN12p2BvpLcRs2qK7Acfmy4L2K0lFx9yB2sWU6f8ImMsOxsBXmzxCbkaijiJ i4tZbrQPBLr9fvPA/CtGpm5PqcNrOuB3S7SpMzmcsE0+8wpm3QjdnHKZee15+SVdo4jgsSknElMy aIGLsIWeSwest4oHwrI+JbV9esCvwlPs6+jA23mxEyJTGed0ko5SfNSv80uOYPD28JY3itvv4IP9 Ry6q/idHAtGiF+uuBZr1oKWNaNOqhMe1CfbSQ7xUvhoJiFnzo1WZi2Pmg5ThJzOgz716oWm7i6Wo 4LK9ruGemHiyi4cm3J2YIO4rBNDYvRlqZauenvBc6H59JMNDL+JmrswB4N9MQi3K7sXwSpOKHADl RhapDs3Yel3m+93Vnejc2CfBhazedPs+XdzAILFdjf+q3KYSRR5oBwyCzxvXAn8AqOyRm8Zx6Arq /5EsBIsnT3LLAzE4F+kWbXWzlRhGMfrmY2nW39J0DHsnYV+Pa2SEb8jKyCjjA4F9aoqwBdp0VUHI bkFT+K/i1k7OIWyvGP5j0TYkytbc79yZPzcf4WctbpUjbLlUvXqbb3302FqyXhBbXJrzFvXzKY8/ nDguLVgFfnuDRPl+QQ7Ur5meHY4Fvv83sIqXKnomlFb3uqof8RNcVdS+dwVKjAT5MHh8YwfVmzyM qgkmNH56mfEYJlkaZatCzJFXEHkYGGMZ269RznpHWZecfEif03TF+JgmcvlHEAnOdTinJIyJuJat IErRcAoZqNNDu5YuSLcc36enHGaOYa9CvNRgZj1h/FQUHHMfvaSSqseuUKE9fQvjxjIxz+YBrhed IeAV15xz4t4eftIYCG7WGin9D70FgVZUhSi/51VWU8Ao2X/+afMxGacsMTxZ3w8+izHYgtKWR9de byNVueFdrYp14iK2hgnq/NZURUNDcWavTVry3DASz5oW44GHV6c8axMWXv3Ov1e+amLbUcXGP1U6 mX1WabDW1j02qhBI8+wrnB/JjsXedGynAH6hYUY66cmLoApd93h9/3O7eYnmG4k2zXAiVi0Y/dwX Cn0LjGdb7NzugvZVHkFLnGCI64Ni5RQH88dVyTDZJ/3pfaH7gDhaxtfuyjpbIdFm7dNKDTT34XVp HO38US5pmyL7iZmbkRsJ3BRptfIqmNFM13klvVxvMc6QPGKja2EDIegQwWnStnf4A/cRQhev8OxL EYhQl3ILJlKeOcXYArydZ9fuZqlQvrc5hzPfl7HnQDzeFoCsAXEPtclyt/6z/92J9+O6HYGnqe55 X2+0Llz0vWZituSoXX28Z5p8MuAFPKdOeRqps9vXjX0EnLlX2u3hX3VBB27fL++1pH4fZwEr4eAq INwf16hkYkUHKzdUVvasm/d4us4VLfrtfE2oM5Cplm1XWixr4zX9Ivytt8by2Becc5Kh6JkKZH3L xPVAXkiR8L32nTTf96ROZTWAK/qYXXtZMJ2fvr043Iv7QHIgilYwWYVT8LfC8zSUJanD6hNblyF4 hComWsAMrYDh8xVdGjCxt5uJOP+k7Gj9IuYCReMNAqP53eSh4GMY64UOvO7/V5Hcu74a5V1xphGe xOk5q4tnglMgAuJjx5awjW7rlTSc2cHqtUgl0nS1h54jALluyxv8UlJt/um1WEJv6H0Uil8vPxYl YIuo21j+GBvTa4w4nyQLuyL1J5p+nodrdIDIzPC0HyQY/e0z4FOb273KNNDw+9SoH0T+magd35tw fjgAgQgY2sJA4YK+GlOB/ossP1LwkEroiR8uAUQJ/3xvkGGsJ/MGvw9oymFy+z7Lg75KELP2Pzcu papIba43aGlBzy5spjAPeJQnrI2hg50LrO8Om0v23zGUt5w/UKC64D1MOGoxFwm83iyyXWmYha7I 1LPrF3scIc2ppmbM/Scb5Py64qEe5n5DhZPIcwaugtGT3PrFx+QiqSHS0npIeYXMiEGKNt8N+wor DjO3zfxSmSg9JESkJW7F5DPzAulqG9igH3hi0YMAOg9CcoKOe63g5LNryq03R24ZRlWngdqAQSY+ oqqfK1gazzmSl902l3aiZjQJEUAEFmW/2/8Taky8sEBWrT5r7jr7UOFLuVAJv9eSl9aaGM9pjR3z u0PX4vH0UBg7aRMaKtsFfN2I9gSEtuDWs8EVmT17IjZklpvcowy1tUwb/BDRV2VxnlTPIBHPG3pz wjdMEpyKiPt5FrEoi02F4IgYKHofkzlLvlfY38vx3iHfY7j5iNbU4qwt2T+bxLR81sAmWCsgJNKW IsoHgUYOUwRQEwnrpocGxUbFPjzi1ELCKEVZcRGuD2RyBZsTn0oMIb2fd0loLnyqFPJvJrfoZCAv eQFcb/e2/DvRF+8xDWVJ55AUjFymLO9UcDTl58EdMxz45jQ41XfrS8uSEmBA2auBThVryT3YRXYM U2nHr2QBxjq9/dPXiJszOlK0gTX6L1Q3hhihjyf+JKqWR9NIM/0djhmaR9EaqI+8qaBE0O1mVbRs 9R2hZD4bwnkl4X+ewA5KV0u9dDp4RaZAPj75NZlA4Twlpx5ml0uK7UrOWje+qk1IqR7UktL3Y/By 4a8y8VMGG9F0MpNlusUeqLKi1d9BMD+IMo1Fsec3xmoDSnGGBBOyDH+QG9QLoAUVOOoVeqLC8L2u WILNpcijRGNZ3nZor5je/UWO3lqUZEi2uCqKp40o+x6qSnrn+i8CH3AAJbFzkzkqe7P2aapa5yIh LJxxl9dpBxeTxP4d5Hr5qjorCQ9B4qGH2KZqkQFtWHQvhqwSj3U0U+Jlj78oltsV2nSIKCBnUynN 0GewXb2igAgrc6gm4wuKtwA9Y4P/ThEglcqZObMzgCEaBfCp9fcY89o8aQ8AVSn/OsUHJ/m5iit0 5anPIboHJS987pai2H8g7LgLjfSoIeMwD+4k7RpMNf8AsCqLizWiqw1uj3q2+dTpmJ9znAQk50+3 NJEKdFYmbKPreWwRi7/P4kThwVxxPUqSMwutNnBaomTzEtXDEuSSdxinoxZVno4s6YRMIkl0fz1y r1N8Hf/S6tmm4ZIWn5VpYsj3nob4oeo5EpjOgL1V6/DpnzMC38sR6w/YDCK7mxTC7AdkC+i29Oos E/jyWYY9g2JsYTVSHXTH1gXf8x4Jr6HOw3EmyWlVJDXa7z+FM8l3m1emZDv0spvit30cIs6BEvQb sU1oMGm06SagkryYIJ4NzjSATXRB0pRbI5BECUi+LVwZeqOSQqv9TZ/oSqPUFquGNnRILH3rKqXY 2lZLIpx6+3v5Fqe8bBRjo8qcDYUzCLRJs4YhIJE9vyUQAc3YBHTSxXm/q2OrYB8PwpUbHlMrQl72 WG1v2PelFm+3MWQRq/Aoyp/fSfayKIUG9kZOawv2wYi3NIGiPhNgJ5sZ8XI3mNYI88+cwMrLfc1F T8OMqlytHSFZL0rOC3ESjlPtTJz4WmV/wRZj/TgaAckGWLxgU6/lVy/mXUl7qr3P/+hzo6c62eEU AE21UJJlaCOSnKvr37s01jPXGE1ehwGC5Eow430d5DRx476ciGnhkV/B5W0jDOrz42+bUgvG8NJQ /kskoYmpjrAsuJV3PW2TQz0np/YyqgC55nPsEWsvid0nNkpah7B2npQJ0/C+3qOL4NABfqk3Vlx7 hqqvdHOXx2eD/Mt0Hh0ZV1UPi3jwB/pA6Vdefgws76n7L1bgHXEVAvY1+o3pUwjy5EXzShQ+ah4Q K84KNEE4+hV9kd9jSyepekRWgeXqn55ukhRQIZoGsKbH9BqnNFoiuz6VXiWE16mZU8V7Zj1tE/kw ITEBjt2y1eYL/rnnLRnFUjYpxGtkQ9dcYjmScMlRxkSxiI0jjAAacofnhvFlaa1D/gyHSV1DkJXx h186ffagGmTgLnqYAFzo4Vb1ubVeQ38zWXKb2mvImEfX8hObc4SSDE3gKixT4KAKfp//8HF+OJDy IbM/YaP5fnrZRw7RC+ExYN6osiK/TudCoWliPA72AbPyAYocsglFi5p3R961xqfS1ohY/pnbKU93 prurjVwOLcqQvqtDG9yKwc6UCKjHDPCg9JU2lWddkpujlRprkuamzRal6vuRjfAU/iGoaCRmRdLe rUXEqcPkZkwni9WIv62GgC6GTh3dTbVxHh7E3SPRgqKShjaZUTzWLybnrDJYqH32N4qRli0gQYu7 6shRfD7oAD0lgkBtFUkWu6v1tjuk1ELSOeuCa/s4eFb7X154hpCVPFU6aTn/Pl2cNRikqu6PcvRd 35UgHXBt9QRYIzRJOYHVg7iEp7G8a8KbGvvGhCzBh6+roTnTwyn+wmaxB9hbcAJLx4DTkEvH8vYe rsJQRiv9V9ivOrfg/BtG2PdtgNNBZtMwIS2DjJBqJPqBMrPD1xcZM9/XMHs+jVoDkx6WYbA4Tumm c3fKnDL6mYnxscr6PunfMrgiJW0S2milydBYeIOsbWfNYPGipGm7xSlv2wAIWcUSAy3cJTRSWaJT PKW3hNldX4Jdx1OS2BMz5gQtq6rUSbKyPOevumaPte1lzGZwthEE71DRJcoAjeeBQbpQECI6DX1b /gzWgq7KP+OB4GHv0dvjhzUYV9CCb+2qml/HZaEr1WtqpP0BFWJ/OwoZ2m8B8jHFHBwrP7chfLy3 YvhUkmpxqbHTiGEJDCYmN3D6N4trZJlz7vm27S0tbAaG+dbO7fYpZTxelM946Li8z8QZpusrzBVL tQSqVGhdXiRkFc064wL4rv+bO08/UljyZ3+QQAnNy/WXxsjhr2aFtj3Ave2GOVc18gwKKiRr+edQ LitffVD+Xj7ChHuhEylp6Q4/fbWgW1358r6qSeOvd1ytw+KVv9WN2EOPdQ9lKDDOtBaWsEtprMD1 0tSJ2dK7fzK60qijyAuJpkywx94F3AwO3uI5UVvTuDHSfRly5Jb5wxwX4cSsH5YbLNoeUwcJeWc7 3jg92LffMHP/FKketzlNMj62kICEcnForLtl2YQ24pP0dvLZ61KYXqtmr5koNDq4Oq0AtYySQdX1 yIP6TMGWkZs+gtMaEC14394jfcYlJoqrjZMDyqJV/6JXC8dQ+vqlrOkqpwUwTmhKRWr4sk+2w6kC /UUKsgo8P7pL3BUaE6hjbbkNsWg/dBlFBe2SevcCwbJe8zXLyilf5cHXSP7kNWhdjRuKOZwSMI02 gv7OWcMAtwmpDey5vEFFJGJ/AEm/G5Q0yyt+HVyoCDCGRogSJCJYjT0Jx0MMgNtANw6X+xntQHAB rosknXdpw/UicJ5k6AZ9zP46KB+hJ0lc0IJwAFQcBtLfSHOcYUJ6sK+OGTUxvpoSlNpSQlLGq3UY Nl8cuxuh+xeeMh+QqiS1iflLxr51qgeoe5U4qFKAZbhqlQ3nAAS/94FaPYQhawpI8IlxD0TRlEJU D6ThHWfQCLxotLGCjnB/NbACTWgmlZvWPZjaIDd4mcZPT5aIqdLfrxuP1s4mpNK1lWCRFocH9NZo 40gK/wR5O3pg1+eH6lGgYB+l3ULbouUDt/gI+EVeKno65dtq6xKX+ovmk+ux948OGaZczjvADGFl 9QxH4ChbWQ0496p8RRLreBK0gpe/ZMQcda/ovUcvzvk6j/6XUgFkPQnFI9bDV1LsIfRpqS/cJ4+H AEFzJ9ZvwluuNflQOh0s26JcU9OVCpTaC/G0hz/rJxx2xy/krie9TX2kpWyLesg+m5JR9xn71GFf PsaLx5y45l8VOL6816evTDBwebB4ROqSHTN6ipgYEftDQM++z+sho6cTpS5MaglB7gkMWNb8kf4o utJzaEtNvOmj0qU8flapHDinTr36sAeNmBfZVyePvv6tle9o1jh26fHLOler7ioS6c50c+bBSOGS 3qhvSuhkTnriBctcapQJ0VBof72WQd2sAXIQZtwaLexZrdqARr0plYlrRUSV6nvQRclnf/2b8Jbm SvFwITRTLGFwUSJ79ZWQtoRF+HhrxccVRNnQAwEBCdD8t7n2TWShssTdTHkpdTbHSwtJReW4+3iw CpuFjaNIFVWdJra8ZaWHodtt9fBVd/0H4qbjvF2Ls99dw2oy8hfVA1Tg+6ArNfJfLdJBb8OaednK V3zvkjZBSQVPvzKGsjoq4OdPc9gGIDOgDhDkdzxhCXQy5aPSyMYii3Fqs3rm15Y6d6jupCy0Ws/8 syW4CupSZsVI1moSyOtbjV+EloU49kF7ji5Bbr5u1ya8ERykvqCU6XJergGuuurbdcDaZuoz7jtc RDTOqASQ1Y4RqQau423xfex4d8gQf3q63jmtjMuIasVjouh4ZFU+j3sEWdvNck0MDs0JgLJjlRlq Npyw1kPi2aMWTuro5mTFCLrkBe2z8S8EnfXhfspp/pOhAvByqzCPEB27SwclS0nBP+Z3Lea1yPgH ByXYOV8oJy00JZohm+jFbIuYpxOVjM6FAI0d5oDy5JIcNw6b5CyuiqC3U0V48JAGZ3kHYj0D0S2g BEvCthdWsWoeF1ZCxnMCgBS7akUzwi5grErsGrx3Ehvq64ZRk742mMVqX1Vf1RrSTahhy+HNEWp1 761KMoZd+v+XUi3d4OKg8vyWjmuXe8FsmEc/IjudafJtHoGb18bcdWt7TL0xXLw+b9pGQ8bJM2Jh UHs4YT10RBqWg18lPkfX6qa6Rd5pPmRw9x+pbUB8l/QXRDHT2Fq/FPlhbs4jOVTTeXXymfdvAPZO 3i4KqCR00G0ViE2pBRzP99xjvtt1lvMAPo2vUlKD5ZEmqAERGmHcUAN0CPyXBHncYx9GINYU5Eiq +L5771xtAG4TIpTfkCZ0Nvwv8ntk7AEVeTtutW2rMxiDvj0ez95pG3sJaNQRtMapUe6ZPoFZBtDc XeZZUe7oiNfKTRNJb3+Drq7vZafrajFijQNUlnmtGIi6XLlW8CHcIUsn8YQ8oulgCiPRvesME+II JmTs0B+c+QcGAQbM+WnHcDANKkzhXa65Ghg5wbuIP0GFsIY8uKF+nwElblQeYUT01ozQIhC9gu0G YlZsoqIQl3UrQNAczX0hGxtz/xXemQFYC6upQS0vE9nRA7EgWKWxRCX87W+Yo2CVL95sSQcY7lBN xXYeL7SdCVQxr4Rc8foqEJ6rSPJn9rYCpqRrN8vN4ZeMl0sMFR6Fnzj49SNIbQeBK9UhsDRLEeLU lIhOVZ7RjahTNv4jIFZOzY6mmSjF9zaZZc5seJ1pS95dmNZPPY3swFDuGXuDKWHIReaUZvjj2Ljv aR29h3rWNVDZm4vYBbdrGSSBnKFcEp2mVze/ilYdK7eqoz+gS4k1zxZrsa8gT+6wk18WdwZalzUX 3jdPqoKFkAsy0VBXYBv2Y0I3zasEniNJEHroFyK0j4o7/Uwq8ZTE8L8LXMvoRdZ3jmuKNnP56/Pc U4Afu9OXkYPQU0Yn+FPrQG/5XXbjv6qscvd1I+vhuKmE6Nbzyjj9Tp6o7yEWykaB+NDNHnYiBmnd rGEExsus2fsxcGoY1CzdQyi6riy4tTR2QpeA/tauW/TOwCPoFw1JNNJNJmhx5kWIlEQd327t9rRC LJnX1Sj+Wrjpq9Fnv0LK29QWi2icZgjJKLFze/ueY/+UEO5rHwrr0Rw3PPvQyUSJZ5M2g7i2Y+QJ Gl7wlNuiFztKCNdd4p8Z4FEYQpDX1l6p6Pq5REF9B8WErxG+Zt5n54rtZKX/kvJCJT1I1vLfhSsT 7hB6vwiR02FDHYMqDECWoHnQI75rgXU7VSqtZ+N5NIgnkqiKxZKuX0K4uRUpcGCSU2iEvQtCZcKi ck0QkOeiZkfpwD8p6f7q3W8IOGbhb0oKdWJrBjbbMSaJ4LZvfS1wAt5ZN2uviUtVK7UI0ZnA1SJn mwQk+agaQqTMgKeJQeh8KPdXsk46rD3mBQWQ/KyAXMDgctGBDKpvplakG5jmgUzQ37dF9vtO5WmC SvyGi6SBbnEaQ3XYzE+b0l1AA8LDXWYJ0rgRpkZw8StVUWnOTNK0QK9jLWvDx/xHEk0zaP6A2l72 GAe6PoRLxEtWPAfHb3UyE1DutmLpZVyEMch2jOYscpiA2NHuTHVw4GKR9a9BvEwQRSMq5OGUsfbd pEGEBa1OI2TBNIzbHNwykT7BVESDpz2yGjVVu1ZR+Ev8wFUDJIs+NY8UiL0UTzDOWIjE9jCTY7TG qOJiSZ8Z2bWQMBt1zrlfFPFqsYcqME5mLyEh5+0XP0jbq3ADmwuU/gnTzq5o72cRib26guypBnay WLvGtYmb+r+y4az/U4+w98RLKenqcXODl2WIzwsRk8tJSCS46stJSZ/Zwjth0penolMnh0BwaFl5 XV/1Vq3zT9hmHEbIcT2571jzTdk/v4rCB2zWU2ucAd4lfqoyk1IhpGO/h1bpw5Uhv1D+bTz0kPvs dVKZWevn0RV7O6JBG5CEudRwdk8+V6iATWcOEvzZu64YgtKaWASf7tOteWGxPUUG40n0OgrHl/1K GUnPIg1pnJZIRQQSVsu7zRohrgCsa4RTImM8bIJolu9AxddHwdnz9JTN6niEWAVMftjy4nSMGleb K24oVfZMYS9m0YgW3J8zRk751mgXpP9v7ZlzOre97NJ1CBT5My9jIt5GrMP4RJMpCvDTWfL6ruQv J0r3kJXyzkDEy9/nnw+E/BeA1O3I8A/rGcyjYWHuNU/U6HJypZ3OGkOFUIVkSLGFsDeb7EUXM76y f2Xc1aIuPn1OJuwnTEMaAHgvZOo+jv5kjcWcUiQKglNtvjeOEI1WYjA8TOlRRyjczowOCfy7Hv86 OAbPxP/tM/6MrMHdMc+SpxknJjKdKfBiPoLeI9Kr+KDHD7d5qFIIk2f/HV0J458FQMoBajFRoIc8 +jQctY/IhJ+IN1ns///1Lbq9QxF5ZsT9Ccj5R7RmEjDcNPWR41TkaLsj7/hEdUTclPwZbguUvH5I zFnclNL7+Tbm2/D4tLEX0eu/tVpduyQPtkeozNKEksJA44L8VDzriEHPDvcE/IzXkTSWGVwmKalY z/ydjoTcXUya7fcTtnxHNocdQGib3hF9OpEpbdd7pN9S37za8lkM0HWX61YixsbDe7Mlzezi3T/2 bLlVEgtxtQun0NNwzDFjzt+DQbQKJX1fH6SkYobhCSb2Iu/AWvIlHmZ3JCyNYEMuCbqb/AlEwscl pFce9rfuYbCAkFaAJGvqJsFfK1eZ1AIN5yptVqxTF15y7t09Ea+VLF7qce/FpWQGgtmFLsPpsCf7 Cmht6vJNdJI+s9sSbELvbwxpD0kVJ7pFbfzfUfHEW2BRyVLY2PCCzp9efcjIyk1QNquO5OUBsuPQ s9ntFs9l6QgPItguFgwxm0gOF6qSM586YMWkFpH9BJ7CgO5y3fO+r84hvloT77fArwD3+V0Gjebn DSmd1NHTRu6Ywxpss4QvQJR3DgvFNmRhUx6dUCQ2T5h+yoa3GOhzQttnETNOxU78cyDDlNViETPK s8bLuRKJoLzPn7CcoTzVbP/1vcrQwBaJNENRIT8BRLG0m+XhZlualNr+AG8QMnR5NwM5v38RoIQi Ev5j+01r9NS5w7re3+N2oousgq+TglXpQ2uwgqd5BhPGtpMvZIULX9+/oWOD3VG9TzIBBJK8jzpt eoXYKg596Bs0BFhRW18naSwYP7Y9FJ0FVFDIGwLeNJGVvpmhPYzQ2et+oLBoJAZYSJmaVjtG5pnf X8Utv7rNakbac7gPXC/cvwSQoCYfvFSb24lg7cp3b1A5N8YK+8ds1mGkWN7BOpCaFPqG6pEWrEhx 923raIrJtQh9I8g8Vjj9wPHEyrRe5GDywhdvuJ9x9qjWEhHPRH+5DzPkTkYz1YgOlhqUdVzYQWH0 qwsci4Hsdq3Qgsf5R7QNgQ2Hi74K5sZz6zn0oiWTqEVO3CaLQi/wACNdowcXZIMmPEj8QMO94Zow kUKEPkUnqU/Xge057TR1IbLzNt8s957XyRCVLt8b3r8T3FzlRO6GA1iy7KxUAmofJNH1+MAJlQkA IbuEOBFlaMqS3GBHVIvQUXjxrOq6DSXAWgX9bp/Gx9bPMA3PNEjy2Hpn94ZIPWkgN1YaHdjdXgw6 NCRCQFYU6RVV2vP7PLBfVNVji0WP1YgWPzhhhop/BP5YprzwU31tFMnZoIICdQByeVpBh6eJa0/g ODstgZKETtUIIhx7kDgYPj4ogLFa2El0vK4Z+ruMN1pyv3SppLv1czKkMdFap4Oymx+rmQKu0IgF kpXHOSBlE41V9YLWKaJ1Tuv0m4lmROWbCnYayWsDMB74CYk4Kvzi55m7txQBqcvDF8CaxD1WV+Mp 8GF5m/eT8noehg2+OGdEVjDAd0Wj6LjBoSyLhzJqvMai32pV9X6lvOviT4sAUZrolDVQhimSncN4 yX/K3k0/lh7Px3nH3TQUq76BooqxlMZKX2wXz79URZjKBuSLCFto6C1mRAT4e8b/PdoROeud9blP PDB3RPfL6rDiq6e+Yi50Xux+OpN3NLxliX4iP2fqZEA3VD19CZVzXmGipVtJ7XYITLwxFDAkKiCe ntz5NI25Q2ffx/cfrUF8qVsfFDR1gTtnKyxUoqk3CHfEggsGYxUNeTbfFv2gOO+z90FJel4DIA88 E/kWx7rAy614AxY9hleAH4EsIuMVj+IoGoI2M8VhIFB/AAxjuD8BuhiESgy6TgQOtgLENyCO5ztr 9Eg0jDCTQ3y3p6C4y2oHYTU9k5uUx5uiNFESh7OGuPAA2csP05UWxQad+wuhbmOL1vB9kJh4UxtV YpcMtUF9ZmzAFCzND30W+lRp6TvczJZ1MFONXgUEcONcMZXBi0b+3Hw0eHwEvfZndAVIPTp1sWTI d8RPj+wQSSBMMJO92NyGPH7lZ978p970X9hAvUcQX9S6C/3VJfDUbN/jAoadOFNODMwkPm/3s7Do FmWv9PNEMZQwUFP6l4nkclmnACUcYsXVsWH92FrJgVkPKBitNpztZ5HJ4xqKvjfSUA599U6z/zj6 rTZ0tNSPZ2nGMFYkiJDfGbW3kK2xt3Ylp8Jk0Ign5v8DRVQ8pWHvJWz/s8b3DrsCQ+5CP6dTUy4X dljokU6/7+86pxYUr3r4HD5P95pVIT0mPONnAvq6J2wwjYDmjb003bhE+DqMhIRPw69MTkIXkgLY 7oGtKFoKZYo+HkyeTW0m3Wpr/fnMwvEdQYvcgGPX5Pw/O5rya2h4+RBgjslH+WQiECud1L0RtruI sA5mZJTmYChvVhFrGwoknM1kWUu1N3z2lr6r2ZQxlngIOwdaKfn2fphajTUSBFU1e6RfgFLcbKeI UvWyxEVvwrDOXV6ncksLRQAO3my4+fPFqplQ5tXaD8VtfWXT2frVQ/NkimLjrgO8RAvL6DS8IG9j b+YsOqCpnV/WKNO1kRKHPDwLIqr7KAIcceRqYH7Mw0E+DEmFb0QMvoQ3yXyTzgDdipQUzRPgvbgu JlwOp7hmJDC4w9ah0nV9o6FEBjYmW4tqnObYRig4OtC5kIHKTAmCDNV1iY789pQNHaxXzOUdXfzq vQ3dmisFmVA0qjnAmrZ0xggxh9KSlXqh5b3E6bIytTs3Q5oWR7mTc26E5EJVdmFDzNbVNKy17GLm tH/AEee+S33MbF6NNfvXXv3Njvwtk/9B1Cx8Jbage7o1N/XHuA9xmSWhPm217wGBdpexVbDdWSXJ ++p1yt6oztktUbAmlsFPmGxWYhzJm7vC8zGQCHntdlRJnZf5mTvrdHIzUJf3l2Q3dWxTiXB/i7KD l5Tr7WfnYpzzkItkYQAlj9ig9vUTfncF3QQlvrwmRgmpwNCpRX/srhqtlpUkcNBVcCczSViKf1Iv kVSyu90/z0oeDYvn7J/DtkgaIUBdOvoKJwvNuJu8kDsYO8m1lwQnp2yPbJeO7qKCT8dDXyvESRRp zLLhzxryONFqG2P1OYOu6vhkOCHUX7tx6orMp1xBsXFEZy5PV4ctqV2Rygefr5UgSawxX6/AtZko 2XtaoO1UzsgGI9NLWkZxZSp5280h9S9XRF8qLVtSWxHWnXDXnkS4B2BBL7yAQTu/4whFBVRXW1RX IvfdRLgcvxV+eDz3TOUCD3/jeM/Ucu1Sb+1gC5Qs1Je//k81fdKyeqvAj+csW7TO2y0VGMT+u7RY HbexW+4r/NZej3pzoD+WCSbXZfSQ8NSlG0skM9vazkluAkGB1JZhOaPjIUIDQX98XI/tNdT7BR/T hvkpG0lx0WCo7qCZ4/8mMyeMQ1Yr6eJTTqFmtgBRTl0v4zByB7sCNiBKkE+fKyJOkTxWz9Qi70iy yuhDo6yM3Ja/S1TGHmBAHJQNOPuo5sd9dwcMXNa8gfHDNUgAvOJwqf/z8XWPenK5yOeqs2eWhbDI ZZStRd6odiqtAbDrhFc6kvNa/PNBbao7P47Y0kmSXup1SsIjnAz1VkXuUaEYdJNG0T8j/Ke/NzQY efRiD3GnlIZq/6sEuT1nqEUeQXoL63CY8S55H45OvC5Fs8CP9ddDTCO26c37nz9GsWb4rG2VShk7 EsPhrCg95l1rRoc3x+1OiHbPtqQiIPmYYlu3gQdh6uJX4UENzicTPu9DICpuhistoqyu/IWihVcO aldoQoRnwK9qJ3qX95YQYMpuhT2Im1dL9rUuyepERBv/lwff4rVPogdwJgG4eyxj7bQxJI7q2IIZ Qm8viJ/03q3Q3m3za569wqwq60gtDYzD+CSDY5rmmpFwRNzVWLjy/8acnfxd0h1YM7jOmbLydJoq KKw5XibKr5VR8JMtyLclJKfHrnclTgcSf7uWgeAnhzUBCaX1nI4jECZMw2TrzxnXoXtOfpjTtq6I HFhe27GvQP5rZaSN/a1kbYO3Akc7gSSG8vbuomW9xePN0iafGcN8268aAQ7coMCgRoCpeEz4hNjA hDk2mt8n7eMgmLvPwAjzc3cH2viy9+j1v8txSmvtxzZWcV5i937AE5NEZdBQfp/Mpu/+RnPJuGtr 9tW29lieUVqF7YPTFjVkLZgRxpE8fpe7rtC71F3YdDvGDw7UvvXJItQcPXdqhukxVbK7SBOERWWE 3J6g7Kefa4n0bAB1KPXVW5Get9EtBYwmx/CCxNnySc+Y9rMlHZQujEvS+joI7adQOzz48rDPX9IP FtT8khOQXSLxf8Cfrx/XK2wWY/y8ukMjZHck3EJh63TYMwrkpHGtHKur2OYJeNR5je/rWIlxVGao kUakI7WOp37v08YMMGYJtczGdFVjEM4Cch6Li7G2yOObLMxv442ADQ323vuez74yROPAqZco8Xaa i/LlQ1n6OkdI1PUqRsVdVNkEQFCC2BU/u8pB1tfCyyQYTFX2V1jgRfk4u088PKNG39mKUAtjkkWU SziUPF9zw3TlYVq2SyqR5hjn14ibwnMwB0UfjR/uueyGLGEaMdYtGBrHd4jGMz+ciWRWqNtz8Dxo Gpu7lmOPiXZFSr4fndSrFLKDCzdA9BcznLU444OcRmn9ArSqoCCiO9LSlEoXacwZi22LaMHZO37w RI37/JKaNdsN+Blu+nQnyzWTp+VgUDYMy3FWZfn8OaUdBvSjbxOx41c3zDFYK5afagFY95bnRCE0 QDB3DOrd+h4IfHVQ4dRt1TjA71r40O5il5Mbzowvqd+uR/hu9V1/H8A6TOyTycI3JQjacFwmcgao eUXIgsUljD/BGi0TQ+SHpG8UsZ+UqgGgFV3pLNt7/sVxKf9lSZBukSedBKCDCm9PlEnAzg4yvK4T OUqPSOisHipklXyvKqOaDrjI2i6nnYEcH3tCjf7qrkq4DoefTiPgjPkp+W/79zuDNcigkWWAKkA9 /nRQQ5Py7ufnRmISQttpq0J1TD7F69ePVAvrKdeO0nzalpEHlDdZDzTMj6q8lBkshrD6oTWDws1J VzrPEPTdhZCm92f/5huejLHcc9VOnBHsIeTfqG4KvJpLNoV+ySfgf4ZnwhGYqi+QwONnpvL5JYbh M8tdv0pzu4VPpJIgBNZB3q1D6k0ZpWrXvkN6vE8tl+LAJjeZpJBDs29LLBIY2yzcHN5zEz3g3uTa fxpLSsX21dcbloh0PFG4pxDTJy/bijoFA2LwElAsIPfrY5JqaEvcUSFb5UW7uTkRRy58TVMWZmp8 iwyz402rro7sjJKUmpiMH3bb4uVYk5ooxiXCO5oqJnDnRxhp/r0lDC+cIFp8aIBC1G/094MAX8Kg XmejB+yxDCqoSQgnqjIlrqA6R7yb01OLZQnFZ5vO+zgf78+dgggUBOMzdZP5WsS1zP2lJ5RuSAqU BLMvdVtJma6w5fyVqrpDJjy3IKstrYhiYZpFNYV/fA45K5v8gzxifi4w4+DUYoUiSC7t3P+O0wIS lCv0Tyfkv+M/9cPAY/pyYApBAh5HL8o5rXDcuAW+Ink6JRSQqUYJjR4kTHReU71n16EYfwoOi2oX maRHQx7cIm70kjMH9hnFG83R957+G35vHA51gas5QaJijmKAQ1q0s4U8X6jVZFfY6HvWr9sTkcF1 lBwbYAfX6Ju2xTQtHdJZypbi9zz91Xj3JvwZWf0qTeHWtMVsP4cnCh5ujTpoh+cV0ydXcmAKJtcd MeIj1ZDfPXCD0tmC6TFAfLWES/nkfHZ93yKuiCvfb8MOyRVXNAO7hAJIpPQE4srDWQNpMXwhbzIM bn/8z3jjkPNTIm0AHthYjQgDbgPpI6cEH6vcnlaxg7fEw/g6GWfNL3VLso2aiendtoFGkGramobz HB2ZBE0WSFyBtboVPnnSTGGAFEsYVo3Uyw7mcT2zCOMiJdn09a0ZXk6H/f73QVWvZgM+LLGgraPy GkII888mYnzbgeYKqRJsXykrxYnL2gjs0TsWlWfHOkv0AdQl7HOEBkgeOscwXCwLBbcD9tG4gCEm tDkbTRDBsh+9VS4McQq3tZMN8yyOZL/1BhqjcRwfcJe+tO8P3NSseIgmBESGTzZJ8b30VfeVo8oJ Z15Ppna4yZZBne6tkdS4+rjIoFrDDUszaK7yBI0NBm7S4lRqHRE7RKSsuRXhhfacC2r0I5A0rsgW 5nZhVq+xQ1+UJC2sWB9WjoRC1ejMilsJOFLdMsPi+eWBzPDoYMlICTKehfi5qSoiIviUU/v6FDMc qDUiiWfNHRh3SrWdjrogoPJ1y1nDPrXK5eMU42V9U4IfgX3H3QRElwI7y19fhA0DaHyygsPlLMab lpDpveVJuKDq+W/c+sSGIIvwtz/VHvcS5UAuH+Mw3mKWUB+wf7SuvuZDRVNAH49DpicvCUSFfGzZ v8f7Iq8re7x1CubADl1T72ifylsXYSL0i3e8kTuPPpisFxec7saeIWL7+0tKFgNA4fAEakpzoqGE wY6eycl8/+g1eRI+2uyt5PM6nSdViP4JVGqh3L2/XYE3bJjTG1oZFwJdxtnde+FoZvsN7cctl8mD pQollpQArRhYUZGp9P9F+g8c+w2uSJ3vlEV6CkTUzGVWDyIlV2JvFCFg/ilqaYWzsKo/Et/9AfMn E7N97pcR+jlD33XEn00Dz+T+0enlM1FDqC0SVyCN68+hXFsT0peYvfo6SIMsBUDZ71HHh2s+UkEV USgfMbX53VNmUBsNkupvy0bIgIoj02dTYTLgXxbCbW7xzElTZZFx3qjFNKxBHfmDFxvguE7aMySU kE6JdRUgd/NqSNzosGsHdCDZMKIluYTh7xgvad6PLSX7Pqa7yZOAFOED3il/UoOJxSCneHcsb+uZ gKxpm/cybU+lpatbHZ3MwbAFpwQPah7d7ueCBMVYeABGoWiSs2xYCgCO1f6tEpsH7AulYvozxTON 1zW4JOHZpDyNEZfopYcZcut4X1YiIKkQLpNpyOCsrdFIECHhtvCfjSsYdf2MREWNm89b0u7CXocG oFoc3Mkg0EL9DDpPVGea54iayFbWcCba412RE11/gtiJ4yr4SWMuMpzRPSB3j380gRFuw5oTEhi1 PGRCHelCi9Plwbtv53t5k5QOJhVzSI/pbmUvWuX5BC7whFymcZuYr9j21VJAS6SScXbNHSb5Tkxy ggCSiF8mU9IZq6e2rb5k5DCkb6RrCBTQPPvIKej1bItxuYkrtN2TbpK3L678Nq1aMe2DdAgQo8tB 5D9gKz7NI4X8/WgJO7VV12J+n3l14JMW2xFqjMoOtv/s21bPpusDdvxO9uC1pmuzx9WsNP1NJiyO b4JAX0xtuk3nhB2NbG228zqPFy/sdRuA/KFOmiJ8WhX2n9LY/TQGOtYYs1jNm4xKX/8t8TgH+eTB 2b0GTcDVyCmshDARzFEW+1n6zmrJIicX2XNc9zn7bylTdlcYmZVHFecv8uC3t9x5Na555s4pJEF/ EbeEgGRsU2mw/rN76b77Ma6LT68OTbtR1MW/nmumDPBSSRBigCYuBKT8Y+Ado7gSMeHuD/id7Pre v0gK+gRVex0GyADUSV8dctxJPnNYE2MypohQXfDHu46xcVEw5gwpONaQVmAlwAD7IdVf0g+kGCkC eSXA4h76FwpBF/ka2jZrles3pHb/ot71YsaYtWVF+alxy/LUjWXvCwOjpNQPG4+yENeMkOqcTOfc XRb+mFPfnoKlGMjNN3kPNBZp+O5FcD3atsUz5ClQjriv+E65u04OBlbfUgtukwzluibSz7OsnyY7 /o+Hsk9E9ZpO7QvnmR15SjSqbgbsyMEBeXjBCwQiC+p6AUIgfP2Kqf71XH4xCZDmsJBtTaWbEVEf 5rRfoeadr6HdgPmrzDGIVH6xsCl3l7FzAXHb2+3QZeqQ7paZ6vLjbzrGtbCGwanRHoAmFeLwGKyd 4zS3R3zGa72tqSqi2SOHydEV5bv8CUT9iMyngk3+7ntQJzeoGZgaDlyyR+6c8ohaklC2yqPeHBM0 0UPG09rYrMOJhXhkQ+xaC5DUJCrAplWR6cpbQ42jDQ3koDLBsfF2aNxTgdF5SPoA4wqQFBW+BneZ JCER8UOY4jcTGYn5uFbDmhIMEFtF163Qmeg7VYocX5S9L+IbTFdfg73NjlGLsLMayufEpKg1UwH2 w6VMD9zey3eB6OMC/gYbDcY/O8XTN2pbPFaQwguSYEjkHzmR1zX53T9iSfOBcHIqcrarDT3nH6sL J2iupsQPW17cM9o7J+QX0hjZb4jjYRx9SMZHPOPWyZMl+DAEGbzYcopkDLy74vJd+feDWQ/z5/v+ 71aOfetgfUDAit1fLdlR+aL9rdxISfZolj3m6WIYJXk2qUKY/9kA0H/r2dPTwGo8q7912djH79G+ EDOq6Mru6kZzL5xLnbzeHgthBMo9CSllMoIeMkqouxYxUwwLj0My19wm63hTd3CsGJEcfmZEpEKw YpVNfFZzhES5XGkjDef4OlKTkY4gus29PTK4NRo9ipmrVee3+hjPyeKwMXf52+tqE5sNoyXmV5hZ nq9MdKeQJ1xL3IQpkydrt5cPDXV3gdaIc6K835+P+jHDmBph0KDURVQcKRSYLVd8xpy1KbiTuVb3 DPKBMoA35qu8+fHwJrObewV/qXy7LttD/bKE4JF3W/W0sPiCFvmhp5bdr6twAPKb7hkoZwMwCJll DQBLsedUuk0s4s8f2P8auuMEacLVsqWks7gmnLkImIu4ruClBQppvkwQeHJRSM8/T/JTN7c+bumI qUr5Z9KD6W84Q7xq3H5sRujKXmWfQl2+180MgCHZdNKJ1LDxeMKErvv+TbsBdg6/cTtw/ODBoS8H DDcABvgd7YsZMjUS+ZUC6oOMmvF7RNQPBlGDEtrZdVv8LFCv7Rky/bmLTP6KA1m3bOTUKnrbVo9+ xLme3RGacJcow7MBbB1gpfxnVI0jbKmvGdsD1vOXjMvonBQwrzR67IqhbaW6iPl7Odcm6j//gVwp luzyzQo8TrGrgqelJFpSRhDbGv7RIAIzyAqOqf55kqAoC6katYLPyNhYFKthniuUdSyJCEN35O5y OJX8lPcvBH1kpfO3j6Dk4c4GCN5FVpzDSZd9pkFzddIrsOv/SrQABf9mKecKH4NgZDQMNgBgdhgJ 9AxryhTc1pwL+DMhG/5gK3fEX3zGC1cVjfIx0TWgta+M885ykgN4cTCfWW8WgdiMfQpYP6LUKowf 8/G3ZfDNqD9xmWctUO9jbmLzphHeVkHANIa9BK57cyp35UqwONqp70ms5j5SeDGGIpZwg3LiqIhv WNLQCVZMOO9OcEsMr9MiC6GAlr+CGxye1NFY2Q+HSKlM3NKgPOQ/hQ4q9itL3hzpnyip7xwKRSoz 8CHLH3wf978Ee1D5v+z65+OX+H0Hfe0r0BW9yT0siqiOv5sLglXKKG0isjHgTA2zBjlG1kWw/f6V m8rztJTE7Ucwm+BEkFy9EuHKRHFw7qQuwh8Q0AC8cI6vR4SihiK4tLvvgljLhk7YDIdLK3HwOHzz 588okR1qZii84vQ5yGkOP0s9OsD+FzeLdOiHw8l/ao7/TGjq+SgKLGRRNZmHik3R0EPKWc3/nE9r imX37g+m1l+zjk0LQgpAG3kchEUt0MqER4YozXq/b2YsbF/USX6HPFz3FZAyNCb2xvp++Zycc9FE OHPaXah+S0SA12sLunKwsO+cJfhJ05OzBfxH3NUlY9q8YCkb7pT3aBnTef3MYwFmi+/d0tFazzXG u0yiXT8pZDhsDvvfItKKK9tbE301khoqS4HnwfAtDK64hgnA4DXC8y9r2uhJKM949Q+ShXr8f1b3 7zZp9unpSiBJ3nRKCbY/GDJS5rV6H0jLCI5aoxb2Yd6YXTTwVDgt5ompIA3mfARliNJUVp97lH8z pETdo2vpBM2b/G5GsPgSKNsBM/SP3iBjKb7zWHBTGQo2xOHs16YTLn/z3Adf9Kk61pUsoPRFrx4P XCtjd65WsOjCTN4021XMxIzSLOfdaqbtDG8x9XgoOfica9KGxwSIQYZOF1Hy0wkjhpV+LXwgiqv1 0mhR6SMqAlXWk4G+/aNhwoH5qAVE6twz/QYuK4wyMvI25Atvw/rRLabAAo2Yj6F1JL7jKlfwjREr aQswXfiZt85aLq0QiVlQPKpj181iKZYTaearBWjeDKodDsXZOACVkD+0MtM6rNMpZPas6UZt3e6X hUYwD48AYExmk1L45W0pESfrvmNl+m5OHKRLSkVrR5xhb2BmJyrCw100gisjtyIEZZdK9Vaw5bgl wRbskPkqC+s+4zLmP2a2zoFoDY4R1PXdtvvgt4rlQEN8mvxNbyN9yvyzcOrgBrPlOubd7sS4tgFP xj+UpD7ZoWNRhDUy78wpplnj8JpOPEmyKr0FM/o0eAetM56JPBP1Ia6UzmeoG4AsajrENblBZcRx aQ5TgNPaKivxUnY9WYlEJPoFkgCduYY8hHghlkkGddVwr4ybpv+3YvQ8bNvjoorhEjqHc2jdWmS5 tDdXyFMs/OOCegAtsRa33hibHEnEF9LutKIcqFGNqM3+RSn+4NyqtVuemxHr1NleISTVrAMsX+Vi gvDvbyShS0l6zYmI2bsV7cZMZZMaC3LO9ws3gx9Dn1Au1h09UH8PyA6fjqy87BGRVdseb3s5Ghmy PZHbdrIp9YCUE1z0Jz+PncP6GTg6lp6YOYckOTiKT+BW9aXuiNSehSG7Qt7Yh4+UuBnvjei/V1w8 j8RKqAoxoVEGi26Lpd+93Pk/asudGzD/U87Q1tSxL1NOLdN926yQZbpBOoTG/FkXE+x2zzDe/0ND i8RBoCM+tE8chYOwI7DIG9hWojkK/RvGKTsJreflbXYLRhg+nw/xJnfsAbIuX4BsgnaqBE6k18lC f03A6DvMVQ6LQQnepnMIujgQLY1TMEcgyN9nWgm52OCw9dwClOhWk7FzVHkamV/msBoZjzUscVue i+DP0eDRpDSAiG7gegg1Xm0eEhZmmV3NaDn4wiHGMvwWDNexpoeOt5fOM5pQfWMj3y+T5ogzgj3J 4T2C8uxx86tEHhpGTN9PSSIydO6ax2Vw5iWhGYhsNf3xN1/+8CJ7KnerkYLM1e3nXBg667w/isJp ZdOTJX0R0S5Ifk10TOBXBBap43jxQeNj7JHizDhvWnbxyB0BKF79SF1S1JO5pPFqzZ54/7gSC2NY jmIy+azoiEqeZbu0IyTrajKlf9sJ47qwAQYwirZmscK3wuA3QsP9oQUQcd7nPpmkgDmP/mLYBXS2 JIlSTtSKAVhdA3svHFWfmnW7lMI0CLhsnxL9bXOSqeI6qd9N1GWQ5Iy+3h5kZMOyOidslh8RbCkE X//D4xrQngRwVXtI8CkAjoYgjC64AYGF4oTkHMcAwmjbmIVigh44ldnoq10druGKosW8Vc4jA5jB dNub41bJhUCanJWmbyT0/EEezKuHCHz6ZdqrAMoS5CQGVmemJIyrSf6juxQMZtaAZmme9PXIGsEz 91H18ieWzmRGXQSXSsmTFiSRssu2erdmKsnSVtb1+Aj+niXiG3HIZPfJoLE+SePHO7uThn0+BDWo 5MadAJNONuRWzFiaU0Q7wYFbJ6DQQjDQ/ia2S/7mHYx5jktCL0IoXDodXbsSvTZ4mf/JH7Hpj7Xv QQi3CfGV9yHSIqPfxYhrukvX15HlDj189iM8SwjLVJWlNvNsBO/1KJQ+qM2U20RafpLtY6FxmzX6 T4La5FYt4FJcHipP0yCFqOzQlqh6wmGSfbPEk6d3yeNdtfUpFnAaNXvcVHpq6egZpkJ+ZKJhyvrU KyZS5ig2AnEZCsq6zt3uAsos/hpIMDauVD6yFPXFuwdgtlFe86HMY+NqFQkrpuKAZOonU2SPIq2N 7RcL+aUrReE3stQ6C04thOP7Nz2QKDi6cbNyP9mZBRniZUWTI3qb1OXrkisMrebW/0FuLh0URQVJ SWEf8bVNc+O1rkoj0w+d3ZCJcgfZPhGtfqR2kMM3xASIKjJhHoJsJVjhm+cnDbwJJiJEIoxR9QU1 RWBxmMjred7+VZ8nmD/TWcB1cPGrG1AY1t2ISwkKH88m+qEuoJRZgWLTZuof5LezMS1+pCFcw/gO vdyEF3J1WJaN+zbztlS/je5XCKsENCC31CmGOXQaaUcy9UhByMrh/98qc63yxy0Wap6x1RfIMxTT jxC1DNcV/Ipg62aacYzvqR25k6AU+IIDOdh4J9r/fpVIn3bjg/cg8E4/XsLVHvBcT70q50K45dqr eEGy+kt41tYX3kzPdffMHkGaEjlHRDpK2itz/oyweYnZ3++BdCJbuvWWEzhP/LvC955+ISy1+qtv 4DMTlBCl+VFPigQAWViITOjmy62M6oDLdT4HhvVGanBBynAUiFJEXg3wTbn8U5d7HSeIVI4lCGmP 9FaadAdddjBSgdSolQMLkEl4b4toPXEabXVB3dfh/wGGjmYLpUCBErraPmO4PxXASPjE0SsOsh9D p2opNC8mmfZ8uCHAes7m5vJxdy7TM/i1L7Rx+WNGPpLAypd9SPfSj2dyZLMGmIrdV4Q1t1EGZpUI clWo+bE7sb//QPemLOZEd2WszeR+vBIP+nbolg3qLYIBxqKi316XUjwO4iAL2e78KClBNxgKpOyp 5S/HDkvYqEKKUUMo7gvzihrQuAA6zgy78RmEdFSffQW06/GhiBHSrNChSh+CYMgz1cg0SEuDq+j8 iPqblj+yXZ3lPqOlE0/stzw6clKeWtwSSmYowM3YiMG8YgifmGX/SEFuDCBocWtjAWyvrkJ5YFu6 fKvyHIUfGFt/bwVdAi1N7xvNPN4y73Tj0Z2JKJy87s8EKxuwpWT5WQ5+6UORhmGr7+t1RXjnbnpJ LhplWJAYEuP6NWPublbXTkmfrFdgm8a0gN9fhCKM+LnujUqFqLoSvObhJybmhzFI6RY/AuCYFSVU ruD+OdyrQhSnrLzjSEKPFBm+PKsc5LMxgpSDWRRpc3S1HaLxwbt7eSE4kr0d4+sdtTqAZRXQLkrA v8aYLPRqp7vt/J0TPATkXS3NYRXlFyQutIYIgmmJSNLnOJdfusVauOVG8ruUbXSdt+y8IUNxC+QR 6Hrbkc0Rs/2guHZ3J37W/yDaAmmtqWZGIoAfeFjus+hsfc4YWxWKQ6djLS6PZTlA06JGiE0upcUb LCiLrRzHp6lenapCeDayVFoHQ7QTQ6bUi6GPMgYGRnPjf3Ws/AgM3u9zoT/iJFHjSPo/YBfEsi7e +AnobGjrUA59J65RpL+FiWVoT5nospEoIKxoh6xFrPkZ2MmV606M8xWhk0lx2KncL4yDwp7EQlQY Rbdxc/IxXEHv4rUHbh740X3+2BnJKwFfG3ol0zrEhIeI2BmQPP16iiFdW6JyjQK/joq6UAWWG6i9 wySm6Ju2oxhQd9PIJHorQ870B9Esy6NNY1NWRe6HOQLs2w8IhwGxwnKIZKcaXboJ4qHlaaorSotn hWYI+6iYEsjoxQ/uiq4PLBz4t3Tv8JmhNBK1xWsiMjy8dwNZ4MA9oL7mwnWRy2rxnMPlD3TJFafu bR22oslNuBsZoohehgWRWfAimu2NhSZobX4ggFrTLQnqXorsn8eYpG2tFJGBwuW+oajilMxd1nX+ 3yCgG5gO4l/vDonqdfav1mEjXi0N/vllt/id1RILMQ/2jhERT189GpE5y6gVbQTaCY32zzoS3qlq X2di5lxj1YMy15pQa8uSq0g5p/eUKNhZlkk8arF5T/oXRXNuhFnXMF6gpXyefBwpHY2ZTIAcxeLO 28/yKe1aC+jbWGCCXGORPjflEAaq68JPOeXWHeDIgFTEAtyjc0kzotU17qoSjT4o2+s727P97UWs M07VklIlmHdvSBIBMRMMN2CjXMJnGRVas3adPl3I8kx6yLeQe/02jL8DLd3kD9CblbBsCi3wgtES 2SooZTXdvmGSw0E+7R9Y342xb3B3i4xmWgK92JiAHFYVhhKCkLwWqkEIr7IqQXvOcIXj5Hpy61/F 0G4v0tP1t4FwVxbe1frPZ4u0qCrxUuKBFJeiwegAAw2lDozknJ37s2ZxKkktWUbz6E8XxYsaxaan k9j9HE7y15SBnhyDNPnuFi4MC81s6/FSrZOQvN9CFZeKRpz6J211gG7JDUFCydzOndMp2rvwG9/C newT7uvPCF2fFz0iayaAxX1yQcV3L+k8wEWU5iUTYIJTQL8GW5FNFkpQy9wH6PxqqmhHpLq5uX83 s6PszxL1t6W3G9E7sWUaoHeBJBONubEpt4JcIiO70MNtiwMd9M+xEeOcwJ9Pii8vRQwm5i/guC0h bjPZ1F4el+4BDGv6s+PkRoJ03UNzEKlMeMeV+VmsTrE9QBtNJRrt76hDndNljR/ch2UYGWfGnZGX ElLyhJ+9Cmz2iDf0aITSp+qAejNM/Qcvfq++W9RY4xbBLM3PDghD3E3CrqIyEbXXr37UvimrC35E svWB4OVX4KeJFxtdZLqEowSgq0xwDUPxIMpZjM/MWVXaZvth8Ge9c5OjjDFZu+9fJKSyIGzaWcep VuWpojdnO8BtWZwWp18KR4tabFkB8d2850k1DV6eaAHeE4ITF75Loy+6pCyYHPBpF/2yRcIRn8E0 cOoJA9PL1oDsHWXlYuVOTzBHcNoZfI43VTiSICQmRjuYqosArz+uS+AmILWfgDfB94SeDkSseHvx 6VmGwWxcYSvudnsBzJJFVSb/Kmv0z4mb2vtfCCXHRcNe4f7yj6VTr5d+UzmlkIZlyPp6DwwG8Yik JpCvzeXRzIRIIOHHvLBNTB1WmpKjLg4QEQz6Gd+QyqRqLlQKkJM1PxeHIJkJ5uNHwKR+HuALnt6G NRXQHqIZCz/QA+S7OaN7xA+rdcPqPsNdyH3fUM8/yX6yY8vxsa8X/cJaYoMwMu/DOO2aFZiujmvi fe20BDs02mQNCvnocBz50AEuBgIAUIjWGjZuKMTBULcSR7OJsfqaI9GAgksDlWbQvnuPWkTLMkQ5 azrfpEbZsKaJMe9nXFv4QxdBZ0XS0VKVGmG3T1PW9EuEJOqDxNh4mRkGun/9W5zGNZ5ebgUtvxYz QhbT+znqel0sP9Lp/neisp10RkuFX/VrhAdM7qS4dQagMLBWBZ0YVxFEBpPVH5d4uexvPeaJgGwt IohrbizbgUjwBDViBKr6gpusZi/O6BQIqrflY1X8CKIM1ssBqfghgblJn7rHjjedU7ml5y+Ni1Q1 CFKB4utxpta6BWCJPA2Qjxm0SK1O90X51QTyxs1JP4QFOu8xdp2bqqBXbPBeJm5nAX9/0LeuPhly UiXhDhdpe9JtHB7Ebqz9hggDuHD7Z0e5ntWNkLV0gbT/xs1TPvuQiZnWHnuR567pLnxXqqc6rlES ZnOXfXZUZVkgalM/0IbtuJisSSNgp1wFaIUaXl6dUvj944lam4MArevHjAYMvpO4yeL/DE2cnqyC UfPlrhu90f/QB7KWn0RLM7k4kbIu01HQyl3XZ3HZlHTeZTJdgGJitshixUklHvuECAcJ9SXuhw/4 FOBdf5f0c12dhdCRrO/0jPDv8ipNY2xhFikHW/nRlHBGjk+n/tlmirK3d+s80MilBHlR4vFeqZ7i SIrmZFJFiEsD4ZEkZeoJszau3g5YRysxWR1h4f49yn6hCV40XVWjExpyDNVeYH4322WKVAdgwlz/ ogE/ML53fimxFQbQqlI1G2qSHF3ejWaVlK03HKYcLEZONUdr3N+YNb/0fVClNFsBLSdsT+9cyGys 7fnmGAA6coswRpVu2YK4I7QFNFp9DPk00REhGkzFyrN3SDiNwOFejh/sUQ88gMcS8uC6TfR0kLWv zo08Cy0kiKe5ubgLZOYjNnFQeYb1mcRwS/xCEYjng4yvPwd9d6btfbaHTXTmilSiqEzZ50ZHWOye 31iROI8HOs/n5jOyvIxkDYEDk/4W4M7Til/Wv9oc01lQrZpJ1uGZLW5zLW8Lerwq0rKdPqPu0lcP /U+xe4GRy07mhzZ/jkr8GOHjJecnVf9+81KrbF74fTGXTIig5D1Wzg92zKXrSbQREWydZ24KEAwW wYgaKzE/fv34FsnPX1su5VMzY7zbjybAaWMwjefd48hn50tdTPqyUWVsjFvD/VIqjM1EV6k25EL6 1NPjUgZhc06dPqBrGEM2Q5P5M3EQNfc5oZbhyFl4oaN5sc1NuPrfEyoMI/2GOmSDbfJVX8y9h4JW U/ulBG1vblMYCZojkTqRQjALReOKw4kE96tF3FqBGqjdFyyNdrDTDRJ9LiyoJCb+AbsmRBaAcU1L Y0TZH/5qrvLd8QPqxT6Rv3Rj7UpoOEhsvJoEnFc75Ynp2MQ0d6/XpBqiDG0jipFCu87mK8RiR2MY 3AofdVuy71fQ6QvyGQwNjSfRb5MvL746ZjEkoapWAn9i4RHbjDkFo4gPqxFRFfAXyZ9RstTG+0tv Sru9Fm2W63myM6cZYmE7vKQAeql+L+woqjAC1cklNmFc8yOavpzwHj13tFZnLQI2ldMQRs72NhY3 tZT7xxJkwlMVIO+wRIiIQB2sak7KA6wVKFpboM0o6hb4u+K0BI/dy7O35Z9KkdseTx1jxbNh1NW0 qQqGJPK+JqkatlZgrZ/C/P+Ofn5syJN2Wk1Yqo7UIaq3+iA3sg5xHfczsx0O0mNqq9de4GaqD42J ka+3SXWunKiDoAfO9ZLZy+i8av9fKb9bMGnVqDiLcHEc0UxEW7DFsTRjULRnIyvszHCYpa0UNZxm 4jWMtyz8uqfO18TWWHcamKXw5z0GEx4j4avkXkeIfiPs1yYDpfv+7jJrmAPgPDlhOaDWOStfAaXg CjsKg3gVuz6GGLx5HgwuAnLUugPbp7TvQhRE2y9zUGm6isI3QH0lQVOkF/KsXuIZsLRs7vIOlloj 8uRYN2P1NQRaEgD1VgHEdfuE3/vZxjQUyNP+9EVUMnz+x1SkJh2Luc1q5XfP9mueWuOR3gmnnDrI xKXPVpJpRd8oR3nZtFnP1SD2+9IAMUJFHi9dyYooOyWeWblqTAnYPfHUnH6kc14p1K2PB7xKaKFN nNUh9Q8AO5akfui/0FAXYrTFRL9PnE9N5akkm5q9AQ7XOUYpxrSRBXrRx7TRlZYiY7mYZZSi7hkw DGLa/fGBA299JSsSVMt+UN+Qct7oHgPMASWiqc9oseON8nrMqT3yfORQcvAwGlhtGPqPhkYbx+QD 5OeIrB0fo3CHeBVL9c8BNxeH4cqbSM6WtlI/BVpaHM8OCgfSaHQiAgv695kI4gCJzBaoNbtTdUXd 6vXAUuJNhxyixTYx2U+nIHTPH+kPW0UN7AC+OP4vozgKXE4IUwXSNeSCqX2IhWGoIY8ba5n5SRvM B282rjDurdAkJzT/CRU0vkycjlGmKdXVsRXUeu+RfcxpbO1nK1mmIeniBWVOAWOGLk89vZhZBXP0 K/z1job9ziWBKqbMnWkfMAEfe5drBNp4ymu3CEsjDHcSjCre/H+wn0ucwvd3hx39004jZdVDvSoO 5Ieczu1Xz6IHE96j9ITikw/UgxASPCrcmaf0uZhXnci86uDJAWUw87bhGw2EUGT+pkuchpoVcfuH 90Mwmb5h7wdYiqVS1e0rumCAAQ8uk5/f4dfKDd62d2i/Z7hRjp6WB9aabC3m4HKYhiaZiPp8bSxo Hqx0zb2bF5GylIALEjBWjhzvSU6xicq7OJcDPBr+S+XS6FPrR+Gz57/CAHol6GY2r9rJqlU2RCH2 C/RlhCmt2jOrEd6CzLnRJHiqLkYR1WrWmkxC6cu1aGMBRq2cTnIRjjukdjfBYOzx+TfKAY1mrk4v lVwyJg4I7FmqFoJpALukHbkG0R+YusK2JSgoJzVES1Z9QpPhU6SnFTpzMAUAZE01DXRA7LPTsNir vzl8QJm3ER4bHoksULXrM50Uc/Je5DzChSmeqzez1AQbo0PUG3Sa9Z4vwbO3zCcRRlPfa93U9Jc4 MbmtgJxPAYD3TMR8reyNgP+XvGVctZ3ULfJNZ5uOsQ5LJ94bL3H62as6T3bJHjFv69v8fybvSO7v 5aJLOKt2c0I8FVy6UqLXfj5qsRNqmCACApOcQqV+AO3V0+ctstxwnwgJLNCbXRliLhwBIA1DWscy DzhHZBsrBqT4MNRl/0JYllcaLpbgIR8ZTS5FkTder3j+1l2hDnBpNZWMvfR8zOCDASXucPmHYs4q P/HBiphUamNDFZNhWpNbExLuxTDP+MQdoyAW2DaAy0MB3nuKLxrdGbTpw+dh0JOwAH0v19npauYQ UoR1M+g8VPDQYfh28C8OC/YxFvP1M9lma8zAr2SYAXHnJHICqTiro+MkovyNM/O7abdSYWsju3A4 ICJBu0DCgSUZASSYyIyE1DjCpV+XKsQwTXyGO1/SmWO0kLv15BFJLgZe7ZbUbqDTISqnjm2oQf8X 4BybhuHsnBwLECVbDW7uSRBQMtmbnJ2ocsJqCrYSdZalMYB7Tzb4LhPhf55ScM/VQJ8yk1hx3aIm ecCN4sNqXi7AzIZzcWJ19CGwAo7EY6Q5S/WqcV+ttDC3uPATiqKbPAbKqZO+fQ1USPLAR4nxwf6s R8IgWNOpKLcwh0QZlMgXkmY5yTnNMQLoED2eYGBfwsOEnN9Ws4DrV/RpDx8hDwh5Pv+MXGlt1Ltz GrW/EZsOwP375ftVJiROeFByNqewHG9r8hHMOyB4/HPkrm69NzBQGxlHXC6+bWImkEdC6x6KWG63 yAURFDBZijR7RnatYt81bYLzxs2O1eSBJF1LZzIi4TDdcPUW5Y+RNcpYKHOUFhx7c9TCwSPpPcTC UUK3DmWkG0jHVrU5ICPthZEVShhM1/1LKDuGZ3pdkCf2CnZQSMuDwMi4iaAUMQ7UCmZjL20KJTr/ R8BoVlEyHV3ThK8esLXfZTQQfTL8sLBvxy1z8kup6bQysPKKubTSKr0HwZBnD+Am4ygG0HBPSwZY lj5IQK7y1cHwU1TRbDEId+IPij15BSvorHi9/KcR6H1dX2GjNX/MxLT3DAoUxM18Wv6iTW2+mLEP q5sT08TJuoE6TNCqzoS3n7hCFiWD40Mv9bxal0laBmoQznJMEClZSmKXKdIZOAlEJ0EwTd9plhvY Qu17sMkdguzAjOnSbe81JaosIFtTeuh67a1WB9rVDDZKosZVTgk2ii+uWxF22sadJzlHRBWm/kw/ BZsq+rF09SxlYrxFN+Ms1cFnj0pEic4Ul0vCYDEl/8whYMVi5vj+HD9yxFGE2hcFrUzxdktpaCEF 019QVwVqwyOFr/nC7GW8lOwZPQYNn0jvP7BB7tEdTEIXHk/xFlAJKqpUf7+UeNgX4NG52iuFGfcM cLGKwqYtvqBEU1DGFIE7C2qhnSNZmrQa/fg3e4uF0CTpDRpeUnChtTsNXeaCznZH0OSLQ/uULiy/ O+CcUIYmCjk74AKeK7hbB8gGUR41PSAYt/o4ZKMZrH0NJr3F5k1UGPT3rLhgTUDMwGE4lzbczGd6 NPcK9L4y9eERaL2PteUUEh2k1dCKptAkxgdssyqn0Nguglo4zSOLaNls7zdwMHdADPflDzD/P31y Dgfw7wywwIMHh54z6dvYMlba0LzBEuOWeCnpQIwyDEvJQVKmjTV9270VEJwvNla9TdEj8ZcYqGKx fHvBhWdKYefOq9rra2arsw8AFcm8pCY0TS7Zg9ptCU0YqD72llbz9yLD9E3/2cwbYLIQa4X9d+Zo 1DLzxJBEyKDWRH9x19rTEUv7izR/AjDrdibKxBw7Skn3/CoAEZFQ3k+672x8mg0ZNdQDXzJuF+7K VBY5twO8E+j0SIBNMOc6uBm8ttzCVJac8g58wmwL2OCxPR/OrCZixQME0Wi39QJUHnHdNoUWwtnF 3XqgyJWbJ9QrPm4KGDEYgo24R+1mJa9NFoDEgl5FN0s/OAsFbyaC7jjk+UrAPKlUyUe1py6WlZE1 2Zlt1sN4ij7iYjBrpd9Mv2NdOYDGAkT/qdXuq3I7GcEmHlSHV7yJ69iuFvNg9nuZMTmJWys19R0o 84OxX9r73yViRkArvDfVmpvf8mV4jdGYS8d07bT3XiHL0jYruGSDtks9NlgPK9xIj/pR/Eo3c7lV PsNLQAk2+s38Zn5dotRNaQJ85qdDf5Dn0Ae4BEaIHOT0LlGLOAHl/l5HiyTyTjyLhTNt+nlCN6rb aFGhZd2+sYemZL7+7kLMqRdIwhfDuJ/wpoKhZfHZOlYdeFeabkwwS9H0/9AkE9nZM+cdYspjNaz5 iFBvR6IrSV/ZToZEvZSeBZ0oqA4gjfRPGpsn4JttlhvCkEWvd9vjwnbKGqqjvtQUcAJP2f91D5L+ iiJ7wHkVb2zcI128dXNq0OlwaNLWZZSwNW4GFZll9FQc/VUz8vNh3sd78DJwzqI7F3LVF3UW4RyA u+LzKrQg37WrAFotMS2654YBdKDpS1d2JiUnt0Ntglhc9LwMh5JQw+faO6UG3Hu1wCp64xBErSaU A/IFcjvUHlnCnxneywjtfmqa1Y8Dmx0n48u4vsDlu9DSmJWkdcTs2vy59i5lUnFCzY+/7B1owHuI nfN+YG1ypzrUpFXIFZtWSlSiYqpl1ZcTR9WKVx0sWq0VcHCSBv1LYz331W3VCoLBH8CgVs0+s8Pg JCaN5bxbCRbym4eDP6xS8nmNtcOhzAFoftYHtKxfA6WIqY0toCrzEHyoCjTns34NbeMrDu34t622 /IAsj/kRTpp2YZRQrjvZ9q2pib4Kahgm/FVuOIqdk+C7L/jvUfdeqfy8pfDYhjozhHUnZZbFfM3B Ik6jsWHWWtIc9vCkWDqj8BQ6p85njw+ekeV72sfDbhCtz/X08eSJFLfRVJLiHjVK4kaYvV08F6Y1 nEPRGcE2ummXSUbGLCMtuUQjqVz3L0NBz5oS7XB9OVVNPikplm3wDyztZ5H5Gv/5qyOTNBzaLKA0 xh4pYxKKW3Xyx5snGHItQ31ZIocTyiOC9YFIvcdg8Ix9CbmprTcJH/k1+BwALmkCmyXVC2SyZJ11 RoZYD1TxDFsG38msS8vSRRIEiFjQjGizn2q2VS2UOJemRfo9yjv5cPOoCyNWE7XkVav1PEgifc/z EM5EiyxR2SqW5gaUpbPZ1roET4JPx0oiono1xQJr0BnSVXqk66fQoykbM+OsaYwdX877nTE3KcPe rhHOuk7Sc0owyKM024Uyy9KE2j1bRKSme7O5RMuw8wFdxfw9MZ7vt6LIZ15NoPTyCyXXI0VzXS3R C+bQNEHTBBZhb9pMkaFM/YHyc+frWZsmBA2YthmT/G6sJfU2EtMs3X6KSwbHphpQjlw/45imMVzy 5YwikbG+Qq9JK6PrXF3PlH3c7AHXbHqhbN9RrqEJM6VTSmg2XhAHB5j1RIFCzUl3VcXpCKkemkVc JNxyUVdxxAxQIXdHNKshMjz891hRxUYe86CN4cDJ8hKgwVkOwEYNiiSEcX3b9med/gRiN2Z0mZO8 J0xjeSMojGkSQuVYTuznCrqf6ekFsulqXsMouvFVWOvSfYAzVFHUzxEKq/2w7UWjqCRSndHj4QPr srxeNIa6+bNl0PlJPoTHA8netqcCQyWh3D7sR8DaTtyunA20xM7VSBrBeWFa/q23hIZUXbc4WoND K9CZTE8kiRJqCLt9IDBEPXD//SlxLpLuH5uw294YmS4xXEnmOEBdxYpDKYIMAivqvKUHhUpwI8Wm SFAMSKwqgmoPahrN3DoBMxAzoThIXf3RgGpm3RUCb/v5J5Ox9h3OzRB2lOADKv2bH3AY8oC/LeVe EdpdWwHwxc8CC6TopSeuxwoYbya570P2Aksr05kXTeh9+SXU+5Wk022kNqgCuOhCRxgYliFBfU3l MEK6qLhhutukd5bGhWm/TWX4JrZN7KqvgRddQaDDjEXxY0YyMiqajsMLyFCzs28h0AM1lLS4FCQD NlBAwgjZQMULENxuKoaF6mlDv2KER3VRAc1mT1skBV6vMx4Xi+ErRl75rgqMsbCgcwNDJyNga+tb SGWUb8oEQgPsi3WYC9IDm7NUBurTlN3dJs+99NQR09eCJWl77qoE7Zn3skz7wRfqB729+IXDzuwO v12QiC0hGYldwor0ZKSGyJJM9rFnDLUxJPZjvKkhRhOsuCYPDwpP5NyN0B2M/ZUvtHtFc3mlB8nx mw2OE7h1q1Kz1cOwnynSM9I4jAfOGjOZ6SKL7eCiMoYwMRDxBILE/EApOnr9erS9j7CNMNT4sEsc LaTpcpXr7b+sqxqveZX6moSZ9adpVjqBlyfYGqpS+8v9yWbRwZ+671dYZGw9BUHj6+ggGpLL6621 7+bylvfSwkLO8+s6/Mtxa/n0zxjaO8KzPMlnli6cVKSS9doPiDAhY67fQY+kaTsTv4rv3PtqDBQw A3iBKfAoZ3suv9K0/UNozgeWHWGr46rY1c5z1PkWMNZ80t2G4dylqMqhc4wZ31fLYqGwde37rQEI qGliCXqwzLKncMBA3viuPCHMzeaSYxGpGmHHq1M9GqNJAA5ORFI/7w8dy6wq+Ql9hXZ0jFcYXkHD Wtr+GFgRjft9T5Tbwqg5vTnKkfx72y54bPoBohj1O78telh7I/k47rk9woh6qD/RheWGDwJIjsQ/ gerD5gIBE/axsJgJnduXqGKXbpElcpCOOztyN078XZwU987Qj5r4z7os3RervwQGV+jKfa41zvks CydF/uWRRR0lHHFVNPQREsStxZ+f98Fz2JBWyfpNYCC0OwIhFEiqsPuHn0br7QpAE/EkYcLIG673 WU++TQihDeNu50q96SMsHdZPgZZsQTm4Sfz3ymW2z7hdMhd3yjlM52vhpy1FsUZwF0D1vFo63Dck NmmdEHd8OhT/VpYzHSd7ZdZGcxgdFR+vB94LoD8T81lt03pupiHx9QUyCup/242rz4HPhjFOvq4b PqAeT2QX/SbBkJg34IQOcngt61KNw2FPiXsCrIcpYdO41iVQ8B0jeMaVBpFJOIU0dCU+y97KN4uj TaN55AIeNOGm0y+EqNYqXCYxfUnj9vuTjGEgseJgjVmzzN9z4GSJ4TBk0ILfoeWBX48MQigQHALc 8580oXNSVzhkpCRiPSzs2iDiUYIIbyLNzgBlSM+ayL0ya0GWamc2ff9fy2yTk8PjG6pWV3iDFX7H osfdDJe51Gpy1R/qJexzX4hFGcXAOizJorcasErcCDOs8fjd8kmv3wUyIFzEJW8qkU7xx/9Epcpf k6oF5x6KS5pUCE9v7DL+2oyPcWOn3cZbcYUhmvXyACz3ulFYe25hc3O00D5fg3pBKXAniUpKzM+w d0KOFBQl4wigTUN+K1nYGyHj+9Hj0igS/Q1C0y9yn0iRVgA1NH1TtXojm88JligVf1ZDMANWDby0 YCF1GT0JOTym3ik1WsRbeTWPn9gAalXFoNAXkOuBxVi7AuXzdY/nrRrThZBsCjV3vuakmFmje5fJ a8EI5lBtCFhLFBRtc3K3QYiO3OYn7C5ozf5d40gx8YxmF2qDnZpYufAhs1bWaoqpbOLL+p4tcXN5 aOyqX1GGr91R4KijKkiB6G8n0+hefGirFXFKHVeDsprPGyTK6Hm4uBIhWDWjRzEcG93s/qy4825h dd+k5k3HCx2027iVwBFj6WATq55DcFIpd2XWPfr8PMYB70r0sh/h1lH5raQZLpQ6vrsYSh1kPU+D BcjtZJTkG36UMi4iWkymEivlbaW6cNEhVH5o0yX/1oaY3O+UIiPyOjEH7vi4Rul0h7myB1KkJ8HU feEaMAznoDwGkA0ZTCz0RRTZcrBfKZDtEIhoHM6kiBLu3GDJwMf6avaFnc4EDd96E1Rf2mK0aWCG 22U/vpnqptRmP6d0wNnaKBOdfNG1I4T1+xO1ys6E/R9/LbYWegqSjdFG9NohG8KQGsutGbLddJHr o+I3x2jddniuvPFMFQXyP9EqetPOrx8i1L0NB6dQuhpO+jrNrob5dBRN1lsWBM1fNm+usIw5veEw YtFSEPr9zRovaA4MSya6FMIcBqesbhC4/mihKn9Bm3Q13r1iNmDnDmipkhVvYi5Pqv7JDliQ9uQN qllNrIlM0cMDAM4TNK7lPJFlHfMB2+l3ko7KIUrH2oKVdAbUo+mHDR42GvODOtmX2kIyOlW2PxOj +aNOL0sIB5fs7pzg35cupw6ITx1sNnB3XXWk4Sx0BjL3uA2LOMkHsc8auNj1UZtNesSboE7NO04q YfeTPF/4qIKUcFEAWRt8v3w3s9/RmzbiGyK404zFoRHlevM09NrAU+dJdyfG2rx/kwZGB2ntA9Wh ifRqupojn49KBAT2dbo2Hyrk0hiXlaDguU50hZ0KA+2ziY9g50e+W4uGR2oiQtHuBCmqOaDPINIe yzQ1rwnSFIqtLHMAExaH8D/V4wuKOF+WkzZl0Rhgb9Aj8vImMJd6VJ+c7pYI1v0PoApJ1IVyHmoN cmQp9L0WOQff9Rc3XN9QQWooZmPQOwXsFbU00CSv2HCY5POVfrPngUgJ9YTa7DFQYF1vkT5pWgx5 oeZzCF0N/Pw+sAwKY6ZL6YtB/git+CtpitC5zA12lzxMHd7970au9vemaxu14kd3NGWtABn/sdR9 cjxqvPS4CC7h+z/VeeJZGH3rok7rhmFRtnPi5PDdSgnPbm/Zo9RyLQHGtFHbFUuyDwYcNc3WPom2 FM1Y4fmkvAVVJO5mR41DnM4S03K/01dv5HU8EpExnmIRwDt+Ai+IV31ajhEknzrCKn+ztyGGiCDI fDdAZXp95pE/HDVphbeywAQ6zy45zfdKfgOqx2Wm+hL0uLGwKajdFNhYwYr+Z4WUwD9VGY32XewO ATg+bVqEMI+2sBZugnSGdhRdNjRyumLvHQoO4JOVyObaAVL0v1CaQLX9gkk34geboUZmyJypie+o acLwgZDd/mW1dWCeTNwCaSIsrKZ/O/PJdzxF8E+Y3BPqrU8MKvOTReP1BYBhpfKFZz0B2hWm2Cu6 Vt+udBNgE88LHyAVyvoxf5LNT58vrLa7st7pUWzW2g7K8XrESw+1SjN8O+khcI6t5hex+F32YMJ6 Xa+AlalpKUC0Rhawkd6T2i1cxCeyi2CaVDirCGEPpcZ5swnZHn6BRWz5cOWssDCsfXYuFatuK48/ MOUm3udWk522U7Ho+JNvkr92rrdHnXCbSTQ2fVhC/FWQpY+orTPvxC01hG1aNnHBkSJDU7XzKym7 9cvTidn4okjJixG6vtRhDkGqeSOk9CLW1FRLJp3TUTzpxT25PkgN7ZYm6dDxJyTjEmgD8sFM0poi E0osJpxP6AByA6Q6KzuhVEUDEf/Hftat4NNFi6Xqgl0JtFmG3sI51jayK82EddVS3ID7rr5CTzmZ OeumCgvE4lCYx7zs/8jFO7KKGujWCPC2Di11oS9OBpVoGUPhd3/LWvguS7YowQcHNnonUsj+3Rz1 WXq/KSQH4Uwv+WgllnXYNSGdAf8uiyhdlvuJPdk6g3+Quk9Y8IZyPKBw4eX+mu10ofDMfgrMUdXU LNBdYfo4qogYz2n9orhCL2ayeSvBRfcpi3U8oQSzqpCmV9OBfa+TFkWiaho/QNOe1lqm7/W0KyJT Ntxj3Uv2dm59rGDppHcf/SAA9huFQnqsHl2jTCsKx0lcK4peZidXIqyVsMex0Qf90E9Wjgj5rzej g8VbLkpPfqfoF0I8/ZwfhEgdXE8WXzaWBBio9QYAuzhXinyvPRtwE0rL5MaxerIVx3kXuZE8DYAl qpR/lcKFhxgfqTPTgmlRst/AK4IzRaN91YpRO9QK19bMZUINRlX7raY8t6BZyqBwpptIKTmBDhgy 1LQlBuHaPqpfr0XnCWOOK4HoNHgGThsUZx8Ck2O8sj0OoEnNckdMlWzUPwYkZnVjlDtCLYyblvzy StEu73jLAzPOH46ZLUjpvxpKa8RBM5lbhqqzu9NrQrYVJC26aNJedTLk/h5ulR9HuT0oZEfU9aeT ZIQtESVWsCIGZ2YRRB/J8N/aatZnst9ZCZAI8R8rMRzEemeEES8pt/1Xou0ulFeEO7aLQxVY6/tW 8BudapK5NPSG8GSu5OaKYDWf0MtEYbnc1hcfWXEZHQbgm9kP30MGo+ux6h4Yqo5tJ9dA7limW62C 8Nvk8HvXUCaE0NgK2eE5ROrNQsHAiOPPsQ6wSWXQUWbXnE90kIOhllvdogMSv1xSvYE3GhDMP3kG Lk/qbaqLFgnSX69arhuXS+D5iyGsXOkvLk0NLBzQS7yzsQuKH4WAOYSg9tznZiqz5TJTK1tq3y/Z m8qNBok8ty0rigkKO+gwetqHeI3pYL995/qft/nYTTryWdS4ouZfDIzU4lApRol59Wc+iNNNPJGT i9CePx2U2wZ15M9yCqwRIWgwmgEBj6s6JP6jYzxidUBspV2lFI96ywp3Zb9dALt5zds3RUyH7fj/ TYTkfU7IIrhBaQQ65uFZ1V4a6bDLfcKLmqxXZ4NatrkpvvXtiq8b3nVbZcjW/jP0QPNl5HBUjL6N +a7IKrw3eFfoHm3IoSp4Y3+2aMbe8/k/sfM3PwVj7Gfq75YthMJNNXo4i6Y2QtklqX5q55MMmQjP RSwwpINVMLdRXgbmV+OrNElc+a91IrDl6fJmekTkZNwG0ZJ4CTJRmiBcNqLUmjj34vZ28kuBNoEk ++ZENgpk/gT/mjiZBIJxMhcewPh+hOByi6gl4hh69qeQi54tTzZ1Lpqom9dGYpuULUmBT+HKDOiD iM+x8dFRb38LUFr/al0AJUG0FTWjZqRsX9Nzlo69Z6iFjfIzwGLVTCYsu5M5NsLPLgqEwpIy3loE 6+m++s43uQ8hT8YAYHWxNT0jbuODQ/uuq4+QW/Y49tmRUkWkiuSa63WPgPif8b+Fp5e429QNwLq9 nEO+pqVg2huzc2rW+qiSdYs8x7S7ebta8n2n2VrzGnp8ErRhVee8yDXz7gPeRe4WGZaRe8p1sh7R 4snasOSvmG/20sTC1PYRDcTd3pzaj61T5d9pGVeoZWBymLmyEYblD56eUK5Sj21734uve1l1hatK jUPGiIgwLcWMo7qhM1/XnHUrV6+6GuBgGk/8iagW14uZZREfqEj66D0qI7a3PBx63NC0OSCiY4yu vp/jiIFkP7cBsudmXde+JQloNNhjU5Ig/gMi9fJO9oItbsIJTzOfd3ldecLavO+NmclK8NOArMEa sNz3MUS1vRIWKuSdiNA8nXCsINaaWUQ6qonKLZAEcTTYcmLF+7VvCW+pR6alR+hMUtEcVivCQntI H0GqECSMh+trdk+HtZ3Y9Eh+WSDX6Y+yJnWJcyM0d55La3M/NKQWAr448BDAWWZsewNEi7X0vNzI aBY2PNCo5yLUkzfTFtvJatCq9ix0DzU7i+KQH78I6OoLDgA/xa3oVlO+6kZZutMLJLkXqoN1bsIo HYcnw37vjvG8q7zrmVTt7Sgs10SG7iCTN6ljuNJigoNjP6uJrFryMzRC+g9cOJjlJQNgupWoR2rZ lX6CW4av7CDlHFOf4Jluv6fn8dGgkTpdJ7VR1RPsIf403MSvmdRKx8+1OmjynAdvb4Q5gt1XZB0c 1B9diAp4VJADS7wUdDkkqjEgKPGv6WmLttRQebN5PFi3sTXoxru+SDFeUGCbkyLig6HtbVrypMMs 8DeV1CAsi3l9LSCh6eNNppvrdvR6VMMwL1Oy8XfSseSvXAvhoIINDm4MQG2wZX0nmxsT+70U54hj XNPpxRP0u8YiV+/Y8dIH1XgSn6Z3mgR4yUigeYuAM9ydmKKUdhHHyyrBfcuUlNDe4xNmKRPZzdJS qmmzewuS6fzoMLYvCSOVWDRR/yUhRG5NbGV+qebTATnGQinEx2SBhPw2l4RGdwpiGVH3s5EOfd5V TNJioc9jUT5BcleZMjqp5kS9XChq0n5cUWr8+92UI6wx4LKDnmI9KTH0JXLiJg4K8/v+M6GPqwOs Bz3wokeQ/YhY7djslGI/IikhaaGx1BUSTuMB6o1a5tdBjDgR6Errs9t5Fn+Sgx7cGKr8QGq5xGYr 4WeRm4Bt7nwGjT9ClF4ptXB+Jf3QweqKsc74FFWGDgB4Gpf4KCC4YLIu29JjIyBcjA37W21/lwla oBDE1ot4aNRfiSA/M+k5cx4LWuhfHBBX/fm6dPWH/7yI7cf3k6MqQ/vC4wpQ3hX6YyV6pTMniGO/ TDVXopkoq00JI1phi+53sB8RaxGWXzCLvFvXhzAGE/92gD9L7Hnw/VrFLBovwK2gkUxo4BduB+Zr JAInaBZechnad1FsorpFk7ZOTYZlOsJZDGdZm2NbY79DuNEGF/0fHHrFmUInps7ZzF1PB1JR+Mvs SIXdJntgSJqlTR6/fGGtWUjWbALpBVa6dNjMGWiN+qRFtuU6cWE6GpPA9jZz1BS/2cVlKxlCf2N0 B7B9Bp2CktISMR6Ql0I8spVN16QVFN10xjoW0d9QWj1Hb7hQ5xxnFUnSwDnc0cycLetSlYG3slsU BHCnAsMcAmXmEHVF+9/033h451VD3j2aW9+4xGlCRiAJZyDBw8D8Y3X1z04DUxWsMrvwehyk4TQT 9djgO6US+aA6AoADWy/vvl5TQT2GUBjDvIfP3X5tAEhrr7yAJPJhUSom+WXz8fnH+okwOw8C+vaX BVJHOI246jH0nI13NsPu16mGEAccNuqxQ9viMspXm4xDqFtDLwYn4kz+16CeCq579ezhfRGeZgQx o4kvrh/QTkxEfba5f6u6nhV18YfxBam0AauGBclvJsWOd1r1lZKry9Jy4qz07UPpmPytBaZzNwY8 ivj7/aT8GNkkHje1BALW3IXvJNUsJDDDaAQCpljuCKj/hL5Wl3yhmKoI+sME7KFM6lqquK34PcsP uJjoJ07D8eYu5J7fOelBvHXqb+33/lZbFChvjde6JhDUaCDXu65rENDeplJMvjvVBuLrxOpLydoi yt3EK1Zjmbt2KkSFVkE/hV/rtRJI8SltMu6C8+FFshJjCsCUwwCvB6RC8eZB+2wFe7MciDsPPA8L WfUUhLrMBA2kAR2ntDwYFZMEMqSOFCSSrXYlMk9sm0hR9ISxkzvPPN5d+hGNvNj32go1387SVx8K wumeVzcKS2eYUwAadJqAxy7xKz+tx5qKdIz08B2YwoQ0wwc3uL4ZEyDDItuZwmY4Fy4ryJqMsiuU LI0VBI6e174YlGVcH0hH2AkI8tBhDxEBpVJVX3iJ1Y+DtZk0ieebWnIh+2/cis7I/xjR/r6GzY/V kLAkOXOJx4ScRekcqXwPULeMEBZO+kaTzoH5ow6NTWPuM2nANqCqSVxa2vmIF1RlKpdbtD0wFBlh XYNXGIdfi0VWADAN09Y/gpQdrFoYllH1RGOZ0th3C1Z1ueASt2+YBysmi7W2Ay5XHYT8gJLqhB/J TlkNJSaVX3vnZ1QsUoh2go5a6XD88o99OodmM2GamP5jJnyRZ/LSB/A3qLWFBTnfRwpwTd28eEYG uYhrZFHOsWjsdU8M3pnkaJr/62WFMwxKiijVKOSFgmT+7IKhwEiXJ/37GGJrcEGOh/jqiCDdvdzm cYIzvFgkhuJx8VBtXMZOl9HTYCCIzNmouyDA6ZFeOJRxBXaSIdiztkliSaLvm2aRBx9sZDBDu/8e pdKGqzaMnvQE4C/Vsh4Ok6NUc3tnHtUnTDxS6lNgmI61KGrhH0r8Bk2EWc3tyeZTDaW3RbVMYd9b u5+Ouw6FXh4ooNKSx6U1rsZOVuHrco1TZVOZH5opk8/ZwpYZOzUi1c+uQtvZ36Uwg+QfXNbFrmXw 1PT2YsIbbWw6ZpLym/7Qmt0yqI4a9BrRlqV+LbWQhmo3NdS0CN2O00ZVGHc/8LJpB/qJpTTutdQu ulsoEb/axxEASFIevnu9Mosdf+Ui42+SzKmP/TTZ3x1gKNlT70WpQ7pA79lWW/WxMO3hVhgFl2Vj rLHks2F8flFIUIbFvuxTatos7EhpiJaao1F8CkutGZgbcUqTxdCE98W5XbHIGmXo/s2DT/3rJm5R K7684uNzNbL1JSDku1d0bAzYFMVMzgB/zogitBnzqEvlBa2YcRRGOcXbJNOYIU/F111EqyZ6xeHk REBEcrk9V8QsFjMDx9yP4xHuWv+sxzRHKt7FIg4wEs8ZQxVMWUCvosenEhi4mvoDA/EuQpX3Rzgy y5PwbibGN9Kvrxj05/rRJ0JowJAEyDP6FJQXZ/cpDzx5TEPjnaC6JWUUDq+pl6iFfVOn3C4AI+jc zp15Z2wRJZDGHQs2wzM1pRvXh5jUnZWH5HQUy7Iko0QU1EtDCaYmGmp6JUFzDQXZxZmyMRrQ0yQF HKf3SsX2V5Q1tOAfJ2ibYx5KbET4uvDoOohsW/oGAoerHVbM7oKgoj7g/p61UtCep0BZbDuyodtw +lTk7JCghr0SSGQQzowPJmhQ6kvTJ2Pr8KQGBbtRtXK42FaIEoTNdJLaAqGa5hh9tl5943GdWtYS WOYlIX4uup4QCspxCNn+RTDrPWBPPjwXx2LyUAo+lgELyTZxnh0eA9w/CxuGZ+C6gGmN+CPS48SD r86mZdga4tjCOaljpqhMj5Rc+HKQvkKddDTdqvvy6IQMq/O8QCQf/M19rYeKNlGpngFCOk7OJMYK l8pq12GOgZgSgauPxxXHomc/a2+RQzEv8w3UF1JtYGkCJWox9PuhuANi6Qc3pu52dXUWHABSQVAr y1aaSv4vTuvDRNxHKJ4hviHQX4nDRqzbh1ujAON6l7Y7TP4tXKvEe6yG2yD5SB4unBMt5AUIxdfc boQd4pM6V/rhyaVscApzHHPefg6RMpugZIcM/hluhCgMl9luarNUvVpdH9/BzXy+642YZDEAtjQy e2lvKtRdXl8Um9uOZN9lbb9xJd9ABIIopnxr/KdTPQp+rYM8AifaLWKb4aLR0j0+VKTwtahxQVbq GOSwiJ0S/M+xRn60AAYUdOyP9OWIIiiWRtMs6VK3rEdu1Hg5cxqcgU3qsK1g5NJLgjZr+LD03FuV O17Mj+ibeT/lib/l/j526vXK762rIJh5EGSoznUMhoXW0U5MXyKIh/lWWjdQauHepRVf0LRe+bwU paMveBwXivsE1+1hqTuR1BsTUhQSD9BX8cjp8bzih9AWDxvGT+THQwXTSDeeruTEZ+af9YjoYsxl Zvl1rbE349ca68cYbJYmUhawQt0A21chkn/9/8QL1DI6LlGhCN8uWLP9pr0rRcJKAFONTroz81QT i/S+inrfOXo+tR+UraAYw5coqTxTr1di5IyWE9MzUTcHEpUXR1A60T0D4Wm4do4/Rz/xA4QUGKmO CQ2vV3FxPZfW1ovDnMphaQttrHRS6p3JPV/VUE4qUBxWCbJ0P37iZ+IMNEhkVb8p9cziQY4K1/yV nF3l81GMykVATY2v/FQdcu/DGjrZZR0sDgip+mWnryTYb/G8NoacFfx0L1Y6pZOZ9w/oZzwhtSts EBGSxIwdvYVY0EoW91VlSM1pLNst5Cy4uz75B+qqnK2Y3qP4B00tW3R/NtF9I7ZprmYuYQOaNNFv O/Al6niuHh9YQ3xw2eLdlQvAH9RAtTN/xbRgXrHZRFDRVU5PZ3n6MibVXZWOSCkxfWJ6RMeQSS2l S/RU20IWsUsVhtj9mPa227KFfcwyJYQj+HBm/biKs7L0F1v7g3CgJLJg0wDHPRJyPxeWl9vIlePG gr7I+iklbAo1I7WrcfGooomkgKNofIin/pp8aBCvF213wuiHCES3gejOT7YK/TeC6YUQJJ7SL6Hx uE0JCAEzmRDSZCM2yypFx9KrOMrh/ra2Xji/MzSUV4JtJUwjPNNjagYiXc63TE+hAWE1wZjds9rF ASSOHl5PBZKB2jfM3ZCejLFitryvT+97vHCY+kKpaQ4r+MYG/wkWeCmn3BmRRbOn/tnJDMYltpx3 0rjrM3YaxVwpkad9+Nm5xmPkVeqSmWJgrNR2cva0Pwq+yKCgRorlUysPbREtHAVbOJi+TPF1MwSS /xEykuoLdi4et/nDKZVm/dP0ZycFWF7IODPMSG2ssnaBrJvqcdGuXubBVbmw5p5IMkAv+tXQKMR+ awPQc6yu+xJjxI/b9dH1fja1gScyzTTK9fkjORxSY2y6xNImAPfGzN126fhAwaiB9Cu5Dih78tZi v5DAr+ySbK/1HR1E/q+Z49mCChvD2hlawCZ4S7gkkT0xJLCU7/TK8Jjr92cVM4ySGN2fJjsz7cG4 mqKNSxHJlFcF84yh31frZWyAI0jcYZp4E5q5I1wfHqk3nbZy++qVMTNefxFJ/vUUQN5kDfsyHLu0 RkHo9l5HUrjtuixIc2KQHeq1/isxwtk2/zKvkbVJnna0I3qEkZEM5qRQbWQSqR7t+JyUij0s7QAq dU+5ptvw6avI1CA1byDnryB/SnqWVD9Sf4Bztu/MxD0Y+l7zZ8IFp6hnLOkAB/cQT1xwkXcWedIQ 3kDwM7lK17Wp4d91zPqdXpyrwMWO4EiQ1i1cgMnty6kE6KQ27LqJDgw7Tm6Hkzg4lwUYsTSJwT/f JDBN6Nk1ZJt9Tg5+ea1Wea/3fCwQWKujb6JC+Qeextmqc3mxKccZqWRXvLd+dj9kJW7a1KvJNl4D +pPwLiYnakynL/OoNKfmlAiUiJD0i18p6FfEp06zED+agO3tCMq2edHYIU7qs3fKnNBqUJCfFlIX LAM5cF5/qWBsBnokHeNYNdsHw1qY5o+405UjE14K4vn5sCpoDSutQAz1jgyOBhPtWyuS3mJz+6Oe 7XwSGVXaB0rmtko+zu7N2mQfhW5BiUDAa1KQO/LeUWfvuYaZIVhBaajuiMDVsl1NEYNlxvo+0uML 95H0JxFbJFf01JRZmHWO/5U2I2vKb1AUdqKzjpFfswG7cl1gUHxINiik4+0AHqWtuopxLv2IJCLp LXCW/Zkg2UgDl6Rv358zdAdaMGIEMinkrQnP8PIpnnsmE0brgIwCQEtB3xoK80OIr13RPRf7c1RC 0R0Lc4PpjdbGjvurGpWuwCOSfaiCajDIsWzBjpcGDqS8GIhK8LFUipt+hnUq+CVwB3DcOySRenK5 LaS1MZSuoXu9t9rqKmrBhVILeYmzri+7WyOQ0KQax5+ADhOViAdB0Ea9x3VoT8eU14GYlKSKppax iQuct/JYn4Nrq+RuloH4jdDOoZ+kxbReUz+mbKrNlFvwNhTUdsZSsMEnqZdhIBOnH9hrJAQViX1K KuE20ZPbf7D5B0vyRUa4amGPcbvyTjNyxiczu6qYSdIvLuIuPFvo8crs9cYYq/Elhh0ZoJr09FZp 2pKwYQjgviXhhdGar86tWBj8A8t5aGGT7WuzJnzXgvNXp2zhZ82CnvTuYAl+nXtFcdJ3sUcXO7QZ xxVGTMeVFJCsbjg+Ad5YZ4izmF5NdtgDpYQpMioA9gVPbLrHs1O8lrTYhrNZeyqtp+sFeklD/pNl h3pqDR5iWqd2gfyVinSJ4i709ZfVSqaX1Emdz4k39nStrtgTIOv6gUQWD9GtDWb8pWWbvyDowhP2 fHEfHwnfQDNENxhL1APUbrbcDZ7uPZzYVNFgSp8O/u7wReR+cSGdlcdQ5btUV1K44qKlMsMUGXoB xpaZMMR0kHsSCot7gjb9ZArA7B5meO3S93w7LnKWy80j1GHUVCQK4JPbgDTh4OsagahXnKVKfoT6 VGU5PRagiqNPxYthx8GvjG8o9EDAR7qndBU5VB0PhaYbCJRITBUiHwcmC5Hi3FpKNNSnQTwT9Z+N 1m6L1K5YPeYtS7eJJ7LhwLjF9LKopI6FQp6iDdWYdMjrtaQh/LMeiSpr2F9yuWPCYuDlIBsGLVIi l8eSsw8c9wLt/X+81DVnBBR6ZhDGfEESwKEtGrYNQWG9DcKQX/WR+TlDz7dtt133tNS71qM2WcJZ c/0VJM8GaRwhJYb+PZWYrOnQztvGcsGqP276BVzeftbofNReyB0wycfUOwynCsHp/0VXGBP4tYcR qnpqWFGdDvqQtLR85MGKO7ac9iehBm1TRW0LIV7oVSvZ1upYvs2OdRe3dLIOc7iYPy8C0nmPISME 7BdKuc3WsrHh5ddih5s08NB7kiahi6izOJHmMVW1Mbs/SXR5Wlj7umKYFrF8Pt74s45tnQbmXTSV m0ly1fsJ626j9aVh/m6EsoTnW9TDUllhNMU6uJLRlAvAzGgJdnEEESEFzisdjvgUvOmzOidNdJbh NAt6aceHoFw7f6fuDnB+uVgdXmGq+p3jRfl7BL3OP0gCBkvcfUy4x0RRuMvtfvvGzdz0eGNngW1q /7JVEXyW7MGjAmBh/tqy2jXwrHrEz01pXdTe3dyc6gHEe/uTLVbSObySzOtCfmJtV5JGisY8a1/o M/IKRJNsydkdSzPM5gw/lOGixwDV0+a17BV8LeYwmLBw8/1Bf3Muo+aAjFw/se1afBzQLqYbe9IS /cLNR8UCxOU8D03IQr9Mn0sMcC7mgFrrbpkaJ7GT8ZSLfGn1reviZ9tlnZUXNPv5eDz12D2THcmK +Tne6e8C9vCjWnuMecHyKnSV7SW9847Vcd+aNyjwaRpaue8Ka8h2ecjUIhOwEfwyzleV87MAbIjH HJ0ac0xhoWrFs1TmuGe3H/HXE+n0EFMECiVrNHQiMaeWTCWMzx6/jA8kp/stw0ZZ85Q2T/kbnbR3 SwjsJvrNFGxDkEgoWA6u6dVmg7OsnqYS5YCZnl8pmSCjGEiPhf+vCM4EsArnII4j38+NcI2W5qN0 BPE5jI4+qGvfcVrnyCN04mBIVo6AUt+5vZRt8IbE/KeUMPKQNA5V04FsnOZUvvzY1r+B5AzA2tj9 St/YzW+MTjbplVChYMVS1Sozoinmny59SdbEdDHSpKVWQtkCDhsLiYXPeUeG5twGeTGmgHS/49/d JkaGFQ86qN3Epip8KbK8DTMWuiTHBieVIglS3zXJ9gE3CYKxslQ54hF4MTO/1AYghV6+z/YOPf19 lj0926/kCLgZu0Y6QzSp1BostvBH5WV5sI3cklOgR55+6RRulwmMgXgy5Dc5LGS1g8F8m6DGzPi2 e29DwVCah67gFYKMKC4/dx2qlAPLbw5w2ajoYyaa3huGj9WhTAbMhNgTdOjPZd3OOVjcXbA/Hsy5 y9FqlXFF3FsWHpEPzUgShOiKk8ryhMfQrZsSlKa3E5FgN8k/GYsKs6IK28zvG4xnK7v6Q9M4Q8W4 mZCJq/kjvoss+knvLHrxKqtJqueSCD/JPzskVQ0mr2cQ7foZNC+b+3dnuUURaYBl2eaUFuWJkCfB MCMhqUQhFqIw7JePxwhkhG7ytwVmVUV5JwQHrESGMZSOYyiiqQobHiOas4lcM2tE9csYNeVaCmm6 J/hc2uepOnNZb292dTgulrR2mQJANofr0p6Su/6Ae7hyqHmLhnJWCa3W46HJrjnHPcZnszYirO7n 4TNpPDA7VnSVEptbtUdEKonBoB3tljie7NGJ5Gh31tLHTMgLid48id+fXHkGGKo7eTgOaQ1fpdxw FTI6AoIMbnRhgQs9EdoaF/7547AcmV6mWFUh+dVqwoLmZD3c9KTGgRMVfts/JIc0r0SHA1ta6Tm8 /+bpJWw2W02+gaMW2XRJyjjfGlDy91VvGLmEN+KU+8oiVCNAW2MuenbPShQAOtNHEo++0stRLsjy NZEqwa2vmtvGixr8044iM+RsuTOLuWie0B9NUdsdROsV3MhmxA/iS8oe9D9FE31TtPOL6ta9OQLF 2C/Ott4gpBXwp6OzHmEfspsgt6BZWfxnKmwnpk2iRNgijjvo1Q9CeM5SFytfiEi2jrrA1W5KJdlm c/K2PLDkyvJWpDMbPkz0ZEzwh8UEvrC9NgDBskP3YE4jtxRXolKwUjcxePsIS0xdgemW3e11W205 o2WgAI837wBmqyb5JP1kAkTYjx9V3tX4yzZt0iXIXafr8HF495nj8XvRpjIssM2QK6TabgHFvwEo edMuVPRiIrdt7Tq9KCk3RVJpoNjHI9nRU/5l814iEVPt/e97+qn4t4KcuD8Xha7S6fOr5G/qk3Ar d0bFUai/BC06KUKRqtfi0rLa9N6omOPw9kUhQd1xUmleOypaBulbQzfvkwI1hLWisLSyGu3LnzW6 d/osOYCAnes61rkjZVQrhjZeDgVOWJMSTp4t1Tcx7vVpvNfX/YK1PDzeArtYX1pcja6rFIuH7dVz +eSBlvD9VfN/EvhjbYW01aML+n8TDNn2snPRDWVVp98PeCJw8SD15LYxLhPJwXf61pHlht9QST2X WRF307uS4Woq2QctEZMomJMpc2hZzSqW+kAXb00FpWt1xpqM0AC/5tsJ3MRqJxpuFCnImItSgrM8 2jXCic/P6u9CLxkCUQY9YChAka6CDHnTIioWlvD+l7hXr4MMBJxWm5ituy85MBW0tSLU9P+y3Af0 TqcKqwlbWKDqdsrxv1nTSe0NalgPLfInPyxoEBsa3Yzvn9GN7TiS+c9QlpfVGjdp5YaYNPgK+6/Z chD9dbuiEA8CW8QWkYtN1/CPkCYfx4C1gCSoUYOudWBDI2BgWWqdM49iXIxfg8+4TkLkdOfqg+8J t/3guUI7ZSfiKZDmXO0bRV6MAUlXUOwv81fetf9zOJNEHNNS24cYrLgSWxqH8eixF/luUAOtI1VY TlYXW48qX5cWp9HNkneKEliOTmuIegQfOCiNQqfyTz4AMDz8FgTbcf2eHJttAYus2gwtYgNW7jLk R+zwFipNB+WUbchAEXB9C+y9rSNbchBa/sWbFO+GxKoxVnL6jJT5LqIE9dWaQuxCIUUDVaiRc+fp xfWpASlL9u4TQpBGbJQIhvksiw62IewFoKMhHGZuqY5XgxxQ4Xsy7zcSmc6i+Ee2Jwv62uTVJFC7 FVLkrxP+6pZWozVgADfGyFl7nHYkJRVfxM73T6ry+fqCYVM+zKMPWaKxAc76Q30NCuBzGld7kS1W lCCRq7e3D0yZEQqj5SGtaPPMUlNEAO61wSrNQJPVnyRtqbxF7IMnTNdr6sxQ25Cd39Q7O8EBNDob CF007URjoxS8zpWZ22NgdNADTDZpFwKv5FcLW5McP6tU58KVPkn+C13K1Eh6un4jEPTsL5asSY3o AhIK4hDeThXuGlpytY6pTAf1Zg9WnLE7IVmii2JZHBzxb1U7CxvbcHEGPy1MMUXN3sVbEbIsE+UC 6dy68VuWd3khneWcDhZrIMPa5jKEG/IBFOwmuyPOmN2IEyQCFGO+mEXHj1ooJG4iRoKZGRuBN4NB 0FYWiJZKOwbKHm8CYr+Wd3ARH7EzMk6+abOjkmRoxAjtL+CMU/OgB9Dv3pZVKF0hBvbBjzbPQ4Ak dFJJlCfKVPqBxwvI8i2j+WM4p3SUNHH5eoSpVNDVVL3WlgQemp0UynMhaSZTrYeoToSDOJj50cAn vKH/dNI80ekGsbGZRXTKknJVC19j2e9XZAGpn3xHRDfSd8V5vX+QxroE3pfGqwmp/zJlYt+UJWBI 5aa5E3lJYr7507N/MgIp0Kiz4nwnxm5hoBqbHL/Dt2qhbUkTL57V3DiwdRy9x0sjATAyC/qlhKAp 70rYpkS44R5UwISUV6aJO+sJkm3N5iVR3EZI6IFUvvY28XAPeQfGB3BuaqExY2B1b3FlIjtPF889 7ZxOm6PAytxND3tD1qc+/nje8hqmr92NQgUAU/7lFkRhDBDO+GhNcq+KD5g4FgEJuqqKDXJbaV/A YdYptwThCgoVktiAY/lU94wkE1S0CLD91M13+tbN1af04Rwp1yjcnPcfP/yqYVf6JDp3KRpq5vLi utfQYCW19RnALNQwtPhGahZ/Anof/iOKPM5Iy9OF5QtWOX6CHH6ldCT8csIAFIOOyEtlW3NIHBmq 5nwoW+O031Di05S1DrVUpbUEe2Jxa7ahtdaAUMQhFkixTxwkiGng7F0Lo033VGvxAk2v0ycVYaPB +ctRC/tUUrFQxKs26ibilsVyrnQ6QSwpJBTHbHNY1vGct79WHziwxdfl6vXYkmz7ykbEzB20gOdF rrLnXa6ICyMumCnb2JdwM870R6Qu3iI2Ce0cEv4vmGUbXCgsBGjadabbJ0aEZmUJ9/e/EBYHYgfV Pa7C3qB1aFoZ2LwF7eeEHQEG/BEwdpS+//LnwvRuc/ta+WTJIioyuNzY9JOaWTmZtzT6pKffVkx8 8jpu5x5lhhtywTq6SYSTP815PR02dHSU2o4b0ROm+//9Ub3JNOeMEwO5TAv2dp360XDCTEHPGUjg zsN+zCPoCXb4gVRx8Xwr1ogSWBgHc5yEU/PNRy44Op1KkX2DFtXipooAq8MkT1dFqZVwPnJUiEg/ UiZc236eF6X1k+n10+vAuKj+gL3+W2XrRfmxsQK7yiIKAz5VBpwuqzfKRO6Z1iYOOMKcUcJZrJhb p9YcuToMpMFErIq7q3SYjEojcc73+BnldcpnDKp/9g9mWVJXRT6aiPR+NwaxgkeRlPfdyfmmlkHp jUYtK2Sb8xDprrMQ215NpoRBJWHvg6RPUQp6ZpAZBV0CPcruJWwGReft8bCohYaJ/xgBdu0GtW0W 84QfPqarq8ezSHlfoVCI4rutC/xWvcSb2Jdd0XoabU4nPcV2leZQ7MWWJRpI3mKnrQIJPLbafefk iqZkI6wQ1OZ0377T9m4taUR0y0HstDUkKsguL4Zg6if/FbckQTtp/WNsw8f/K8m2EbKsD9jf4QUH 7+bfacQBDWPtmgLbv6UDRvjVqMysTzCkI4jTdhi/mBwNacwEuyAQI+TyRQ+KpACxcIAiUUJQ7IhE 4y9woTHWyunRkcVuHt3sEDf2rL+HyB7SdWufaqHbnE5LMTvqeUdt5PgPAbqBnJop56bigKpCXKsA 1qwoFvVRMXJCv1TWDQMN+ts9dLmss9OlZN/oIA80ZoJ/3jYDmDoWo5xFy65C4j/7Osklr24tDpbg hbAa0WRSQLRz2Lz5pxWCVgkXfUGjFdE2NNQIZxZ0besKS7mFQzSo/Y8/CSuZt5ExjM2b9Z4MIHco 9tT7stXIxlzyfYrFlWHccXZCh/HdGRpYguojnpLf1R7RfF1vzKSiWbboFVqIwS0Q69+KED5qz/9X vLob35MWs+z40Pa7/qV80snywK1N/c2ZlipftnORr4m3u7414DR9IJEF8W+xBJN8k3zNj8MS4JdH o05OJQmzdHLS3ZGID5zAIv7umIT75Ehd6atG+wiCMw6LUSnFZle2dS2Plm6WBGutvSAT6NFi/mnG dlaRvMBaXSqhaKT3pYjf6xTV2y1oaXCshiI9excUMC8ZkkX+kPF8jKChiXUxih/dwgfLSxJ9jUky oiTK1ySlx0ApeeZDsF+LrBIzPzY8CJQVkO+VG9USsIOoWLNIDe4fMd/l7JOye7hcYRepM7VM8/uY hahJg2p6sfDjE7joZHfz3vUwbW8dzTrC2utOsLVs0MedwUO5x2QKjkMUR3mxHJdsa4jBAnxXoy/S sweN9050mmi2hczHXEYtCKS67XC/FAUmfzl9FM412FHpJPOk+pk3Dh4IJ7eZY4Gw2tyh6Y+uti8N EFYcRtH8TFvQNg0Jh1t59oUlthPc9r3x+zsox4i5FiZy0oeUET5pACmzDNr3t+c0s4Q1wNRTn0hR jGCGl8fGvKeGQdAdUFyRG9QtR10U7TsKenePGtKdnKrDHHgzbdtptvhgkI7mh1FJEKp++gAgSKB6 qw8QfuLATtRwW5IWct6h6bNitJars77n0Re/5gPbU1c4XPRevDLNP8pzqqHlxal7aQiDYBr2nulh QlEwhr9Kol+Jrcvep05omj2hs1AnIFgw0gLzSkKmD6drlUKUKmTiyFrkNO+TRs/RtMs6v3AjyDIr FglE+AmzlIvP7Tw2VOvguykGmMFhQNh1nMCj1AA5s57tfbe2yk/9G2udkBmefhF51YKE+sfhFL5E XE5aRvKLikhjE2W6kMYYKOU4yRbduH7VRlSYho8b9vhGBY6I+vtW5EaRcH9xh4W895Rxu5qdpKXZ xDY61tY31wt8fQ6lwaLnZC3eIi0QZQ9++6XOsUDveccGQzOpDrhv9GUp91lglqBWKynaYl7jK4jB XKum3mIMmFHKzZ1FgfYkuv6kRtCHh1cIq5b4YcZloSjiSb9C6K57HyxW7Lu1vq21aLtalwoJJd/D 9qNtpG/yAd2K47jDBhIgbpwfeW6sFkybzQFTj78i4U4edJMkkpe55dObGSpWMKtoxo9RXCGoFRgx SlQj7Ey44ljwOgCNojP54zOkYpiikGJWpjvIkFQwfna5iS7cMKpLLq4LvMsJuIxEv+ewO6sPBUAK q85YiXXb0gaTobyPZS3LM9C5V589ARjwnpSBZfLaeBu1j0XFDQrF6lj/Wj+ZlpzE7MM8lWMNqQZZ MNhQbYPGtZq5FYSCmvbcebIRdh2tXWEtjauCO2BvrDe9HtL314Svh2gPpxjvdEu0/VYL9s+MSqDH nuSrgNBRXrzf5t5mkX5jwijZuJOkHBzc0+MjSI6mX7o+3B3CYxoS5NrH8ogL/Lt1DVjneKN8aNFP 1Q3op7TowBFMTRHCRew190fOYoJOl3ZA4SlZh2IXRgR55HU3k01F5FiuxJnfLRGWwP/Hvtv4UyOJ gA4BAF6+0U1+dDgwxx2QYhMLTTk8R02AT+JcnmCnQDoN2TdsmFR82PzYOw6hgL7n+78RM0QL1Ptu GEl84p0dmulwGI1zPHyM39Ze56oWUZACR1nwpluINDR15s0sDqkMJo2VAq7LseyjanCgRFetBD7G 8jUG2dMxXOI71g9LFDRELa104aDkh7UAlFZkjUF/PguiCawb2JrGKcDzsHZfwWe/cXIqqcxsIXh+ 8K9rRkE5pOE/oz6T5sMdnu2dWEaClbRhj+8HmyauQli8SKNeOav7zbgFsUGPCclYtUsSueKLmrHg 5u7L42qhP09Gv1Lb6lVvLeg9xwcrKE9VwSzXRvTR03D4v5uujBWSR3PBUw4zANaVHnuJySx9Vs1v hyZXRG7RYpQXpOdwvwZdkQspRauyGE33dgL8FwgXcTKTQJPZ7/a3E6c6aRVyBhHXCJ2vA5GpUtrF h4tOg6vMkVR/s3Rg2bODBIk2azE05DGD3Erf8nB77YsA4BwB+sn6k3JnA064DsHRdkm6dsLvTIOo O5wnqA0SITuEP2IsXnFKx/VQdQ04KMun6Cfu7nOgcUQkjPakc1sz5vvP8rvgwURvCVwU1Du0p/BY rVvc+aROzJDT0REkVFzrTHxFs0vNbziLHqaS9EcdwL4JVM5s7DB+8TSo76qMpOdsdgSFoAuvpmEZ 067+dXK2Ftx16lgYhGVLkMdN+WpKybDhhSaq84riIJ8R9F19r/t1uPnPeYBSw8LF6ojtwvb+lq6/ AWXqOkCGOJcsx3egeSnPkP87Qo8Vby9125shuI2tLFz5JdzACPu1uh4I88QFJXM047Rq/UOEYcLG ram1mBkI49FlPg8+Y8LAntm7dj4bJg8GLLNbqFTdy093RuFyDtzoCkXg9ImmgAmerbFEFg873yIp hlXVHJHzHOq+QC6YcmAum2AkIC1tdwepxptRfVUtYeMKatKGldCBvGaRriYYwclcBcPgCRQ+AQ10 e4W13aj3vrYvDgbgK4bXpfeHU/j0V8oGfBJXiW1UE9nWExcceP4vJyBUHtrzKuNiQ7A5m0+PLwPr oXvJFBwkbACyjLWbTBuoEC+WTiWhuvkIrzMYGwm2hbHB6DHzwdGsYnIbitmgqn+zsLFluAlkIUAy jd1lnCt8ERABwVwAXCoH4gAjBp4gSfGaF4Gnh9Bq1R+D/GuXEy+D4FiRpe+v1iOAwyJL0MBXb9Tg hldERtS3Pl9a+NslYev/49SZnM+fnqoGp4MhuL8x7cS9xZhVRU4rEpFPQNQbS/cM/cBy29PvLSLk rJMbQtFsPodoJTI6WfX7Z7BkOpf9qRnvzMAHRrMNq09Duj59FUYtwhnzaiwZmuAaNLjgDTkdavxM 5+pEmnmcsaHWRtO3+qLlJNY2vC/RTKuMmvOOAoJhYJUgBCOm/R48iMeZSTbFssB7Jo0FaUK0CDYs 5TCIZezaaCEoyQHaATvGpjd4EJJO96zvbZ5Nt3SLtjEfOrK3r0Lj1Usz/G9rZ9Q/Jh4SUQanhOFG p8fVJcl+gyOrQa4nDAMsKk+qXOqkHhN77ql2YKAPPKmsK9OD4ifHtJF19euWWqox68tcvOBajJy8 JbK2iKP3aPRYB4JySMku/fan6YsyTaDOAqyTJoSD8G8nXrry7F6vdlO1PU2b8nrzq4ydjk6pT3LU L8AF4IdV2rIVd19YppIMs89N7EdBiUsuqB0uuOl8mePEAqeChCRaW+SvcT2uXWxs9ytgXhGp1uAi ODrE2ea0MmAHhhtD3eUJE9btPqnq1Ge7NVOE4HdC6w+FHCB/gC5WkWZtTji3DJug36NeXfCPCLrd HvTZe2JC537zjZaf7SCcV0C1VJqvaW9gzQG/xTWRxdiQUK2jwZZ4b0L2ygI/3SNpQLItTZbdK7DY BK6VkaE7k/8540dhsSGpEQarrH4ajDwrYrkAYB8EBA3M7F06/r/7HGEKBEjkpMm2u2sMiq68BkoV UFHQCJyzWtImZJofYBDZSai9SjxviiuCVs2K/vR2v9r+/jFf0M6mPKpRXuj+uu6f6ADF4vJokyqZ wHTPC70LTXx8IRzCspert4WJQRGMEc1PX8t7iNqVZHeYqmbYkq488Ct7Bv5JEeQZAhh4ldyTSXrz 36jbO17Rbm1hdxySHK39cqO7Nsg+HtgRTbKeep289zlT7bwI1CYNNn+s9A2x4+g830PDp9rEp/5L Gn9X6B/Y0yNxfjVkPuySn9n6/lcwpRbIuWsJz+8OhS21ovQDSRC/V94A7GOpvIhfuIkt1m1Cj1Me a9Om07dHLltizM3NoAMPuKtIIvv6v8I9lcF/JppEiwVD5efBWdo34fOz/83JezuCVTxw8Wk/011l gSWaiCdA5cB44iQUcSrSLbDiN61T9W0HSzkV2oSnzyfKL9MEHW0/iyr3aMN1n019l90c59SEHRjW HUSkH0imhOzGFb68WJP6aZa7s1DwnZ1Z/uRCRrnGCJi4HO7V5fE81XKCycM/oHCl0dmdfiAHTn/J Zrmo9fE6iwROOqIqfh8LQC31VY8YPaN72j07BXF6DdjFYc2uBHwD5DnpcsdBrPZS86Q6gBaA6/sO Fm7myZxSElw4VJgaEhQyRKIVUR7JQiiWdcp8US69EvZ9RlPJleKEkqrjH8RtkqWktcnmuLfHSEKJ VG4g1YvIFahrpAeQyiO544lwyUq3tUDWrFp441/M1M01z7lE4xc6gE6mhET0mTXBT19Q8No7rALI BTJv8J5VBMxAZl0pKjUTyCss9Po1uwG6UFCRgqP8L1moXeeK1ViXxgXta6Ok6iI9FPPKTUnjky7m XZVvJzO7euyOZ7PMdOW3m+Nm2c52Hyn5CxGtIoQsuUnp7eGH5xhjOmBtGcdaOBCxFtJwLedftum+ bvDTlBCE1Zehu/3chEtDvjp+ybYHK/DbeIs4evrBT6sE8L2JauiayJNkI/iid31iOefgP3JlK2x8 qO4B/w76hSEwjqRUmof6ENDBYJ+jrWnlGdbACh0JJ3AXY3P5JGvSb9NIHO1cobeLukuvOUVSkNvv UnnppfWZU7JcbKt+HvCesKUL1oozeynMBxwn+g6797msIsswPRp0MYlSCyrAfpPGvJJrAP/2ICFV 87ocJbehTX2ZLRGEDgV5qPw4yXumK3pTk5key1x0HNTOcjpI71pPiW+aD5eYdQbZd5fM5jEKCWGD 2d+hqexjvcvoV6QMHFoxpQfKiOtbewGK+VUKH0MCwgDj0tRTEtvZuIS2VJYww1we1vHOqUV8DOvX wFH45H7Es1V6Acd5f8bLXZeH2qjgcK9YRgu+bnGyYqodM8oH3zlzK2w0rzdgqJTpCEk+xEGqoiRj syn6fYvZC5k2OomUFYnV5sK5L6MN0g3IsIEIxLJnI9Q/tZOVF4RflxllSDm2FxFOCM+0d3h+4Wg6 55tmIfV692TievAe1bLwv9RBOcQNQN/IM81OOezub0KbQAn3EUnZCxHBBUxDcoWatXWZDi5Zb7Hi vsCztjeF0VCbuii4B28//B2l2G1TldgCanvjclaeXVdLtaEhBLC/7VbwwAPGXEyKpUWcLgDMDj8C SnCo3tenHEDl7MVqmf0a0pTxin5BCkdXyQEqPctLxXNFHsY6x1pfuuCY1RFttLMnvrK3i6+zlsmr 4S0hQBgtn0Qq20WRw6uSjRu32GbuoV+P4DJavn2ccqJQhCBB8hDnqcfrOW3j8CbCgcPKcUf0GJfc DC84krw3beKXFHLOCdPd/CAbKVWG1SfEAphZzR79o1ghf9M/1j33yX/chKE1TCNpARjOVUA88GnF PXRC3BlaciCuAvl7mX417yq1VYDmQlPj+3LD9eVzPgySadDCmeQVJzB0WNlsZXak8UcmDTuuDP17 jVkvKPdJYO70v+wM4K4MRTU4ryGzpOoLUw9U4mVgZgl07sSy1H2rhgPexdRweqfXS+idCAz+ytJl XgCxfqc3LNlSgaTNyXdfj+dSvOo6w990c8IGPgmco2+4cBs4l0TLeONmrlY5mLt5rfkWSmShfnFh KVH9NwnAgMkQm/dJLQhtzdsQzHkrTX53x+2otDJUYhjgf9jfHXUSHexOi4d92Nb9eWHz2bO8KSJz lh2mq+obNL8Nv3gL+kuVRW9shn5Yi4ykD5stjWW7OqKIKN0twlu/0505i3LenOL6z/SN+RicOtxS PWOkdvUSVzIjyJW4BlHpT012cxwF9UJcZcFKomRE1iNFQrUVzXJXe1f3AAdWGl8oDvhU1oR0cEs9 fydkQNbnwth9JYitbG1LzmIV4Xi1XG9Iqgo3flSwfjkAeUYmqvjIJ21Y/fWVsYJ/t9AwKtdFIgSR yB6wmnBTI6OF6knkA3G+bMUegQV2A7znq4lx3uu8ozhUs6rKxSBWee9jjHzm/+nweWuK5fVr6H2G VIEha0pS1ECnz9/qUMrdtxw45wG4ZeooCfTuXzSHFldeqfhZfreMu1ijQym3C36g5VFkV9Wm4KBH ILi1LiDcZGUxukBydASsqJKeIqwp6/YLK0/wTOj/jngPls8h0yx3YMTddvo/Rdwbt4PMa6j7X2Dm lD+lwzxMXkCmRc5VdhWMHe3SdqoW2b1pYRi/4kVpiC+o7UEOjAN85YxW3sGzDQkHy+p0v4wt61JZ i1kisU8H9WvwcMMhR8RnoUQddvRa0dQ2chOYSZj8PLVzapKlw9/fhhUTO6Zo+nQYUyj0Bir7VGdv OtwGkYzaVizBlaWYYMnA7+XD6uq5350oEH0xNMevuF/Ze+MnALHfebc4WWl1jY5yRs30Vers8CTy sihExAljkqWan1nfzqG96vVMtYKoLjibgJF8w6lSDtgrBtuTyQauQU95t57p5inmUik2QbnYDzjO MM0CpZr6EaWFRCqN0nswTPbjlC5p8dqAq7EBqB/RCCNMzuLHTPRyXpYvj8mx++p7OiViLIap84Cw PELbKC/v//iQe5QbHt2UD15tXMVyVStcgjC1pZNWtoZNKnNBioBS8y5s3WHnWYNYohaNBRWVGSjl UfEHOgPoLqMkr64XGPYNUwIF+goNojGiXj+c+NQHUbcAbMGBxwC+zCNpKiT7+uL7seRXZ97lpUIb OyoMB0rapu50ZAFzvYH/0pv7NjlgX8rmMvgaqFEPnmoYvSF8Wbn4PZBGu7QTovC/j6tb58gk72Y1 JYwu/HaJ357t2ozVbl4Ch26EXGoHbQY2fW2BztpKd/uKvJkjM0ckFusS5GB5tCRn2lYY1mlixH1b 9klbU4qEl2UGvMvxjk/iNIkKHOrubJ78aCR1QzNBtSTvLSJJzEGiAyud4mpXcTdqYF4KIkz21PFI vwiuXbuVRNbGqkci/+FsPipPQ4QK+/X49dNkB7VHiLX5gGmE8zCemTdmlLmHuEJA36tKt/QcAz4r wnIzttTW+4CVWe1w+Bg+0KsZoLlT+/LTogKHheGPg6RzKxkHF45f5Bvg7SFrJ664wPPqtu50jJ4O PoEuG9X5cEKY3dowpcOwuSa1m67OP5eaddSslJMI6/nf2hok0vyk2CfMdhWqDQRzId7EHQNdT8R1 WegQgJzO7I49nPRWSK+GO74+3W7PZEX5WKfzaejOeHKaANSBzbM7AExQX6WHlpf7xK5AYwc+CJIS e25kwN5T4jwU+1bNXSvqs3qEpfN5h3t4nHfLPV+jub3vQuucbjEU8ZJ8+2wc1XmzWEczBG8mzP1W 7ol104GLyZry1lf45bRDDv4o3c+Bsdz4Ju9c+0T4QpGk+PkTxXjnbZR6XbCmfmpmIpRU9vwE4H3N 1/K6dUKur6St+CDrvAGEygC6GO61QKkYfhJ/3tmm2JWyj3x76F27XE7rZaNoUovlbe07jGZWUT9q KujgQ5K79/AANfHw1zBxUWZB7RJLTVg3iIy3JMjmi4AiVdCup/4yCBppxesV2zbtcd8BN1bZ0HeU Ij6Lt1n/ueo+Fl/DqCSQC805i/g/jt6CZmwiUbK19yZHOfFFSXlKyT7SGIs8AWP/wEsi1qUBKkzl eLT+WezyUZ8uqQDKQNMyG94pvNNeilPoouCZdKohxyrHRpe3Qbb9GqNskMkhZJjUkkN4CLDwollL +mad1nVkOwrAmV2OkV0XuWi1Gpt5QSJztpz1Kvoyuos6cajnNVSmYIU8Q8UcXrue1o8y0ppSpOYe Q5siQZPYcMDogwC2sUlWUaFwZpIcolRZ250eBo+g5lqJSAkbNULIwMbVS9Iyz08XG4G867Pce6p0 gbcfAjTA8TASe9We415jripZeTy6epO8mydzigpylPAdtxpYYFexbiT7hvbgOIL7fbmmVeiqo9rJ h0KpLS0ybsdRroQujUcJnXl0YBRuunYb1hHDkYj6uJ5xc28arBigHFCHDvtfIYJxn/Zs5yRUMkaQ hYfTgJGn2pvXqW2NjapRUVk6E6OYx9Cy58p1RuxuUtk8NckjgxcSqe7ekeB7r11s9m9se2Y5U/7r QEVUinpKzu3qn4H+/2FE9JTBLLL+RZPqTTLOeS8F7n4mBGE7kFbJPQO8i8MLrGw9CDO5KhDK29BI uAz5hpGbHBJphepStnnf8/SUB3PeXBfI9TNaM5iZPAk42Lgt95pi3aaLTgnetk9R7AD8fPOSnzmX K4ANIuhBpx2XLdv3ouBC2UCVYXyAU9o3yydL4yeK/p4IEZuIJ+Sr4M7dE5eZh3Rm5qCs+zDC95B9 2TX9a8ec7j5DHk68PkSGkNwe49mkPGWmzrptRxZdQNDJq175+rTn/e8xeBKnXD0k9Aa+/tWCCIn9 lkyS89xWTDClJxWP38Lh2gYOjSdcQUSQRABqjYHiS7zWqCCnao7FLlVi/AQNbQ/SOQetTslUv83V 4CRIz8xjMrpfF3bNzj8MDuTFOVDeZ+0YAKK72yQQv8/wQa5WHlghlL1j9BrrNFYlMOpuJl25CE4n S8lj3l3vqwgdu6D2XC/xxDbCHC1DKR4iiyRwlpYNIxJGDIqHnOiqHTmGCIfpvnl51fhcAFjOXQHw vEz269q80yEIhsetvOcWrdoQrypYHLpk8vrClMpxKfBw8bvgVEEygqVG2RQEBjvXR5H0t4DokCcf R6KYpApyWJYcQ2JOohGdDnbR3LMYKIQUU7rIHz1r/sjsOcBMPwB3tJRJTLE/KUp/1Cw35ujCRSnF kqnmwR1+AYdgActhqhfU9kFuJ8W+GK7TJtRamdONZQA+OtYCH2E11NgROgA8Tmcn7MDDElwSAuWC 1TrJcpyzU7mXP+tOUKbcyfulZzOEUoQzc024SdW+mYBemnzJaZTGZQd4nSlEAzJWxUSzfDmyCXO/ CbgawyfOhOYqC9hw8tPi9wxLwlqO3/q9/sb4zhfekTS0mD0aJC04k+8owA4VEHAFd/9cl94moyqL s9TcZGiLIumX0PjVICXSaCupg84HyTq84FlMMoBNJMb6u5fryUJERwHCFAXkZ7Uxqx3IXP5jyZGl 54WEZl7EkrpVM1YGF+/eCzsX71+oMkrhsc7/MfFimcQJ0DfCG9y178dFJYU/7wG4x4jYo8v6N5eb IXaTKtN0ad1Hv2zjpWvA4OWqCz5n3ZM3i7yBwgeKULqa1AvMVisctmlL8oCQvnbFGBMCjo3J94F3 InZSegevuPkM3PkDY9fvlSUzr1M5WcqQBTnOChA6n2j2oXjfIY/p4sxQWo2aULISv6AoiAUtxdCq c+iyZB7KWBFawiq/OIJs/DwDDMAVP/tAJ4pgXieIC+qlffzn+iPSx9nt18mTu7VMyX9PalTnU8tY kMRu/EgFWurzArurPlWjXgG0sVr4aCd+aUyMbFah3FIAFOnKSyC5qXs6u2F9gB4pCacH65/SYR1p oJQ5hlG9JlW+ZnjQxfI0Szz8OmOL4DLFDw58OgnXjKaq1uN7pkZo4izX/wXsy8Yc9L+b5RUdZey7 tgIXJvnHsf+LayKXL3EJwg4HBAQNPJBPrKOaEUQuV2xolm3dfUT+WA1kRnBqfVvlnLBHAn33K2Ec OSoKK19dP1spcN1UUVHodQjlyDx1CyEVNM2AII/YYU6oRe3/rx0/XeuoBg7eqTd/JaE+3vg8jF/r nk+O6+EmJLzgpJLOq23iKiNA1FqtCdcl3d9j8bcqQN5dYAGSDpZwS8piKYm7v3s/IR+rn57zLf5G tAeAECjNHsJpG/6vPFqD3AVAG/fKjqIRwzMaziSaCzoKTCif+/GIpNDwK/sEtoZjifmxwf428t+F uKL73KgvRXSwrAfBN8UgSE8Y66uc2OxLqi5gxEKiP454kJ7zSpm2+zxdWGwrPbmC7wNKTrAA31Oq DsaFOKfgQ6gAC1oMFbAnJYac38jlsQ1NksC2Dp0cQmnc210J/cfh7GT/oHTQl7pVce8J+DxPH0RW BFjmIp3i8cddPL12oy4JAQgeMVYe4HaWwxiAkD97/VtwBNmBCa1HjFOCvnrMpsj3s1f1NI8AhZSr RgaAB16VpbRomELNykdovHRvj00wLo0nTSXXXqxW0m9ESNQZJ/Jm8WwvoI6S0+EpiZEI9Bq6muey mfZ6bmL9E/SXi7+DSRGnaGJ/8TZmBnsC1Y6QfrEH6eQNb14aLs0pk8T76rPx4agFfRAZqXRDeLvo APs8OAFSc9A+UwgzucvwSi7jcGkzOxeb7DI3foC/dRtWmFgE5qxd7IpxDmXmwUb+E7GMtGvnbVL+ GUp0Dc29FygiZkZhbGABBFrT5UjP541QJRpyocTF0tmf0Pqsls/yx5NQoP6IW0v08/JkhJXeDfaj gfB9yUymSbJ8zeEXQHI96ZJOe70g5hcOtgnt+rQF4hxPQ1+6pE8+R215JAQNJSS2/deASt6q2O1k KM4ZtHP2LLuikHiVZJEiY7KmP4VDPLjwBumFtt7qvbOCVjn3O5XaJmnrO5l71kW6HkXkUZRGIWLz CZ7dXZZOPAznhgn56bvUMam41rW9RXeWY6/aiTn5jRqiDHr8cwTGzxybo0uadZ1++mCiekD2EdoZ vQk7j0iEPffDjow8fEHrT26JW9Rh7Y7oeEei3RVVMF9s0Q/AKhzqFyOroq6t4SgPXla0potDp5Cw NRvGGedMeE77neEnP5VBfX0wQU3TPr9EI5JHY6mgZAnr/lPS25AQAL2mb8tq+jNDNYBJbIEtzdJI jLHFabfezTrEk4ikLb6h4pxPbJDYMktWyO/Mtabiulg08MJzot4CQ3RuLb9HVIzgR4MRNWJb120a dJ1movgs2IRXDMWz3ReGSi/T74rdUhosnFHaPl8J8xpESwdcJGgwGAkym4G7l9KtLOjaJhnrh96I 5nwiSErKIzFwBKab/AaReCmRYTMeRyiCT9mA9cqqYxtXlLMDA253zBsAmVQJGcPqTftsimelpGij YS9OIG5cuYy/9+QBOqMbVL59iMcASGrtqp85eu84ojfbUCk8CRAiyb8Wh81SEejHDZdxx17AJUQ2 4F83Y0N5EliE4krHYXGt0fnpPhQCqHGlMHvSPluWFb+8gbj46oWa1obebzfIlAQnW2sSkrfZekHh x9V3UNV82VtbNCb/NYTU12rKE8e5FqHxO+rm01AdzMi3vLhvjb4sc4DpS8pcqsYI+YGpQPzApaET 1iE+8ifZH5gwHvazxIdDge9ia40H9ClAMLoGiKyOu0ymWxcIPcPY/9ldWLmfdgkkZHHeQKisoW0u SVmgsvzIz0z+49HUAnP7tOBjGcfeOIVzYWKJCeTLIpckwbIvinYt4Ha8moInVAnY2em9A29maRG/ jezprEmDbrmTNHcFaQgNN9lS16hBGa4Lz9XFXQ3cTEa8A0AEz7pSN5RuoRlLURUrwbOQpiOYozZR ea37Hji731iExZyFy2EYw4Fo4YkZcWUVs9pd6CI8x330PE0IgO07ESyj4LScXDpl9lklz2RAPvNH oP7xnUEbMA1V+vBXfgEx2p37dX+8blwtB0kvZJ+yvax4Onemx91EFPgwrLxw4MX4xlgSwX4z6gLl ejg+CwA2pu+dq7BUTqckcFVtS8/uBP0Ka6AjL1MEdqkpTEVpMM1QQl2oV4oY9A0vokoSw1c5PfNV 1MVYJzJ2w3aQy5HqSvx3PvCjfb/bDEUQ5ep5hTSGpUpdi4lhWy90sAWrxXCNCWczZmw1BvezqwL2 R4EJVqlEJOnoKLvnxd9g3W0/gSrzCBhuFbHkPbHWe8VeYhUKFfiMlnCZIty9+1M08C6PDq95yt0f +skX3FmjarDwcfJ5Bm//ZF3vQSKEcYX31D3c1PefLEc4+ThGOoJlLoMSqt/3bC65tW7/SfIisWsn Pbe/A5njCIbl+p8F0i0DoK8EDMkm573Zzswso2fPdzBpL2ZWBzDSaGG9B37f2njB7WTrEMkAUdp0 MTUAHjsdyMvQTLA9MxYaIPwBk1NKeQ9JugpMkhyHeejqgrm9ErL4878EQqgg3FDEQKormWOsuhS1 Vz0Sw1wiahfKxixqn91kS5z/IDv0JGAAR9xqee0zPU3Y1Otk0/i4fX6SRyu70Od/QGPhYEVhZhYF mszNiaYoYFkppALvMvciW6tDvPEzMMP1KNPo5KJ3FZr0B4vJkSWUqBkp06rvVPDudGFfO51hFcsg o7qml4s8d8we//1NaIYhX6l88nVVRhjUZUvuIjHy9nPkwWO1aoM4I8dX0ACJNiOSMdqkgvRYTPfx XjVuJqfKrg1b3mR/9VdYi+f2rWTwG5jcJHS1mxHAlzOWarZDI846XTHW+eIWF5wWRBVUPBCYmdmM mfdKqhYouiZByFoYe8ql83RPECftcZBD1qmyD/Vd/+0yiZkUZZ3lJTd19vz4mNmaeyQpZIN0ir/G drqdRLagWyZowaKaThhofyB5jqMZ1o0aQBLz6r/wTGbUdsJMiVnPHCE2lBDT0y0DfjD7Y+g2hXY8 /RKzd1hR+WKQ3rHJ0Rz8Ooyj7qNNXBWfjVm+i84Y5zI24BCGnqIhAiis0uvHLKuvQfpHs/LzVDOm Kw7PK/eCfTcphos7XFUdoSRvVDcx6s44RdZVYuLwpDpJP79B0pAmmicucvea2tZIGtvSxpqWhvqz ef9I5PeDRkbGPfsvwVS7hHrHIJ+pp8CxWTG+3Rm9ejQUhbuhFriAr7WbTvArQ8Z4JaJSiEOqs5RY fsDLlf3wWO+p/Mi8kmlb6Co7XgPYB4IV8BkPB3AS2t3T1SgK6jw8GdKCwbLZZcAAwLEuijha5Nlb irkMKWdaAXndmBaKNTUd4aZ03f/zi2aNwzkCICQWBkqOknd8uad3iP0od5XFAchRZGLcRYwOWrXe 6vpc4W0vlqVzI/pvCfhYrIJtG+FOt+hYQSr1BRHDYoZT0+9uFcKC28g2aTD86iREWbwJ0gj0B8wd XVv4oMpDE9PHIwiCHPNoC/8nQsD4LP8uMG6+a/5pXlCmR5cfm2lKRIrZK3WNlx7hAHw/CCFgDCEX QYl9h2MWYEd9Pdfc7RjPeq9jT7+vs0keeMecoCsFq9mY9TPfs9vn8SDHqpJs25+FiSdLPx0HXumM lYgz6oPJm4Xb62EMx0kpiO5IRKaGNDvbum8d6veZOKfNaqV/ZcXuf2c7rsTGOT2e25chxseonv5b 6uZWQWKGWX6+brIqeR49ZkkuE7msisHTE6B86a9On3V+82odG+o/3Zm9XWFO/Axbng/RX8nf1QWj G5QZLp2nzUpw0Li9ErrjHPVZBTIz2Wa4TrqTI8czemaLwMYkkAATAq9vivF+gG6hVDLXJeohEFZn dszfo11b5HRGbocP9PyIUWuaLsNCTDK4iywUF7IPQCm8jQcW2pGyhz550N1XMEQB64N641SWAzTx /5bBL+RF1KKJYzxPoYK249PlXIdfFNPEJZEUK1GHK0ueLNbJxiEdqNd1l2QTk9BtVjskmR1S3u/S PxQor3bVSIdG1rSQhl+l622IDUiFOhH5jgwAfAnbJ3wy0OA0lpGPed94WVtbY2eNO8dJpta6N2+2 /tnE7ublC5E5NCR3FafpPUWYoQp/tF7uWsVc6LQAGuX9FKn2GnaC/KaEKzN9sgsfZmGERlr4QktK hPbPNrsthsjlLAxYv6uQPAjPJDz4hC8BgDr0rqfdVLxfh0dhYXNiOE+LzKcqzIifgZjFA68yaYgD 87inMsJrd0x/ZG9InbaXuAzMT8alk2I/O51mIYgGaRszAZWE/vw4OUk8/d9J4dSzjgGWtofKEN2w pxJYpmWUeKtARNDudkxQ/G6LX0HXJaPA6t9TI0P8pR0LG5qZ0k7wvS50N+DiKbqYMcFPhu1ya/xN XYtbb4zF3TFvovDE0pZ3ClV9nYT3T2BEcE5AbeHqqckJL1/9SrEt+pyn86/olZ0U5qgBayKcGgUA pZXc6p5ZGBso1UMBat8oME41uPgikSnM3cZbQIWZc7yFr3vajpyQBoYOr6fa5XRJ7y8TTuONU6Zo J4xnaD0fwl1CHg4yNVLJTrrgIA5YWdyNlprnbQT0pHjIACWUk8btRE8AaDKxVmg4+Qe62LNjPNdU nQkyUzhtOK0qQcgTuhW8fl7t6Ai2tG1Y9dyx+Hm/cLzFYvZhjEIQFgDQ1sEH52Y2+su1BRxbYmyA X9vK9TTqsNdakXBBjP849lficV+v5y5hpZR/T5mPRhy8IZsX+ZbjEfaFtu3+jFjthcMCK8pGkVmz GF6QF1DF8LLXrPfH0/+IpPtGxVEgzljIXgGOSgtfVvcCmcVZCq2uRe38dYz/MZoLtKYW0kyWFPMd 1f32uwx6gnMLn8FlR2vg7f/ym73/q/isTY4kSggP13wAZNZeTit4VofY2aTHC2ONFjnlPiXOtA/6 BlFh+LNyXUfSzf06C8wtLYpUpjfFHxy40PSgN3L0lbf1XeMUN8p4f6s4VH2e4KZbzGs+faEMqPlU 1TIQcccDErnlpcfIWwOF+qaroNLcV5mP9U5YeeG3zzdQ2OhScSR/YZJmcscALWQGnbnPvIQ6kVkc drl7NivZQucphS9yJA55zvbUyGn49/v86ImMOZ2qIbpS2lQC64scBnAoRuseBKTxW16sTnzpvsHU VtITSUhtujYfw681PXvHCUKnPXdqs8EnLJCx3UwaIiAGNuWMhqJilzS+Jhl2ELyuofBIzhF7GtMx FAkf9ezJPIliXcxbzCPkYr/Bkre9XMp4aoZSRB54ZEki38lJQcILS7XYg7j6RRGNBimFdiYPsDps 5+PSVY70dfkLHuiGMY9mWMqqZQVbc60Bsm8ORUcoAPdbuegN4mnQd1ttfMZo2UWyaq8Fc/Q2PUic UbIn2Ao7JV9j59iOj+O0T0sMEiaGC8YzwFu7+NmTQ7MnL4xuxuL8D8CVn6WQLHZPTi129Rkx7gr+ SrSh13X3FJXoQSRY8buC3UdA6ZLvYGsygdGGP83SU6yexRRRBnU3ua02vrO/uVB/UYGNAM2u0Rg6 v88Ov7quLVRoCUMQ+hGDCA8Ylgakjjfm5jlSiLge7R+L8pqoVaxoiqwE49SlWE+SgWdSEZRDaia8 FsLIceH/icsp+0FmjbZHtnugIcA+1zNrJNXRR6NTUYYO7zM+HimzdXfKV8jC+werxZcj1mCxpDdo YvwbvgPGI9kfiAK+pFD9x4hKa1yawbl0xeInkisOBycO/1B6S6rGvv+o2vm5pPAtY/B8faaQVNhM mnVBsvUUbg1SOu/Ebl83L1EjlOMPhOeeXqVkGTQxKCUIRV/DdMZ2jbheeUtqsr+qJIgSV7zG2dKS W+IMQGJOf8NYRLKJ5ucNjiejqJOhZkXxjA7SRbGoDw3dRycvZNf+s4pJI72MhTXpkYxltWpnE0UP GmuGBBN6cq9b/B2v9psFY3ogDHmlHkT3WZnKnWbXmrxAri6iTkgIRwh/QEJHT7MWlRYruCjoydoA MMm8XyYSYCrcm3MlwGLtLcnKbKis+ZfI2Wn4v/NjLLICvxY3+qcjJcy3NB1zcxPMkVFmgXrMpUkR Pr+QUnA+DsgAjFvpfxbr1LwKfT5kodL2WNVsEG1kMS6wKVc7l5WpHo+567KQQ4/512rZL9BPNV9l DRJl5fkjyUMWb9NYt/yJ/lSaodQQ5HBGPKUby4lUZmHbwQNjVf74zxFpv9KqHEpD4jqptOrCN3Hq cKs7GOIIKtjAJU50lMTP/JGHRw9ye5j1so0f6vVVBksTrqWUgFOANIudvgIXHqmAApUa1fx2sg/V rgiY4V9qVVSaPV4Vstxtg/UYLliAN0x5nphs8plctcJ+FniNZ7K3ucrIgdlG2EtRo05KWUWeelGA dmcs4YXyehXLVZh7Pml73unIbU+RTNzJeLc/GDqXUJzhYk/wpFJX3mYlw/W7ENj6y3dKurrX7YRU /qqUFgB+VUuBnMx4i8O+b8QaCFSxTC5DHWRIYaQjmT4zQqZPx7p4LdxrX9WXyoguqV0Rat+L4c09 WBQmovRDYAI/bJjH4P5z3tnPJYiEADujS7Dnn4iPvPFji+MNJH+FXX2qfvg4B0Xrjk3mJuLBUi47 JJq2YqR+MFpAoTYQryWulrsFCQQAfSxQBuAQBo6DJzWb72FYsDmMAaMblCZPMLBn2vQVGk8DNYVK CUicPNeVc74IDOTvaJ66d1TicrpJlhwVHeOAMIVxSuvkuEkeg+kRnoLsqcOsJWby7e1DZR25GRF8 V6FIrdf8iqbF9hBpGu/R3ujEiX2fCv1r38RQwb/cUYBVUp46OwYLxHtC2R6ULksDHEn/vVHnJxIp NI36Hk4iFCnqGAmGlIzXQ0EhiNXfltre5ch2xXJoX2wh+OrJhQGPH45oCxdgMt/1FxWqzMtsZWiC q1R/Z5/WEbTgmtODtADLFtNVJdZtmL68Q+S470P5SK1PJTNCIo+84tP0uD6G9ep3PB6PpQlWOQUT SCsyTSoE5gQBTt5prMpqxYO77Ci4rDpbD5n3T6nDaYNycjffJ0z16vm09gkDblfaETyD9TLP7Dfs o5z7JQucvZysPbdriDfyfAU4BxtYiRqpRBbgrc6PgKPOwf+roNCH0tGDf99rMTQ8BV/HL0uTFigp ya8M++YU7kn4wPVYDoJuZJEwDFfBPf7HP9LCIi+NzByfTgSYBYB5NvahaTbMKh/XDr6EUHT6qlf+ uNqNOXA0sSVZYeD/esvOR1DQwvPgG/2uRzGmGquuaLG2M7gW8oMpKnnOYPDck1mZ7DbK7yzTfAh5 +hkA6B8737aXZY+PnGImSX1ZVOLWZ8pSsWcYc+sA0ZxrrmZojRDlVpUCBN648FCtb6+6t/8GFdIY IesxdVI2XOZ8BKnrV47YIRPIOYvdrOF0Pbl5l/x8qr7pR8DJ6XfFLB9L7J4vCec1cXi5ZM/GXel0 DA0fezolBPfp0z57jUcOKS5KKy2bBa0FcrIE8ZQBhlvHA/shioqHZSv2SyB5EK6P71ICmtDcq/BZ B84bGHLbe9P2XokfsqPxPxlz6z/mJ+NSWDbiQeo1tTQ8sEWuq7UFO/fasgtvRIn/bQerHlI4Cyo/ eFCxjkRzLwWJQxbL69zK5C+xy0bNgS3Q1b0fxmM08ZkcvU8D1nbQa2RjEthxQsRq1zU3JjIqbIqd uQVDvn63ackv7VmU4wNHQgQ4c8kVLoGzw84iTI0cZOKiLYylwORBAO2QlNti6TBUA+FOGRYPTf+v Sxbf2NNzVJ6ZpEPTKbByTwCn3TC1S9zS4PlraxeH7SegpCdSBMznfPNPa2VxeZimuEWjer4EfZxc a/UVVKIunopYX/xlqwR7FsbVFC30EPFryo710TLBhI0fCwni2OKn1vwze10+RLEwFjlmtzq7jto6 21AKwONmmo20GJMETigauh2kl3O42s1cmyAS8ctLM9l+NVSt3WIAPNx0uLuxLRlPXIEf+Ip/IiPm nEwEyZFE3WhUFVwN12VLS3dN1XUSjja048jVDubQANy8NqIODS+qb5Azsvg5uLPKsp4GBnDsgsEE HOMMV+TjVUvsNGs1kk5Tq7RwNH5gbagEbVnBMUugvOrBEqD5bL3e6Q38+b/PuvBRTWk1ogckWQ/c 2vl06ephwC4H8a7YMqtmAFKshPrtRGPG00zVsoiqr3kOUTvyfZYsPvjCOZhLGfQN3jfF5aprFgpz w0LfmXA5bTuthnFDKajO/0vlkwdpLbMdFmEsR8Hbm9ll9cRc4fe4rLspw8fssaK9WAMhZEIOp6fY yOnwDLFMSYsS0mQuMhaIPVRUsEll3Lsd63eBTAI+dLNcP5sg5Brro1CiEt9EdQMs+QoTbgW5NGIn aRcUIS3NchPxJcrXN8VVaQ9ilH/LmSD7vUEdo3PA5XDzXmTp6WDlhv4zsh9eetYC+9f65mrgQYd9 jRnykXycFshS3YWLM0EXfmDI6hDInUSejjlJzAJd6vQrEEaTPdfa4wYxMi6EQsNBele68G8MgcUX Dvk6gCRWV3WWvQFIPvMfx1Ekj99oL47ueiGPHdfbeN0p7TPkt4/Dy6ylvggOOxtw1WENhWKjZaBB Ry4jvkxqhno6PdAEK/EVfiZTlBQbe1XwFGwGiGHewnhdzjVI1nqDzTWowPpiE4FhMUY8f1cfGsyH JlueK9g+nl1zrkwy4k85Ei+XOUKG3rPfjaCOns8fufbbuxOhKlUyLSoFiv3Se4Us6owwouMZwD9R hWoaEI3mPY8vzvOtqD71jBJi794rOCyroxBLHDwSfyWfxlXeE2fBpK2cu8Fi8i8uiJ7srfpkzKY+ b7ZguagxSMu6uJRwznMqmCYasM/QZs0+RJG0LpbhnkbGGN5LFop6yv6UVKUgPyfmcl58eWnmc4hr WqMj9X3RBctBOUnrMAxFWO/zEPILxxC/1fAlZfKDTwuPY9/LFVdo9xfBDuF1QV4NeCTVbus0fdWt /XG6meXovK5uAoUs/pWOY4Cxkg2mEJqp2JO0mYgoG3U/qta80S9/EWKAUKY7+etoVuThdKgZTntN rA1TJJKPeOB1HMELRz+IJ7gIEyWJryFazXwFvNwcmd72vHqKRNjC1nMRgYp711+7aM5s61CgeGxP Srt24UHZrR8L4TAgt2tiGE7GLrSx+b4U0Hs69e6WrmwCeliJGliMIdIus0y0uGMo3AoBdd7ZmSRL B3tbgKq/rtLFXgT7IOwKx3whtSfLyILxgoh2ZjtPYscQ28KrNiIpbJrgtb+JFkrk7lvvHt9GamKg Y+XqThyRICdxkA9YhChxTDeZESNWg+kqPMDWoBzKE4I/RYWfsosn07uQaZXYr+OlH9vEBAWnqLbW MQ0CPARiH+S35TsxWoF9fs9TU6IKXl8o5FZFngCmYwadq54gjrupr1iO3DnY+C0tYTSiJ/yNaQTT iaEfym2i4m90PtBqrDZ7CjjRudG1GoEM4HzC61P2+oYK31sgyrFgCcBCG/TQohKojuyRBIp+djzy hO04ekwukSyceLL1J6xKxpBe/w3B+N2OOUvpoyI7EBDlralrWsgFiYcSsTRPArjoO39s820QNyZF QN8AjSjIF1IGtkMxpyHkxfmP9p3TerY29c0G8urMYazKcbw05sJlPIZeiL2BD4+5B/ZpLwO6W+cB aBMwVq8ZPNKZzXQEpph4X92eKoaj9BKzfrhoAaIPF1Yep+6mtVpMPDCYWwT2SIftjheM7mMW14gG DfSKXndHVdXJvOXHRInV1zOXygv57TVIYwJvBJXxeHG0Wi94aQDtvHl8n8qP8CeeUGapt6f9ayJU 3pl/e/knhvM5dobuEMeJ5Gk2D9yHlt7nF62pEnxaaXNGwz/4W4TmQB1GUA6Rf+gCZvyCarV15Qt1 DrxZtnP7ee01Vhch82Pk3EJsTOtqjAXH9lXEVhlyRelIhoWpOV/soDO01eh1JqCdS0kJZJCuPG7L RYvyJ/F02m5dKrxwaZbOXg8ksAixrFlWZtJztvR00D+VJHSoonopAQcbcuDFwB3ee2873cp35sYq P29UhsCUcT40lKnwiSSiv4jIE5AHC18EL5rNxZRBPER+hWOyfmKfVNpN6ah1CQkrvFWyHpnKL2Rl slmz0k2hrMFiajKH/mEtbzM3vx6O0AhXeuPxjyQ3BwlCl1DzI3G1eVF2SN2ElR8HIrSdvFoeYwMQ YJYAkds1rFHnoEGf4zPUAZsqlRp/AgX8irKS+H6mHFskHvuLuj8khcpZr+5QZodUSXHd9WgBmFft fwa4BNR3yvrUq+76T6uFEu2HnntCirjZ2lOogjzeWYO6mBs0b58W0Db//yiSULiAydCVPYtkTe2Q 8El3wTcBmJvFzHAtxENkzxVG/SS9UQROvqtnjcXmGfphT8NP4+aAJ4vxyam8zVVDfLKnt0olenuX 8k3d6Uk6IGk0IJrK+q/cjZtGkHVQICZHZ6u66lCpRwRtpW3q3ZY20Ru3jHaO6TYGH2zBP3CieCEs BvHHc/gIH4rCmhHk74BBk1frj3cXnI3Bw6OJPwLBrPlr7Ec6Zrm2G6a5OlAZqQaZYhqIj1toeXI9 hSp8hic5rUxbe/QGxtEbTgSvBFXWMLrYrbmnCP9PpQYYgMAqWsKeeCMcfPKCRlG+vCe69N/PzsZS f83dEqZbrBQ/EVU/H7t/EBOBWZTlrvL3OGdIUOIHMMwSHUR3fcRgB9JiTkkY6Fv/u3+7DS79PnuC yZajO/xscR1UhOKoUhcW0cckt9rAfytnd0Fb3qUmvG+cwQN65h/iarwcgD4+ErloJhOa/Mb3h4Ax KJmlb41tlNcs8zEsL2vlymAaGVx+MI5Wg5i7SgMSiSjuAoDygIO00fCENAsdLRVpiBlzSuvaAQBX xtsaGdeief159tqFJHUirD1/Td1Nv+4Punb0EdWhJclXx7fQlAxmebTNnEj8NbX2lEgttUzh4v5n Uf2gJJoHAbH3KDqIc4uKOkJpaxBKzUJVJEL6sKSFI4w43O0vPdxPw8r1onEMjHLKDHkmHJ3k/cPh GXvuuwM5+PCs0373ViCM5Fp7NzdwDaSDE/RYHaScaZdjoVMVwcBPkVMA5JkgMDRuj77qvWoMhoKg hN55NP73uvekXxG99B7F270oA5N/eGzs/CepJ/YqvDiYGG4x4tbvZtcgiXrLwwjwP0rG7jVEyOC+ aZdkON0ye7IkobyPMA0yYvmjz95jJ8X84dkrFN41gFFxPPRVfPUW2H9UVtI1joBSC2BmsF2TeIR+ V6PMsxUxReVLmmq9btnN7kzztDbqidcv9FV6j3lY1KT0KoX9fkb8y7oQzkMXYBVOSIuDBNLhVJej T5mnovq+qzCEWWED/tN0WtvUmYOPstCyPw+zoELjqJAiax2B6xIUBde72Igjc6Z0iSUd3IdRi81w fYPWoXrOA0UJki+1kQpcOd6lFH4hw+JCkM81nTutS676pY6bXqHsg5lQyjFLe0Les9HspdTG0vsJ 54CiyzbPMDXzvo+pD5nRHxfSsDSN5i3XTGNBGyVRyqLVwGvFyakCeOdDaXua/K7QzxWXjux3QUaN VZQFQ1up8PmFr2SZn/RUSgEnnTdoK9jAmADdiw2KAbeZydEadjdUOMlFFo3xB4sIEa5TVCX0u/P+ Di8Be8iTAIU5hSwecIVdZk4Sru2Vqjk4nb9egMIc2MhzJGI+95WqGqCFRxP+uEvAvsMwtmmfsMX6 992AGtXCXZoeKH6EGXgOtFFRyBT5Lluzlk+G0mcAoHfhXCE03QJIB7aWhAbsMvNIAA3JeGfiIssn rHY/jGAHDCTG5ZDMTeAcA0NDb1RUXubaf2aWuQR2/SOicMsnX9FKHW6qtjDfm/Qk4Fc/qQYCqWgL dI/gI7iLwn9K964J8OlKvifWtkmmN1yRmukzx1D6GFqafsfDF8wOAoAzPkhxgeRm7wJtjL6IKn5K Jd1S/IcsKzGuoxdLXpR1ZEKk0g3Ge1X6ihFbUyMYNDRXZdp6bLWo53ze9DwfdltQd2ZiEw8pLcZZ y2Qom8Ew/Q1YPCYyHA1CZUyLpVDJVgg70Wl7RdEt1BwBw0i67E0QkeNNutLxOTD7gHXQy3VYoZX2 LVNnzfE1FnWuk/rt5TCflfltaL1D3ZaPCAMj5dW1CweSYVMwLHPyWLWjg4e0UbBJfcX8Il0zicAy SunL6mNAWijtlS24duslxfP2qlBS7jPgXq25c3iUGQD+fw0MN/XIscPuPjpyZrcP4ygJ6QKKkdCj M120kILG3Wu7m4vCXJQ3yx8lwmv6jG/e7XiHJ7CfpDoYQKdNZixJihKJ9uukSjfDJXVtUHG/lW+n 5lyhQxbe1HrumpVtDONBAGhS77esBFjyar0ULIHfUpUhbVa/n5WQtYHWqCZFJ1CRo684otiIE2rd oIFwA9hY5QnCm0tWu3I18EtLFBlCATOdsDzcIPsaUcjVBzNxZLuXJwk2aAZiSd69oFDuwxzydX/d TXytAM7y6fj0/g6it5dsbf9a8m21F1IG/nUFWubWjzO3kBxbmWzlSwYjGFsyOXii4IfWLup0fJRR pB2YvpSr7F7GGDtVYPxm19seF9KrSFu6Dqc++NpWgRaaqYC4ohIw1W3zVXB2EJNiUbGtwp7lb2Ax OaWP2ohoaShJfsqngQU8WASadDleCTCwYWyh4ma+4CwiJZ1+aqTCan1h3ERT2jg32eOWCXsxuRs3 +Vsfjzv2nQoykjqBHiiTQU1KCr98roqdURxGc0hmk7dsJMmIc+kdZWg0tR/JBhM1tfuOC09GnHzT s+AZ6QV940TmIdEJKWu93Wtnj6UHRsrZSFpEAY3bPjzTZuo2BierS7idxf86EFKk+0yX830p85pv nfE0x/fUvp/yCag0E0BEJuW+snimbf/sRDI6hodmp0feL2n+QNiuiw2bSXU5ciWgdQaAtlsyYUMI H08+fc4qytsCbXeWvgEqvNd4IpDuZWYexpsN20DmXDJ49AOwx4MSVVHLhXeDWf6IWumwjYw2bH/H BYyGeAPCmzBpLmdHmRdfENKInacV+eiVOe3ei5YwNe3hm+7jeaSeiK7UFKixWGt4ukh/BTKi1oUZ 8DugBG0alkpTzU4zNvaQpCbqlHyZCbs0zsHhXbYUBU4T3j1JxBJNkEjIY+y38egWKZyZLh9DMLQG Xj0h1wY1CjmL7MXATuok6MM6ZeGvemTPXVcvo/kRnaikfK+7X3kUnZgZJ1rVns9BAGFiAY/zqDEI Zf88Z/aeexF/uMrO+RWCOkH8SEFSF40SHGk124j/ph/XhSRrnyPDdDMd/cSNbo/smCpJFd5YVaUq RaQ29pwGkm6LwVdQTRMZ7wyApaBS6zNqAiEn5ah+yCnzcB1vel7/IcxTmvD8ZfV3QmmuxqkkjVMq 9idh0aDJPpBaApo+BkjsHFO2A/6RRTg220BqD6Fpa9twwpCGm5WAjA1r1mm+PNTMVFU93wqqUuFY QJnn4zbEBh6ABDm5viBXNxTpZf7EHXyHskf0S2SAjn0UmdETTsvMEpXyuqQPHps5YwKqXsYR5IUw jx+qVT58Ke44HjT+KFTARnrTm3Qmi3xMayO9+WJIOh//gKKKHdyI3BgKIpBJbRYYimTBDzYkaTOM G/H8EOknFqa+Yi2hkgrMgnW7Zgy7r4WNBsRE40wtDJ70FBK7K8vZ46XBeuO7iEigNDdt/CDd4sPQ qODwI6ZK5ZP6wRLIXBmWpAaSTORuopihb7Kg+1VTPtL+b51gvD0QTa6WcOra3LyGjflVVE07WI5B r2Qs2ZdNFWr/5s9LSa52MgXuRSH+EJ+qpVdLspOuKWhjTzAkydPA9ALlRKEyTJvC7zBC0+TKO8E6 S692Mxgx9ui2G34lxIyR0I6Vd4kC8AdgM7dUvJ5qV6PtlWEz6rgUgFxfIrmyZ4zCmJ2pvXGioSN2 BuWcsi/bOys1VjBY9Kv/iudDzqyRAoKEej/aOqOWG1vI6qV64NNKyapk2ueuljDFAu1hbUDuPTJJ 4XbA/ud8/DlaVvNpri/SV8l80/hlvC4K7Jqskpxs/dBRdP3tFd0qKpTUsdSP3U5zkxxTr65VSwMx g6nu6fLDATwge2LdJry9Lt31eaeui66VGEmqqM1bmmPawpkHQyfyvJzeH7Y4Dsdkit/yPkZO6vxv uMf+FlNltVTSBjX4S6iDBqqG/bv2jEfAjivqqxwxTa3GUoZVI4iGtpkTHmREaaAR0j+RbNsC8tS8 pslcVTebvXyfkIdv3xv7WqxQiAYt/FLTI63xhBOrpyNDQJhU/vok2fT8NmzyZ6WimBF00iaC8UdE HmyEzQBRd/X6EXgix3xEHQn3y8PmVB0jgWuSdsbOecJGPtQjyz2AFxfoEKKy2kF0r3Y4+sTHZive Uduuyac5HbJj40AwqRBt+PovUxzCi6/uNr+x9enCibVkr8g7H3VdUke98cMEtPIuiB15lWnSZ5CD kt0kam/zhO08D0dTzFT50I95WBapAkOXEk5DTA7sHugKbIlacRpAJMYqx+7CoKZoaX8iByKUB70T WT7yiwI39yDUKyT2thXdSej5TMAl3X2VHAYiZTs3J14W7co36pyP5VpvWVnCBIDfOZY4PqKmmvVX MIYTEzV9SXBjHoerZMbgAlJ4Z2w+sBo/ZoGjBau/RmVX6Al7PstHEG+wX4CDRqSFrGbhn/240mMB Y+MkQ0Iv7vhTEFjUPmXJG0pMfuXSevNHERc9ewIfWXdunWA7S/I7Fhg0I2T0/0teA8x6I/ty8XyC JGfQiy/B/NsUJDVEmTbRaubro1Y1AtvBMaRgpEQlOh7SKy0CZZisVyr0SmTjMOhwxO/KNxoGgFkA OkM3wdOpfLPrvnBiI6/PlIPQzmn+EHGvoBt+hJWaUJWS9uYg4AiksobgTvG9WJTFl8HSZE5AMC/3 DvcCqhC1sIjgRutrbdttoz0cd4mVWIfHrpo+L4tzl3SchMlKNA2CmAhJtvL4gciAb25OAPjchDnf 2pkWe8bANz5/VA5LKzpofsdFaiC6bEyDOmNM28nhRNkREz8xg72FUSCaiYosSo2ATVlJ0y4ZfSMQ DaaHHZpsPBWane6VBqoVwd+vnRwOwe5sgI/KsGUDQeWzFVceKiWca/ZtfgzFrKHe+KgQ/weZEKlI rxywAO3A/9bZXEH3Fs/Luz/NIfY/IT/pNxSKE4FtQc5RJ0mF0XYi+5e5JSv5HlGUxPvqmgC5WscD pPJMAMItQZbqkOZayMqSG6wGPTw5WbYSucRr6mKd5sFym/WBFx75jcq/a6Dop1+AqQqRfZLAti0c +KKmWYt96S80e9IUw1rQGD3zdhBxRMDl5Hr8+KpIwruhifhQskIOfaE09vEiukgpLzis+sPue0fq 6vfxMLcLoeRMAL9nvRgdHB9hNxkCCumguBlrfpOa4rb/4OCiNv1jcxw532kIDeGwwkZpl3WUDuko HJnCvH8vQzX+P2JVL66OOTiF6omBU0GYjHgYVO9oc7tGhyEsP/83XXzFaww58lnyy1wAEymVWSLy ZZmyFoeUuGIGYnKnfavw27i7EMKB46EJRrLerGWO+7WHn5IKuoozBz1OdwMCFL2nPGSm8YIOI4jp KbIabD+wN5wm40tsr7ZvmvFEYQQL09NWghFi5dUVnYFyYtaFN1c+MufhS2oOxRqPwX33oDdwxOKu 3DCj8FuRElHDbOpQ1y22FsX6E1qxZ/E6X0Bij9Xj8P/aBcfCNnjXxbF9wLJq3giwqfIm7NJ9iz0r GsNJEecAvJsvzHysmS7KDkRS28b2ysac476Eo/rn02O6iYwCGPq3k9g0fVR2MbaAdYUYoxtw7XfX QafQ74/o155cqhgF+1KdYVvsAkC16Cf8M6rSV5yPnlTyhD0meLiVF140UxDK5W3lES1Xfv4zTYAg n00Cu5H0TtA91nGB4EtY5ouRA+OLR7sIzSUmCrWzitjm3ZWZbGlYiGNuZQSCe9CTSe+q9NiO6b5y kYsKP3imjLUC2Z1dfnNgZzB4NG0ZOdBezli6/6DUWkSYV7NhBUQwlb5w6VzhSgbi6CoLBCiDMUJ6 icRZa8FDssIQWmWlV2TtSumpSScDzElg2X/kgbccpZPAzr9JGKVYRR9vfSC2VRdTIGUlrOzE9rBn FnsNUoZcjzfeO9Jd6zWTDLC4jQ0gl0NFiq3Td33RM71lcKDpdqcZ1ctnUC7KF31xTa+6YpSxufWp +vdX1JdbMiIqwDbXRn0TlLCyeS7i510K9ezhL5B3Rr3bg+PdneR4Lh+WuMIktblErG42/SXhyvMh HCdgMKvdByNDhNb4Ff3P9MxIc42cvebllbCWAgUc/CId1lgUX8lUQyX/dBnzklXNr0altl2UOcsv tEZjJUS1LV48Gv+HmDm5VsOd4LQ+rRl4akmFUvq0WrOm4AsGTmkoEtcfiprahybzJTEtLS+VA7Dg UZUervBsn7i56+hVZPTA+0bgBO++nZImjDxaUc9AMJfNNCbKhparxQ409pbgM+1qeN6b9uFHZAoQ aVO7KiTqTK7PXe0xQCqsEy8ckI/dItvQhqR7dvOMcO9YGaI96zo9S9oS7MhtGoUNziz0owGO1LCV s/04lP0QaB+g6qIaKM+GCZ3GD8PUD3b8jtOIH44yi0SSxopi2MZz2HxGnALEUWGcbjrbKhhKttJu Ct4sbQN11LhAjbAgFd9Z/CjKVQptLDZ7O1xX4vM2boKX2BpRy0H4wTYgHabFT+VqpSZI2vpHLIId olCJREEw4VcUE+koU7T3D+qVuKpzm9iXm0fJsPBQTzIOSrgsWnIKfv1M9sd5ePhkPMVnnT4OUnRE pFr4WXUmjJc6B+CAZ/A41JYiWNmhyV6cwjwbG5HfGChy80Xv9tZQ7jhc+mK48pGCzol5Rx6A2Gjm QnKPB8ROsjmcyREKpl5g8FcIqkTh5LIFArOHv6C6JycIxnphBRSWGxZr4FoKrAjK9vdJf+lotrB9 f6NVXD5nDeChdc2iJ93uZYEhbqvFRm1fDa4FNYJGoycr8ZfzLUedUG6De2b3yCLQs1SwVugRak1T TfprNwwTWgsMK16a8TQvGE7tp5Qtzs9ClwYODnKuW2cP3locjgslFDmZwyw1bWS8km1iBF3CuYCf D40qQAuqehSbW9MwynJmz6OA0h/KRMpCYptOWAcX+Gp3J/uwOEf3TQIMchcfSfVLP0KbblUxZKKk aKyqFFhYCMcaNnm6Sl2E/MhBRiJvOl6ZSMp9PynT5pBygtL4zE+pu6i6G3z1H2Z9/mE6m15ylcTv AAnHSw93bIX6vOXF68KHmMIqsznneMMXgyKzQCZrgmKd+pG8dOTlUVRdXb/bUGOhm73btHpJDQro 0f5bmNpgjSz0rKfnaGC8UYCg8AZ+LM5dqWQm3he4tQxLA1QjpsiKfUqmJOgrDVoGkGP+LTuI6bc9 3S5Rh+tDj3nhP6hXWidFQ5TTTGmhKrmN3VK03NF2JQtRhLvziT1W5G7nMW7I13JibRp2U7jakRTI UtoGExmzSI8qPkF0Xrud2CMt47Y1NFFOS+R8iGWsbpHUB7WqS8yHHMeFaBuzPegq4yB3qML8+uUC Bg3LkSq1d9O0I3Nk/9iOXAXvuoxGqoS9Zq8LsrxyyBrJkkJHdXjo05bTlWI518vA65enGk3yyDAu bbOvWEJUsS0evvHVhNqAcQs5cZCZrh3C7Zfv1EBy+Z+Ha2lxwHUChjmVl9aFER4Hixpr75yVHX+w 9naVnAjjKfByNorC+PhWWHB2d86cAEl1UQXCd8LmJ5dChK2YpPRAjV+ziaRfd7bJFg+KN83sl3oj EuVuJPP2JKeYfm4kiPhVIARrUqKzrWRP0Qm1hbeBpMsKPV4nHXkEiQ8uHsh8sO0PsnL3FVIoc9bj KJdKz3Jq1E/DHUp2bHNCyoU/WsszX42Tne7+T11WZssrNY0tz6IGVVEotZPQlIc0hdcDqoqR2ojc kfVQvGUrAhPswupcbE7z4vpriifTiigCtQ3MxsS4pCgKqLWPc9M0oRuE71PBL4gzw0beBidj/cwB Wh/1LUmCYKN5brkjU3jnliLBibZAVdUl1ZqwiXW4TVb8r9CEyAtbZ8w1C1T8gK5Odi344pn2KHOs ofSvvO/NEK0jnx7HnjZM6E5F2O7OyUGJnjNXSfsXvtfm0N+uYlV3/I/O4GYYOD1Hg1XC6QvkX+CL GNRkU7vRm1St8+he4jVuB+tLtjZxuMAo6ktvV9wued4sieux9GQ9gYysvLWax+x2zwNxHwro9JW5 aZYPxpXLC69CjPHyuPMaKV0reVidzLf3qJ6q+jXfRKt6s0VJdrMy1N+n1AF/zPlIKTw5ji7eLWTu 54+SD/m9ESsy+5K/Pb0TUoFnjRtOUV45EBJPg6y5PN/h+/uU/QgBbpDLM9K0qFSssqBkts+ngzC0 ltYLvDtOSOF3KumIh3jtzBd4mnryONeIfluAL3nZDeJgNtrFsNeZJGM4GqpmSpll7iKPl1oiBTnY Ks6InA70NRM9XrnZISwDRVp0XnWWfIWESgjnWAmOfz4c/NeP9kk82wLz7s+NVSTollA93gWFhymq QJYNglJ2Z7khlbOAVFfjzd2J+UL0aGVSsOEDl24xFQuM0GzsoLWUV9xGb2FeKYt2XYPu+tSgosZE MxLK+/qq1SLSiw+2GdxNBFwuKUovYvZXyvX4zch/ZetW8Phz+TTnjCJpRoTKf8DiH8gG+dOQaCon EdQIRMozm6mnIkumksgBs54McMxNC2r7lemfF5wR7QJpjGjjpKsLDqB+DioZwqF9s06MXphuQhbn 8YIb6IcQTGJtlUVww8ZUiaIbWFqL69sKaVVCEDFnQEkQuxn0GTy423bEVmk8R3r3fmb6ddweP+rP xVZfrU/81QPb/Zp/PKIfBhvfpfCRgBQx3EUf/jxHK46SO4w6oPViQ/hxaytT2m2MFqsiKA7NiqH0 io1bIjAz4wnkn1RIMxGkMq1QhaFowSZTqSTEPETwyeQKvwwT0JH+SdSxruX30XfI75zX/N8Jdi2M B/1pdihPTxET0qqmE8u8m7vAjCsp9daQmRwEzS8cBfZCkj0+5N6qyRFC/MMz45qUTj324ZCI7aBw A4bj47mJTC+KErsyZHKJipVBUV7LPdnxjNyvJbYWxl0pWK2zNDFqMfGcge4v8rnc6iCi+RiOE7fz fuImihnDrXTVsIKqkwlFb0mMMar7feS0BvzDji0u7U0iPi6/QSwSoV8m4bpsox3UcfD3v7H9hma7 emBdKUhaRsTj6I568HcCb4wd+pefCwbH3VNcdNs9ebpJnpEG+CVBEu4s02VBijvdGBukYyPQTg4F xVsiVz/FYBiByuH5jkPffcPQky8qk6zDcZA2A5tDnslx6boPkbdKAe6TYPMsVLzMaa3o6VLF8cl6 p3t5an8suthkhW5ByVX8KB4POrcOCl6lou4QIsk2hstu0ctTlN5UtdpFD3qF/Dh0jNPP+qtPAyFU LxwWzpdzgW/H+p+y7xRgPQbNMI3O/Kh8mAv/x0G9ITlVEtMoGPEl9IgrE+HabNDQrllSB8Ifvuv2 rXNGzkneJJxpMD8vNPBmuD9fZHvwbrns+OMq1imaev4lJlORZn5UMueDkQ85OkMh/A0Dd0TPu+ng ox6ZdqWgGa2mrNvU9UbLLiAf9bD2UjoTG+UAScDICu33WMkuePFRC71vNo6bsuZDke8SBa+3UPO4 XU7wb8ybC1Kb4bIyJEPQb5obv/ye+nShhiAVvKWy3A/JYs6JPFE8PoH4esy/tasvtx6Y1jhW7MJV Y8j1AAlkZAm4YnyZGGMHNS115V6sAgp8ODMY4jfuQnI0S+mXn2Vh5YAYyjJjBqhohenGBNIg/cA9 iAIlIpe36q/wIKFe0pp0DzNtxdEU7XYUb5aasxTK+HF/Fc9n/EnV8O+HbXhU2+qNDFIdDWxGtgkt dlrfTxRbiRcnpvh/iAowH97yYzZTDEhsNoIwy8WHkq4A6TkehRehV8Yl6oLH60RZJuPPlG4tmRps 5EZNng5BPvhkztlYy0OdtNiR/+aiRVPaC/9kydDHGtgfWosIu8KvIgygxitUiBOL8NLA+V/XawCT 54Jirk2qQ8FJJKQmF1PnVido7cX8sUhyTJzPRDnU8tB+fTjaPDqhDmDINAqFQtBFml70aODXtJrA 46WYtiTG7PbYipPy3O9QkbjueqxlWTVVnAOW0cznWfb4tbEo4FqyryOw3Aa5IkC/Jz/PNKRd0Lix gpuiz16Zlwr8qYzh54b1h236WJpStHxleko1Ptu3gl5bFRWxWQNZdCEFJQI/3oXGeIWfkCShMc+/ r+pm0drlpliTvBSYDRIOw6ElaTqU670vYyBUiWBMgn8EJBCTmiKh6afHrK2BCeWnjkGMtzoYSYSK 36KCPjI6K4NLuaKV4CHwPgfOwO/UPclRLZ44IGiFaxBuCuQR4E7bvkT8bwhqsElp4UFIxophYoWG /9c0yIDygOT6zExUT8pFhC3a5/QBwr3gkIK+SSVouhVC2eLcsYcze3e2sBhL1yqrL8j3l4yWqire SUq7+BZ4xDOTEEqGTuNifvvTHxf7yIwHsh4r09oikuzPYpXyQOMuK5CYmKrtchk5X5LQjvMbeKth xv1XtsriqNcWOm/+dRgbGnLWFiPu0cwB3cs5nLNV1aQqmkn7+Io4EDocZ6a/3oXQ8fL0DrsgAG9v 9srcFEj/COwg8lqu0DSwCYg8JWOF+WXfSeyP1IMgU27rPRb711CQEL7CdBe5/NY3BfZNTRySyUVO nfpbBW2mMrVDD5qoZhXvPe8SFNEQApPGwz+NOo4z37jaF3z9vojHMhsqrl10TAK5Qe2he6lxWPNp qU6zCCArDZ5XjoviYcXvOfkXb1R74f/6BfyMTCfkb+ppERR7fk2TV1pqLL+kKroD4/w48zTXLx/s yDxbhDSh7SmZIDj5OPmNA5YlwSUdvHmY9LnPriAKJY1x3ylwB7WxYopxZbSZ0+vHxgqpc/kBRNG7 YBA+wPGmLKbC95mAijdSC/0OR3TvVebZUGxEUCoS11q2uReRnG2iO303J2adgKR+UIXhtgudbWlo 0NccNXGGrMLTWgRHY5XR73azbeHruEroVrNR8pd1W0eG4lrGVA6MzOkM7KM7vzckRtBAqPhOMF8G YScqvUsB4b0nWlDVJsP5EIz4KQooSw+txEVPU7BKQT7oshEGswO48jRfSRSy2KULksG4wsEM3NAL XKCCYcANNRG3pk8ALGnOWdDqJOevgUkdv27phtjlpNOZ7UaQTg9Xwx6VRDJlMp6CHhb2Y0Y6Wg5B a/GcbAqB+9AGPHGZs0jQz6YPYHdDGc90KuMjTAnBr+r7EhX58/fkl5C9xAEjz1PXlrUKHTgji6I8 AZRW3o/dSGUdetXhLEYnjy7icRRHCp+vhS5/nJK1Y1q9J/alNJQyZdvpuolky2mL3Jx0Ng4nEroi ngWTLMv7SUgTofFY9KeYnAbRwdLC8daewmhgKKSr59desVGPRg++Jq3hWcMFrzF5d7YwwHdC4s/Y 9jY6D8ZcMuY8C/iOr6XLGlmOd4qsrsQZ7SHtc/Q1KlnWOew5l37M46XfaiQifBP8fJs9VPBY2r0x HKfjThBdEHfgpr4UMRCLcg1EF9nSCOdKd7yc+iE2is7yRPKndHcXm0LMjIblWAcyyOHQCXIZXM14 e/8wHZexYmLegnXeXZjDmBJnc2kYGoVE80rjuCqyk+K9I4SLwlR1HUrhaRJszMbHy+c+s+hfUaTL gOuffOvu7btG56W2ohKbc8mfFcOcIBwcVuYxtb8M1pOLAaajtevMjoIa26KsrGX53EKt6k0ziYnK jGahAvy+5k6kdaLAMEXIn3BaAUnM8394Cjig+kGaIg7xV2ZwBTLladmZKfvdvzlT07seymBlu5XO W2uQSajiKMiUGFjNsgcwxFdWal32nb54lJuQQaqAldDGXMlK7lQptu6hFrvB8KxC6vi05QDh5NeI jCULAVvQvquFAlSfw+jneE7Ggx4oAuFenaBQakvLEpbYLcQrfyE36CRJ8YPR16ditXpCGvA7CxUy B3MgCfbo1bln6ZusodOo/XzdPvG2ng3H6/r0X4K5u0lznUr7XF5J7hp2vgcr6gwDsbWIA6gyWXQq WmYCUgF3cF2I854Q9WkVFPiryDXFuPvr6IBee0tmnQJzwMkcfSkO/cwdSNwTfeCPFcMz2RJ431FV /f7hX2PNCjF2/uPRsuAH/jUClWKnCWQ6J/U4t7xlUSePhNJtla8LDwPMuhi9CcX9ALiXHYFUSdM5 7+PO2MWvXwPzLOcg+eZuwCFOTycKLYUTE9fY6KLBm4H3SbTe02VbIy0466NkYUSORLhovmRrEKJ7 Sr+4Z37Ayr1Mn+1ZEGKtbjvMY7kRUEuQdpj/c+Ypv+huSjOJgvxenMPBOIPiqOjWyPc3YfgJVNfL A+Vw5e2qfBticzbt25WAfwCfaT0spfJWfucSIBJ5ysbcR6+472RiYic6LF6Lw0uwD/fwaQCE00UV Hk2V//2cK0Hb396TnAqdUDQff6pSvpZCQ2akgfoSDETo9BOBc+jl2To/9kgXDQYZdYkcQp+qx7mq c5+fFE2O6eBvK5om8g7AGl0+FoH9mtuQNQZ6MtCzxNc8a7/rCM0V1EZuZ8CPaVCdIVRCLd4D/kvP VubqCxldwHaUJ9jyLjLFPphBdLHjrANzII2j3vz2ypqQM62uKGZ2tQNRYXLbnahTzw76b4zLmGcI VsmYIiOWwDEr6Hn36BBLHFQqH7Q9ryCKgidPy/ojHzuun8WimDuFTNJgcl6iWmWLBzNx8Kka9lfU qU2ek+T6cj4MW3bkXEBqCCPAIHduBCDC7b2KZpGTkIz9ddPLGnHy8woz7ZIZJRevhQZSgpNFSBXx DCVBHuwf+bqIy5Q5zm2OMmnDJa/EHnppipGQL1E+rd9ARdqHudxZ3atmyVFDESfahfXDrPDDIbug s7bPiq5LRrfD8JKqskDj2HUYnH8Cmk8b+8yezezBX2C87D/6kSrkdJw8CkyIRHk4QxemFoensvWM c1fsQgdqfcsuDke4cTDA1z9EBnh/0s4jVCuHlwNKv8mtYyWyF4AHjgsdDFJ2B2cbU9wisEVj+iaP J6Ihv4VM7EZLChKNcbw0xgADtJb773eIIGOwd2UpnOCQ4LMETHVIeUatShlfMDGQkqesR9b6Pwci wnP0gNWUF44FjdX8BciAjZU/K0Zft/MCkUE5uPhxHMnXtzMwBU8oDlbXYvdut5pGI0j8iFnUfEBY J0KpqX1cl6BbG3IpNuCVEbo5Ch9apWggHbXi43oHfNNAnSCqTCE5BkNkF096fWfEr54mQGUgHVGL hemQamRXwU67ShVEo/4vI7RxZ3HukigFqb+3Svg/+EF5a+4Ajye/f5r7VtvpI56hB3QHJvzZPlif uRrmsO3RDD5luifITIdJ8DLSmGCw5oDvpwnCRsmOX+dHBO39YJ1zlu0H/D0pC7aUgMd0UuKh47/2 Gdnj+mLeHnHvdg5/cNkBAP2SX9BTNCPa+ssd6cxJ2beQvmWdnjCeffaoK6Q2DESufF+Vp0R2XUpM iPYC1LSsIFWaBhH7vn6ONRBl1sgWEXkFdWbLhM9zXPEKDw9H9eaJVwFrQwBdNUL1EzdAUTTPlK/S OZTKF7dBBMG+BJEJV/3tkIh4X4z6Qa0j5J+sS+QhDqOONPq4wseSskGYJkjv6v+8EpNtOA3HryO5 dsjaWwWNj287nct2th/0KTLq7NY9qgfCRBCgQVCrDTRvgk7vFR8pKpRQXakwSYWaaAHQfn5+YN5b sWebXdHNhbld2RpDK/lf+/lJagdLXT2YLRtpK/01SfIw593I0p2ejX5C+3K5wQp3/1ETtOslaxJE fNyp99F9Ii8gakrv2VmulR7ai31Wz9bjRwgcy7MG+7XokJ09y+FxIZyCWRKQuuHj9COuAz+xCPvY UASgT6YgBYbrwUf9I8FlFksMAGulWpCO6VA9Ye9OsxHckXelv5kRJWG0PDZGG8hpBws0HSnGaQCQ +dnnVctcZy2iYstSHwHkNEsLwxr2SnVvoCSw6iTlMDQgaV2kfKEYwu39LsR0+x978mYMaCxSat2G zFLY7QSeaYFQdZKCyrfUikLTNHNi0S9aDVyyweFuHTkLlpIJ9BWOzvl+gtii/drHyj6Gjj7LNRgj tWoZoIQn4h/+XkKDeLwdcejm9VfEhyMxFwe/ouftDtXF+x4LoLOerUdQDxW2Dmi/67QOfgF9nUq0 2W3j4yXbRsQv3XIBBEpLPAwt9rxCKSSfCR4eGTy0rooGVgipMzBUkilmkZK5f7ggJvb8f1eqrFTs Q2CONe89ZnfFP27CgWoV8FeKAGLV+MWE1jHFHODWgkAZWavI7S/LZVyqlmPYut/qeySr7bcIwXos 5yLep0REFT6y2Z7A+QAt1FVympXvc9V300cnS5B/ISAvF+tGKVnUa0ecZEgWCCphW9jbdNW/EJtO YTngpARHfSSTyd4KCrOI+trn44NN3DAx16R3bSs48SntrS06cbOzcx2Zd7j7mrXJURQUCYwBrb2r RsEukLJW4ToPlF8FvolEY5J8Vl3UqUV8VaaZNxs7TnGY7vihNTMmtK1qdgsj9yM5xGwDD5C5uLiT QKRjrKAzDZ6n9d3OgEVpDgfLeLzpl5+IzFs/EQAEYl+6wKvJPh2fX35sRyYvHJdeRUcOjjDBaMTW 486m+L3yiTbz2LdP0cjRrjEfZK/oTpM28bbMOd/OWk1F/919zhRrC7t2/wVjGUPWbD9Ce55V8IoB yr2ZcDJfI3fN+Hb9Zm84Pj7ZaORTbgd7xhSSTSIIkgF2eS1G1qKHlR/oE9jjosANUEDta59lSjmD vDmYLMIKXAPcsbK8S9aR7UkfdqN6o3lT7jxyKaFoNASi4cwqY7PIJvbR6MGhV7EumI82pGOHeYl8 +d5GKdfEL8irNP1emK5CV+bP+o3Nzf2e4gOmrZcd4Z620GTm19TC/OqH57MdRoR78CVtQTD3Fx2Z 6ryOOH9fV2hZxlgss878wHgHGuz+eAmFGLqotI/sH1ey3wuYzrHExTNkIOvZIk4LjHqrgGJ1/Y7p H4h+vH5dlwWPxhiqIvwclFaUn6qWTcnhdLnyOjGVoNRWP6PbIEz1aqn3vzI/gR/DHgbI6z8eow1V TSxpy4A3L67GaBpciCDrzxuhVe2sgG9jxBSpJQdGq8VM0N4PeStBQzqK2gczDKm2oX9jIMm7CP9W 1nZLfaT5YKBAVFbwobWyXRdoAqNTJo0fTnYQZodgIYci6awVMjMf43r934U5GD1RgaV3Geb2wyJN ETEX5PK5G7zr0NU6RuX+Xrjjay8LAOxaX4B21D4rZnZ3zv8Wdd3Y3VQ7GfyVF7FhXCDVeM/WCFyz 4Zt0x1uhRGMrwSEEfVrx2uFzcomVqMJ/LD9Xsn+nh7/SK4Arw9cKXsHc0QuIqyUsBmeBOG68QzQg ncVZly9L9XapAN1tKODjJL20E013CRoqqVk8ZkAdI6D0Ce9W7sHzWg/yccNTejV9L2M/CIVg+59u NZmv4s0udQMz0i0uyv7h2Yj4Od5A1kBSX0r2ZXZ0FSuLrZN1hsG3apF1Mgp5GmeSp8vSKI1mH0LU EYUl/lTrmebtSbxsBB46CItV+QvuetUnh+dj/w0nGRt7UQgmeg9bhG0sMi6skaQWXy6VwPkKdxcq yrrS0vjexu7fEJGi7XwFmzj/WbT8DRny+fnqSa/OjdyY7fW0oGs5SK0pl76b/DR/WJswrU3su306 mJAr2eHId3M6Ms6/1aGgOlf4S1jntAKo34hOUFXkWgKADK310VPX+HBAHXY0OKbHRHLmCzt6ArWo x0SlNA8WhJZeCSmJ6anJI/Dc/4xlIigpg42DZxehfXgnWQSqHDAW+uSuE+cOmS5eMwPgHKPQ1KQm qdILoxCKEVUH2M5yIDg9YJmfZMHfyQspZzCUSQCgzSUGFWQo3vt+lQHL1N/0xmsbFu1Sxnaiokbe 66HCkL/+GP70rJpOEzc3IXLe56VFzZpsGwHLkR/f3uz2tMG+seRbsppvMghlSbuaA9TfXWaOI9ix DxvNBQFyrkYH7c1vkFIQN1zx0rsB/Gt+JaZ1qJVvgyiegtTdyaBFgmxbD1RUfGfYLrjyV1DcmYe/ YMTogA23yN6kIIsqqZkUUiSY/tDu/FrHe2SzXYqA4ttggyTn6OOXTjyJCGkCOgS/ztMo2T7HKLt6 quIbawfSxww1B3zLGcRy4shbNa1yLz34P281kx0NWag/wCz0P1hRoYEpECLodr+M6PKjaGNECT7h lZmn5Btw2hJtv9mZPbUq1sGmGqR8lr7QNsi1DFZEn1UkeR9hrQs9onVVTgAFOLhJlbznWxaWGbkj 2n9ekWFQtapHyRnCevNNkRHm2Xso38akevfYAiah2M9qBjBXcLunbei55Vtw3qDfbuZ3w/nHtw3D ESgcqEcNwXmG1Tn2VRgLsugm6XR2jXNMPGAcMRSZ/aW5+vxUz/KHnJVXc74f8cqClJYtAvpH/2Fx kDj77ILH5eMKxO/AqMXA2/bVVDj7j1tBXqXzAcshLw937h2A20r7Zj4g7dL42DIz94PykwSbrbqf ZqMfrK3oAkaHmhR0gGuUMxJSi9kB4g2ooa4KhxDVbhCsv4mOGzgDkUbqOPbcAQXttfQEKFqM/lLZ OqOJ2Xn0Qki2oNhZI9FNCzkkVVlaluFFwkG+Qo8niKuTyKDFD4wRHGzvuUI/+3964CXEsVeg/6Vf SBaFZvabU2wP9rjEaI5mtju4KlNn0WRAu5PTSaxGGj6QweU7jF0IOy9YjlXqsUPFmWztyBYg3oy5 hizJXr2smIG1hShXmN9Y188FDDQKwWcU2JRqEEU1synmlHdhr8DueQ1rJ+oU/xo+KdapOFtkhgkC bij9DBuPIrA6tQXfjJ2xa/ZyXdPiFZopIsC/GYzE/fqVFh+2u/y56UTMuSi9/rYKYNbimdEwY5tM Zo1JuXX0o26nIFVa5nP+jRghsavt/PGQHongu9pF+Tr8O0zcPJQJMlK7VQ4BHOZFoKniYy5T2CSt sY7AAUfBgVcOJdXJ/aKW7kWHROBNSAx882MCrrp5RC2euyRzeWqxu3I7CfuSNchckpOniBmnl17o V4yWLHVEF7gjlczmRjg7lgCUpprYd8ye8km6uiA444TU1uai392do9DQMzpoipyMgc4f21Z2Ar+w LUOMCMsv2dWTJ/DK/X5/G4J1xg8swLBvyXgPVKwdQjlHkdrd1A/QxpBTxuEEqj+zvfcTs0nZ3t6k P4XpWs4sdRnOAi+x2OAysEdGADDM94LN8mSey6ArvCygz+kxRXXrZh/BUKWzsULOicz5UWeCo4Uf JWnDGV+5ozIbej1fMAhDg4x2NlGT0nEeKULfT5ZYHiZs0ZtXEra5gGCoiyvKR804FVwq8AZ230XG ClFVHHvdAxDo1fzVVuXwJZ+b2REdfGw0r9XuGSgKs4gUwT6a5A+JxKE/jeR52Y4ngnC8e5QH0oaH w7z4JYFdY/N2nne+q4BTyLDGlvN8nd9fmgYsZHGGhUaqqJOXgjOZ81DbhXSX2uZEyMJCVOT+sJH+ p0vuAaextaFybON1CN/JEXXZw4IBaYg5i7d4N1LtynpnUZtt2O3xi7b/NB0imkKqQ5ZwoJKcbSfc Y7ldUzScm16FNP0GKMHKOn0h9Pzk3GZn9LJpdBONtUeQy3Kn4rSDdM6efQGKoa/e2DPbMM8AfbcG S3BcRtkKWDqDCyC6xLbcETkzfOs4Cv+83pPY3kYP1gSwnRvE18+wu6SRbJ3cmamjIZToR3sHIg7S bhHZbYmX3yEOu3oxM0/9pfxjn6ZGUlrpuwPZ2Ay2aZfmbQNn3cKavLyNz7UJCi+5/6PRc78dxZSV bnnn+qNWHBzxen3CKiniXglkTDdjScQzKkPb9IW9GO0Tgb2OC2DKliXtsO71KPwsGMrn4818LyFQ 4uSHWE3d4rBsv6zMkIBQ1LE1oZAy57gpxiFKRV7UbD0Elcnlo+gbBeEkooo2W8Xblaa7UNtg+WUi RTY087hVL/7Wf+uD49YaN1HWEqXlWfnlfRSupo35yEUJPfLbkTsK1mSD0H/HTbU7mpb7FVGk8B+D KGBmv4BhC9vLw6V1kW1QVY6P2m5GfBNZqNl0b41KuwveTS9CrRdSNDhnZh3R8dnqFYj0KimIC+V8 d+i/jK6uDjeJrJOa4oqsCvD2r80UwAV3x0PwdL6rSLnNZ67N3AgjEVWlTZo5gLUshdOWfbarOMBi iAppvRKFUAIue1X2w+pa8PohhvrZWEPB4EuPfCgcUKqxIx+ktQ9BtfjGyUyPPGqjHss1J8m376Gf GEvDLLnv4BgVkbkhuC2r/Ja4x89oXbM01ktWjV+HqRtvrCylNMBFymsT5Fpv90U98PFQ82IgbhRh kEbmg33dNbbtswuppBfwQRsBJlgIeSx8sL0JFXHnzqIMyZVN4SNDYABM4MfEGZzaMBqzI2+MoKbx YrzwBabRtiaSwuqbe5kdhLE3M6IzFKh4+i+15UQlDG8A9Aovhb+OFFh6bYtKaPJqIG+Q7BKvIjwf 6ABvZfkG1HHJQgU0oahNos0t7ZhhMWpY5xtRMWkzD4yE2z3KBjQRdufK2kax5sJ+lKgqX/WuI0U9 lmU47ul6ejcCx8a43dL+ybGohdBUyZFzQy8ZBPF53hOrUJ240hiWqc4f2YQCNVOX87oWFvJnZBQA Uq6rHCWSTjikbB2ZtZs5UvLa/Uj+UyC0YImifDq/Wl3lb+DrpQcLfXrHbQT+Fbn9hOdd1+QAykFI LhYi4mB6Ap0Cp554o+gRiJIZBgERx8uv00gYF0daDJMu8hOcQU3f/PS9th29j858uaDZezzZ5q/C xu6T0McNQhu50h2ZEVoUZx//P1z5qEW90MUofH0NSW2F3r/3wt+jMYY/PDUKjL4o/iLljITuIZDu cf/kOq4j7JQx/iJRfZ7uTy8qxg4+I9FDsuXatmEHy66kRfzlMIFbPI1Klk0Ene64kMaJzOlpTpS8 KmYNRM6uazeZD8bsk3/wEEnJTUGBjre+kwmZu4dK4Q6+kOEQUQAr0oteUHx0l/YsooYU1kt5bCoV y93TyITBV5hhu6CXzCoEPpipWGT3sbJfeUuDWT2cfbcBw8BtwGAz3Xd8XDQJJ7u1oF0nIHdtpnqu KQmjHy2Cov6E2N0qJBdH22wVn7I+J3Wehwib525ECwsOVpmamFF2m0bNWICPTMDCSgQILsq/H7xU 1+ktCEJCID0DF5GAZFKjlD32kHaU9LMOJOEttDOxcm78PO1dJuhbAknXq3qatnBGS/B/O077qKFN csQXw6ERcrhFVLmygLy6Li5vXKSO5IpU7ZNoKb0Fe+L76Ai+0aVj9b918+QfQWcsiQ3zOKK+Cj0u 67d4bRGU/z2c9chPzw7mNbY7pAHEOIkxA4h/55YJ62Id2cHG4mHakQx0r8drA0X3JuZIUiGSUYt+ d0uYCHQXMgSa8/RcqxRKRZXpQX8yHv3TB1ob1c2iWPlsOEdsGK858RJBpwbvXGAszYuBDDAZpLvM TMw4eB9WmjJQgGPelUn6pwnBvrGHA6NJyOw/8PwXugw3mNg6meJ+xVvwCZH+9sOKLUW/ZznHRiKH /wunAe8gOsDiGFzgaRXYRUJzmLiMGMyhS/NkC4tb6ELRAHyzRYU55gMWsLBr3STLGRrQGPSwJCKl O2ieBOefdc1oEJBch7gACJ2Ueh2IsSuRwxAPKkcHUQDsz2ZhzFOEJCASpIzx6Y8J8UEQRRN0Uq5Q qefvyy6VJ3sVQYpCrXo/sxHOBXsrEf31oadu0BuTlc10GQHzykWILCuJSxOltzAf6vhKW/ck4tb4 CUHtyWqc3YTvRqH7zNM9HnV/Rmbne5dxg0Pz0qLMnBJEzpPColjfQvPtN14DEzwLcKfWRyPRAmy2 yXMWS49b1yAS/I1rYy1z0PosGfrx69VPxJcdjNyx55JHxZcuFZ2B28yXlfLrfeQxBPUXw6f8K1at cb32JEe/1cDPA9McjXlaBIR29GRBMd4R/hKSfW/5UqFnzZdYjxvqOgb99QLjqSEJVOXPeUVOGMhO JhOkVcU+bO4vAJnIoWd8xWGj4Dq+PK+HrYU0SnsF2uJPZtS8VKsj6EQAW9luxr4BCzH9VX09g3oS DJheBnSIYBc27l7WKxhPTmNZoekBx7CJjUHlwSJ6HphUP4sb/u8nE0JB7LB8IAmWPToU2xs3KDfG pU5Vk4NTkp9kPXl33zphOu1yn8wZMEsFukft8AyGPfHlB4myTirh9qRx6QsJaJj6ZHjvcCjWgO3D Upj3MX26fLLSLEf9d1n2NCvxG9R666eabAxr2JRGbYZ1U8y8OMyBhjR1JP4rhURs8uKMUsP+dRln uPU/jJUWuS5Bzbbyjch2V0bXc3TsddMB0dVPM2Nih8wzfkmmRb3/yCLWk2wvS91w91fDgK7+/nEk afj4cKfoBdbteDANhzoi0M2Ga4vt06oz5vNSnBxDHoG7LCybwN0SRYSlR7GG0nvgnacKRSjFlwVf DGGihPUP6IdO6arbFWetklRk/kXtl11YfpJ3+NMxmzq78/nqwdvOvX6xYZNNg30DO6bO8LyCZ3tv tpNKmjFHKY8CsaHFbq63OehclVU7lTjLdKtIWQbhe1UDZ7kYKxzFyHavWY1FFO8cYTsreiF/zOtf I0L9DjXcWVYci7DGYV7X6RaqRpw07Xs7gHi1rHY3IQKfwhRMXNBTax+fZ0hnIQJHA7aNZ31ZhIvE VNPQ2iXp61+LWqLrPr7tx7ux0IyhWxGZcNAnSQYLa2jEFtTdBf/Q9BysE5jiWREKSpP0rRfX0rfT zKPINMzynqpeFcpb9/VCGfl9EkLHJItcDiHCSPWeo0tDIc9gajj4YJx8K42VChW7mjOQxDg2TcuI 4AEcaStvUw7awvyKjqWeVxyjnpieAhLMA2TDBkOA7hOdwMVd2V6+IcbL1Fv3AIx1e7RWstPYCA6Y LPHUax2/MeiTSaLtOF3GVsvIvAKhT4J2ZDKcsivqxj/UXAQHN9Ckc1XudwSEJ0oqFnf4Lwk7WIcs wOD3BNptQ55xmPgrY8SHks06wNjO9jgKTmE6/acvYe9oiJJITJWwetWjlebIh9TRzEIMYo7a30Xn fyd5qLcPtFk7nUwJE6XMRha1zGMgu5aq7tVH9U/UiTBOwMKJmtqCCgSb0LpHlK5RtbgBOn14XMyr nnO6bT5+RNByZkEKGD5r6UB7gYVoENGhRImDldfJa7DpBqbVob53Yw6iRM7IWMQBYJCKLnRkx9hQ XxYTsvhK9vcgQMTa8Lr6ghFjc5iHYLNWPgQk1WeM/beJgewl1DKnCr7A+yCN8MJb3LxNIsL6b9jF 0239yq+2N6fwYGk9u6r2gZRzNq5DADgZGTj3nxhR8zum5ywUspVdgUxJikYRt5yCoYSyNHERGsMG Mp1WaDvEbfA3Ot7TLGAN9cQMAFh4uF4s2+u8pdbtP+TWneXrg6tefLU2Ju0iu0TS8xzexZujif7W aUj4D4AlhvzzHBA+rnzYP+cbV9S/UhZSKEyQ5XOKZQfiodLfA0o0Z+ZjRTWwYe1P8YzynjRBlC0v QT2hi5WqingoOu6bXEyZGCD0/jb8np1iHVZ7OfPvbM7vBYOSSDVrZ/8Nb5wpGtLz/ichu54vE0Mc GstXPhywrWhd5TXg25aV6KzVaEThZzFEIDrUdFQ++gauB/kzgWZ2Xk5ON+4kM+F6KsF4dsndUb4z ZnYmHwiqzRoLIp7hsDOffnUnqR4p7ytrgAUsbZVJx+z6xpdZoEIiNZuXoRP+Q0/vt0hhfEMhUnam sj0fLePKKW7MHgzQDWFwGBAVLEbrd+AezjMGsbdExdWIF/XqXHEgopgHmx8/brB2ovZupHo3NroO Ja/ZDAThO8F1Z5UJ0T1dEzlQuO1dTJ1yqzVQJwxOJ63k3oza3tMUFhiBLMJ57sDxOG9lIkFWhGXM 9Eq7dm9D6u+VAM1MXUINzmKofDq3c1W25Q1tdlsw7JSwGz7Ii0ivV+T/6K5Aa46EJt/l1Prxfjmm IAuCbUtQKUj71DW+1VYm7SWx6KJ+AC12kGfIMCD9GWPk0x7nJ2wxGR+lCVhP+p+G4xMNqIlI4SRH J2nFXZJ9+jDqaLPKUTJM9tB1U2lubG/qeXAq+sOrLl1xE4KE0PUwNeoZwkgB3+EdogvJd85pwF8r ZUliCXBm9gvVXJwveVitegedMtskPD90dn98Wbk6kpof6SQ66XPxj8iFKCNoCnZ1qjoq+BTBBP7i j0EOt7lP0KSY/88t+ciBunZEHlZKXRvwDPXbrnrq780vbSopBCCbT9n0LsXaz9RyHGA3gG6dVLG1 xDODnyOu16sqk6gKNFJNoZdoPfr5OzHnJMf4+21JJcAFx9f4RfGYus2tEzP+UrqGo++vaeUMMpkZ EzULyaqk2eruhiQ8c09BKivrwM8GLZgGf1wbrZmTAbVwztvjzy7p6T+8vy5BNil92DOQOQLaPGCM Kfh0S+u99jkXmApyGzGFn3tk0UnJD27kdPeOyUHBof4mR/tA8hNgqHegskB61lfFCKx3MBzMQ4Gp I4GhsHypH7B8e1VnMxQIsrWT69rhxkC/PHL6jcv2GB9aYlokR5zpBuudunHpnkACdluEVF2nUigQ PTLjQ/CgbfqKQQW1+nXzC4Ue3QAI34hM1lblf2bejftIYLqNmfNcvpHFU99B5nduqIZHqgwRW+BX FRBNN/HTTprrAO/mj5HoaZU130GwY+FtGE6zALqf3xVJp0FUH+MVRJHsiqowk7oH3HQPWpjUL/7d 2gClbfap+OaSc6wL8Jr9IO57ir976hYzoMMzZ2etHPzOVQA1XFxUj8kwzgjG0dQ2TFibXgBXwKPc /ws4r20fvwhik2BuSEhsx/gllyxlx7Kp6LdBfYRG9hSDyU4zfn8ecZy5DgYYtVTgGPlMXRhW7eul TAxlO+WqOz9pckN6kFojtMRoHAY87OCwvDvj6xkb7PHDQMSdJtzzk6a0Hz/bx7SQyqXmrqgf+d11 mSC32X9gXdgph1LHaQqlgthvR5pvmR7CQUoT6bOYP8qxvhgFsRwUmMxHX3E3HCDjOGLaTFvjJox8 2Fh8J2hZAbCBtlCENMNPiv/RHvhNYKlQncuQK9kouaez0QZFv1n6VR0SuA0zdO0Pu9q5Q9RmuklK uPm5VTLLgpHd/1c5cS2YdTvI3k+iL1j856e15Wx+lbZKWixh33+USctTO4yGaNq//y/X/7h0B0Fe Cnnlc4ytD6thuyfshaDbDif2eTpHCFx44N073mW6jQvBxQIn2qT88h4FOUTFdCJV5ZzjhFXWgpTB AbwPXeo5J/S4etJ4ZUkqzuvOHQUX2hDyYU7wXqih2CWzb6RmKB5Be9XwO8EeCoZHX+PGyjIIZ0f/ kYNyrGf2j0N3Ad4FdqamzWlxLu0xmr7Ao+Jly0pvY6qZohgfzQbae4EkxRdnYMcaIYoBqktzrToE EPO7TDNXK+7TDvHC0Al5bRPqbOuxP+XhCyqa525g8at8GVYN7MJs1zFbum4Butvs+k0CyXo04zfz 3jVHq+mdv9kWXMwIzZ8gB9pQETZT9KNTuKi8atZP1qc3z067XNN99y03FLebivqEc0UyhwyzpbcS VWu+D/2qwT8IsOZJ91cUf/uZ1cIHAn24dpRgqXcuIqVChJ1SBGFXLRnHENU1xZyLrp0YaqMY5/1V +F8smBod1I9bHFSDlu4FxaEANb0rtvyuAfTupvCMduqHjaZ8tZqTIGO8BG1/QfuXuQdcTB5oVhZq 8jZHcJR9VHRyPwpNk+mQQQENKZHCvSqM0NCQx8F3Dq6MfWWNVNlPh5EKLvYoq3jEQbqJ3msbu7n2 jlQalvTZAslLInCaY/YGbTCYXCLvm0DiEoXElilLq2x1EhrItQVfSV3+UtoafNkwFPHTo8XuQW0t MOHiZ4lK0NiGIKZXyiSqXPiuHYXNBDO1Cia5fydpfNoxA8HGdmfUXTKoq+lOgjBF2qh2PVEpk1HT 84glGzOzL9bzwT/aIqY2K65sXFGadwNVxPn6CkdHZzvQUWmHIoAv7gmCl9/AnRAT8nx+KTuFRGeJ AtzcIgemwQ1Vkk2gQHVgP8vunWjk1e+vBfypcCz3Fa0sofoYBpQjGS8qS9V3jHwUkfn2HwAzxpum NPaHVUYGce/whvfswF91wYWJZsk+Kr6Pdh3qfLbgIjHv7ZARB2CJzVEqhum/cTMkCiHFIvB+6wyg hq+BxVQx7w6WX/vHvlRwKPB5uSyxXjZtPgYtofSPHoO52m/e977Q8KvaOdGhRDHaNTOGNXAriNgI 0CVgSdpia5x6+oVZo8xMTyiqvlvvMQ1hdLnK+9XLeowa5lpJRX+ua9A4CVPAtEZ/r9OELzA4XhGz /Q6FOkeaFrNiSlDKnoVNI3RRgIZWd8EZWuLI+chuK4sxylEb/T89tXbKjGWUJtTmZOP07xANWn/c VCXsdy4/5zyc6e/i9JXyYsblSDIizqFQlgtL8X0EJH7Jt6A5bgc2G33CTues75uYW7nUNbzfC2+I /EpTjuoYTDKh/xzhac0HDKwgjsKotgqBWfohM39aAo4t3Z4yEOrVs7UWZDlBmHErHf2vUGFVnh/n iapLAUb/XUK787FDYCppkEwnFnGnZHMfTJ6AGO9Ten4Bhp7QVYfBg0Ib0879eJDYOlHneBSOHJjX NEwUsxrj44rrGEf0wzk9BfZLvlNRUsAH8V3oXP4NWP3rHzqUzfFLegrcKjSMrglpTp5pM41wUSdK xhBIlowukuOs/VVZ8A/xmVCM9K7GLlQTiCeDPdRvK2LbyVS+KqF/WuVlUQmkwS8sFZjdRRgFmAcF 00qrUT06+nIBKzXmpbC1DwuTj1qejEllOt2coPkEA/gPY9fJ43A+q8MdXi3A8L/57Y+sm+ymoJOx EdfhuuKi90q6bfVRq2kgmodtMnsPv20MdbcyG3x2fw3SAoBwI7dswB7NGsAhQDUA41m1ZsWKU38d DtsNhJRyApHJpSl5yhiMSi1D9HknGCzTrjquSXDUwIX5dZO7RlCpJv1qLMP7sHL/hM9TZzvknENc uYhBwqlN6ZKLLlvYfok8LB9Hh9Ztk9Ogeq2fiqLJETs+GH1vF05FIYcKEZDTU7jqAjNRfAzlAw+P c8WZmBQs37IK+k+wtLVg1JbySuYluj2YAmj7/TFyQQlRDfUV1kctWHkDTfM3daYduYGPJvg75XIO ZZ/d2xvqf+cHjH6D48xxPAKoTww3cYsdezZBtw8tDyGsVsdyTWlQJUJ3w7y1JA88OGsBDcMMRD6T Qdq1tZHFTNNrD8ngtjup0dKVaa3oPjIMLRMkufpkmd8mUDsOv/nWDl6kihnvuc67ZS6CHFqWHSb+ TF9uf3ie1k8HE2QJ2/KLkIQcAWiMsE3a0Dxf/yQEIIZVzCgMRMu5H8Rpt7GOb9DKaZYEZAoNaK+2 Tlqy3Gz/i/ewY853aO1U21GQ7OvLuQG4g7ojH2k3N0sx8x9uYbc+Y5MTFouTOYWvvZDPHZM8Q2gE 9h/V42gbN4Fqbm221EXiFVuLV7xdnKYxosA0fiQujRHTp6gYPNgs/BJ/kEpyhOvl4q+LkM4Ztmis AEvo+iwDtxF9IsOz32Xv3h9yCWg+zU62S9S2ccOZ3OPclvOfRjJZW93sGC+t6akCKWxAM3VgJlvp BuAv9h88ZI2Rd0pmWABSspn1u+/Ms+0fSnkm2Dyx3fCjGaD3hsElD5NeDHAF92ou6fuGrJUbbuwL 2mEXrAkNS1zcOQqrmdHWoqqbGQN9BUrvVgYx0s0f6i02gwMy9MhjQpaxwsXovxPrplPvQNrzNO81 WQZFVNLVm8yAx1VtXxXtBs3G+8mqSFWSNd0w0PHViDfag4dJ3h9Fruu9KFCaDn8RywuhXHKuG6ej JvVKEtZLvgW3c4DhbxKN4OMXmiGy6q2sl5KRC6DfBUyqmKF4ydxf3bq1YZGAl/nfdAZ/NRRI/MSR lDKlicgBj5HEnbDJ8y0T7tfh11Nr917FQMdlvCNpqBI9/BYgIdgYMDOINsT1iVuncceV12lRlNRr tmcqgCSvYWgHphc1UDuRu7D/UoZNkzwhdsEyidxdBJo9tM3UYBy1+/BTaZicggfqgMdK6klTK992 MHb4sVO2PpuwAWm4cV8IEtQlLXmZTQJ8SyA4mJIn+hBqdYMLjb1hKwIy/hTRHizHSSCYuxIrj23V xu/Y73zIVvoiKVMPTkQE7Ggc2xFXqqI+vPcMbu/S7ZMbzcyEgfqepSx5eL8oob3FflGszG7YcvIz l7lrvniBQVguapoosLvGj6DUJDUe/zTp26ooMgWuXI9/+QG3kZlOvaMhPJGOz3t8AV8GKklcDPHt PFrKxfVToMlPoSUJWNzfz+l/85vZz4rMLR5/HVqYqO6ZB4omA9WMAePQe2golxpWNQHrCZJkGng7 0+PvHxuIJCalt9J+KHJSJOiFCP89STYel5oCGRtKDAOQldhuFy783TbYqRkNoiDMLVMgoZHbuolZ 9gv440kwG3Awx3CH8mjGpByw/N412Gapw9Mo3xJ+mR9BGMb+3g5V2vBI+x3CIkq6W/7bcpJN9o9U 6c//+Ss95TIj+hMu5H4vQzJI2okbiJJsIR96ipPsMmGE912KShTlqMpNVBvMzxK4fvuErD/9snAT Bbdl5YiAOkyAPvcYUnfFxWZALP0T0njWHjKMVih16ChWInPm/6e1Z5dITMDjwq3IBPzMWagTugKN XZ5HDRTI92W/PWDVbz39vpRrHg6dkf4aHViad+0zdNogH05hwYlHHLfpTQW8//3pW2X/dCV7XcVG 1fLzegvSgQ+43W1zfFwgkw/MwxyGfuff16j1j1eApjBiS8wPIB6TV/NwAcdoh3SdY/4FNPk0L/GH znvgkt/gT76RaGo9MNv4zIedaiXZhMvMeSLe2wuAWRQKalInYx2LzThRJPFR785+Ze1/+rzGZv5i 3QrXZxaAZlr4oQG/QaYWu+sYGrxPfUVnPXPFpB4uGtkvvnB47jnEkL9uUVzHdLsZH8wSwdFdwU0S 2+QZZdo+dke5EBR9amJlufbfwE8NH66bCMvBhfkhWt1Npu/2+jF8iHlNIQb6+LU3jgmR0lxD7dEO Ar4V/v5HSJLu/NEflGWzAi0DkoBGlMprDyLK8lSTCc7o2ZQPO5DuCv5Dgy5BRH6/+6ahVY9e+vz1 QMOqgLlthXqmYOqlTNYtoKMPSepHtfPewXR2RKwSU/r/wFYEThzh+0VPDdaVI+7b4k2G707x8C9t BWfpRDE950rDMwKQyAfF8UYT8WzxqpoRrTMCRvaAW9bPFEoPT5nG+1XjJrPQIWj1e56jBxxbk7dO s6pdr4ftnl0+CBcQfqjck56v85/rMNvkQ1drp8rLG1ASfz7Ig/AAAY0FmQ0eytWmcNazMmq7bd3s 400LCcKr6F2IZ9xTl9U8+RP+9E+qmwbnL4HqVXHGmdKToXCQr1UstFDAuStDtaLKymYEsphS6Tf3 mBS+jbqLtNcEkJKm8m2u9mpCpcomJUz7Qsm2JwtkZagcHrq6jcXh1OKAhUs+r5obLQ7GlDuNWVQa XPtsxNaIyKQg2JESUFAjnPbNTllwwxwQb/BrDIkefYqo65JPS+Rxd2pwLyTRXzAJP4yM/UMUSLY3 x6aaa983efd3p0zOv83/aVsuJ5a6YXHNmN3ZpJe+3dZhEKzNAnOSG1VEfzdyWoWdus7EgCxi/hQb N0j+nT/fJyvXEGGmFKJ0VWQQJR92h/wK8XDCFDKteXNOUpnCZGgmlW2cGg2D2tQDPRJ6+FSjHreq aNGQ/sVPQvQWexuzMpeYczf5MfoPvjXzrEdSeDCaCQIoUilMg1dffW4HtWhhqBvERe40xq+zCHv1 A+EqGFmjr+8VcRJeJO4PeZDADp3jWtui8NIPQoB3DfcgUy+MxPFqkEMCBailVLz5a04anWjJHHD7 +5XikvPfNUaHVMihj2nudKCawd0tmS4AtFzjaDHsak0wuVuQAGgMQsYmAltxjI0iroKKnC5/f73m 6NKcftAOE2FZLTI+p2NBJaB0v7k8blgGQ6DI2bhu8/kO3gEUz5YRFaYuJ5ePn8eJqc6K0xqYav02 /Njn1b4YKONiPggYAeUheofIb7lSL/5pm+iJt6kORx0zAvVW9r8TXFafPv2US0FUO/A/7FaX3VC9 vxHC0tGJLahTPRlJeMuGhz0/Tz7tnv+GAJZAH0tejThCcB3o/0/EYh8JNuMN9PjDXhgHJS8J+aZ7 W5M7nPR5u1O+ivQ4BsSK6fMEXk/XPHbgtaNyWROsofWO1RttFkaBNVQSWEmq2igWaKsy0KNYQq+C OGWshlvE5UPKgPVh30twgvDs4Eeq/fpOjDv74Dl5H3tT2DcbK3qby0S7rroif38mez/4PqTGnYYx TXfmL6YrrgcIDYSvN9uTOKlNWd7aJsWi9EQljGarXmnHduI0ZW8w+dtcyI8VrtNFVJy9aJWORD0w eeMlcEeJn8Fo7rQ6mkPaFyYBfjkFHOtTVRqOpm8gE7UOnfLyX2dKcu79dgcp0ZETWC8X78ya5VII RmgVulvOqV3HhbsRTdUNovPQ+Yl4KSdnGNnydE7lR76b1jVFqeY2sFp3f4slwGzu8p1IiIaQwF3s vqj28Lb9i2pRHF922AIN/5Z0Dqh+nPqWhZ4ZOaGSRu5IzHsPzop7sq5hqkJy/YhkLzF8bXeGQpru CVLYRL/pV7JOBHFuIyaVtY4NLQWkhKwY2NThwqjRWovxHASpw1VhbgX2QX9BZ+qchqKc2/RvdNyc pC+DBOU/8scMss7lYxCtQiH3UqBTfiE/RF5I6h819NqmKh82545LNQ0DFrpt+Q5lPDTOmWokZAyo /bXExX/zLFSVpwS0z6Mwxt3a0qt5c/9nyW6DI0vNXXubJBgcZWxvG26b2GWqUjyVK1/H6IMoIWOB s8zGUOyg/ufhXCZ19J3qjtAicnuoAFdSO6gbukTYBlgVvDZhYl/g9JzOJORHryEdA6DBKq/tZWCe klNmveSTOsbA9vi07DoQKLVBc550nclztw8uxvIYLHgPQ3usfSqx6AC3g1QjdxJhQ2EIkalcFc8g 3yySvRe9GLLOpd4c5SICMnETPbahdB5yxFZgp7tduTiKFSFGMH7wDf0gu5ob6cEv/5XnCuUJAjhg wsZSg3mSFOIxS/Ng3kgaq90SDZotiOMYzZhsFQHo21xCRPtenM5zy/tRzwSCsvc2D1vAOlqegniD Uj2jn3b/ExQFm8bIDck9u43qMOYzATI4Z+aLLWFi5eKJN3H36kiiog54R5syVm08oMyRk3JVFjRg Ds6vewl8wcr7/0cS/L/Y9FJrlKYYJmgndIX/nlH43h8CRjrkUVrFIxPLmG8GHA0XugQs+h3zOMvV TQeCJe+INt9wpIiwMKzwKwH97/9gwYIRKPH2QGc9HwOuCpdfMUMx/2pxpyhXRAQ2csUcq+vtCn4C 8iKApIUQ+kPWAKKhVzwddjB2LEsEcXjreuCrYZNrp8CRmOueisGeG/1qakKFVXlbrnTpB6AaHc8/ HYLjZN7Yyklsa/fdWMHzbHkatIoYeqejw7+KAcNPhx1JIGM3Wrd3TXhfIpBGKkoREn3QuBSmiV5X ODpeKAcpKphuSX3pAgt3jedm54NkrWqUmbtpHLBPSFukLQFnOf9p6w2asEmhxuigCyquRxHmIC1/ YOb0P7IBiRn66k5GjV2k961BHiegqi3lXFGjyIfOybP7wz6wb2k1zdnUf6il2JFeNUOZ4wzyuZUh GcBQL83q0kPwwt/n4+bcWKnm3ZgfqgIbT8LJnevPD8Fyz+ZXptqLag3Fb2KuE7Y0eymkesmEETi+ xRnPP7dNcWi4Wp+h/DcdXlKTP2j/6SWmcorH2vd2fEsVO8VKFTjJk4CEai0bueOP9hl2b+OZ6+gn UXwvZgu44ec/al2yU3Hugix1rWiitQKgg8Ot4b/N64XUctyDQ13QlTQnwDGUy/h8cgvT4hL8bU5x SOaWuD/1Xu2aYVFYCFbO1Ph7mBcaJSgs44l74yAi5OfdEYDpBneeyIQZbmp1rX8qcvsD6TNM9bkm 9y+MReFkN45HMMbsAyfZgclFS/WmEUpSJ6i6pxntgh+hb0pfGKPOXNxpyt6FsiyWEeOStweLM4aq NBAsR7m2igiENrUiRYxpOJUsa1ozW4xau7w9BuaC7017VM2mkV3GpfOFi+44PqVF/Qz3A8z7BfG5 SgeVvNtNmTdakCY+tEMXjm9Hvo4cUR4dDIXZhrPNcpVeorVForO26eFql7DBDhob5HZtib0mektp eyWfr1opbQck28c9hYblRwjtA2f7ECAE8vnaw/xNDHah/h6JQO7bAAh+HY5LGPH21xnfEh0yGvzL oBU/dejwF01C1zpIk43l+r++rpyht+IGDHsHUMdQN+AjieKbAla3jQMgqFvWLICI26/ZdNmVHH2G TOnjruqbsGecj/YqapslMMmPUdqlvBqQn3dx2cid8dtqmw634G5Evr3jaHUyhzqbaEpphWfmGKva kvqHEzzSzXUge549qdBpGlpZTuQ2kyOQABFDcENrCsn3h8eMbMh2n+oQUy9Uu4Da3j+r6PfxQ4GJ 7fKYPRXOrTGZ7GecSUEyorMapPmug84METufsy1EyUVyXKgBdqdzP0ot8l0Mk5SUyEoPnCw8/q7V NOsAkxgK1IkO5L6neYy91UetEWyxsYQ0wytpUEAN9tph/kCCq137qkhuFKI3jxH2qR57RUK9h74o CIlYNSxLVEynIkWU243/0bHAkwtYZR6zv528nI2z5voWtlBjYzc2q5v8S2UIh6doMw56ry3vpRnH Dsc0PvSTmsHlzj65EnwGD4nZBQbiTj07VX1pxjh8N7vhuCl3RjtbOsRR8YTdNE6tbuT3zeVq3Ybi g2Gmancnac+vkb4BboR01Y/ex1cRBFDcl5UeRWpzKeiD0yBJbkHpkX7O2HIILomjSCpB03f+U7hK 0R3LZYiycpVSCtaCJ3enkKGIpIQsKN18eUC/WZ/0ew14fyW3KacmZLDBtI+D0uXkECdXHmuTi2G3 v8oJDUDV8HbtQC3BioP3LlONY73QqLV2XzTF3aP6Q2JNo27knPnvEV07wy11n24pEjRbk4qc1Dce Cs9S9UsgBJNQzF/XZ0qbCWaUmC+pLmcdWULPKCUF3EkGAWIy2QsfT4pcIy1vW503AjXN5+YjFlio EsnrfE2jpUbqn3J50wjaeRbDpR8DxL4OPfm9/0oilQX6M1FqVE6rea/h2YbVxAUpzbVxEpzQdw3V MhWgv64kuUOslCBgkDeokSgFrpZgLNhfaNCAJjr2AtPeAgOH8tZjqj8cwOQJaTg5s6Nb+pGBTira JwrYK6yCLsDtdSmhKx/vJELqgQaZCtOXR8yvAscGzWYM3PLn239AwlLnqYJ8565FETdarnyQ/CS0 w9y/TFR8+B+euFAmRB6ZXDqM805sg6RCbEvugc07qTPoJ6ois+GKcQR4KRgDzDnvzHRNL9/5jUxm 5a1eOFK2QGNCb5r3+5+96ahSrN2+tGQZcJFzWZzaVPPZKJchzX2FRndoqHfdCkRScrMgdEC3UwTK 3UdAALtnwLPJoniu1rhb0CKi7CeRcwsj8vBibpWbPXo/uNpKu4MmW5FLtOFlkWtsu/RVSVzFu58u vINaYE1NIKKTCmttKzDJLPi1XkkbSqubRtQD2JNym/pIWwAcpKJX4OCrFD9w5Zh1NV148OY8IyF5 vrK7fLzx2ptTRlv152vjpsBKgDhRkz3NlMPAGMp4qWcbZ/D2EIPe6ywza0N6MNgQBlHkzYZ6b7h5 ru70laFQpzZnXG0CH0htI4nuu33reZWMRn5Yp5tOuwVVbVtNz8qCFf04ogbxdzLSQ4B6K8SlPmcg gVb4Sisf1rWsQTT/l7r0DYcdOew2GknDwyMGIkE2orJRHoXPwPa1JeAmI2GZjRFFp7/kL+SVs0i4 KcR2rmMC8jXiVjvmq9BaCvw9Rog9ZVAIExSbhwD6ImcevrcqogjMouW+/fD7gbs3NFojetx5aexU XSEYBoj4Zb2zf8atWPGfUf8VQ2vjSrYiC36Y97uR52d4gDYt7UGhVZEx4U2sYuY5flEZCG/M1isD 4Gm7awxqYXEEQN25eFKdw5Ipfu0sQurcbnHCnV7ScQ/qr3ApT+0PxzKR8L02FGc5G3m+FpXWpQ7y p/JOROHGJsI6uoTtxDioXsLArnUWYSqqPlb0rM7XtnllsNENq5LckrX4yj17ikEwuGjNjhZ7KLZU B370z3kWpwtDH3WmX/wSsO2HFwad6LAJXfHsvwQJJDYiJ9aAnZ44WdWmK+npRrpwniYCRrKQBjB4 Kr7EicopxviKSVTJ1iBdtppDQdnIQxDHJ+ewpMKk/LsQ19y+u4hS0TeiDT/tbiNIeu99oRjb6L8N eu1NfI9R3Cbds3LSei4PJ+UmovHTrU3C9IL2Z/BcRFVLWuFGcoYoHB4VxpDduXhVfxwgp09wEQdE QV4Oq31Ba/S734x7cIoOTCzTAYOy1Cr3EB0fb7OdUSUH1yXMLC+M3TUfvYC1Tpv1z25zpxrxwtBZ ZUUAWcyTB5ECZAtzEkLtigHRy9fDdQL3w4yMpKgpVSHKwo3z8XnhDoI45lta0qed/MclfCZsriBN NBSTOlDxqXQpkofpFBDOSroCWa3XXx0C7JWymaMaUFLd5rLp34RdfUD0ny6Rx2BovM6wLijvLbbq 4kuXmseBMC5vtegwm9HczCnd9in9DX9kVMEsxlEdzSalFIH8oVkFUK3naw7ifmvAuu2R/TMMRuDV 5st0uBYX5F8pUINugRp8XLxFL9y3HkVN4KWYD2SdWAVKRwwlxX5xXtw1pHINjrph1r8Pl0PsrtP6 TqYVUys8zHDL+SBimE7CehNogp2Ht6eOrAjDaHcO1ofLoJ+7wFIOH9n9/6+9urvOzKuMop4mhs5+ +kV5C/ZCl3S3uaXMAPEKSJGYr8RT2p+jZbGO3GfyQt5azSFRcNPSXyS2ll/Sk8ZK5/KNNSxsSuvS KeUU+vsKcpUgubGzuhoP9lJqmWhe6YoW65ehc+xTwGJSWMKBBSmpHsZ6+6KnayjELgpJAgYgTyfT Z3U13KfedUcBTECPkufy1a66QKim4V32W4p/78qLgFtaC2nIgW+RR9lqvIRVayrZAFSRiW9fC8jl cpwG5YZWUWYWG3ik5YTyYU/p3Z7JrWU95ASo3/0OvHWId8fxlHIgILHm4Hi2n4CXPNRNehoJgr4r 0bvPhwvK9maKuVz+wtOGLtdxTrziDil3IKU4M3Jd9bOD8FR+O+2sH7cj2IfTrHSoZtwprQR2JyP1 H8amu1XLmIiSOcXOA9QVQauYpHR6f/8XaFuuZqcvzO0PKvpIp/0kZk3W5PWbR+8XxwOAnxQ9a9LG X7jdL03/s6OV0vQMKGDc0vPyfvh0v3fDm+RnN+MbLRQl+6OFT559pxPsaAteqX3ttyA1NgAvPKuk vIgCYkSS5l26Zm8hUhePuiDXAcxHfplxymIjnARFsHzQXJ9beBsHW9Cp3q2sAzmq4dutDK7DxVF3 1RpbRmwoPNwokCBudEgQtxa+o6YxcWTW7XzdpWqxtQwtofM9SjWAwsMUI3ZOmTrw8zz85ld3ZlyT 1/m9xIEJPDv6e0BwOXVFgdaTmbs2av8vtF3nXC32ZwhgPTvml1d4dRiZIOgcn9CrfEzvKzGafVZH gqn3JOL6mwM4uUTbt4na6ZbaIdJOEedtgI9JOfaAM3QsMjZfoK0AR5+6dfPci1cUV//jMaEjmp3M sbJcfSHevL7QTRdQDBD/H/89cHQfR5e8q74OTt9F0hnYUrE55Czonz3l/4l8zXJyD83OUdwp5aCZ 7gqxy4VyTMyl/38SfphXv6y7orG3kPe+NsLjetbpqKyQq3cbk1f5GqR3nWUBaiUtC1jtFHPYayfe /mgv4oZ/+rsAiAlByZ4DzPA8AExf7Fk/Off0UzOOpN5wuqc1ntrGXETtU26raOAzkSbmwTLjSrIs /vRHglqjRWeFqE89eaB1KVKf06bQDwnoLjTge+P3O3iD9wGH0bmfmIw202ZL1fNqViQBLNw8ITbJ JqvFrFzbIAKKhwEzFI22kjKiUjMCiVz4fC6Jxn7uNB+lN1zpTohSG/ze+VCytvY5NBCX8DL7Zwd5 mg5pZPAdAJD8gflg50Ft1d0mzRVNX8L2C89tU/Ng89+9j5zsLUWmJ2PTZLaaFoXx2uuZu/MKHt+5 7v5gqslSH5EetL/XkOhFUpXyeQFKr9X4vJ8kuvlvgbHGHbAyVsKnAh8DEh9lNN4IciApwfKtdUrk gIOXqlekaSp14shZkqsKwjHv5HNL7D4ixI+mBUL2CY+lewW+YeExKWX/rh3yl0MrMLiEDz9cLDDq exaxugLgaRN135T174lXPYzkoE7/E30Q7ACU4PFsrWeP0bpJe4ZYuo3I6lJeQ83skXhjnjHcG3xC Z3rvTR4bREfLG0l9pOxlD5E/j6q+n52T0GO7rTHVCnOFClRCD3mioEquhhM+SXooiecIGEkbTIL3 80evFHZxXB4edVQ4m122yeHKERn9ArLmpuNlpnr/0PoIV9OkugARLsdBTJsbYDSNAywg1QUGcy2R 9cz8dRqv6P0/UemDo8M5Ij4bQOAwm7gE3QlqhKdFuJ7WQi6lVdMOV/1bwnsrNVP8yEo5MEkaYbul BIiFVd63JASpiVwSM8pcTxiHulCBpc3s/LunTVtrOkfq4ma3oN4Hw39zaXX68hEBTrrs18Hv63js ZJfrzhrW3CGlZr7PDYiu7C8DnMLhEsXv23ndPp6W5D+fyMHamGlWc4uM6zr8wN/5FUhe4cA+NnbS 3gcj2eViO0ih1vVwrTAI0mqlZge8sphAJ8BzkUJDjmzK/4KK0OBfrnX6196ZO+UDA1ZK6C0vlq0T TXKb4nqh5L+ZaXsihxF17tqySKEV7NJ60BhKLJHi1IcLjAQ5+plWOSrx2VHS+vqgvyCxRHztooNh fNfCkUOgPHJs45ijxBp0KFXpMzCFC5L4rtiefL0Cc5/GvvyLKAaXNXJXdvbO6l2qH+4he60LmSk5 /GRqTotO4Ud/l2E4TWVyQ7xk2TtuBQ/kEYaSzMyNdJ45opbxpyKMZPFjWmhX4n20HwwICMDqPngA D4OP9/NAezrkStLAWrur87oCNn82Ge1CH2nM6Hn9YZSHWNPQbkan/gWNMGwCwgwvkh46ulDOOvb1 1dxR4fDw5lbx6rdjGVECPbrPpESuqfvoFzr8oYin6X4tzesFUJJP9BIG4NvZz8OhSLeWci9HrstG clcw9Bkpq0tb7iRS8UzuMxYx/4M0WswgZbnVsQjG1s1ufGKVN+6VRv9L6iuzZp+XXrPAByVn15yi DYvkt4u2NLQSr5ITTfrB3GRc6odN3T0gihkFcza3kxO9ZhL6i+mk9PLyPP4RHp8merb+oRg8sM6x U4lnk3zs8Prd9qvrY924MpmG+vf0s9SSpZTH/ac903Gug5GFv8ib3YT96G6sA4pYf2CXTqeLSIW5 IykW5fYa9PZhVC8kdVx/OiVwKzGGi477qCrO+2cDIw2Hd+/GgeZhte7i+iUzf0GKCqneUBpqRDXZ 9ocehTOR4j/9Yg6BU35mr4fRSFvsPi5G2mQAl7Yr8LzU7fVdfISkwcOoFX0XxnsDHDkiMNykeNhG 1/cFcp9PgizFnen1nrM2MN9NmAONWkMGvnMZBODRqJlUkVH+75iX/6mkw7vtYoME95hgkK8EQeWE v5by8wkVjp6+6MfVkbHxcVKIgiLHdbYmL1vuSxQ3xoJPixx2OYx8J+OAzgcHYHVNdK+4pRfT2i5Q VU/RO4mWrwNJMxQGhiCdqPjTjkh9hGXrYKuglmLOBIMA9MM+u5DZSyDYqBiL2IVON5+OyvgQBsZL Yf+5S/x/8gABxWTSVfGsLZ5f/dYOl4DIuNs6dbRDChQVYajz4FTMeNIt55on7U6XFJw1ZRA27Af3 ePLCLchleHoecNttRezWNosE2r2BWGy8Y1TvAkzG+SF6EDZuIER0D7491w6ET/XtpNynHre16XRI 9/5/bj35gTsU2bdK40NDJ9GSkRg3pzbHKgKCXFdwIW5eA+oQgTm9pp2TVUlbtFDf1p50p/zJ3Io2 +NbXRoBJqDkj5FVqazG+Ca5JHBqcz6stBqPC+tTCf6Bepnjs28iBsTtm39VxCDmWoM+OItujtxVO ksDaEsAWujWXSKsqzGmYZI0Mjc8sWDFkciwKpBAItKdph0CIDYo3YyuxkDUhQmFefqKAzJv2n2/N BXfKeQ4H8PHx7wLRLZLjpllE7E/NrzAy1WPj2YvNYQH+nXH8/TzMicKPqRGJwAc74t1Z3g52IYef xmuFXxBUyUB6IMlHfwJ2mkT0YneWSs83789ErPZ51WNEzSmfMM8Ik69qXGKxqv4xNpKC0EAXSGGk oMG++RjK/5aCJYu62+OAuYQYwbeqlHRShSU59J87rc3+iWh6B7eUvIjF0Mh+P4EP82nT53h/klrG nRsg1XEQ3kC+xYLiIYSNiQJBdiPyPxRJuCMhJL/UdoIo++ZqET5gc6Q0XJJfhyG6m4/c6+Ki3QCJ PVbWebmhiHQ2jkeintZQLKvLuRil1EWE1blOqHUBVmqNWA/1enLoxcmGKUryMdeqhNy0fIi8t+zz wso6ZjvE31L9wsSDaRaD2p+u7H2mtn/3b/fPbddBlOXsFoLPutIiLFBkPzvGegf92xcDTNdm2/o9 UyO40z6lOrM8MClpPOGiEj8EEEGwktZDnH3PaPAUAlx6STtyuwMK45Zkg58Jmp1fggikwmDz1b6+ Rz3lcU9Oysc6VtJMqyYEX0rD4gq/dc0i9X88i1dCjfsnmfpPrHEoeZd7kgsN9w+PqVzMqpgfr4lw RrBAPYMVV/jXzUNia8yEMeSKEDguzoqgA/hNzFGBB4xu11mYp1BPFcnkZR/BKqwOksz9kbnjW/Q3 PMzBbqWZsJCUJHqjH5RiCpcL0n0bbsWKfMXUp3F0R+DHljhtMUsb8BreTfYw0nQ77a5XLAipPE+0 sh7+oDsRwGZi66KIC3gQkUasMTPKC4WOuqu0K2t4LM5Il7in4/pCg6/XSaPVIQti6G8s3UpAd6tB C3J5thBJXIkzRzM1v4StCNvJIUnaf5c3uKFHIuJY0O/rZAGAPKmXUH5KuQZPeiQmP1DfnvvpjSIG wx0MYOagH64pwZDLDj57mFaRLeM+GyaeJd36tmK9dksVQlZJu8d5H1XshEgXlcNpr3oA/0uS+gZW A6oX4Awo8cklQG+Hj0acxlFUAaMn2SQt+BURv6nfwGIjhbQwUJHVA0tPU4iGZb54jVkzTUplMONZ KpZaDjpZN1gUDbPAUMUylYQLjFG6Pe9BZtigYlMXq8DslXnI69xHMzsF8XWozbiBa925VW/ZXyMW XE0okgd2N/Fwnwkt+o0OgF5NZS4a6cXBO2PXIujoE2OcqndGewckf6yAKh1y27cCNBH6uTGb5k8G Cxp9+OOkM8ze0PH+bKjByp5Mirzd/5I4qOextrOXqCMC5Oqypq/cJZoKpHJG5Xe1qP13IKoa46kb FU7VjJGwawyEJw5fwjWcYUMdvbOKRp3mDhjg5EvxKiX0zfNL+jS2FSISrQqeh8r28sOD0Sv7fwxd 5q15Gvxhaj0MRbq4DOwdSPFl621XkEp2TkvztMq7L+u+G1ngEU+GMsi2kiU4z8h+Lqhvh8bLx1F/ 4/ciY+vQwrE+UbJPkVhZOItrs0NLDaSdG/w9hHy6OFzMI6xE/REoj4AbI3E9ViheZ8xexXBH9uCG WN0mrEJIaTTVeuD9XNfiUTLqFCQPlkX270ZLGot+gtq53hObl/GWT2ODY0dRgF/V3OyjtU9rAwtG +vg565WkXLEAycK8GRT0jhzH43fOLoB/nNjlv51JB/PVXFh684wUpA06noOQJKp2cce5dAWtLxrI 5gFvzvOfR1oWJ2OQYRqpTNxfWS/In5hwj5jSyCs3zz1GJBafroip4Qe1i5OX7+if/StzvcjuLudP /1yPzFOLnPMC4RoOis7yosh6KKHCxkYaLF/ZMS4tCCTUVEIpsWdXgFjH2cgM9hNWfe+uh4ZeXjDF 7/LlhWorgEAFF7ky4Mx6IyDFZRug10/3j4xXZ7XWc0ddW1J/JaF2kUtXyhsC9rpsCD/9nMmbFKOw JaLLcRWmqQD4ksc7jBb+uZcg1pGUcuNkhpo9HZFZ3abMOp8JjIjlfhQEz77vjaVIvLPQOU/cq8rR n77Ee/oiuSXdMNS/kg6ORX/vkWbqvfkaLbWv2J115dqZq4riQr+FboqGAT5Ajc2Yz9O23vn3Hxbp NsYeJ5X2UsJVpM2DASfNd6tIVCxQ2MgV6kxKaj19Fa0asr3/ZviIuAJYc1Ba4z5+zmie5SbTc3ul 20H5UGIbduCprE6NwyjhBLYtsniDqPSrTZAt2aA8BMlDyGvtPKVquaM4sbF5LmvAl4k3gw5Ce/1p isen30KgbeVVKj9dbSWlZZRHPkur2prhxicXYX6ofSasVi7H/mULNWcsRi1w784aDN1S+FrCaJia iZEClxSuSiTm+Pi8I61gu+FvqaYfo1gPWB0Z/4rVRndimPbzpUV2fRvHdvaYjkWY/UrhW8IUaf5L kBScFcVkSm7xprGBkKAe5BMcKVk42tZd2YLbuY3Cr8gxIqL0SwhNHub6UhqAP4Z2+XEMCS6AsQ9+ KDWlCirmcdvcX1ALwDC5tYOoaGsfNzix4pPBm71rD1LQDrdqbdRa6zdRWVT83WV4BCRevNcXjzqv PdPm/2CUbqwKOLvJ3uj1/U6E0er+meAMrYobtbtW5GoIz4Kj47YQ7j9p9pj+RcDqK5RTfJ2Azk+8 duZd6dvzHZ0rO7rhVEQ7IbR5YQrGS+bHoWuZGl8matpNgw51FGfgGJcf8jbXPihK7qju8jvIW2yc gwtOzH/kexv9qiO4lve1i+v6o/tlRouyj/Z2wmlusqSA+x9Q9IURtrNxZ+tk2Ace5zE6yTQ+MzaQ 49Ijal2coaA+Y8xMW29UQTbG+mdfI64W6h9LBn+PoCbBJyDiUjYgBX+iAQMkHkG38Dx87cYPdfH2 5/qlTwHQVuFkF70bNazKgSd1oWOL3ukRX/cluira5OeKEbMkk/GTFyEmJhLBZzieEY0/dFkhxFOk m+UMNM41ejdp7ay3YtI1kKglWuKNzbWI9rTYc1pZRigMQSe1HzvVHz16s6aoiqIoRKKWbQ/hPDY9 pJpIM1K4fVwy3HWFEPQiB3TA57ONmET81/0g7dyw6MtZ9CbpsNkr7uzlP2isb5IIcPsKZTvlZpSC GvtN3fpggxC6Z0887i0XIoJ0Hvtol/Swlc9GQFZbF3OSC9KK0nqAf/3NZzcu9Eb58f2cBetOhNPf o77T0eXUTrb3jMdSLihWwZAAQhTHGPHpXtnBFI1gKIdKGchWH9hOyas5X+7wpiDlqFPI1a8jjea3 r8Z7iFUyBrgJr6bPc4TGd0dNQ97MBVjGG6w7Q00slFCOCR/d7hGc4rQ8vqRcaUOafmu15giiClnX M2FHcdbSEuH4VJOB6wygczGhcNR3tyqE8BevARRlZBRuAbHzYQ0U3hCswyvSTI5zpIzXf1hZqZTt nEl5mXdh6HNHfZ0Zf7DbW5a7cWasfDkATqRZg+8AWwkeQIynZ4EiUX9byns3flH8WNhSTo3LnzB8 dSe9AktXyUTJ1rP7a6PG00VrbzhPmRlUaTvdjD5+WYb4Q/XwC40k9SNkfmJMTt7kv7JWNPSoAcGZ 4Y447GKMbBjh/oYkinTAFHu0RhSM+KpykzHQGXfPxTw3DrDK0IafC0gG4nEGTOKwidy+DxW87kEA DjGqqtsXee/BrlJJ/1G8mSEgOs/ZbNmRlOWyP9kpEWLODLQH2XUpfA7t/WjUqk8qQB62oK9e05Y4 m0A8/1ZvR/M2ZdeuKkny24iOitQ1jgzV8iqVc7VK3RgpPFt1K+rpDjP/hmFBZDfxWkOtFwNvsZk+ vroCiDR0ajcnP1mdtQ7icK6jU2H48+O4PrIYFMe/WnCSO93laipb/nI3ySbJ/KoX7fDFqtq+S0DE 4n+TBb0bYAy0eU7aiygp5lf2izULWrf3lUo7aKK/2jRbLJe/0DT/e6JUlnbsWHJAvzOlDboViKQu 1NVwTNJXO98VNdF6Jnyd9oxYL0PWJ3+4m9WqfBsRHjO8RAZhs/vAlsocCW1ctKzWNIbbAFnnawXt bC9axLYvp3eoogpOW5Qwjsu4dPIQRXs25wH7Vd/BoX0q++oL9EoVbEYUMwqqCgWcZQSGdXxZn/jC hgiZn5pjME3hURdgP4TcpnpUzh3FEtt0cJHszC7uSA4d4xOs5GyBGJKVCYuZ9uHenqyeXJpA9pO6 dutCxNSa9wya6PIH9rhDpZXBiT78g4l1W+KLlyQG2ZtA2XuGqAi//Go5qesToHfxZ+Z44bv1OoYc fw7t+H3yNt4+dwzf9Ke6xjfq5jN44Tz1oS0exKc9slrjlpq/6fulsE0zmywD/f8wpPdRWwvJAN1v w6ydJO0c+2Sek17OgDRMirIcEvzA3oct2b5CkwAIanDfjfhX+UtzaTc45gZk9hBrabg8OMz0uuzu 6hMA6UM7masuJjFPWtHqpP8eQg37Ot1gvpvya9p7wpHINaEmTBcLJ7WiFrOz/B6LlNSjDASTXzGC xObyINe/56xz3UlvCcKqAybk2HH8mQ0py4Z8QMTwqTCZYgXOF9Qd6ZhSWKrQW0os9ZgtRoIkRHBg jdh3iODMdOmILoPlFvFssS10lJuw4KNETaxU3W7lZvfa4Ll2Crq/SzOT27ebYOBY5NQRJJVDU34e ue5LLpffdlab6x1W+w7buB5iht3dx3r2C25P1vuEH1gcgZmIiYdZYLQuG6883OFQs97qWuKPVE0S XgH/eahPHXztL6kyDxyjafkNdeXTahWueAD1HyhRGjSJsJzDLZAc1JnOIGZwMUCwCE8Xxba7lkFA 6J37sWRiBoYmFLHgtpzCTDJkQPXWOUKhCV4DVTZWampzgU7mhZK9F02sGDPHYPosrPSyQNh+g6/R v3x9cwxUwm9u1yTczFwgrjxQrO3pCm5rG+8EETHMx2kvR5UiCNLIX1ZA1+YTEYkbINUA7okJUwE+ RioK3bBU9nGU+YRUVg8J8ZDC0He8pwtPE9j+HnY6p8iOxk2SWVkktTBqeds2ml0seCVTaCTEN7Ra fjamDu/su4OTyOabdM3+3wIBcz+dD/WbOl/nEqSKwwALU7RraECpIJCS6QYaxT+tsPRkipa7bQml uu+996A1zQzSkveS93wV9/yZHIS2J4cPb8k6+kvvV71Ky0UX4MSdqi503CJNbt9bx8ceWnkGkPJX IdpTXuypfvo1g4QXGvnWxq/3kPGaP+DDNqQ72UBPnLgFNk0dmjYkQAX6IwyW3+Uyfxc8x5QIOfpO mOAvsikcm50UR/f/bYjDac8cN1bErUPFmTdDaC6QobY69NCOH/kgcwn3TZOD9oK/mgLGEuIpkp7R HcTlviTRMXHwjgtKsjj91q/+FO03DLEr2CmLQOjWg0Z2zsH0AdV3zp4ttwXWUI6Pv/OYG/5vWxz8 7s3WLwv99oyYRA9Y6dmkl7u5yn9DiQMy2gDmERunbPpuqxOhbbtc2jzZy6kuk4qBq9q813f5ADsM X4GXZV8DdZaVT33JWGeE+qqCOlRcwuHizf0GKY02Xzs7jk4UGChVAWe8+It/yLHrFWTiBI5ZZgZH tD8DtLuL/sng9lx5L30ARJhaMuKtJXFSLv0dc7E4ptlGmqL1yMeIDeNlw0HfzSA6hjQXmBbWxEaz qdBTUeBN2zkffpLKd463+rUNezO33fnFrAcWjy5tmp3LzSxzuZPbjK2Rk6ck3zPb+r0av/tZ7s2W clj/1wkJBR1qiQK5H05BEriv0to8MzqbG3J5UqiirB0pn8VTTLu3O5bPZT6XtEbd+r5d2yGemuXZ 1IiBxSjt+SkN4jwPqmn4I9pKBP/SrjFm9i8jJzKPpIJAnEBqF8tSLJLIao1Yfvdy8P709O2Y+2Dq 6RHQtZh8lCjj6psp7GEXJjyjlJpsZjzVsCgEF8HMUAT7J7baFvoTXmmLMRQTFiR1hyrn4YyODDIW BQ0Jd8udPD44vZr10bxhEKGhRJwxnF0aJ1Gaf2PEsL5mM73Y3zaa4fY+vTbFt76CEy7yeUpy+vFd 7ejJN+NqaMKKda3XSHthb+ehnDe5hqzm8PPVDsyQsgctXIx0scYoN2O3S3bUnOIJRPHJ7e/mQAaP Btz/q6KoOOska0Ff/sbzAAq4D4qt5jGswFSUvQkHKGXm0x452nn/aBJL4iM4fXXQQdojhoK7w3/H O7ba5/Dnurvd0xMAMaU/k/oNJ1E+M3mHYgIj3hyyY2oQWZ5Uzk8uzTrn6VFVZqGOWzNXv1CXBqns mAGQGBZhF6h0xQlr6taFnlzDTUulSRJrfv4yTpFvueZThcrcQYKkezBs/E++IEkn5yAV15SCE0qj IOmbx/EXcVPCXztyG0nfqD9jJls07Yn5xDONpbnP716/TxclX9jjqPsAZd0CrwXO5dX41OSlLD6I 7LGlWu8rdHGwOBGi3f+CSO3mA0rlzZBBnN3CJCGsknJ74JJNhKx0gYZcCnOdTd84dGvCGOApN0cw jDwiy6wnBSjzFzgk84iDogZpWsZCDzVK8j90agUwh/JkeG+aE34l4rK9fyoli1gNq9TLJaP6sqiE yYa6KPt3GBaY80I2sHMIbzOZCZgVwe7c7qdTU5b7WQtCmkMQ5OrMM9TrK7t2mccK2ZtoKikYQOdr Mn3sxr451/hFA/sFfbDuymEwu+aKI7YXx+EAYRoHyUFj1AvDWIuUXpGviisE1Gkxv+kmo3qRrdnc 3zYv6GVDfcK6sYvGH5YZEUXzOaqxA+xd9omhPXgTuwI6tdHkbgL4gHcYLbipAaV+gEC7Wz5SIGv1 o8VO4x4qs/FWEIVbkCCARiRX8L68UrKEysJb1X4sHBUU82wWfv3RHEMxBIzCartehakSQFnXZnRF Dyv2dk1qw+JPISQT5S2pkM2fTwjoJyUkP06cJTZcwneqH0DIbHAtPUhYKegz9RaI5cH6TP+6eHPm bmys8R3ZizPAHKWKnBwkWPLtFya09BS4GqsjSDJncYTbYlRUDzGZCTSBPLbsaN5cmUc2RqMvDyIP xB4edEff8CfglIlEmObVG4Y4ui+NOPzIupiDUPfuEfCI8+a1H/+BPnJ/iH07BpcUpPA2gsc6iRnL QY9fNR1c0X3V1YVzXWJ5SZLwc8oy6GWEZn3fXd74gz9hUCGltim/s9nw6dV73V4WchyEZ6PkPINQ jZmMYV5wgPbaEV2c1/OEt2jd9V/g4T8TywZhqp9mDsW3JQt6BUMoj3DtIKdm8oC6Iz458kWM+B2o bQ/Ve7qqG5acfZyFKtsZbSFQRTEak7zS09h2QOXTg22LwpuuAkt7B4z12jEuoxhk8IuEGh6l8h4f rV4WQ/0KLNayCO2M7/MWAzbQ9blJMq1lBidKxBphNx7YUUWS15bGskJjIo2SuW+HG/fOWIPzcmpg 27px/Jnd5prv07O94kzBpvYljZjPWgOiIp0x7Kpk+5uL+80HRjwBSo3nh5Or53PuUoA2C1XY+Px+ 6m8cWfu5Cw93uxCsPXLLJ5mTNPvyyKM9VBjiFVUge71EIcvfgFO+Du4w8EHddrlsMXN3J0HMmyMC c7o3bGL8c7tdSTOscwUo1ZUWtwg2a0iLD4uVXLMrHIt3OW6NkqE2QYriveSkxXnORgczxlctfVqG 0/wRl2zvfLAGB3Hj0Z5sHbP753lZf6+jj+zyD8bm6vPDRqj4mthLxZjiLcrPbNyW0AFKaeZKQBIE nH4FfU0En51xRvp8ITJhEebiQHaxijL5HdzButpXvi7ZsBQBNI7qCwqrWIeR3UgOocjPesj4CG0X njFOjzSPnkzeKNx/iSIBe+r2QrZgCoWhFt0ZJvmvs5zgzwrMJja2qIVHnjpPcyeJ2r03aG8jJ9CB SRodA1vJzQVyL6B/x3d3zEgRXc71D9BP/TTA0yvMCsmxJqr4+4t68/4gthO/6xzpjlHP9s+MxUW/ UHrq/+6ARfVa5mysk0x2/x/g85Ws3Bk1whkrdj/lR+Nc10u1wP9dbhPFDUVnelGYPlszQqq+N8y3 5B9xsDPsHljkaJeYJ2ZBSoCVUHOPJ0hRY5ZqNlOCNilay55eesDIrNz84xXXsta7RigWdParUxYY lrxlF7giVpC14LqzT2MDlgZzGASATYNguLsHI8FysybaFH3xfqwR4rOYJh1L2b2GBLRublfx0w0J r8prH/JlcenMa3Tz1P0zbknxoiVGmQm37nM6rDI3mV8bTIBlnN4MRknIP5jeXfxCIau+mRS8Ut60 xPsmmbG7wJzMWXr7ZL12Auf22aAQzkF7DiuFyTBsdKsmLnng6UK3tl3c36z5mY5pjp3YUWibvmCa S1W51HIpxGVBi7J46Gp9yybgLw1vs2I+BGG1qt1pbguWfn6Ziz2X0scvY0Wgv35BIg1MlnTJMgK2 OqM8t7ySD8qR1RKlemh0VIztyxHvtkgo7E7IPoWPvqGm+zR4hPRqLiuIO24PnqfdqoZ7o2MiVwrS jf/JBxsK+l6yckQLcFNDa4dVJYoGvD5gqy+dMWylBJP05Uq1WCwiCiC2RHP25ynr24/EXESmb8mD pocXJt8VmU7JArheL7QnwatImG6vw9JooVx/Ao1+XKAd1uIIC7v6PtXVJZwSrw5i8MnI7FSOVIR4 5POuar74x+WNe2YkNUsAGVHTARTqdbhAgPWR4pMYLlxI2nIi3Z3k6d3jzy6RLUE9arTh+qNVqad2 9Ky1JhY5uXMp1wFtzBzJZJ6V+pb8rXD9TgW4zRKxDhEJeMi5u8OWAp8A5JNQACWdeeYm0QeAZ1Tx NXPRMPPgj+wdZJi54rEyGUdMzOSKDihjZFvNCIGREEB1f0sOQKzu6pBOl54FyyOSyqYnQhUvllCo d/ku3Agf2JjCniw+KrPLHLHKGjcGCYEEbEiQcuRTf3ZEZ1KiKcW+AHTLkHvqKWRUy0Vuwb5fQq82 3FvLecHrTOeULD5Qmm+syCcXkadhcPAn9//xQpsJ7Z87H8VpCwCV7y0u07L0MWWi772upCvsFprG LsWiR6kUmtslw2snFG6bU6lUY6DU9rDBXqYrTZvCw8spX362mPZd0wKr4q3r1Qu9YJ+3FoH2b/67 wY/WdTpr6l/rMcCr/K9eNo/xm5XUEiwflurgMVS3rRPrLKJs6d7ZjNGWIiNhj7+hoEWXDIkBek7m 8iZOoGmsPhjzR13JHFzqk8UmBvlxhBnn6FZY2uvsKZIrnZM1EEZrvtIu/QFhpDpqaakJokr83B1l 0yv+AFfnfwUJ5J5lPifad/jGOQ/Cuj7jspyWdnC9NTmSMh0iieMAd+f6nkQOZwryHrTTPA0UiuVL 8FqeQ+SFkxA6ATtnlJGsmEJ4OlrnIYkZPwUnyM8GUmZtOmSkauZhMOwkChOwLxmbxZnPW0lqP5UM dA+KULwJdbF7kVm7xiHHzCRYM27FQieL295/JkbjYl4oYZNiEFvKdCFtPOwwyO+Muzb1377bYuv2 Uz8Zdp07T5pPEhwQePt5g3FOiuVUZ6PwNKMBlXcxTDzo/HsjeBZ7axY3Ii31AC5T9Zq9ddTX6CAI P8X0dd5yKyPQXY9aehV4rPKpu9qFO6U1SwP+rrKrwq1725vGqOyCscJb5lKNkGOczoG0ELgFTpkv 8oBHwxNss4BLNaIBWpQ1RVlmgMN4zFtOe/lG22bAUm4a67bDq7PL6TBsUJ0qfskW9o/jxq2QVqAw m2/wMfMSC+X8Dd0lLUSScnwLjVK+kmXzHg3YXf9Suiq6KVJ1jatZAK7Zp8iVm00+K6H5q3aiOCcX AuOUuIVOXA6K90Pc1KSkqlgL1O378K8PumG4xsgW5GkOUGKbOOsdjIi2Yw077f5H3M0JBqpq6n/d 6fTJk7BpLJrUD8QmsrNWCBbXgZsdx5D8U7UagJS48OacWQ6awLfdiLqmseSkKcP2BsqYqYEmEpZc Owt8jpPxCpDo7OdJGqFvlSUQXLePUmBESdZPdI2IPGFeVNtB9nbC2UOnc1zEBeXAKN/Qs0frr0Zj t2EkaZ4F+5sQi4SG1soBDgLUAWalB67ghzG287gVI3izXem17OtqpWMzNzuhbF9UJpaiBqyglVLX TFWuysN5Wr3K7AUNTKGnVK02GzB64FkU6fo6Xzj/f9qrWrSzDRVMrITY9GHMfptZ9/ClFxUGNQAx UxkEK5WmNHYuuSwdgzcw/F9EQVhd+FYk5a+xT75l4a+Ysdurd2qJ/5XIXuax9iIzQM3nyqLyFY01 k8OdYcEK9cldMwXT//RGwYVSoiWMN5Ttv+lAzZ/dOWS0rfILZmqbuZzq/q2hQmq9sYwdJDb6kcLl jP/CBHyWdt23zqWczvmZoQeER4nQ9a/kIBpJemjqSeUVv4FROfZ9A/H9GhFQB1+Bb1/zjD9Nket6 hQICgmh74Z9nby//+iaRxZHEkqdsk9vBiOKl6DL6jxCoy3s8sESHuMeIx9O6O2XCPV0BurfXPINu 76cO0CJgfS9/dALGGy9DFhBg6Q6ogpDlbsCWJRNpd3lVS+aany/atR0aI/QcZfsmE/833KvmStiI QPa0d5AvU4KcUIx8NFJghL5G+c0WvfcRvyf+lfwyFSk3zuWRjYrwAw5UfKEHreTXYhgqRs6msW8k ft0hH/TZiZr68OSOcl3pmm6aDGHzjKmF3ZbixADseTwJDNcsYX3CRgGJG3onJJUtK/olT8sSUaMs 6XmvQUQXcYLQNFKTc1Rd3+YBUv8zJJiJc/g2+XWlMQBhLDYTFprY2snws6pqliqA/jxn/t9JlT6D wuQKjHRgavF9n/BqeGaNF9hHONuxp1rrlWVekN9PeNYfIrbSJ7HTybF/aoHaECIzqmm1liHew0vI J73B9bJ6Kll5alHIfPfOBo6iItnyUNt1eKeRS2ilaCm+4tZyyt1uwAKVowplMmiDL9CMvWSSLlLW B+AEAIgICbTXHtyEyn26nDTo+OVXP8TK+hQY5wDIlZe8dISO0dbG6ieP0vSPLaZYHSwMlTMc+fO3 TgNiP5eHd/K3lPtNNqDiZmUGWIRDrpL5wUj7/nmZFiZ2JztKQbpUBQxO9ZjAZqzayC4TA1JboqfT OL5ChvOEFseR7NiN68Mcg2GRz0ZTIErKhwrYq8frSZcc5eEcEXIm6aIqU+gDBeryf3+VdwGNW9k9 SEJK4fHMmctXvZ0MO+inR+ZjKodP7++tTsHXcp0EaMtVXc1Xj5dLmPt994QPdJfFB3SqNNzIuItK KC3fBPaubG0nFe9l09F17h+inK2o9v4fN0vVz+e6M2w6hk0VI8hoJTISf1YKIqs6mS3K3hIJ8Bzb s/NyTiOvsJ8O3rO2ktTbiuJwKuU8sJDpNyNdGuqHQOe6VkXaXGGVCfs4kcBkiiuXbcYwt0ZsWc2P OB8JGDAUEifDuBpmKlMoIMHozoA5xiu5STWk0fIdC543ot5l8jYdEifDrQjeBKma6aLKN0gYCEMz QtbaZfK+YIosBinDpxH8GmE7ZlOF8EIbemNzeVqCTWGw4xEg5i3aebZS0aeQMQ59t2Spkr2QyyzO bekUSmNkwNHdflDlDaHrC6jLTr5V5jSs5mztkzfb6dSrChGxOaL4L1fwHkt4N04Knyp5Zw6auU5a 52SsXCyb9nF9moKtwKy0hIhLFjHiYd5dz+MWABXIcS1iryyBiUFVtO1Ru5QXNJYQEuNvnVVzjlVg KcWCcQvLeE1XSIxlxgGARbZdhNFbOiBuh25Olvd3fCnKv241+pY4ePOtV+qA0ENQk657IdMLGqVI pzv5VNKFRlObLw081IdMEd2LDFZ3JlFKh5cHjyrb3pUl8Z303ixeeXokQbFMdKFEMAQ/9re24VJa T7qffba5p1PIBOoSr8QaCsJjVl49wD6/ZvgSLTr3lzycUmvdkc/Q+Bvx4gjqf6pxEzY4jmLN/bMd Z9CymslV5J/rav82zUphR9EIuLQ97pDVng3VlJyxGaLoNmvX8UTdx5YqjqxGcpHH6UV21E0zmwxL tSXt3gcuTpD/XunlC53gTpqadynyKep0NxeUAhoh7xuL4RGxOjBh7l+ZX8q7v+S8W+Xph3FEdoAZ jgwy8ZoU0CvmhAReM8RYdxnKitFxRhOjEwiHsALMVS59vj1NBrxcMbDRvjUr3MhpPzKR9z2lBeY1 6cw+Q8G9/KVgeXHqBbLM5cN767kGlPUasuCDBwceTdmrri7+kIkIo13fevpqTjdc7TMsp6hzewSM R61WkoIUcyacVg6JcQSM/Exapy75eAMPNrGr4KU7GhttznQk3kQhMUSML9+xefKiHki62pFPAi6z mAhh6g+iOlSKPXdYJ1xRVt5spWIjTzcpOZebSMnpmSbZ3A1jwj3QGMyaLMPMX73f1KrYPop9gTsT AwMs179cW8erQqutHLZrJdHP1GgmbbAusRo3EtvbfRiXKhXJqC6Z0lZ1fzhwKSrnoISNo4Bz/9Ju 3wnAeO+xc9DFw/2WimO+WTdQ8trbS2hvaNWxYAnttmi6W65+/UIik7NRnTxGtUto2WEPyMV31cLw t/5UJRY3De9Jcvn7AoPiNj+/yFJ8RjYs250l4791xz1U/g9Pxt+02+KdGIVLBO1gRRucAasXn8tI U0VBfsAsmaC7A6JWHqa9OdUv0khHTtv9sOZ0/YpSJT6itao7zx+1SaT6ixhLpDQt6WmgVFS5oU5E sLyVN/mRDfu0gdhmOxzOr4lh6Ov+V+YBgkvH6VRzvHEAQrB42txqvpDe/qgUZSaU8ycQPeplgElx qUpPaOHyh9PTVJMPeLR+5J1gxYa3fU/DJYwDEYxky3YvzHWGRc2KektqOVLjbDrKtbvRd1hCnTpW 45OgbkBOXJwICXqguIYZvrXhTTw7JpQZ81Zi/c2QTp/RG2M7auHGOgtNaB/uZOTcFBq23eCUJJOe ppFiGa4Umzz5Gku6AYcjEzIml+xwCeyQ6fwxQBR25QbN/NLamVUCR8MZXehXwCGUtE47UqIBYkrI sHT3gzsF8JGMm9ezuM6mihGvU5n4jSuhRomRCa61uYx2mCkIZIlDo4k9QAo/MGDyHboDNjwlyXPz ctMiVZ+ToR5Tngxvfh5/7dc5wJEgr25KeGTXxiG6SmSsreWJ7Q7bXaUbTTZDngYpzQYsDoOqUaqx a//ZYCqUQtrESawave6nI6n+UGQilgGJeffSJrQWZ7ukHaIGeK4oNbBFdMRZBXS/WFUPbbjes+O3 8cBcXNc2QfSzYMx0mSC7ER4r91MR8wwzJM/cB8tTLFj/omTsnVlEfy7QBzsyOUPcSjcFDB+V3eCl ZHKjNuwWn2KVuyyYJJO8pq7Ji7RvECPhGEPyYWQZB/jCtu0TQTEEpVl8f1M+lb63eqLpLUGck9P3 vtAdN0MOWudNJOKSRcOwayFEE2lA8eHMRP/ur678T56b9UnCgCyBI+gUoITURXPQzaecIWSIj8UJ ccebIevpbfaYQvc4lnPK67S1iCwzjo7CqCBdXWXM3Gcpi112HW+XB73cmgDKYkqcMsGXoFttxssK 9xKg6gSMFnu5Q0DqQ/P70CnKTNDEoLZs32F6WzMA8EPKuNqM8ACD4xmOtk84eKVklmy3qoUAzLc2 CFPV142jzcBF1mALlGrIDE4G3n0xRjnoticJD7qAcB+kC0zVknqvlLWwhdvKFTSqL0lXFzrnL/cI Gxc4v/a08yIshOUgJ+GTX47d1ov/5zikzdPYYcx90eRxqiPrn+S//Re16gnTk+1fpEmVVfG+2vQA bIHRcZFlNYOuw8NM0sZkfsL3VoAn8VwC5/TwEkj3pxumX/6Yj9l3aeHY5Hwnd69yujc7xtAQ3Oeh o98uvkxI4E468j+3zK0T0vOz86KTm1leaOR6U/x1wUOv+qMd10ZSXr+231sNm7MwGfoeg4X7VajD AV0OamTjdo/bxlyDfDtv4Co5lbqqG2SmKFzztjx1+GRWwXbrR0NAUxAAC6IswQwfkEIEY43cMdu3 aEhtg8pTDY1SEfM40jXh7kcEkBwqphmo3J5c9tk6VHiTCAUhIRS5LnsvBA57n9nhB5WaVpLsvVJ3 tCst3/3BKLmxg6z+36obgt2V2OU+KaqSpITZayu3ZvK+jpKQKitlZ/ineyo6hBK+FwkjAKvJHfty 9oxL7BSblAujbG5I/77EuaHIRZ59zmWlpx0FaVlC9vmlatmWgvJ7PzHvMsJQTR6d3Jw+PV0+rSMo WEHU+jZPdjsRpuukadWUtUH6guNM3rmfSyd1qEZQ3zFYY9WaD4/FEEDQIGC9hFq31dJjwV20VQh1 wp1KkNfyWlVoFos+3eDKdlb1rdWQanCmGZwIgyZ+L3qqSCx9Fv2LEEiyLP+fLY+EbsQmfTvzkSg0 Ew6J3QguhfcYqXdYcsKPDCL0lqxn5eIGC/eiGQUwBuDlR8OBq57alZVSwL4vANyUQp9qXEPlTmOL 648GAa7FWT04q0AieWhoIpOyZqtnKVT1TbzLpLrsRNIaC4RNmoVOMqEUv4aGR+WZDuCDddQVsFze Oe4kGp976LnyQ5febE0mXHtrh96AeOVPAXn8nWd/bmywtNAZaeMquFr7XDDcCr829mdebpZZL+1d AI6BPfZlNd9+TrvCUwUMh7xU3C0BsK6F/TrloC/N9z5rhonT2DjlQwjmKBixoTHQopTLQ9Rjbn2c eyPzibqplK/cfelMFFm1axTwPoBUiOL6+jV4oU+GioQxVVjxP1M4EaXAA+6jsBjdJr0wzWqmKeBU +9M5RJDYzxkr5/EgqnyJzIBKoFOkeLln/w9y3OzcBaZkiVvMbA7UPWhqgHpObF/ZDA6VrlSoV69i gHt0Awxrj7c5qfskqGgIohXXqmgKQecYc5RBR6t7/hO4H1qJZQmtw5yRI+Q6XbCFyDpwgxGYSLmo VhOoDNJhwQsd1TXy5UfvpTVEr8w8/N7ru2w3XJvyjDSpx7bxr7qjsmJiAEZwix+1z/uf8T35Cati fDBnJ7zAKvjTssi016bTOLblHPSiNGltfhRmpGO0+mM46Y7TXrEdbPrIkvMtijPLg/jI0qFAbq4l cTuGqPBRD1k3QUxeKWQunFGNSobyoPNF/DnbPuPvylzdKNb79JrZ54l48AFmA/tG0iWKWud0fW9f IC9OyVraUw+3kq4iIUmTfAiMIwkO67Pn6vOlsr81IR0g1V2NRmGkXtPyAsuliBwCGJu90vqWh+aV WvAUNkWYzXRvfKu1s6sNY8iJYnZFHgFwxcCChLHh9rfaStI4l22hYALcHsJmHYF9EAH33BbYOR1N uekyLG61G+z6Qei+7kuq0eLrrQzmE5c7UrW4xS0mOt/9GbaMCmkqFw8wjnKBMdHItIDCuiuZsPNv E5eaw2BbsJ99t1ZsCmVaM+/hDLAVSTL42bLMv/pHqiXFk0txiwWaEr9CMJGto1a9BiRRdO2lb74T O19yE3w3MurpXfvv5W1UYgtwDS0t5Lirudli/3yZT0VD5A2t+vqRqGRTx4EYukzuku8dIIQBdQLY 3myknHqAAYO8MWmDvZQ7skZZf/dC49PhkqWKhx1sQvrj0wTsP4gczy6ImufRKEyILG5j3/1LlzLK 08YUdp87bRNe+dNi3mIeXSW7WPJwXtGB85+CVJQj8x3PGWbah0g2k6s38EZqgjFvz1zRTNUEcr44 PnLVZkOZgk+AkLws3ShiyTuzn8jbJX4FzJPbBvzgv7augWkPhhNeJgAh7EEbMu0mP0/0HPersEWI MiAJckMOjHcsVV+gr2mPBKU1cH9fFUQJQMjD0Qrn3XKYtTsZ6Yflm3Z35SOi68+MdN9mBDtUpWsB 8omEo7gSSWEXk9VlA67HNChEvJPO6AsFYMQgaLPNvIwPVoZpHPxesS/AOBjz8IZpE1S4jlzEvBhE pAGZFN4U1rZKzV/iop5PO+YrgZTmFHh61ZQ9aGBNaDBrS5a0JLCvPhbyZvG1Lzfall9kYg7vFBbQ b6anJWx6xINUOzxn843aQ8pE0UoyjErmO+Sa+XzZeu0qFEVWGuTgUM6WwiUIcbKwtEqPLrXSWMDk Bb4LCVGOr+17XKd/9yvPovr3aseG8BeGApshBkolEEoXyVWlWd9IZXy1KWbwBY3RpciIqv7d4whs 4oVApf5EXA9bOTMhv0Bzp6k+txIcwqLXtjpT17rbhzKZaMB/tVSiZxLKYisTZj5crdH1YK+Oo4n/ 4DnXXF7SHdC/N8v04d83b3V8nON559cQWhFc+EaWmDYWte6veFQlXDFYuHbFHIV7ZHq9GOMiTNMR ACh3p8HzMKlxrfFKoPjBmk4IwAHlmy0t/H//8MBJ304ddIMSOAt0URVo/o6Ko0sDVM4Cb0lxu8dl x+nqouA5SHmIcbah2gYy38CPGf6pfZ2WdKhg394jfwA60sv5eNz94PqEElM7GHzADNJOlMcCckuH uKdHNlsFmnrBHWy2uhByBtSvDO8Avwn2kF5goLrI8JFYWwWTIzrmuT+3imHOgjWIgrjNUhVJNYxN FR8Wk2sPiIsKY+N1C50DVuCHjrT/847NVMKOZvTIgfKwRYM0nQziSRw7wOvQeIG9zUQLv2/3B8HH 9cTKjeE4Ql2N/tcwP8U/q37QlUvBk4XQgMNrbrXIqwpbKs1fAesfsdlZuEoEiy5RAiskqEjJGlqN pGxJNfoQc4Llc6MdH1Jg7g4jFK/drPeJpOYMj9MA9hitNUIDbWqzKbhaZDuW2NvKdXJ7KVkG7veu PmwtwQ7sc/eFQCARWINy1QbyZtF2B1Z0gxTPiTvCK9rrkAjZncvB+NmP63olh9UCzjQcNqbL1wFW 6CcQ5sr1x/P2bSzihsn+yqRWPpVvYPfgdVZKlpAWwHBkTPXDsclzNC5FZP6nNwLfVxUkEdZNQRbt UIqaUwRhDegbwxwQv6oC2+pbpQn0X10W0KzDfizKr/8YKptbi/hv6PTl2ZAFtK4Jl06XBJQjsDqV rAeQZJsB1NlLhOlTCocDo7Ri54us4f7uG1GmI1kteAJBS7iLZV3PA0h+nRUXZQOA8z0p/9zWoHTo eAdDb9PICBTsUiEU01zbIQkTeU5BQfxTGRbT9lOD7zv9qrLGqeJDjUua46+fgLp/PaPJUPs2w9z6 maIiV2Ylme9CUZI7tFoCq6WQKBwqhMh8OXLrENvMQyVGshWljdg95gOQpi9mRO2RsV9/wWpVfuSA PQI23zXGmVCgb4SlTqWsE09VkmLJKL0hL+sWXix3qygLXeh0KU7ebSIzCKdbybCDSfOXN9shlutV /WCoKRT+H/d8PXPQngnrAjCe94yWtyWZ4IzDgTBFL5nUENthJTsmhu+8hMVs2HdpD99vkW6am8J+ YD7dNTbbMIpgrmwixi29G6euwbEJoS2V0FbLUbs8s81datcqlXiuTEeboEQhqd05fR8L0v4w7VYa iNfN20hadxZR99Gr3TYlCqGPp8/5FQjCv5muxCfSIYH1mzrGRWE7C5BDOUwiucWvXWjwob2rR6cb qO4Iqsr+Ezt0Ekt85p4tupSkE9rKajF5T5eUGcKuIO1pAVM2iPtgEXL+q2QySLpq7DVka4uG4nDY 2SjV5hbCcuNCcOrAbeCgMp4jFv/71K+zosH2BNbYRFLkRzo2CrpwckToq+Iu9vN1Eriqhf9QS8cI f5sc271gg9KgD0PgPrtSDCTw5i65TZ7JbnmVaxZrKEHyRyMNRgnmEW6RPosYjiDPVRBHBfZvehmr GsL9TVjN+zIfXQsC6Icn+naxhB8txbXf3yUiJOVgxegkeltSM8nePivsztLe1TT0P3HZBGcz7Dz4 Iv0xGpCeTQd+hgPPvoxVmgMs4XJ9IvfqEe3fSaGv+ob5fqgp3LmhnTviniWWqB6jO1KSztuFKCk/ 7HgFKvhcW48bzNrwYYTy2DEFxLEwGTBvveeppOLsXBH+Pc55Yxxu8UU44ZTT4yZDjj1yjAf+HFR+ ylH8PCo04uTwQAh4wYy2O8MpHgI8KrSOORaWYLmS7n1ppfqB0rQt9EH68AbplEFU6BnR+nLL2w5Z JOqkvnC12BQGQHl2XvyuKDJh9MDXKL2OoMrmyOjBA7oG66FYjLwIsOCWDL1xjr3QfNy/ed5mfa+X PTmodZAAQoJyz/A59X9bNwgIw4yXH63xR5HJC7AsqM8wwgC5uBzaDsSWhXI/elIjqpIiRbc8C1DI 8dYzfi48f5f+ABxikou/J4pBMDxfPFaDOLbdCx5xqQRwQ45RsDmM/cL1SJDVwbh50XQiSTgdHBia k5v+/ec71Xjv2AcYn7VTwfUloimRPV9GUALO5NW3Or+QD2ruvGg7cz3FnkiHrilAISbc6ST/R1PM g9eXzdby2VpnG9D2mhUqc17vUL5Qhvhon5HIyMclAGJo5L7CK4e52MQXaIWZ0PBSsso0c/+jx7OK OufZ0mh/KEMHAJ40lbToaaBtZzycwn986+aXKBcrTkdAocYi+a7tugZxKJAXjMEklJHZJMRBwZ0j znPb6YkCvv2LWsyZrLMdlzO1mZoHTwlkPTIa5Gjtix6wOQydlGYVzzd+8GXG0UzbsRifMETGgH0+ G+R8YgwPPdbH5ufUBrxs+NPu4jKkvLuDqVqWFL7wHEuIRIWqXAC5zisDjemJtWiTc9qX1gwqtj2t ZhvVB/AaKh1+xIGA77H5je4csc8WnzYNSTInKQXhXbwcpH0Cl40kKqiXYU3TcciT+LwsoJurFPkD dazWr174yR6g7R8bpdpEqp8LVHirvUc2y4gE/3bvA+PkDpsD3yklQ/h3eHWvBLEA3hucFUfVPPb1 9RLIonkgSJyzL8yhzQ6Uov5gdHeQ6DycnxIF2OG5knZMAVgrDmHZfRfSCIQ/CvxfWUYb4Wm0fo19 NpZnZISocNDd3Z3XMHlYmT/I2bhuiVbys4rKwoRFZ9f4SZKB8ZQ2A5qytIghqFL3dmaLXX6YRsWB i7TFCzsWL0t6dKwd/+fSFNHIn+i//P0C/QynSMXljiS1y+iJsCcUc5le+Ik42VjvHs7TI3wdIdkY 5AoZcuU4TpNNMx/9y+BXBdKVgPPeOltxGabsUnVjY4a+Bbv4DUx/oxltIKGyVsf1TqAP6xR8oZrp r2M/p3hYUVVMGL2nBTLKkrBuDepGA2kjTekGhOBvg/8AVs7MhIv7X0daIciUcScI3O9EpcNpVlAi tfkwc/ic6hKfHPOjwRme+N6QQl+9nWT3JeZJFBn1Rgcmg1YF6rUQ31YgAKzBEsIvyK3LTB2lQg+d gaTjIpOLlPJEQp66UFGFCiJIoVhFzJr70jqcAqn9+dErOIQR0m6ASU/jsfFtslrsTsLezsIXJJiY /8C+slQZCZh3HxmlcpnC2fSy5VqgUxJCpW42xBrumoj5xw/OIktMcgTFWs+vvAfcxCHlnIOyfvbG Msc+9C7ODegcmNAnJ4aa0eMw9K9dqCBdgoFMSFJFaddMn+RK31hzTrqIzbHznAJlAOUTd7opTObm 1xdxwZnwfuFnNeTbiMJPoR9QIkZkgPM9y3D2ZJYXni1CWAj946uQmOvkBP2mu/lArqFH/rKg5Ckr 8jO/65klcala7NIkTX0w1s5Y2jqqwV4NOqOEoixBrtg+aMz0gGJYKygz2XPTxgOEQ86bNpap8XAz DpwpNRCinQ6ThCmskBNIIMm93NIOZeDG5GQiANYhA3BrKIVZlCRUETSaBsGQtMlsL0Re6iyACNsY bVJw8oudqzP1ZeGe3+uoiL6JasoEeHt/VVTt6LGwLtTIjSRCbKZ5FxYOuujpmOFGN4v1Rz1nzYv/ gTuXuy77rIrsZuVeAmsadjuiOJ/pb26zJNaXyOAU/JikRd+i2vy6DVCXXE42pKetMu0ifscO5PV2 upB8P9RchHcmohEvU1H3l1PUoKNWBGQvhhzkxyXdR3dbLPd0a8mo0mxDBzhr4I37nl/+7r2iusiY lmyd4szTTBe1d8tcVO9lbk7eI+XRhT2yv+1u4N9HTpzhuf1JW2JeG1jDIs0nC6Sw6BQiXnX6yVkt OUb5TD28Jx5N5Bv8gDsO3Jwa4n6CEfp8X/ujmNo+aNWNIyh+ACW7gINtLp0nQzvdD9Ej9Y9I//Y4 skrRnWSRre8n4QiEQ6zop8NiCX1GsDmoR67sInSWCATf0IAzPTSHrHgbQnpuFrcuGiJUyBFzQHzP 0dnq1gk6CS9jM1sT1XFx7jETAGJ0iWQA4JkNIRql3PGNTUb6bS8sAs5hu2eAgoBnPxLYpLDRoook HwkU20egBdHM8dqKtyUGqblfrY6CRRfHQBexgBzlgmZboH9MspP5DSXn/vPM2w82UZigxMiuNhH/ oNWpMb9GjlBJlNPS/tZY3mBGPpXYmtXsupO7G+PPmJSa8dkGmJT44kHxjPeqH83mjQOXQRcSzCx0 MBHgfP68y99MKd9gRIgcrojg20ykHReVhdQSaWKEpqyVMLY2rHPcHulV/fFBofmdnDYxoLNmCipM m8o+ajk2GZ2GG6Kw0KL9q2BwgMTCq/JlTX4I2HItCJ6mGPaVzXNKSPJPaGSE3SEx3SeYzQapbMJC zZWzgdFfV9Yacq/u6E/czpDBnKBY5sjfCRWUQPZIbyrlAO6Al0Z8EltdjKDlRzZvExuNPSFbDv84 3VAAoOwUFEwwfpPAiVIs0dCF0vMS1QfdsF/+Fv92mbcI2wL3Rg/mWoRQXgL4VIZnFjPU8J0lwPg7 LB1ln2kaI3UgvIbYA7FxETGgRhmSxbwYHUplMmz+NbmFQ2meFaYldTEFejWDumI1A+lPkDhkIf0x cRtqwCK5RQzY/DMhHfu06vTT9PsEk5wEN4y6b4fiRyEOX6yhQeCgtInHQb85tfVsHVl4dnTPIn8x NAd52Uweof2vQVy+O1rF15ofzuYB9hQbqd7KV/4DbPs0UwbKIr6DDipHdUY+nJPgcCSy73lF6QNU rOkURjlUmjR9jlTwWt9pM0yuFB5HZrMr+aJpbuSCiht623m9DlN0GdmTDYjrSfw6mCLZ1OV0XOUQ NcYefO7RqPlrvEKTBik1g+pOYbMcpEX+GeHhM4bttR+ENJ3A++275IFLY9ZuBHco0Bd/ZR+2KNE9 HHVpwuJH2Tb6P2TVQBXOZgfRsqX7qfJSbToIuPcwdygXWwNdjWXy7Ib0FYn00sUKJtuA1nVnTqJ3 RUBNco5L1MU8vBlSDV3qNMyvp78cOt0xftskyMwyie31Q8k7hUHNssVH9K7bMlkPM+MK13Nk1ErK A2Xfz/ExKzNjkb1phNO1qNXLJnowTWXJmnmDpmgs7bxFWmJN3s9jXFVMDr7zDBk/4V+zVCT+JUnr tGfFS3VqH68/Cv+2NpTbShT7IIW16ogKGIpsdFAAvI6PituaaGfu+eyBVMTqq3CJCX+KvuLiBYFx DMJQzFj3Vdxu/T2tDa36osKDoTwb6ZvQtGdQl53IyMPg4TYOeptywtt9rfYeamkN8bhXaNUXjTa4 T0lSTMdq6Bhryx2roqqgBxUYM1txyneQg14McYOAN9txeOAMo9JHXZleDOwdcN42pVrXaIXJBRTG hY/S9nLlfmunGg3yhZlPkIe1z4B51XZrr6ljHT80KDijar3n5hy7BX7In7Ky08aTq9SIakwBV+Ld wFh3pYQrSVLa97sXH2eDOZNZXV3xKVPzOApn3JOknnYRTi9ORsVpuoPckqLenjauZ4t9RbDYmp9k bguaGi1HTPb+v6NZsZUAMPsW4k3m71hwxCkywHrDpZWscr9kug6/sTy/FbInwBcn5QErF0f7lplW 4B/KNc04AdoZkP1cEp0fLjcKBBQc7iwZDSXpNneO8C4mPH4PYxXvgYXSVK2V0nI+T4hNI1VBWoBS +7SkINKuryqkaBYY1peazydMQzCBN2G4h+D5HB52F/7jEKJeJ5Lfyj8LDl2GaHaImMIpr9jtyKd8 Dd2irPXIqxes7sDFMPerVjKJDShS28qBNSHtdsy489B4vg2hkXxdRjlS56rYrRyCh686y4cIXWGP qiCKdapDAD9gWSIBzsbys2wXogcaAiZikaFwhc6mrd/tv0MGIXoWWbqghXC/p84JLFR8+j042zyJ BpOujxiT8UVIEdDENTmBxjFGkRw2iw/aqbAJ/ovx+V3DY22FH3M0Nmz/96IfamsnZmI6oSal4eul qoZKt1tLPdeuYLahPmyeYNGJqpqmtjgAPPmkfezvdBVWzDmiSGzlok0w7moue7tf5di9qU8Z+x8Q sFBxifoSaoOoqjTMy0qMF04qp5xp8MCftl0eGJijkg1RTkDyNew2sFIk/XRl6Iikd9O/ILImzJHP yGvyq2srjEu14rutrdV+yAHbNXg+su660qW0SqEDFnBoFkI06OG22Tcieg+AKlQSu1RGbWitPTWO 4JAp97hK8Rjb6ZLEr7lPC0nMtyXOCyCfSbNVj1305MgSr8DrkjHKEGYXS3ozIk222obHdfIRAAtJ RYEWrrtWuZKiiCI0xFRhKMeoIPjlPHlTKkLq1yJ0YJFpCzjHobRakUfdqm+D/0NurCMTcP8Wh6i1 BdVIosHrhrp7fLZRhwQDPuttNYLDZCKr3JuCUZUrC41JGxmk1ePjeyaNaeUo6+BDSdQthwqUalsx 6tGneXi0O1M8o+fXzwyOmMxPI+M0pQz6uFoUMst+TAUTb+oACIoMD2uYYSQNLujZi9J5NCkRh7hD iu7YGTXdSaWjxcXJxCjFA8tkBIHKILmjwKWv7O48FxGV3/sThwfZsPGXTc8NLM2MiHm1c5t1aQ+2 y1IZtWjGwACxwFncPVE9xGo/AoO5FlIbtrUNVSEythbdmE94X9WwEcE9NszravvaPrRql9G2XxGt BgeCoe0RcfvaI4GekuPZ2vH1al5iBmImWNNaTLTnZ7B3lnGZGZzRSs5F9ldUDhBMSZmwdm9LVe9v mJ+6W4VqkBo5j6lps+8ZbIDfWFQf9nEsNSPBR8lYFqnG1nju6u4Xqi9Icy1ZwPHSIdY1H5HtvnAE J7TpoQf3uum9EQZozM8PWChg6sXNIP3yiqp/zj4k0P7EcQcH8w20d12LzpvMZs75rcBwqYvfC+9u EHDmJGU3JGqaVG8lM4ArkBBAAA189Szmn9m6zKfJxF3q6uHHkzkPC2ZUab4mb9rO7nNihLmMQkRI RsiBeFK9sBpuQVPeQ1DtgX3PoHiAVY5m7IpTHhPyNRq1U3PnFbLWaDNejA8JfuNwLIe0B2M8pKCd KG4MYh7jatT4LwHVt9pC0Awozjz5/kRM91d4q4RkrM/PquUW5SB2w+NYjJlbyffqrQw8UMnEEXFn Gwt47piPCUPoC4TjiEmp+GqzABOg/S7aU2BXTkOz0UjdInxKplmF/H6L27lDNSAf5HirCOXV8U64 yW8lzrm/SAbpN3q2Oq4YplaZtDGHz5NjjnPrKQEj/rymvAhW1rz++aPMsv4ht4I4FDUKPmw7zzX2 VYzW6lPEQFbyl9J68969Nn4hiycLUf2LtpTIxYLUSg8m2+Wdi72JlyHABngxt3zQICyOeu9XN1ph rchmTG7URwTMqBuKG9Wp/aUEHEdskITO2dyd/79kavcN+jS0O5luXjd+h3SDZfcYCgvyEos89P8N RRzbUJ+QHTkCNMRlONayBfqVVldrlr4zvsREAvC/73BFsORw1cCJETTpI+oNOomrdgsjxiFHWv+1 vHfjoaHimd1SMm63TiNn3UnB2maWpXs2Xgms0+UdUs2TvUSZAUzuvcjOv7GhgHLeJiJp0xRDo5x0 U+k90KA3x04+qVBx6g1WoWtELZlPf5WiRh+xB/G3seWlr/0j7HR0xaaP4gtMu6kP+7e+ftU2ZyId yx4CvGVsCAJTE5i3KMU7dw7Lj9j0C40mRkksP6RQsqasxTmfvTbBDye35y0yCx4aLSw2IeFg8ahG kUc6ZyPuqlB/ybitV4ARd2Ulmq7ucF6smgdVIJTkDDoWDTNNZayFMxwTv+mLZHLVsDZkIqhOl7u6 lj923TlUbG9qdDqSL6GlpsmUVI9m2ozls5QfKpkdc/vs4GkWX6otmiqqc/kdbj1Y2HF7vXK5kUyl bqtOW7KZjdcnFoJzDJ/+BfDJu9dAssHssS4UltdacEBh4tnbknV65cNTYq1NcRypm84N93LvqSgu H2u1bdPSsqM0bT8THsnMaMfRuq5OWaKMmcm7+OBRbtD9JtWg3oGfe0Hz8211sDsJEAZfgnSvU6rl uDdN2bZt9etVAv0K0Y4mTYnPlucqvItEIUEOv3rJzId0L8v3WiE+ii7zdWn0IEnuHIbqllnMLpqj SzSvsO50xoewf2RCHaacnqsGQ59tkCPR5FDnzY+6/nnWbJyKKd5ki+x0/ClorMoPFKm2T8YuIMv8 OyUtdyu9VAqtoEQR65YSVH5RR377F3l3mNrxULSzEizq1bZc56EXz7OOBthtvmIJK4sVodPW6eD2 PXKfBBJ5BGC8McbQR+7PShh7csAgxjR1z9hjONIJNvsWGvE1gXP5S6ONAUOt5WYkdw1IXR2uSHtF N9/UmakVrgZIIDhwlSd3LI+JKzEMaVilSt18jHs/1431LVRrjV1Ig2c1AvpzFTOQSraZcuc/1jjq IuSeaBZgL9reMTh/87Im/0I8qb7RM4Wz1+Y+muYKdE54gcgD8NqEbZsDueqB1HJzUVr91Xry3ulE g8Vw7QVemkWim9ZmNnEBNArNFzany1LdgLwo+QgJ8Z7hRK4NsMmdOWwal7mSjOlUYgbqFzj0+Z1g BlwJzPB2q5SpTNkY70zOIdwIi4SqogeZL8t+nu+7o7m5ARrjmLhVa8b3Bxb5nj1QZF+E96uOdxhZ os5/n1QyKNaqUSbrDyJ5Z2LSA9ngAtqpMwmwT81MnWGCmNoVcJEplYTnGK5egFGxnXv+OsbiBvCc HCe8o0RGNXJ9sN2+a/gGuU0UaN1Fwpt6kP9IRXKwMZzqRS0OZz5YQBRlyLRM2HEDbN1BUKdAEuXM n4H3EQTODonePLHh38buaCvbyiFVpI3xRvdayR2jwcz5vnxOP7m7gm+L2Q6gz0fD2bkvd9Ey6XHE wxY2XHFbWgzd2pHdaZctCi/oKJtsA0s9RRyN3Vb8K6W1FY4OjHodgH5Zim7Ip5qFunqeigXinInP OoL0q1ACqJP1W0oR2dt1jrwXPS1is7QchObsw3bXTHtls7roVVDGOeyiL0d0SHm/nXeAAgUhyjeA XqRd99yu8JNeqWMo4H0iyX+3VEVDQp696eFO0rbp0UXEHS0r+55VSRzkU17kganMDNwv5PwUP9AR BGfN+lN9lnYgeJ7kGD7L9NlUVdHIrwzqz6l+b/nOx1MEm8LzodA3/kuR3hhTygp6p2LCw8RNi6Hh fGuhFIJO9W2OFmQQgHyWNzewsNQMXHWBRfiXD6w8tGu/v91oegNe6urb2EDCEgx8ggief6/50O7v Zyj01IY94mir7xVgew8gQXBomJ42++zjaliFSoPeEX2I0DUP6zI5t53aY4UvoIRPKe58oYZoN/g8 9WT73OJ7NEaWQjJMH99FsqNBHlAvyhaTA+l78sRFccBuh4QJX8tFImouMUwBAUWGskGWNjbVOaHy Mk0WGU+T71UiZxoAVMr/H2fMXjyKAiEHuPZGEZXv4mB5CSzJjiUgnzcObeRMcZAzRhveBwn0qMYn 2+J2BFNIeo9t4byKxacb4u7KdXyZyzFZYpUT39yeb/xSObnhC+XWL6Bqo1msKZDWDzjsntmFuon+ GuB9rE5BBzCUK+bl40ZNFHqYcuyC5/j7aLYUQqguJ9ML4uvZN88cgvDxSLHm0WuJTt61Ww5ArFsa iZJadQDPMnF4kDvIvH0llevk2ZvAlix0A8PNfRStS2YYAaIQoOxGGFGGyZOLpjskL/o39ORJZP5j UnsgmJDikxfvU2Jw2H047Xmh1SJBOgIF8RRSO8sclXn+3kJ/vIQArMZDpcfEggDP7cotkJhw436J MwKj0p78W9TbbM5g3zhkFRKwWpJ2ElyKWn/ELenLbzMFMvn2LD7Hm0G3nzKzpxOKjdT0oIQvygK0 Ta7ZwOuW5D3Bx2F7jBVTjSWKRH0WgE6FOGAzxlpTwvpE/GkC9qNmCSerqGC8/n7NF1VAwQjf14Mz NJOEPmKske54f4IcoFqO5EWj45EzPuy1e2DMpKarAn0mE/Mkz8gQFS2cLz6st4zwPYPXPVcOsGor f27jjw0yb7xu/74y2bl6Sa31mx31tPA7YAis1uLzzTWyXjaEYK8W5dpFX4fTLfRCJ/sCFduNNCtG U9LMVI1dG0VS46P+YXDR+6Or+YOPRaTp3lUh+MDWFUaILQpIovh45tNg73j2mh6JLEU9lEwbbSdt PfVyR1+j07M52hiiJN0ivFkDRY8b3MKCNzRAc+TUfndPxLSF7H54aOhmTyTNVqnOIm78LIZRZOf/ h6UdcgsGZ0x7cvnIFOxTFQBecJcsdyW2tfAHc/9aGAqERj7GuVrGSQcz+YFP6Abz4qQAgHgfBrTi H+12Y916UEtnyKQrJ2nS3ViCMz6MQNEgmJNYI/tqYhQBVOmrIIJW7TQ2wunEIqRNMo3NkMrj6Gz3 aN3xT4Pv8Bqsi0yzzgLkrjOGYMeMsz/6plPJVOA0v/Wc30FwAZZQfjRb54NbqcBHyIocxGmGevWS nw4AcfCOgeRTKSbyswXj41r6AFTBwz5pZein0wvZy7Mh0vpHVz2SZSWy66ypJ723ZKD6NNz6WupK MlcMx6JdFs7DdS8dyP0ew7CZT6QkfdkZWuRtfZnjpjgPLaP8K51FT/bGOJyYNQ/SrPPF37i4ShOn PXQjnwIzBI1yj8eFcaJT06d5cvw0dm92ur4vNNhhdhN2MbzH6z+DGrrtasyT/Bjb3jQzmok3yc1p w96c73d6as303JfI8n2qaM2REP9j0NEM033nPlR37aESBzFQjnArI5meJ18ahNPZe/ED9bbBWWPf LN70NMK/oGk7/86hSgKX4ojxYHFNnkmBXn4PKZ5fNRJ9Mv843AaWmgUDdhPkgeZcnUxJi2HRL9K/ 2BJVHZ6v0HXS3OmQ2unNmA00XuShaqpPbQRi1N2EKI/bpcDq/cENl+qW08redUBZUQZTVvNFBN9w Is9oRb7MQ1iOFikDG2T+j5En81ClNv4YlExTrto3lMjOx3sdsjIu78fOiDB07/wzeM/D2krwpeDX aos56dOEgYopBIrByXjB4/qFfDTniFXbx2iidDVVgVT6Oh3ATBM4gh6+5xe1SptqzakYP2nhxcbm d+K7GLI6JP604Oa/d79UGg9LHQ3FXh+onPPLOp/jegwHcmKlRflO9LFzdpDEm+eOOlVzI6iDQdZf o4nCKU+xcb6qwwfFOAZv/Vo6klJxycp19CVx8pb6UajVsd7XxomSoRuQGqp7dLzTWRhwgvrt4cuk m9sxvTAbTfNHtaIvWl6I9FSXoM02JAqjr6FBD/SZQpxNkRaci1QritB6XC8VqSfAaVCYMWPVEJpN X5818RsUf+zDdLCq4uPDXIPGnrTA28hU+qNoYgA5Vhd5gumvrSql26/yce9x+lUP9d9TJdKjSwD+ WpEr3kWORWx/F1/FIV/wnaF/qa0hhWI2a7/mXRCzCnBJ6l//u0t38Fvo4HE+1cGUdo9QNR3ivvLO 5lwsarGXvZoK5uxDmWModFho7j4nOLajkn3Y3tQM9mXKMVZpnTTKt+44d3hNrG57LT2btM+9bjRN 9f03jkDXvwp8yB95nGIuSGBBD1EP9vJLjmO6Z4/GUlPG6Ixkx+vcKPuXUC9nw2s3NrmTNCoDLuLv Y1uwnJufLG5yMTU0/iPEwD/VFPLpj4lSQRWgeXpljejMKcsnr4oXd/lRmWEIE8QBwBxf/eMW6xtY BE+09opO0wX0zedEOCEHuBxL+JJp9MtkMVhZhEFV4XHZGeq0ANCcNBqQnCLjR8apB8lxkLZkxLxz spgsSyo98ADTJadfrzrU7AzKTgi/HCMYYEp8HaGBPyEiiv5XaAkNptCJrKo8XADCNNUa4CE0aGRD mizlpEhqbMbn+bjuW77LHmjaNfHp43B1T6VgJ+niDw2gzd+BB0dmb6Vc92lRshsGrVeRk9Kb+5Se o/g3WZhvpTqVdzUwIN9Ckpy7V0wWZbJ5gLiQcmntiX6VotQ0XwmOLwxZtpxFay7kSNiuGUOi1IZA P6RYa/NV6ST4WxzgrNj/Qmgaix3BtWVxvdYRwdF9vJgTdu0gGZZ4cW2Rg5kpLXFDgG9UTo+AWTOc HUE3brxyAS6ugmOi7e5AzJftzdv2xKU2l9EiZXUPCDbgMeSAr4HQRm+qMdPgrBc6FoDJ2rbrOD7A hkwdmQBHAaQGWymcdPmHuGEoJzUxvMcT+569lZSGssuEn74abk+ktw4vp+Y1LJun7jERG36E7imd D8rzDNFSjCHccnL+WK2Lq1+5UVT6dDU7zV8b1Ez7aUwdfMeeYQbSqgZ18tUUxCXw3I56gJcjp+z6 EgNRyM3kX4A9W3/7MVYTcYO6Kba5saKLYFflOTnXj6ycaNFvQUSz2fA/edHVFcVA6yXCydt7IYIm blu3BFeJQMStjo/Q2koigMsMNuPGhNJGU3ac2mdq+Cs+t+TUCTIrFxC70KLOGFDvi2lmas06CNlj BdwnwDTm9DUwo1tumuFDZcanHR7LC4m7Knw58f8yZ4xMzyzMPfMzCkCztv1qlTDXT0mh/lk09YUj BTs4kQhqJyAP7V6r4Tc63HXHSpOMyEVJ6JbOBgxuRqrb3FkyOPNQAtIav6AC266wZjbvKa68C+hB WDJTrYg7iHmmAjrUhULhigAaQecYCopSDlYKdrwJ9UHLpNXMPVDJcr5Wg2tV1LY9rEW+UsFrqD3Q 3ubWtreuC/s3czRgkVKQcHHp5BWkVu1Pr8wxEX+XvBlRb5TNs0mK7E+j+FT4UsRD+4g7QRyVdaVr owZyZ30BRNieWvM4rw5HFVCWFfjrS2NQzncKdpf/Lu4YEo/odYQ1hEL7zDnY+dCkiw+ktsObtgNo 3cZ89UAetm24NiO6Xj8xjMh4ULRjfHhwx6Cv8RVvxEUutGYIwdIoHc+9vhsdm7WEtlLIKkQQEOS4 bt4oVN2Z3b6XkH62Dj26LEzIxXeEVKUHfOdc1tZRh6yXk5yODhWhtSpPa+eomQoHVpK/bZX8Qxfy 7TlK6r5y0t3gO1Jn+vI0n8l86phH730Azv2B+I8PWkO/xmZV3eAKs5qorsIQISvthJYTn3OC3DrU rc4EOR9r4GWG6P9kcgobpOHxfLJUmyPMl8DUBxoFNOEgLO+dWkiOz2GFx+HwrbFrT+IVyhUjxppA kAOUIl0IfS+2a5aJ4MjdjhsiJlh+sRDWX6nhsxTEekAW878r5W4F0U4zJuQa0kLNb5WGszx+R4q3 a3fffK9sz8ECu+dyzkhjJ216wvNJxpKlIKHOl6cpDSO19zrR1uaiXQBN8FZ47e80kZT7nSFEkNGw RqPDbslsaGEdd154pEt/nau1aFKmcvkC/G4CYmzWOjqcv0LCuARsTyLSdsNI5G1QalP39idwWP+F W0scbI6eMMnnM0CuzbjMIRfkQiURPrPcuSCq7YbB1CaE8/iVXCL3NI3XNWFUBKGujzaZbRVZqntu TprpynFQwwVyRzBmJ/kFAOzsu+GsSJ/bz3EoGLeYsdK3C5Kq4gOQ5Z+TX0zd2KLSrhPYw5GqqeRw CfmCzJlb2bP0klocWSLmnKDeffTaHDDe12M8huSGlioosgVGqsQ+HEDVTRPsErkLb04qs7AzCMQX CjXgZiem2YRS2q5dYq66fWmkCIzEpJaLkSBzYgfqxPzD4UTvGKCJQOlr3NC7EslSpMIVEg75OWRu VuOFMHZsFY0lCqz9ZJcV1BW4j6xTLuP7HnzwVeSfE/nI+1+5QJjRXYNwFnZvLEYF8jpJw2TeErLH YjsqPaG4/K5ZdtZTIKdPkJCzt6A9QFXv8flTA4fio9YVV7d9DAlgLNB326C8iMwOCZmMnIZ6Oywb DMb57Z1ws9usQHBLDs7xKEvZqs9p7Rn68JWL55808+AzHfQQbai+y3C//AXfuWaEktaVsEQ/dYSS 7ZT/5REeLLU8lVctVDVesDh7v+G+n2O5T/I6sgHgAD++GhYGjRclI3rLl0NDa9LATuivBaHqjdxd dT/Ubbr4FyzDXx6CVHs5499pu9usQJY9IESYm2cENPx3RVs4DW2brBQYGcoAJftKraTVq7rNciPm 88U4agGgDyywtlHb9mi81/9IYbrrwqHmEb9GSN7sMesSEyfu/PeEaJTukym1gfLaEOA6yXluGChv D8LFA4zegRlsXM9f0YY0tO6viYKVfYWyaFH0RQR0sn0c1dv5gCh6Rf6ZQkdFrJl8IU5zQVKw92TS GxYEXImKrEvZnhv4naGlzDvAnb6R0GuMJ8jCiasf5xUrZsO08gDugQJ6rdFqnMZ6jVZluSjEGYLY +MiLt0rq+dydrk6SHhra5M1H9Rbh8zZoi+qRSm61IARpv2SbkkVZJHWIzbbx/1Hq2CyyxBUDHWqs fyIMWzKuBnn27eOs513M0XbJvd4yQ+dc+COMdnHB9cfxsDs7t2tiuwocmiZlhwZCM70SRQLpiqVn w/kbgwVC3QIqVG5aCsHZMBms+0yOvjxchf1Jtd9TIV0/NpW0+o73GpLusqLpQX2R2sLdeQLoVQR7 t1X0QV32LaJu4yL3c6e64sg+ok7yXMT0rJTbOfaHai15IpyqAUP6+coCk2yDJH6+bYKQdPK4AwQw wSAEI4YA1DYVR1n1lGHW82h5ADS2W7LBiqMzR3MyhepVeSDibI4dbpMUaSt2pVadtJj0aLDddBcW 7kDGKAjOR9f3wubmUyFXwj4E05SJbWIFo8zBaZXsJyx4wfUsQAW6zSZTtk2WCv0dlw2u/BCJZmhH ZVFtAcXmGcq77Fz6XeEDO8VwoIjLuvTY2kcY8OiJHdgYwVXIGPb+6YrLKfm98SuJc2b6ssdBFt5z s4W6m33nuQIpJ9/dwIGUPlDQhL20GtW0XFa2U2I/qNTES9WEBJpMO8hifXmTQCdEKsJtfjKFatxR 9CjDovN+C40BuVb1eVKutHGHkJx3XxWn6WU9dj0rfelpTSa7MKmlNr3EuEt0Ib53DHOGMvkad36Q VhcmXehpU2IlPrbw/7hxNVPDCuES48QGAUZ6h1q7wrNwa1K5VllQ4ANCpKnrlFfH6a3lQ0+JclLQ IclYhydxobrDz4mXWxAaEIq2jKRSycp85oYLxH/v9DJkC0XVwA5YzZeriQDCdpUOk/DTsfoyk5zW 7jxyZAhu6ua/vGSqIn5XKXDmYeyYLzKgB2Ltb7E+aQ5oG1pObWXlp+HKi5RKx2uwztx3rrDkfX6O hEuaXupEMDDuE3fnU5dKQn9RpK5+lzjm4/15Iz4WHsQ+gU3erjaypUonR9CAo1ZSgoCczmvW18db WIVTH38UA+cfauBc09woRQl1UrFeTnVE70Jjrz2pRb5Z2MdwsaKLePfymWFXP6QfHBuq8S9k6Fry /iRWlYB3jAXYVyd3k+QJaiV00DuQM3ApxjQg3rwJCxQQhOJhfkio3gpcgoe0SmYVV056gQNqlyIT qEhDIOY5c0LDaJEh778WZd4c678rFy2rvyEGrK3EFRxNBoB183Bbqo50/E+RPHEXB5DH4w4DsTFq 6W//aB7cU6a2tbZtCkzLKaw1jHdc0rL8JEbsszkT6BqWYYklhZdHE8Yu8twEAdjh21W4Yv8Snt19 IlxWFuTP2sx3Zvx0pUN33zVyWmqWl2kbpTOGRxhPbrxLhTEA7g91Yhdh4lCRpUtfZLHEMCQV8RfF K8KHcl6F1KBBNbo9dZT5U5buyFkVlvePr4cgLhPdrDayMb1xShABIpEswg87avTxM4R1DAUKhYII sIXLSvDQT+9PgVXKXuGc4hgVp3Nxi+l3mzem6n62PwXndfBnwDr0+W2SVeWlkcwV8PjBv7Ow7/Kb gIUNG7ZqM1aEH+q9q3Rk6XZxcVF0cd4kJETwvtETNsBi+7h0EWiXxFqW9FWd5hD5tfU9QnrIn1Xm b3HuoMzqavI2oZpBrJdtud0gMaEslVhnfILbXzgANFOTl9MiVfAa/T4UwnMP8YkmT5SVDCCDgRwa FhIoZ6RGaa7xNY8ux5k+f8oTBBab6HJ3+DWWpSaQblREZEhIGvwoH0ll5uruia3iDDk5Y332V88Y tSmZXLVXy4F9gpVbEMRxn35b1Zg8dnfGl5dBaEkILLtn/4xjeeyNlTXUqdo+bp8xr1MeFvU317fQ izKdxs86Wey/RW9erXYZCpRarSzkPL7TU7otHe5uA4bbX6E9kvOsISqYr1hOvPZMN9pWOirxCdDq jVJ/nYhM9mmGRDU22jgEmuUSauMqvlCco+5AIG6waY+fwjODvTqFbtQ0bthRp94BOOYX955RGePP 015xVnjSw5uZoM6+BdIeUBS90qKLaU/0KaFpcJWmFlbsgUhKjVQeKBGD4tej6VVqedQY6vQtOcvi 1WwUuReMHNwmS+8r4dbtO7q3hz8/arcWjorPKq4h7ytgbCsZoLG6sr9gyPIghFylz8DyrYOAlk+h ppg8knBAYKXy8bMwgLNOCf75wTbZwpLXGchV9T6Oa/QWBZp6J4QEISE5JVeJbh9GSYn6cU/mlXZc c4wuseTEKEh0z6JKPiXw32PILQkXZm2DzR74373oAD/YL9ABMBu/xISjbZArWywoJ5dPRIx1/RaA fXR0De0UjYoOadQVfmhPRQAo+vRZKGDtfsV+zTJmlz1/fB3dDygOvIjRPNiA3YxPpdsXj1VjuTD7 2HQN5Ix3vq1z6gGmfc0at/1LITzJ4r+uZmFhXo8xuOwNWLRGA4EZIy6QYw+UvgNSXpwmhcvNAMu7 eacDY6pHaEC8ke7/hFux1GRgXPhdSyglODa5w9QiMWas+mJGmukmPG6DDrhVkefy8+S7eUdDZs1m eC7dyWq+zJbAnRCeidIc0n/tz0QJa9sU30tygunEFRM//LfZtmM8z5r8wx4eHRd/MRFmeKwowBS2 gJJ0uQJHct8KO9biLAG3OHsLVy4qbZ08yT8948idvVDdn37acurJ6kySB1NIp1+Uw/eZUGKeKFTF ezEMVImbyD87Vyjle1InMFAvsCQQZc3ROHu0t1y+dCKM7qAWkkHWpWiPK5F08AMx1uwWLOJ5Rb/j BAhDCR58noV+W9vPrAS/vjRx3GFrd8yqObF8MniCCpLjk2TYymjn9nJl057BSNkYfISolEjyJ/3L kjZs7jK1/3KBMz8FKKQfxqvxTWv2kv8L6La81dijpVpL5U6kq6ZInU4pVwilVCfOzgvo6YxXuso5 mBB+pCyAjG6C09diHqgvAnQTjyrpHuE+oCP0OhgQLiqY5s9CZhXaawdlxSBn7NbKaImUV/Ja1fz1 ikZMYi8fzEc3Xf/WrEnRxHP0QLUYPJWMieJ4hPoOH1we5aLQ8fOmyrFpPUrtQ3ofDoV1o2RJQAJp DxdZNRxlZ6oTCo6oIRDThLuiYfGq9xfch7rzJVa1hZF3Q9niGJ/iAufabdosusTHwwgrdAG5MkRe Pguzjjt2lpBcjuacH5qO8JMPWI+t9NdmuTKAycsY83rmZo/cgpPPNRHfP4A8I2qUEtmltwpTunc7 sIWcpQEMsx/s7LFwgxMRaAECSit0gm07InD5RznBUDHz8ai0j8d8B3BtcPsGfSedsxxP+K0p6ZzZ G5bI0M+6CIYRB4Vw/I+MUNXWpEfTMUvh15zR6/bpHNcJlasemfpOUhPStJLMnfZWMFKuZWuuJtFL HnwjPFds3OtV5U3zdhid8mGwgNOf3CB9AiL4aA/noHhRrP9qimKYONrYE/ol2LRRAWIVjNxRvdQl sfB1jF2X4DJR3ZkC/90296JABqRCWO3l0AOl8LZ3A0tK5AOyxSmnRNUtNuWAwjTPf2x7jE1bL8Fa HNim3pGwbdxPLhASUSyhRAm7R1mY9Qcz0XbXebo61CuJ0/wHFiBlFHa+frADv+0DEx496aInvMLv O2nsQXlBEYERu/FQhdtTm2zWz4XgjQeu9hljmvI6UfxjEd6lH7/n9qbedsuhKKygS0fITDo+ps55 Nqrrqu+ad5ORJBjiG0u0Atz7SuxRWxTiOUeSH/tzLhhaDZzHphhgOLvtiSl+lmK8f786WHxDLhkj OPScXmUYNqnBib8QhcfjETe5uHSm13fq84q49+QnsRfGVm1WD2XO2f2ws3vX7DPubTiyPeLHjgQU yGS2/sqOkB67RSxSKsZYFN4/qYqwXZkn+E83uIK+i70HTlKcDZuL3rHXbM3zkTCXOnqvdTfHCFNF Yg/TNfYI9APCSVlkxovveZYNZ8VMTbDDff5mHSaHp0uQ/AtxcBHutBQCsf4rEVyQNsiCYLd4u+SQ ocCq1wNnOiyKgBK7xnrYGjqzEj0b19KBOdB2Cc2nAKOZJApSfMC5GhsoDsAW5rzCT4Dr+5ZX/C4c koPKg/pbJDiw1cRlmlS4DxwlUpIKKFsglOZHlukO2JT72dUmUAeDnEA2BtKH3xMIW3daUY4O8GCO ssQJsySQWzraNvH8mhuEQbIQ2Ca9QJSp9CU3LZzbCokf3LT1Hv9YDgLNIvICIzTD+kEjjj18o89N HD0JBVRiXtVFKraL4bA/N/ZhaL3i/TiUE+kv/Z33OlULvWYeaKoiO2AnGCnpoYFgf1tYR4X43NDv XeIPv391m8l16raCNlfb0k7MtUBak9h6SgT7E0opjDob48nJdhM4TOCfiTNi2yJJWiHtmndSaqGT B0/r7pycirZ7xmPpKKxqeShiZ+FbZ01808gwWBWtYATGpCeCFPnIoEJps9jyUo7LUDuYl4TLmy1I 1P8nKlPTSEyd0UthXwEa/Vl+DQPyCLl5DMAlLDVpOrFDJNIz7AfZvLfyZwp8fYGEDn3k67B7HNxa 3hWGmkLfam73vzFbY1IiOdYjcGZ3srnC6bfhaGPpGSmLCoiRzGlioo6k6Lo1sUtOfEYNHJTsBIRo 4WWttuEd10OaEPL+ymjPYyWz9EiqTAUcCDA+e/7hTWnmYI7Dyi7g/I9582e1PQk1yrfrETFnlplo TQAy8S2ZHSIb34bvwwIM2h+JkZP8Mw6eEvuzYqYsjdvTw7k5s4bqpio7UFTXA0uBNjscMaoaH9j1 EmpjxM609+CCezeeVKaJC8fgXPB5AbWKqU1Sg5YJY3zYdJL4xy+Itwy/vFcxnc+QZh8MguY4CRFB RcD8lD0kqWt1GnrlwzjQi+Z3/YeD/It6iu/HqXPBuh3C5YdR83bnNpe2xaekOn0KeWIztVX6cDiP gcd2xC4xn8kBEpYF6sIUMAPRvPtl+rlFRhy4TGBm3X5vk+2PVuQrJW8ttCHYUXaEf3I9PW1mz4rP c1MK2MH9NEBeEKTNgbHKjGgXN2N5xkp28gHhjaLtd5dZbwKw5gzcqtwMF5nCiZapEF95330fF0M3 7uPQcOMWN+SK1NU3nYyo0hRVL8o3EFD0ZpywiYW6yUlAL8ETLeK7DEEi8eolFpWa9bejEQIWlxGe C24TnlQsr+JFaf4PZQxRaQ2Y2/vc70pWaW5PlifSLC+zJIShFE1nnSCCdoJcQtVORwB6gpHowaTb 1cWsSnrVDj8yhruoWUtiPkXvzbKKyYxE+p6/b+Gu1RgN11ZHK1fuS5g5QgOuKRlRHi+FNSQSJC4s Ggi7j32jOT8Tp4qEoegBFp6S32isk3P8J77uwtIGj/dGGoZKev6D3KwhzNlx9f4sYcsER5gsuTYM Mvdh8HPJTowSNQzFD4fHPXd7/wBDI0zk+DZGyyzDZFS0MNsTZbgnAWrEPF4FdY1aCtTHqsSN1hTp ItEHJXCdccAzHWKQtjamGrSkCcYOwmpPOBY1I3mXlz3cAaHnOJ8rCsWbPnjHWSkM4Aoe50HP/8b6 tvGkLwRhe/ajpUtBJDFsJej5wage1iNgnQUhr/7Sr0u59xkAQxqSbbfwCCwHqB9PByOKIIQ9iFjk BwqGkgydAUba3YNINsivOyYZ4hAV9UYlQnUPmz+8OKqaVY+H6MPmv/rSBg+sCJo2bVH5wpZmLtwH 4EXobOHEc3yW16qkfNpSXhuz1fy5/NXGCGEaoTjei/HUKCbhWPYPfQ5TellF6Yhrc+98hcCeZwDZ bwXHN5ii+U3t7/f26aqWyQaK/Jj2YRSLy+xxhz2usE0aJU9HlJ/WjSFHz64nZ9zJ8fAMQPAZSA0N 3KPba0ew/suaXeoGVl6KMDI9x0+X+hTTOuga1NXtgtQGIJ0MfPAoA3kOheqbslU6z/+W4THqlAKj Mzf+DB72TBdpvtq92P1WdjvXkYkWEWt0UHb0wZ4r37VZIluljydYcmnVH2Hd5A/4cXbANl6ElIhw ZmFSaRTmhEXqIgT8DM8a7oD30Nx/zepmwXSdMa4bOEU+16Mha2Bhgj275zP+Mf5l5bY8QTqtXKB/ nfL35pgDgBZtqu9Mp7t2yjeNCOZY0kvEwOKx2vRRNAxcw7RqdZnNcZ7YbL5CrgDuUD23UYcXpGQj 03yPXU0ekxisKFidytLtfM0js49IkEOLj2kpm9Vw8sPHTzdxehxCUEnKDHNgqQ5l/cQyd3oZvJYw mPLciXnAT+Ize91D+X7MAq7W1gW2sEUcBDfGl00f7E+VbUPmTu6e50qNOm8vQp0CR/CJ7AjpPmib AU5812TbA+ynH55wW2eyG5wt0QSBZN1fy9kixoNsA57CeVmmO07t7RUpz41MJvikKlGLDzH9wseO ySrC2lfNiUGnOMBgeDQHPK9rrsNRmD4AcQuIyEaweG8fQ5BC/gd+rIDUJ2+pulsG+8YtZknCix56 iVrUDKt+wceC5dMWHN1kfoiiyqBDIluGfuTVKhTw5TVHMHLPXoZYWqs8xwSwUFbwqMOhH9Sc64kp mZ+7qJoNtoIoYv9bxUQv+MVuFyhnf1Mcb8ehkVNhygEb/TurVxANwq27C9NXkj57urtiut8UO4vT NizLx/g+qvw8+cf+YHxYep7hog6y/sbx/CIRiumTkym2kp3iXKqIA+MKr9ayFfHWe2VsgghjjQwY 06+yeqihTWlGh92ZR+l+O6q+WZxVobJJS0VzNGpbQUtH8IJ+H1T6ArSN7r9FnI2Wgg0921UkeFTH zTTDN739fcXJLtKIv5bjDShPGttYplGyuWdDuxdzJ/uS7J5BgHUSWmDV7iwPIsEnaY86xAkU532P yX9+vsTAv6FjyrGhwLA3dVakUwAh29ulT8aixZpTmK10rOclc0mREtfKkoUK7q3hStqukxtCYoiq gMo3sFtzrhkrWTFBPjsOndAQKDGT8EWQtitjHhkCAXLdz6WuVB7jialXtz7j9yUmYta0XjpovC0C yjQRGqJdyTDmk358dLvqa9zfxycQglOe6ahla6dHQnvXs1pppMXfM+P+OEQyhL8XmQ8WFGcANtvz gPnWhWIHfXCdGSgfu430XkSofPI1mhMHuRuhwGlvKynlvNzYEwoY1OUSXNXJMSJwL7JQvSJIMkk7 lqVgH41GkwJsJTKYCGRSg3WT0h6pmkN8+FTMMOEkoq0OQhPI21gEdQUI86ZpHjP1f8igzGCsJImz tjRUOV69ze2hrnbLiZbRLmusv2JXBIXb3zI/EvIDtGQjP4BjwbNjxxkwj1tJhtQGY/2GGGoXg2pV 1RoD6BKkbg9OF86YsaLjGyy2TzCn0zeFEgNQ/EB92yYUcHOFgSRtFAqWqSF05BRtYLLJuAKxFc0v xEF6F3Z1Rr70Z/wNr8EpxhGL2zdKT141Foc43S9Zf10vqPtOr4DzyieNxPK7EpsuRzcJJfgLyXk6 9WE2tloZ18QbnPjN8UymMKevdQtvkgVNg3hT8f1TCbpKJYbtt1sckErF2gsK4yRZ44lxS1t0EXtl 9WUPqqEsk28V2cNmhoMTiqRRiD95e+4JEjuTv9NmmvVM/OmHgczX497G49wJJnmiEzVEyTSL3IIW Qe9J6GCWebthmg4VGyx5//i1vsy7FeYzsur6MNaTrYGf1q+N74teqCtfxL7bdfKhvcgNYx/9OC7n sdOU/P0R7btWSZmH9xNUAho7RW5DWIHhidQ/82BgeHOZxJWUjPx9dCP/m9DJCvCTnGHoCS9uLAcY nub2fZMxg23EggFTtldu1BWzlpWqfw8ubfhAJPsQBSdvkKA8aR5pHo8/lIyTU8edQX4CiEpOpTHK xaQfSMSFb7exP2EINDa6Mo7OQmjyf1bwWbF6VUmhM/uNkAVjx+WBMgOqMiluJnro9lW9PaJO1Mla Jz8IzyoFKtCPcl5mIYscolOld4nC5nuQEKCUszdXyhlsGP8/MmnDEcqRtMm5xZOkEBXA9+/f+1mH Qy/wNS/OOFzo1K/IMNQMRiIdmZDJMUUbjK5omOV+GYYZ0chNEy+UR7o2VctDgeE+6GmzrYugn5WA QO6nWpSxNHV+oAtWBjqbFyG7LsGLIfXUd0ZFS0fWANqslg+eVzgCDwLTJrY+9PXtRnCGlY1zll0X RPnr9i9PM8FZiwK39lGJpO0q0ApuRxjMLxTrRLc7g8gWdUR/98Qnk25TqpkzcOf3vR3UOjknm9yW HWYPOduH4X4BDKVsuK0Y+Ox7n/TJEPVXgY4yAUTwNhTOWvs3IP0Oo4AysP/51zasj3A5aGxpUZfw QEoMWnRmqY4GF629WTVpwSiogWczIOgeoKTWMbmAsZKasIRdSsQlrwOlfbDctcf0u+XVz2W7Xupg f9Kzy1W9rJgUly4x1mCEBwRO4SEhPKrJcKb1QZ2KHU01z6wmK+sghBOZksO3Pk8INbNJ7bRnzOL+ uA3rp8SQuwahDqKNl4Qtb9v0cytwdxMGMCrri3qbuL2bssYpFgQJF0FW2fiFz1vg/dxP4C7k2+4j 9ZSygzbluwpIrT3tmLiB6qUyzyRF9xRc8xfDaNbrnJdtB33+BTPT428Vye9jdqZS+WnL1MjfrvDc 2g5LsBrzc8L3cLam6pEDmvTfkCPXAL2jrqCVTmeY+JCRNJczHuc60mtzPrwDHmNiO3g6sgh1152i UJNlnEu9Uw6TODzPvU+u0Ua6jrqPL8lPCAr+55AJ9YMDbdn2D3jPFq0r/p7sj0tQe8nf/VYLQmdU vbmyHvqJ7bnbiuIQkvrdat0ZB+kQ9Dz5Xwq4nLjaiJ1gikL47DJcn8+0OYEPe6v8BlqoQegeXrex lLwdeqjfV7Kphwm7o02p1BSV9Lhqbn+k9s4ntD9guT/iUfeY8+uZBVMmRw9vaL7ctxB0DpnvIFec I/NEAxA2bkK6PqlUlPdyvinR9QywkW0ypP63KS5l7ae8ziyURDSvYH31xaGfsPuUStpy1YjpRUkT r+2Co7eATIdlQG4khB4vOyBG0R8PHwqZiKUEpD6eO1G9y8fOosRd7wuDp3TlDBqxqcqhRE8Do1gK dLd12zJ9PK8f0/hSEMSuhXD1rx3V7y4NYoFIERUV+geXU3udNfdaCKjnVmQzhe1LvrCMd/Bn0Xla nZ0WLw6dXlM7q4rBOskoZmNKb3/WRyMEDg083lL0G65vcHqS8Bl/JNjJhb6uVU4EZIK0e//I1JmK iJQbsSrGXJPoHHv02Mb+ZChY5jlfXmvx64PS4rFIueKkfB0A5Xk6J3BlIlPJ7Ye8DU5tKOoLVixo g37nHhOpHqX5B9njl/eDNXqQ+olwvoKa+kIlbYWG51h4QZmm13Ln+8y8we3XNKOTNyw5JVysoN2a yRbcBNUZt0UNqr4YTLyRYizCEr+fU+Lio6iK5Pc7pGsFQ+42GiqIh7gbbsAn1Gw6NgqiZ36Dhoj0 Kwo1o8AQm/7UDb7tnO+iOlXTlSG4HV3SUntyBqDnoBGnIWmym2zRDwpaf8zNmlJK1ntKcUfhyxvT z9B88QjkadfeUKtGbPO1mbPowv5SaAkntTkIpj1073SHq9W+NjgZscq2LrPE5JB/lzHOBS9Ge0AV eznCHjwyS8KGg1cHJRCtD8wsqN+IIci0HGaj2lKe13qj+2jtAS+OFix1TUTGN/quaZuwvcjemPMJ 0YESwW7HaCmkWSV4Y0boGP1PrhgdjzMkrNA3OeDbOmKosMrVPRpaWBLIYq9gwfRXzwgVGPGgCXx7 vSqxut09HR6IsGrhDl13+uTnm2HMjCX8Xt4uKSIAhY02L+3KgekY4ZOy2jgrIQOf2MG96Ata6lvn 3Vpld2UQR2heZNlgjd7TNHnKnPQULmpqK9rRuZ764ALeg/GIVdKctHowp+1pMZeHdME9fhmNOGHM gxDixiMQo7v042cy+RPvXspdmVqukuF1NkqlkoeRrK3noO2DNZoAPCT721S0JFImFqjHt57oVKQi WpPAjF/qczxZ1dL3f1z3DxU4wVaBscIg5y6N7yEgb670eu+icnr2D/0y+pqpSICrE2di6u6diTYx URhJovhTRkn8iPMsgQ9sEHeA9GzeElu0RyjXI+9GOqcYWWhW6dvrNvqgkdmESTDdz51R8t59WY96 qR/OtVZV9OpABiRw9psbSJw04JYulEKQkYSQtM5yJLN9R0KI5gFOWkePP6UgeUVe2Zfmndr8D8P3 tIjG8Km4SUPTa+sSiBpYoPRP3KYJCdGTBOhqjBmk1CdKkRVd2Knfn5vJ0u0uomg787lLPo1u8rCv vTTkRAusdg8+AiycWY5KRwYRu5ATfZ+CwZZUVe/HX8oBHxl9rnTWCtzm8MG4f6EQrr8/VL9rt/4v r7I6REIIHwATj4H9918+kkBGHdIRba3sndTB0iyxsL03d238K86xxhZfhDqomr3c0GSdrwWg0fwg 0NGj4prvivtjllY9qcEOl3W7oskuBKys7yrh54bYjYpxUIxYUaOTpgjGECYHp8FgMGk7ALjld6J1 TU+8myqNauQOzJw2waCOVJfdsqNZKUacbDA41ck779U5gfkVg2hb/saivsUFjHk6v/S3IaxGpmPG awQXCxm8pMOphxfVMLIrAvLAjr2v/a33/0V62y2UzPI8dBo207TfcXoEWg4AcIayDe7m+C9kz2xl +pKUVjqH2Wuph77HuxEqKUPoQwKv5i7KHrmgYfCa0uzPC/4shOKM6UUBASVv3yGdwYnn6OZjsfhT WSNS2AD20Izdo5bVUDnpR4jeeiZFmKyXhPl/no4GaSOpoc5EEAkKjre538FvDtO5iBgbawjh/1Zu U7Luv4d0GIJv37RFyxMmWgwQgmSzQA0UkqhkPNybVzx1oHUH3JKNocwQxOmcNm69yrwhyv/VEvSr JZXvhJwMakeB7a+mbkPx+bk/U0EaYq923MO/GyuXSmKH3htkPfWr6++Jx5x9l8u3DiRVlkrzllmd h9do7WsO89XuKKj48YBGZrl/2WiF+gumYWMXR36ZBEjhDROwy3AUVjD9PEFlXg73K4iPTzFT/GRk Js3WWSjuQfLnnQOHP6zxpk5Hl7DnAhkUymwEl0KZ659T7QcaFUA+AGX8A+0Lah09xm5oMtOVz8u0 UErWqXqBN+Ak2ywLfKfQViWAa/lPceZsXiXhbV0aHjUTLRpE9qOA7QT8mrqOnj8T0RhD7DJItNoI GLrWYgzGHuUr/hlVtwvqHANDxBGxOpP59T6N2lVOcyxUX/0t4JDphXNJMgitp7iz82W6lOwirkEh 7pawWYqw42Z30x/TA+4LYrFNXBVZlBJgY5DxibQkJC5CqhePXqvt1cjXb0n1BJl70IwMVxeQEJdI V0ZUa1EijWCnGSz+0fbc3mUZBjc8azLnyfFl7KQd0q/NV62k9VbmTY6tHqvtOszJl40nStfCNTP6 Z5cwD5KLSIQlGuvZdzRUCf4bFY5U2MMzYD3+fcNR9uVmgFVGWg78qpeHgVLpau7i9gDUaoA7u49K 0Sl4cx8Cr2O8SF13cb8qHlwE0f28+o8gsafbMgtUYAKvsPw06RfoBoEYNpTpckRX3XvaOsLJhZJi sgxZlQX41fRctZuSUzpkId8pkXAJPgCbYM5Nm9ffNP08RGU7nscVFr0uMhshJLf7A/Nwfg9VphEG mskpXIDckps9Hel4HkFeGiz07XqHp/YXXAgl86F/4FMhqS4/xDlNnd2Sx0ZdyoHofFXBaqiRzuZX H0StLWrH6beP3KIGLRgBIDq7kLirtxFoq1Ii9QO/JMV9ty+s6q0oJh175gH1digZBeCnCMr60Ly+ pPpUaZ8P/2+oKttA3lDZeWlDnAUPIrYIZcfqKJ5cE6NG7WhV/vgBuLDJVthHpeyPpmPFF7MIR07i /wcnviu+RyoEO+8JAfKb9OCeBLadjQDGirZxAol4hcB7RVez7iOxrTCUls0uA0tFUsIzZ3UAvjTc wYHdwIRgy1LLdFrUJzj7vzQ0wfxTBZ6Dyh5XOWTC5EOAZuq1J8EpGPoOPP9LzRzL8laCy8NHh9FW KcMlTP7LLw2LmnMTXt2OOOTttJLTj1zuN67oR72vp2LWLuckZ002nRoVYhUBqTmXLlqGA4FjgflT +EWSdXLBvyJaRt+RFM9WQsA4S613dap4qLGc4rEJ3zcHcGnQHkjAVMBo15epZuO+oQeU4Ep2oV/0 JST+GXZKEj3PNlhUmQzES42GZWerT9wnHhQgRznriK4cCTWGmA7eunOQdH2s/3tF4I8JIvlUp0wR TSy2l32wjg4TewXYelDbSDPLw44qgt/R6lypb/AWK/u0XRk/EdbosVI6xWfojdfx5w+0STPgQ9IF VqfnsC6Y5+tc0DGQiQ76IgsKsvM1a5oDYSLstnt47noGkflXYicMFsm2TfUecML8wPSYciW2OZyg fGt049SQrRtY18rcU3np7MHmQrNP3Ztf7sEiPrBf//ob5Zqr1EU0G/0OvY1KUsr9Hi1RVlN2xvcw xQvaU1WAOYiYf/XW+en0quY61XZ69xyGV+Jgit9cw349FFzx6oQpZfCr67XZx75FSe/RyG2dn0ln YYrHHVEu8dX/Uy3RQxuxeaLT8auh1fT8kh2SlF2/CsRcWhoOKwJ1xxI3h2Bn0/gBWyAE7y4nkiVY nVmqAkXAYA4Q/JmZk2YjJlG8lOoYwyugu7jxDc/OdFnxCFKzUriDqOuRBXbm2y7P/26e1/y7rT8d OVskOoJZvapvDSA76CAKA0SVEujMui0Pt6OIdrq08qG1+W4RUmHGgzuze/4psyJGtWpq1e/ZHfET Ow+ESeLw1jyuQL3kV7F2V4tf0o+8MfboAVRochBV2NjdarRHO1nOtlR1cQ1RVE6hk3MuhG+pahTn hHOYhqW32gb16VS2h1ynb42YXQW5BT4/KXbloSFsCAqS8WRBdm/UH77Cl3orPOt/DGD0RLkuQcqG i4NWFchPAl0kgOcg3UQMci6kTmhxGf/3HtHlpiNmvHBBFnDH/S5cj+l0hThaK00o8XyPjg2pZZtK Sisu/DQ9VmY3oa1bj6lC3yB7FoIrmk9DPmTRZioeDbLQyPUxWER4MN8u0Xzlzh3UCHnXA//E8MEK DHy8Dls7k3Q2crHDP7aSINoI8+YSYrGBAVuWKTb5njYYeM4mj44Mo+dePLE+A5Vdp1T7kCiHCt7i m9AZsgAa6HTMYcM74Oxd9Gcnz4y7CJnPeK6oUlZQOZlQGq06A2dQxQccgbr5sVTkgQGLPgf823+y 2vYz/85MrH0zVHDka4TFjruCD04fVqOHuVr1LO+qaZcCjbUs9isznlYmorAMZ2pnCpgN/kWjd39C rg+3oTRYtGMXk9FWbZtQGDIz8RgPoCNHqUxqS/V44LvTwz9JTT8wVsQzBvMWVg66C9FjTeJFQo54 9RSaYB6ieub0P/P7VmVaC3Baur37yElQlQ0ilgNvpzrg8xKAMOmkA10XBPebHitX5FDHLcnHlMNB G1rg1vlbJZCz1Exlpulh9kNPWcLJj/25Uwz/TfbuKcUSdNsFYrxTwbsF8cGOh0aqcJNoNoBKRLVq eOhuOEyE9zKIhR97fUa5dg2bytqqOCq3jKI55D3AQox/sUDQuJfEHsfFcm4fL1fMJRbNmo59lT8l MM1VeRUG5TfT4mGgaj9a/6N4AOAPg/tn/2lx4kRmYvi2m1jtOqHBNgmNy8ZVinb+6YtFFNPyhn+s iLURuf6fHDvZhB1ax9oADNAPVvapr/N9IGo8/3dhVTJJGSVFeoy5dB/bHHI0oX/lyZChRlgoDTzM isV8hXmVXG0IEcEzuqyYNvOSoXYK+5XIIsZSnZur0glhKEO32hhpPvRJQUbluLO28wZI4gss0C7U pL0SiIPI9PKYIKdc6l4FcnE68MsOyLkOswkTfgqNu24RNQvU/6xst9Km3ThzY04All+fsd4xjKuq vNjLEi31S5+Wg+8yIlAqJ0aiY0xMKC4iq0LXkxKU5zZwncVl+el/9cEprYbNBZroi3fsufbF4Y3Z LniCVkRDM5XazhMcsxHa7vU+Ab4HqmqTQmp4z1x+BCB/MKE2MD0FJaiG+l8b6WkjolMu8qRGtSuC lC6VmlINg21qWEgT5PYX3DBEl1cgObkgG5rqj3kqfAnTki0ZGu5zfubgxYLrrs1hNZ/oXiS00JpW ZKhmbBXDrnvw15ieMIAwJ5JFh9VXaW3J0lFt24pPmGBFp/nsBonSVZbAmdTu9/pQSl9LyVIB+f/U FjqbDmGublXvt7o6h2grCQugiPyoFcS2SkH0CuNq4k9k88K7dumUF24nqTVBCHYXfT6XUSagj3vt iww2CgVRMg+HCClkDy1iT2cSbzCZ1xzuX0fvx0f0e+xnTpbw5H4nuIJV81IOBRe24ifQnVN6AL17 lOzqcL3v+AiAbZS7c2qDU8m/l+qJwb1xs5u/S+231F+S5dCG37DZ4PNRp/uNqr6y92y3mqTMpHPo FDqJman1UYTif6h4nSsnv1rmS3SSc8abO7JLwJxAnB2Mn6bqmG87vqTTzZnrPWI2le3BJeCJH5GW lw4NmmnctwRPSzhtfdsE8mDMkkIquHE7qaA0jUyyZqbVwUGmhuMlHgq3wtFsKjEWkGHzXqTHkLvD VJ1GHJoL+Dh6V/qkZQ+JO1jljgcj+6NgEuiT8Ui1BB6UZXU7btb7mTmEgnCSmt0LUb5OvaHxwxnt 7YeRko2f9UXHK+nKHQWJDGJk6g9wdxWEmkzWd/1UGscTDGFGFvsyqvuiA0MUU4ks71HDejaGQRyz IAqYL3RpU9dax7OzpbIa6WE/wSj9w3t1GPxmXMtFALgb2twTcTzV1sRslrviHm/63kdkWblcZ6TV NfbLQEbAwlnAXPnLjd9RQ6qVhnG7CiZEQGWGqxyAgBV6SDDbWZ6xvJrqtRc1GETg3D4fbircopIE 9qCY6shRRZRWJ2kBN2sDIizcqdGlkSK7bb1VWf/t8R3oZLd8fCoLD7RYZ2f34QU0x3nYoJFwy9ah 5g4QTLEHRTAk8+skRHJS/Qty5SfT7oxqWDVj4ThLIhFEZkdMr9QDlS/YjmNBJIREx6eFgHe5iEa6 tnugO+/JjzeR6Wn2M2gM7Mc8Jyd0zxtT8YePYH8ZvjgaWsY43VsK+xxMXUu2acBITNdG7o2xI0R1 nGx8gfpw8rchnB0RAwK32t4O9tE/uiBBQ6PoKF+mzJg+3DiulEtNkn7PIQbFrtZeaYympT12wA3+ w0p4fbPBBwdcgeIoVtrf0AuS6ZnNg1FheFVpsa4VJTpSUUaziStKm/OioTXoOfylITdrcVefQABS nWRbtd5zsFTLEjjy5LDzKWiOA0uUnI4SniQuCC7orR5EouJ1Y50u1o9eHO8Rw6A3vGpCx3WoyfOY 7BTqWsU7m3RsZkLWO+3w+Ku3ZvX71n/vg07OEZRLuCV5+/GDMK3bWeOQF7cgHG626JPECCqC4bLl mX2s9BlAS6cdRJSejBAxk+xbiTmwSoIVgEgDGPmZrX2NRhvBcp1usjTVH8AkivD65kaNBjGiIeY2 /Fx6e+fAUXL3OeNUmq8H5yU+SkABVAHG0HPPmE98/YqQ5JT1kBmKwnpwhkOG2Hj37S+n67YH9Ucq mxLt+7JKzJMejmeA2yB+60hloci0AYCt/F9BWfIlg+LMVdCuTIsWpx+IH3M3gnkcZJ3GLW1amLNz abFiRGo5rRX3yrsNsbAbzI2DkTkqAqr4bUyYCV3xuBNEPgM2VDS/DF6gmyc2a7pqGFYYqTWq5yA1 8E35GLdjMcFM7SdiJm1LYIFl4gjUbbNh+bthnEsVGgKvXXrk2gBpXv6HYQ9JknmveeTGkjGqxUlh 5RnsAar7SJRi0q5uyqxsCU2Wo1uYRc2TcDeCIfrt5DHQPbU5q94dJG5GClOJtzyY0yQkx52J1vtc pv3ZZXzyuoE9LiO5QciaTl2/Ce9k+rqH5RuZhZQm378Z/2zSQua+AxLkzTfhhriWykS5j+kPZtlu ME9gxlP5dTPgUNzFqXwDnx+jXN6Ko4MJ2TQt07xIEH3ss6so0TI/6mvfZkLYCmxOE4Yr4ujntvdq vqT7dBvfLj06T6Y26t9CA+oi5saNsrlXw7zBNA1h+MAA4/Rjy38/uEk6XHAr+pdCkTOYo+ot3O2n llqLeEZSZAeQs/2KVU2sea4oN6w2cD+0reu5WACyIXGV3Hu9ahkc9UddDBPUX4XBGDtsA9WcA8PX cjc40zJ3ZCn+EqFfjIS3kPudjCESu5T6w60Ota/Z1buKmWiNjzGbfBso2AepVbeZQtK7sfof6yQX aceQpLxRDOI7GCz07IxlM77bDrZMo+XzvkYCQQLKIaEWMyg68hPYjk7JqOMVZRWhtruRNA7xcNeB MQ4A0a2oRdq/Ojf9DLRaU/i7+7w4h+xqI9hsgDdgPUxN9xQfBt+PCFGhXs35DZfnBHETahzmyY0+ hVOhOWI9bF4rNbGsCsKWxoSGMIoAiwYUU89d8LqrVIC7AWVLvduc6rKXGJqyMtrUCNZUrCCUkss5 lMERES/r4rbwqdRrYpN5JMOtJkmt5jd06gJ3ZnjVHgHdK4AjgD6IQKl4d74x6DHcrYPOE8JePUVC ON0q7BSpnyHXqEgvBmH7Lsnzl5OisjVJ/A7cTIAl8tINhsr5h1y7NSwL9tN9Mc0Q2qiXIicUf/+r F87FdBc8kpYM9J/r4yVui0/Y3uqrsKCsffwReJEXS306W1GmcwNJzi/rWjVszK3UOgrAv/gJsajx m0QeDO4GbYX/LNU9REmnINaqlBGBQPdfUBQhRYkoJQoBWHsClS7inD57+0jvdHqreGkWYif106p7 pONq0rV1EDr1br9RGoji2ZvV5NyRzFRwqDxcXYnvaWjmyRrsdFVwAZtoPjmPw//Cf7Dh3dxxTO9a oxHT3vHgS/8Rq3bGtcrvGXpr+qsLjkkAXONafOzJa5pv1EwlStXMTRZUkb+wajrht3AjI32xuorI flnAF0YgBFO5bgeeuxAYYVn2mSlidL8zoa9DlD/6vgjq1K1FSQ+UOaGaG68jAGpiJTKcpfNECC7a OkXsrXQRJ+kBpOqPMn3Re3qi9sZFS/jL6KZq7J+LqSrgnmi4cOf1xPfAhFTjNQIYkSNHksLsZBHA g7cEXzGR7u6LM0gPPUhBSg3CFpgM9YQ2WC39JrjZAO25JB29qxRDlYs6tEpqZzp593cwloxKQ7oL KMNCZsHzyEKJY4MZJioAZDSpRlhSh3lnQtmwh2YvISPmZKFQjXgXj5WJLwq4uUF55C0Z1t7ovL4C 4thkWwqfDgnjJ645rSe3SUGoEwZtGGGaXaR9eH1X5mFxhOScMWeWo0TIexXtMyekoQRD5S18ajp2 +WCWmyBV0OaCTg8Raatval/x+qIjgzkr5FchxF8nZdzX+X/WrhQnFwgSp4NOlZKvWQj1IHvkRTzy DcKXDNsLafIRUdwzuqtrTYBdmt7xmgHr2KsG9oYeqXnz18Q+aRJ8aXYqMsYhf0oYGB7ogmqYaNqV YRI5NMFFX1l1OD/Zdr4eQ2WaKxIn9avjLqcchsr15gxsjGfW+1cxhSTa9cR1Q7J9GT0y89rkYEdW MuNOHcHk0x0T56QeN6xoreovDGAKkvW8naD2B89dmP8z7COOG/0DRvLyw7J0Ch4YbBz74fZVDoIY 4mIkoAgX7LQIRETLSMI/1MLNr/l2PSLMp4mGNn6lsoBKrF9pcLYqVycOyYvj99aa8UK1YS+OT1j0 JC34dmix8iTx108j+rG0hUDyom/kOezQkkA+uPA4wxdk3w1LEl1EAD8z3XL5cPMZ5u/lL8g8bvy8 Gl6WZDQbA9uyKdFA+/zJ0nvnKIsZP9sFAWtr437nX7uVHNAVpTp5bIBUkpLn4262vRbtlRMGaDv7 1OWT0gPSRTPgg3xQQz2u7ESA9CFLPANG3Tndn+LzP47uVD+anXXx+cKRcI0LRsnI6GneFRfbXaBr gOw+Bx2BtsZvxt1DHqnvKi4U8BJ4ZZvq+x8uQj0dZbZQy4LvIiWa1aLRyGaG3hlEKbOmvCDYMLsu tV0IQHQ9dUiJBI77pspwugySZ+isa0DNG88/loeM9aUqqZUii6O7XU1FPE5GB0556M7y/r0qKxw5 HSY7kKLy5rIi7hmECKTTKeP7OgHCoorRyPx9GxJPUd8eJyshEnGZ3khEywj4pjv054IB20c+DPT6 NHqu/WXjFm7PZ+7Zy/YebCy4xk2CsHYFt9cLlvOE7eRpoQIlkzrfQoZOa+6sRY5JI9ftsTqKn1w2 vhIYvHhgz41lUs3sVG7QpJWLRure5E1WwadRfevpwPPl9FFe/jnPG8FjcSr5QlkFIKEbJUDXC9Nn g6y0daCMbyQO2924EdQxLpM/f9pWLLwGNRjruJfLBTvnyVJSIwg1K7Bb/Wbkz/pfzCKcePJFJZfH e4/DntNSxLqQT+hd5tamdkzq9YbFKsCYEwkOy5iwt/hktNrscYdwU4EVt6HStruXV8HlMY7fStRA ZpswtR/L+PIr63OR0fpBhZVU9NnyOopqtnvtM6RKEE8zvQhTHsB8srqp82DQ0NwOpMOCZTj3Y75Z Vpnm3ngpOuDVqd0ykv3vSCbjr1AiCIDXFNtMj4kME7YRvpEhabj2m8CuOXukPldZ3dC46Ge8cCVq Nym9q/3NDoLOrqoKoO576JCs4oRdb2P9jsgsRYH8Gn8GCryNs9JCuDTyxk/vH3u3O8T49y+3TfG5 JskZojIJ0PM0CmrKybJdFuPczEBMGNidvEOLH52OfFgowmdK9WIIM7VPTnW/KHfwZKFUfSfHAOyZ CHjGnIuS77DhrlMLAorb6eEQ74KEDPi9LdvVnXL6dowavlVkWD7W57ayuZIkRx6p5+Mn+1rdJeUJ JIEh3QylvjJe+3ZlI8pq2wgTXwCIHxru32RxPqLrp4QAUsxJVOY1V4V2kVSbT5ldOcGDi7ZytgNM 3PuUmweFvz6Gu2kudyFccnHHQjj1jAKLhv5lNvTJH+IiRKpNyfG0oqwcEf0hpwGTkHNrhyzqoPVi zTKZXu31TfLMVw2CCx5LetKbmDkhvKShvQ6ukva5wjQZlVOSKl8TGDWhk/j4KH77EpaF6w3gzR6u Ko0M0myaVaL9SQKi90kneXC6V7GQuMZRqLDTszEhY4I81z+s3Eu8hnXrgG61ERbUI5/FXI7aCLvG LAirqLenPmr/p2o6G0dVfChNH+k11QgyLJLc7+C/iTrL04FqLdZ/mpAtP14GzcYlDbNFEpHMepbI Lw7fKU/vvr2nauNRV63dPklzgIanJKa8RU/UvHDSHkaNJe9XAEteu1hbLpdFVy09kNGGogph30B6 0KURvypTmqsRE0+ViINph/HThTnTHbXJsDsDGPCcdD/47ekpgE8XHZQmjljVCXJysQHVmAVHd03R AcFh1G80UYPJWfV6ya99cNsRnkqik5iOJa1TOm75otzm2iPN/NfRv3+raOsXEReRVzLmSOBtL8rl WQh9nPOSZMepWiS2/1EeQMf0osQUv2cMRItL3d0U52vnclpNFNShA02AbzBcUEd/3DZ7ngIeAQun zZvI3u7wv/2se6BScMaShl2K5A+3zKz6agId5qL2R1gwEdo5WErx2EVRWY3Q5huLX27rLX7CcWYo BDI4JLn4Bm1TnPrgewtbhG+6Ty5j4H1CJs+JAwvavBINR7zv+Nxh/J4RqOEbHEBnzmixQmb4de1A MgjCb7xqvPzDB56MUJCDLzmshEXpRHS5/uoOYtpucmIU7LWMD6/mJUl59/xyV6QKF7KPqGVGd8vi XUrZ4c3kwS0eZrAf+jyUd009YfRl9E3tN2zLUSn2odvB6P9n7vAqYWwdJLvg/RkqPfZxYvPNSMUA +fHQoZj0t+UiDMyRcyJ31S130MoouA7mxqJJmlLaIb6AAZ8haL2S6q9z87cmajMKJHSzcBDHn/Fb tr8QTrypyx3z8lCuzCojM7RTbtnOvjdMkgoUorxv62brw9onlHpBIdGBARDlH6IYgztqpcXi7dBv k57KfmeKITUWamP8yYfjAsQLJZwkKbXBZHdUx2DGlFxYF1wHSEA40n3/DTGz1eLI6HVx/6bdDfft TLTHu0jjph5rjYb/6M/J7a7+gku5gk+e/Y8xCLidjGKdyI9xTz8NptHk96gsSA+6pD1md8l06DOw DztxRUe6lfWSl8krMUisMDCes5b/iP1kzz44HGhSuhefot8K5nRFc3yI+3EXaH0az6nofMoQwAv9 g3NYsGDapGqM49PBqmcfhzDS0tGaQB4lTYLMtfQPG592Fj/ORWjy//h6pd5EAkKJMU5aDxjWTY3b 3aLZ+Q1SbkiLVc/zhcx84QTn2LjLitIpjQPZQmSc6XxbaLFoo1gPrzSAPzoUDYgz+3UHMhOJfq5q KTtl2a5CPYPi9oRh50jkw97uBDpHZp5dFDTa0db+gM/wR91q1aw+TL2kr+I3mToyfuE54Pb2gj6q zX9PmkjrfFBkCDQARyVZ2TutF/5LN+8sayzivfdhbvu5AwnecaUQEsI25HzcljSmqTHD/8PwOyG4 gUni8aMaA+/S/+t92+odxlm8hd/WBgT8eAI6gPvJ5+Y4PC5tEyt+M/jj+TLQfPzRRFGKskRZPZtn eMlLelK4VKR2ALHqShYlTJoQjhdjX3eL8KBWQpf2+/1DNgJNCL3MHOXZoi91Ow795FnGl58ofaVh cStThp1nlBaCdNt7iloHR1DCSrqTvpzSyO6JVW8hQx3gIEBLYGzIC1b+6n4pkLpCwNQIpjIDg83i XGuB7Ac4AbgR92TM+9SWW4/zICBYVqQtBxW8MQ1T0AbJwyz5iiHsq3k8tqVRfl7gMmjKHqX3HDDd WF3SOL14D6v1FWjyY9WJos9mGfT/CjZhUH0U3/wog6MqFjBmcrqed0bQ8kKhCrRlN8ruc3EdF4QE 9JDCy/qd6FRLL0UHO0+FrAp2lAYcfJgNYUhfFLmVTqRmbVEcjbTqFB2jFpPKGI3u29vLBNB8a3AW F2WRq0/ALMXc+K0et1CF7LEWOrJRa1U+o1LYttEKCRyu9ZcZrt4ZZP+7ZChwJkyqPA3mSG5VxAun QNuvEgMrPMLP3R3+ZUf/gnSF2rLjnAk89/n6fF5K+pjHlsiPa/GkyLcLhUjKXBbmKA/9z8d6iEJl P0RzLbdxEkCGNvLowzNVRZY0Uam3fgyveuTYzr93DR8u3x9ynyxJ1tWtYdbtZJRT5qHN4ipyQi8O fPWezMIwhcbInf3QNHhye/s5xyplx+6lwXZN83l1nPZyiOt+K666cqz/8qPYlpw2e8Ybra4WOz8P udBzgvwW72pdnhwN7LnNUMMO9KoQPtCtcm3hdJds4+fi/7TUfgd5A/XnBbNiup5PzIvzWh8wYHqV 02k/Wgrp+28HBQYvRgaN9Sa0C933p9akCLot2fJH5Qoj0m5/LeZxKEGAwi/tERjWJ9DUJUcCYwc6 5jdf4EET1Qg2YvWXwslSdpLDX53Me0kwIUoQvy2DnJza6AgzUH8iXeiR1JA6pKg+2WAOIGyeLVQL 6SgAOV35/W22B+KGUWdqzSnDZT17ovYLrEpZQJejd8pD1aUZO0dRh2P0y+vbuSZSHNx/aAxVHZcr IwvgIcfQAC1sJxq7x4pH3aIgKnIaUTdB8vGae/2DArivD9krVodhbMih4BYRzS7EKlwdFH44TO8e lNtlI+mj5xjwUJ8W1qzkHsyTd7wOMofC3D6Hi1Mw+x5fKc9pE82Aw5s0Zc8kMppgOUiXfsqjbzVf tde3eBp9ngvPQQot0idO+rai7EgRfVU9OdRSDKHofVU6a5tEcm5M47PjvYFe37bQ/dtH+BVAvO6U bTE5K0SKjbmBte1Q8r6CcRsJk7WuV++cO6cf9cbQBkK4PuARShE6anxlLbwOYsucQXvvup5iq5i+ zu5QJWEj0tuXs7M5nZNgA40Sbz8PG+yHxHxMdjn3t+88y99ypMgwhKV5cp1z2Z2GTppaM22ni5FN veRMKHPAXmIoM2uyh9Gc2eiith/hdeY7KDNeiI89DRJyPjz6tnRWXAcrwbqqlsAC5xMScL0e1TQj x6JAIjI16YDdbyP0gz4s0ekPswsLvSNYLMzoK24LjcX3iEaCjS0PiaVGKi6cFZZ4vX3yI7iFU8jk 87ck4UPHPdjsjBjw/Z9gMFPyQz7J+1nR3TuqaD/bdvecd9nqhHCs4LG5Dxc7SmGsLfj78wyvvjBA XCYqTmak24P2RkfjbRZ8LbFtB5OFmijAxPU+AnZaEB5CB9mwRKMacZuXzesu7uS5q3XGdAKE6o2n XYcUBjBqS/dJGpy+5V4dmQHdjIgr5U1SffhOYUrYlZP9Wlf3QwHZwomLpjAw5uptUWPq0UW8WNW9 AI4D1N5hUE4K7zpOz824bKKjxq4pyCRHvX7RGu3gv3a88kDK9egjRUbMnUeGhXtyhp15G3ZYOQZs LrJKWDfdaPoPDtyMHTgYW33A08oSZSUGuxV7alxMoOOmTKY0YrZBx+kvTG2xP0AYBNtWf3g0I7Y4 icv/gxlMfdHSQId5RT5eiYZ69S+5+DYcyI2GDEZUpqz1H95QxcYUWF2ops5Q0BooHmzvCfZhOD+8 XxxniTYKQZqsqYRx7q9hbIq8rwGqFADFo7XxqzMUPZFMcQKI1WdZrpiGYthHCiYKGOiCj3InGLkm wgT0gwcET87HwoLKuxaE42WL/QaWSFnMUzivPQLM0Uyf39QCq1111QAAQQQ7tl3TJLjAdC1+Ocvt nt8A4HR0BfoA5YGSFYcKpt3HUPDDQ11gC3iQi8fJKZkSiMWpYOZlD37S1UJx9nKWFNUzQKAEhfB2 eQpOYtFAURH/hvx3JjRR+rZ4P9CwAJovUtjum7AjD7Zg8miZCOsU8+KpX3vQC2N+buC2iNo40mRc tJruvUQHpa+DK+o+DOOVPs6sGOBJdnHhLEzc6qRGpboISsPjYc45mhrAyvuj3kFvWIjOEwwlXFbo 4Ebo4LrRTSjz3TzKAFxSWUjHboZe4ea9Q1QjvoqAO06qBit5ooS808OKCkP7OFfFBi174egWxGXw 2GqjIMEixrr5M++kGQ5mgqcfwfXzmZAbM3OpZ0eb4kc5SmKrlr9Sb5Jyvwaa0U5zzTO9xN6e28Gq 8TsmzgyDdiBLtTzGBDOHkCEhhrbJ4mQtxyPPm1IKyysWASw4KI3zqk50IJvlAnInbsqjmYtkg3sq IEVfxeiNV4Nw+/kfQMIWmh+eIfH7mNV+IBk7Vk1S+zQm30PvwEIBd2S9gergNvXsbMbRrZsJ6tEK I2a+q2EoK0pjNBDvAvRFkbjWGko0oIc8OvenR5IWQedgNDEfYogGDZXeLrcxX9sx3QmTgJHZcLYH PsGmeAsIfiDWBXN2kERKSLGL7ajjEyTpWPHtD3hMgqkUWA/vBzC/J0lEnpJKoJcXnnzlSxW1JlJD ounaqP3ZYa1ZQ2lAKhR/CpU/BHClP/vuvazSUsRsQev39km0pki6FtfWWIjY9e90MW0DWBQhYEDp Gu4BQjcksKb29YAk+uk8yz3CWOTfxO0NuhiYK/7MCX/vImjkUCZQ8IZ4FEqrEF0btvNpuUgZCpdv jNd27hOmJnfUzUrCdwoa2yF/EeQMdLnUCVKsj7eW60fZGj5B00849S7nUjiMbd7cVbc1JeIG/ur+ PVq1Lyy666odUQxldrahe1kng6q+IAqFN9TeuOv8XcI1t3vIqk+dzb4SxdHARUaAyRELxiB68xnG bq1DbIYz/dZRwiKptO+LsdyBW5PzI3g4aZOWpILmiSdPW9R3oGSKf8ZGyCoXcNoKuY+PY/syMZ/L V+AcLspcAMpD1SevMFjD6Xphqc2NtzDnsDWckRMQrTusKiZY4/ye6rVDkImzM5/BrljSywsxruTE gmqaDb03hSLvOSl1zq0jhL25hrP51NTcmx+c8cP3+KTXby+5q6KJCefWNdL6ZcXntFA0n43d+kmX 9weyWNSowhCPyHRs0/fxPalCGdxzHhH2xuwUzmbqRTV6ymrlRI9G1hkNMBhesBQgAe3fkja2hQPJ Xp/n+g1wIfRq0wMDrIsXHgi/p39eAFsmw/4OtNEAxsFk4ysTMtr2/lQ6gSThTXC8xD/raunLDWtQ ohW2oItq6gFQP0nIzpbvE8QK7fDwDNOqRlUENNR8hTF5anB5aAvqdImWedZ0IGmgS4pYC8l6OKum byGdUWcXjGtELRtMSGtpUlMijo6YoLq+h/o/8e5y/4vpGKP+GQAa9EX6k6baLhSx9LLkmYaJARkA q7UaYjjWJcHbCAiwSwUmfGlxn3z/Fs8zw7ras9RQ1v2YQWUljR0+LP7buS0cNDL5E1ZoVAaoEUsz x/2WlLXymt1vNBkfQsP6+xIVopd3S926Rd2UCs7Dlhy59OF2pMumziVcf73QH3WM7ghFW2r8j4Sa xDgOXHb5XzZcIymNuzPhI7U8Cb8KJ1SndkC7V/J16SO180P8p7b9GnprTyC3FQcc3HFisjqPqVIt mN/kOt39zORRw56RUsW5GW/zOCrJVFb9WKUpeYFUUDwmU/4Sib2+spN0bCAIxJPtXQybODYQsF+f WO+pveRMHY0gI1tr0m3Samgu1KXgTtYrD4CDP3FoZ+A0P2sSV7rk8SWfu4fjibgti91nAH+J0E1J 55enJPcv9ix5gspZBMWGE6L7l5PgRGCVnuipuP8WPj2ZQUUoXVzpUg6JCbA5bO2qS3NPVboKWRZ5 QcjrWQrc8ur9rMhFF8KAtoXIAF6HheAItBKJZSicbhgXP5DxMY8wiR37MkerD9LjLx2XL04NXrPD QdfChlU4iViK4QeQy+HykIqv+bjyZIXmFXEsPJ0w6UQ84jq/la5ICRevssDD0wx/qXqO3EURBvqM QJOipekNfN3tflSX3afKal08dWabk/39V7fVrje0SVD61ICzqC6+SH4RlWHHDUyj7HWFIm6lv4wj aXlj6ONZIDKwP/wQV5Su32BeP1gUeoGsHdqdmMQNl5w5FvtQeaLwAGK1JBCu0W2TijXFuugvX4MO Job/8ZzCT3uokuFbUtrqQR3FBcOqchgyDXKtCwXFZmkJjoOiLYcohZgKASJT4cPUngJp6upUk8X0 dz4zJUSsYsBiQlTxbfzFg6hOWqGsATze1yIKi/pbRqWps/szfEChrSMeCkgruFihWuuvshvW2/kD IWTMKduR+a7EitosZcWRXOBxTJInwjm9kLwXQwRubeHbG4YsiBHuEFEw8OXc4o7gSZyEAISmeu+8 5qNmHJaKGjVaJDrpjImV1AnQ74L42Zar1PLpbmdbRIU6t8qIHL/LiJykAXjN1ATcm3EG0e03BKbD pmhGJT6i0jxCfgTuYBZHDjsHtHtrLP1sptJuymC6sQvMu4J7q5fH/0V2WHabE+LTQPXOQn9A0Fy+ BwPX9Eow0nfI01tDklfO5QeqMJRYrgpBqDWgHIaxKlSJcm5wwenO6FPY35j5GGisn3gqfZnLvlG6 3CvOSyR6t5/USCdxzznO3R7gad9ehNE4xVsuNf7WO2YCLUB+JhrndatD+LobIbj++CsGBzvUF68P qgCWDRcKRmNK2d/U7+yyfUaNUBivWfyFTjqzTjVSHpu1X99INkAJe4czRNsH/6Kmg5ZesB9RoGCI GOacNWYj5Oa5Oo1xH5yEIPJGAItpn/WXNertMekrqRf5iPEy7lq+2AVDaJNHn/qpxDdIl05S0Bf2 thJQlhic5/DeHj8udKvkybNfaxFnucYjsOlRXY212O/dQZdChzv6gnGJMnSvLXo36fmS47Mpa2BE /4mHvWoeShGSywX3IRCVaZwDwI9Yq3L/ZTVufHtVds05B9nz9NyAqGNbGOtHjSQBwC0fUXWC99pr p2+VREMRqdoDOLaVVEjlwXRAwZmyoJoSCVDh1dxgkLb27xGEBTYh6Nf4W2aYvpf+piqHeBhjpqyN ZNATx4KoABCBmrlH9rorOx/8aKy9wzQ7MgQzNvEhWSO/XL9W96J2M+tXJsES9g/fzewULmL3m9cg sQaybJycXvtMHh8qBxjoSU9UctBZ/ywHTqha4TRzv+FKvEe7ekk6xE4tAMzS1PebmatqxoV+d7wN HAbhWDSzJ96ZNNE7GMujJ5OT1PtBLYrhhiXkzO5/KSKO93auLusLcigE/erNzuhymhqbRT9AFRRO AlkUzuEYaIgMWEI19nmqSCbZ6oP8kJuJgjt225/iAQ00OJY1RvfHUGPEL/23PnEy2muD+xokV/MJ 0UhgN9DJD16d9LS/Drij+L7M9yWfTLNRlvPsbkD2lnlUIERDt42DTzFTO/D755nbedNCbPXfwjtK TCzj/pO/2+Wg9AGTlKXflalkc0wGBTZla1VzodgX/hwUSJLzOo4KRssRWsmh+KJkquK4UwQs0ga/ F1oKdH9GS+vMu753tbCt/Zi/jy/V8IbOq+BwsTmN10W27aN7OL6G9jKIoyMOCgbdK30R6jDSXHLJ c1zJ5pHI/lPc2Csop/WpgquasmI2mZ+r8POLJneEQFpuOKY93pFtIWgqnT48X0YazTVcoZnbx0Ym Kl/IFanc/ckWKrFpqQCOez/Xt3Stzae0j2+WXURHzgeCozfFy2Ao2I+ddgju3S0CMYmvcRhsaRFq TwKLhPsBWAbVr6jnzISn+DF/sUx/SLurrsSlwPcKErG289hJ//rMgK+bFIramDHy6lvfL8YKUaSR yrAJUwHnRHM3OsQNpCQqzUDPiOhlTknH0uA3SI+wUhy7CVTVU25lCUvtzbh/bmvJznkJJqbpNs2z gsAlZyFCCTLc3RLPEDQorsENXfPmZsYVGDWRjUxcAPv4ni68WqLpGQ+F5GZlq4mnWRVy/oJBqBmp KHSZFtpxxYL/LbkEkawui6LPGmfFKVsEklca2TfzX5CaUjIl35rFmO3bSa9zYzUxb436sKUL4zVt NucTT8gUNQlCYUCEGZkPgDP0Z3sr7Q+HFlL4hr6aW6udQcExQ2nufSitsExGjTth5HtAoWS2vaAP Gjc6jEU/V5vpOfL7WC/phMabJaCK/TOB/S+s2chpRg7QnC4Q3rozcSV8ZxYGMGTCs3Ja4tstwpjK GzsYXq9X4wHM/xrJmTa9p/Gqnp+j6m8ZE2C911E9bys3S4sqi60BzjSdfn+1vc3oViKT8Ci68vOn YsKP9vOxKOqxWIEsKQT47pDNU0rK97bUpuMD0Ra/Hd0zeQ2pmgUI3i7jMZibLP3SnyCmpSI3xoPi OAVB3W7SaOLcfI1rFdgCI+B265mD5F5eMTTT2rv7XeoSsR86FvCPnJwQm9w6dO1ZFlZaqRIdPLeC QZjeKBYilQRvIHGHSfb/4KROxziXiZJdS8OauAUyZE0EjehEwDmUd0tsBs6GlakfCanv9HOShdbx Rj0Qd2c+68YW2p7I+/MLqmyR7fT/kQD4BSgkz73TlkErPsyNBZhnylLfMEThjTHJzMfxQzK8SIaP n/BO4JXVI3JHhC3tcqKrvGRUhUWTSnBqcqJjJIeLgE9OM/XVEVNoFgt85TjgserXVurfFHcIAQo8 3fHRPVpmGDXnU1iYHuCTbJ2mCCujGX2cdPnlUnw7Ha2vtkNwkGI4SA7zgFW1Rl6zpO4nOQyMnfGj p4JnBsd6zjb1nS8feZpf0PAt7iKjEQnFkOUz3boAVLPynU24HTZEKvKkerB+8eBF8qCVkfVoEEZz UYK+kudfb5yQacyDn8Ui39nrnC1LpLHnMvFoxIkfdwfiouCeDCdxAx6wAFBVoKCuF9vl3thwyGyq pxF8As/8/UVVugCb5ewvOJnvSOItr0viemiKExC9ZatLrkC8PX3MhfknsmI/af7V4snBNTxuaYS/ OSw97N2MzqTDPUw0BCCJohSY1X8qhBvd9j87ABfez/MAbZOjL1ofsUn6XynBGMj72MSGeGGHLQGB fw0Ok4vLpyRggqe7lu0nR9pPVFznA0lv3D6216j3vnxI46t32NGjAZt8YH77V0I1NGOfDKkpLg/g KJpQPSKVMg/LL+Ib00W6giExEKn/Ev/NaaC7wDSmFqYusxS+RsMmTfadZZktnj9qHh7UhJ4C3TlT 8qjJkMpUi9EoSCkrJ7GfzoRJfJCvhCsYZbvnVq3cxOGVEhILzEyO6QPwbNcgbQ1Acx+xjKpUnYOK vKe4LpFwfF2H+6xvTPar4UwlWQ77cVNKA+mEpTvfR8ESShCw9Iz4059m8glOsGZiLT14q7cBtahh RMgZrQsHNfR7VYXAGXohOy0dvIEs5P6I7FGoRjGMGbbLADzpOU3KdOyzJrOwuSh8rD1xnoGpzzgG tYE/wBKXf/MODH3j+8+exj7hVUZqk5We/3+IAUFGt3iq+DaK7fESGYKZjk6m3pI1o3rxAVwFQshn +xIv7ltbCPWY39eMUrvDpVmpMDNsAffRZPK3mo5MrKP4QOTnBF4JVU/fgBAXk9ZibF/ALuxPjLE1 B1WD/sevq8be7q6GTQSFjRwTCumUFlzqvkY/fo/hb6dlhJM5NgwGeRGFdTivmqmmyh5emk1ahBU6 R2k6pHHkU4/RfumNvwxedHenmr6YnL72b65eBOqhRaeZlgH+ENSzLMfhi8HVSsQv2V3EWS6Ug26e yP0dFsVFIvj5mqcivzBTuiA4O4u3zitrTmmAzruhDFQLI5i+Ig3x4yIKAYC4dq+mqFnlK0RRScuO FU+5I5x5Hw+vTnv/lJWk9nbXi9ccD78Ah3MmyJ6wuMFeEKW5UxKbkkViKPGJ+m9jUJtyGcq0L4mu a3W77vIDw4Dn/a9ArT+mc348uyOCYIIxW8v3Nw8JNB2+1dELI6D4Kr42RMOSnfK64s268f/6072B t1+H30CEUGRl+BIsuvvzWHu7lQwgFq/3rhXtlp6AnZYacLGFUrDiVmcgEM4B4vsjam9X6GsVqRcR rKKqENLZkwg/E/RDSve33kedpzIRGVgbJmoSctUirLXg1aF2fk+ye+qwYOOD9MqKZ1UPSwbkdaTu DX2kjjd3eDGtMosjZXOMqsbAwZFlN5mFnnCuNi6FlHLnqLJ0NL+A/XtfcVcnNDBQ2B2zGvT/b3fy y+Z4ftQvZIkPzHcFjbhALtiBP+TM3hxk0eZuvMEyBe1OBLc6KUtl3SFRfQSb/SQLgmwxXODgPKKQ nuK7sRQH5lSqgF7zHKvUIBj2jYzpztPlD0RlAOmMIwlz7bXdTHsvtNjMY3Hm+8+59akbgcKJKmjV D/U6FvCETN80tIt52+mtS6mj/TY/EOpHyuY4HdJospswgn/WU8heEeFn0HUmrjAIwFLFCFdwkhW/ 5uc/cHHjQy87jPWOCK0VPAApkTiU/DF0m+iDEGvnrgwyZe5SHIL+wfj5m0KMxwjrwtFXTtYS4PxR BoOU4ebxWEt5ofNH441WCPT1NtnuQ2JyGNwBf1E24cLVzi3k5dzjRr26fWxyxUn+EehTFfNremGn tc+9iflpKMLbbhaAOVlwnDo7mEflQwbuOzrd11GKbzDZm82BhINQdOOQd1xw90qygp1NlJnV0C8u RSwlXRXKGO0PveDhEFEUfat7Xy/+V+hxGoJZyh4G5r13Tx3Z2+SljxsDjIikqPQzdNUOspQcatl8 RmHna7MVTNZFH3HgEEcv7fsHFdyEgWQ0wKo6cpBV66+92mfMEtco+q7pyyPhzRj5THY5LnQ0gABP ZyQ6l0D+rk2J0LBIj+gvjAo3S0t4C073Nt2MnSLZXGzfFV1yLn+kELjcr+NPqq3a9YwmY8FchSW4 VViAWi2cX0hZgsbQbHAh15XzokJDKi+dOSqDxNMC1+i96+Ryw3TrU7R6Q8ycwPFQ+wSb4QaGVnaF hXStycl6B50OO4F4YowiGxP00Aog6bLrlBTCR38EDu+Sg7R5O7beCS+JnFaRJyWrOZP/cCptKJqg VeJI+rAecnoxfJmp1mRSJBIWSO72ryQLF4waOJ/Z6iX8fKc41w6avn2598KopnRe0TuhPki78Wyr vaVrxVwZJCkH84lqdjhtm9X6gbuAR0NSCXge3qz8tMjtvkwmOmpPfMVruQCxExJtIXqHLFJhDBha ujAyBHlw66A+6LSn52ik9c9aKnQg7+W98Ub7r0vf9iqVrWX1fQH15xd+gGC873bWk6Xbc46YOe4f rNxulLB+t3Ew/b+eC9Dl2bkDWuywOgj+ko1O2VfOz7JX5hykudcKc/sg8NXhIwskQ9Gm+i89WG+H r0sAOMzfZ1T6rcYWmZnOgIW7PJtAi7+SvzeKzhfyFHG4xR8lmU5RwpIu/b3jzRnI4vIEAVSqvXbJ HUrlZ5Tl6CXMMTdUULeMS736M92NMTVTTL/pINqw7rwwF3bXg5oMbqBfJZnwkQ0wa/Vwq3KNq00i 6p5CgsuHZK+Qkx06u0NhxpK6FyFA4rv6cz0oNwILGXTsQ4wT+MW4FA0YbVtQ9u6xFrDYm42CTazt QzY3Fq06k6tEMcTPXDkfirMFsp85ODUHrKEjKkUce9ko0is4mWLHdPsUyk+fVdee3ygCT1M3uJmE NW7ZrGi7wiX7TJsNrm/WXhlAe0JVFRjeILNo9WaRIiWbUzfy4S0dEzE6oa+g7t3tS5phrP0TE66t 9dQAxDl2iscf/jwsbr+LU4w2GOoyV2VIvfNJDPd3+oKYDZCRBa/McClsMjixyfpn4fTjulfWoczs uzacKj8S/aTMsiuVlW/Ghbw4gVOCyVlufdnqeGBcMO9ltrpPeCaJ5bWQRxL7GDEq/k5Iv00fIvGY Ffi3DYKXtuU4SpTM9xN9HmUaSkGlg9Ab73q9hhoNXxZDK9fkQjOKdfaIv+LUTmmu1/FZgZ3/BlMM 6AEKYqU+wVcGZ0DqhyfGnwi2c2KH4w0N8QZVSwljAYMxmuVbEMobhGJ1OW4U1gMGz+Bx4WH4nnRc Yd3Xy5YBMVCK7Dfghb/bkkWa3pUmz4xbQDXcozCfana+33CTSCCMnHgYusvKC/ZpfkrU4f/iWY8U ecwWonbtY+L8Jx4Cr00WHvlHMPg8QX5FAp9h1J4BwsA0Q3KNltnpZ2MouEk/LAPqlKGJLY4vYgOt QXTvGAe5NZMwWJJ7UdyC3ZgULVVeDNrB7F+E3qG4bASkJ0hGfGFvK0S7HJE/cKdZM+FJ1tl0IQlO hbdtCw9wltj8qDXJLqRQU11df4gdzQ/GHuIQD9yj44KUtcQOCczc8e2S0hU++InsLg6h8p8TjBm/ 7fBpCpYGQchLWGsg6LCYrq4UahZv6k/j6S63qdqZFRqZuufPOaDaM0WrzSfRRYWwcGjSRrAQeJP6 v52tqqePk/rHUaUX9U10h4+tz6OBJNW9rvk10QIbcUAaWwHsdL5Y/fQ5nuo3jKRbw6lUtIRsL2R0 SnbV3dA1dJvnpX3GuS8Eo45e1VzNuFVbhCsmtmQQu6/BWh+piwRaF+BFfSg9G6j73CHYhzlBudoJ UwOMVYUAT6F2xoeEsNZD0i+DUkyujc002io34Byhdwkiv8DpORLuU7+ZIfNx6YimU1eRcXEcKLDo 1kWc68GThX7N/1WXpgLfQS9rdnN32o7nhR48uanXHQAC5f50nYD2M/fdqn+Q80qKw2gMNfEtSU7f CZ7MmlZ3Lo5z/oDPQZqDhNfBgOZ4YYXfSz7X7SbyNXKxz57HYiFJbGwhMJ3UuNnO/dzaYmbhYF6M 7iKio+CGcMK+NdS6jck8e0qWJEkB/2zfY9GU8fgfNzYTZEbzftvuC2ABMgg7FrVL3QWmO8bwgVPT o8/7zLP2/wrUkyxeMgRWfzuK+v9K2ZPL82FE7uJmkxtjXHgy5YV8ii/+JgEm7VJrn1NQlUiLHpj2 aV7bGlk9d3jqxqWuyFYwc9gFsEK4yAtWmGV3ZyR6QicIP9dEjhZS7XQGvmMea2fOC3LSQDroJ/tQ lraU34xdEioSfhp56dKQCG3H+A96fAHMr9LzcvhNkHdtXNpCAwUu5zHIrLpNjlhLlEfiCp/11uIe 20YJzSfA82bEJn08rcUaYkMM83Rbz7qDTjNMGHNqOeQBd4njBCBQ3rdxz+3MxVBCZ/fNsPtmVE1D 2n1GkKTC7QxbzjsuO9vgQJD+OUeGhCIx+SmfQKvXKjYIWPM55CzpIy5zE3DccUc5F4lX/5b/t54c hCHzTzLIN4lLzQw4/ucdc6Mq/KR1pvOjK67eg/xceZ629VTSHnSO9zcBqy1nh8eouCX4OxPQs3ph Pyl/4wL5UXha1tIdsvAdl20tuJH3emU5KwapMRpi7yssRzSBdmIfTnNWqTP1blM89OJEGbvxG7PI EC+4EHaFHGVfDE4fYrZiuydgbw5ncEvAqSTrIhZAdrw4SdugLNiUEuliZ7CTP2UxQN+kQ1YuES4J eX+WncMssnyP7TSrTanDT6Z2UpYosZcETetLkSb/+Bz2sQVTSM00KNzD7DIPvUc2cAf8+RMWWgsV Di9sUA8x/AJYIIhvdEX2OjgaqYzRCAfQNtzuVQEK2q0G5Szk6XPY3Kit+WfjgLkeQt/AHuX0N2dA hvNP0KpKf6/mxQL2zTrr1zjALAD7GN0ZCnO8KmP4kBh2h99ERTrrm0xu1xJylICD2Plkg8jz1ivz AU4PsMVAzI/a6jFi6fk6K2MmE4TwzRoyHUdR8YRJHL0iDUxxWik79uLiTrieFoK+scDWvIjyHtxr rNevtRqKb17Lvwo5NeRpt4HuZIK5uRHUpVnjehtizJwbUHfFEgkRq07Z1oKAdyoy8wWYyfWcNIS5 +UyO1N2Bd6cjnt/+SJ0kjHyc4mX/8yVsJBYIdH9NYHzD9eJq1b3e0WB3z5rhQry2hq8CwZzUb2MV hbMm8TyXPN00j1LK2n1JxXhlsE5kz3EPbPoC+YsJ3PIPmPfxG56JP6APvW+z3G3hs8yUbGr9QVZo dgnv1D1kHf9dJKWLc6maTMfmY6Hjps59y8KhlPV3gCBIkeFd8yNU7CYBlaImX26mskTALkGIN732 PfpCLW5REVHbZg9rj4xBN7RZoS/4puPQpG34ULx3f2BpNx9VAzNa6a8WeP6RhPDiKsOFyzB4G+N8 vbGu3qD4toLW/HoGDPA8RhbmaH5YLu2+1bXrGug35fIbGRShuf2hhO7M39e4EJNOWmWf9L1s7mPP KpC1O3lYCT0aMVAXHZNYXsYvpCkPzbeihdi8TjQRVoxy0S0T5hYmkXCcPaEfDzsfuaxLmEsMEBYk 8hjW/g4PIH8LFrFGzF8S7BMKJ5GjxkxufVsiGkWNjYOQk1N1KVlU82aiBZst2QjQO5vxUY1DCIXW nSz6vKHvoLoRMn2ZsSbn97u4kj9vfN9UbeXSQbJF6y38dIPz/nGzRP7H66+sdAeQf4Vkw5MU0OOP s2mx7EsBmFsCMWWO6G2IMyy/ktkvln74heuHTZhJKeLsCRRBLmO+vZU3KAiuKOPaDQ+wswgnZxsD O/QdTccT9b759poTEXtTlwYHhqwuxdGpeoGzwjo13kxD+JR1Un+0VZvi1gD7755EUBYowmyweOY6 KIdrYO7S4wVFzZA7tQWnfFG65QpCgepM35o6s/qeKSlrVtz6LDeRF4pDmxlHxyJUGQvkcVWbKUl1 /ZQkFRFJlqNHCFQeSaPuv8Hl1b8Y8fR2Yv4SCm5SxKmU12829YFXOr+m8PmyrvnxBX2ZupJPVS+u zYx91WcYRZLrpMxePBWybslUasxxMjqnC8kFJJPYjBsirSHzf5LHBorUMiKvfDy+llZ8ufm4DTXM XWycPq3MMLpKIyiguEQpISqEowxyuwWV2BfHqRFNBEtM5jGvpDajj1JPMMWi0UNQsBojhHkx9Ne0 zKPUoslSE+5pk2o0i73WP8AfhZKkTlLAmPLJ+um+MOz+LgTEZwVwUW4sQesVtpjzZ2xRMA4oDHHT KYUxZ4wBaJmnHckPXeeZeIYpRzVW/zp9XX58fkFs8mV23oRM8qTG94mmJZzKj2P+8ON+i/ZK6dDg Vo6QFZUhdl8kPn67ej8OABq3QLAVamTztZIR8ogR1ZoG+RlmZ+1YLrk6/l4IPXjzlJKbf3luCOra 3mFJ+Z2yno21kSV0MIk0QIFsGC42GVZwV5+FXTU78KKopWitwLNIGo/f/YBpnaPDfq8EDErjojpO JnjgOatR4ug/rnsYwpQuMfCes2EYTS3hmhdHSeLgJuzg4bRy+fMQfMoXIIgmtHs6KmX57hctSvNs ok9VLFPGdfVyolNVxgToPFan8nmjLr1/ySAbcuP9JDZqwW85Q/+NY9As4DsddM9QUoyO4v9oFpli nW5BpExao7fHa0s73HLxGSgqIwa8NRGXEvUhEfTSMv0BOCKU44xEvGvdmBHvxi1GI0mdqHuRazwk 5kX34QYdqIJDQHWGpyV+d+9egZA1orMf28ibe7FDcBJqXTXGz1/Ap2vAB4DqMmrh0AAJ9ZWKxub7 gj+p4WM1ie2AOareck4sRAJgzqlR1iA4+avy8xKn2iKXMn9kK8BkX+nvOP0N4uv1NvCVtREaZKTO 48VCgfkx9UsuEronP32XGL9mDQS8fCk9LexP6Rcze5MLAGDqRwqjTMOrumZNytpjxIpPxo7nThXR VIlOq530F2CPrc/3E9EBQPLD0ebdRtGgkb475H0Spa5FQ7r8q45mctYwFxy1WEzi9mhsOG5Dtunx BP44ioSYyU0EqSMjhFNHt3B228W5F12SWtgljwHi72mRLhnqAu+8RtnuTLLWO2284PqxKEF0sDBZ RsRLnPt9xFXmN9PKnuKirGmzRok/JyHUBKB7NgOpI4fxf8ELmRcZ125NWJo+H5Twf5UYPtwVKZTe uFKFGNBRVtq4avVPSxwshbFH00gx7+jy88CML5yn4jHWzaFnNEZS6mKQ61oQsV/w5Lw0QesOVkYk xysA9LDW7j7snFPFpDCI3DkQGX4flcHtd9hPRxiIU4ogYVAJQ419FaBM/TOzRISsCutDIUReRYci +Rczl7gKTcF2wvccpi4Z47HaBlb/uYbmq+RyVLMdShxlmO4gHGg0H/Sjrj6YyzuGL/Zu9ZIO51FS pPE/PpFej7hKeMu9Wz/DVwzRuKEH39mUBDfnLBUpdvIKC/+HUeKcn8yVwrgxacklA3Wjh0KsHiWy elVQ35G/PYGLKKM0Zw92Tke3OlHb61rKdrd/QA1YsAPQ8cisBvHA4fkq+Vj6K7R0En0JtNTZQABF 0Ne1GeIPKxJxaJiKoRPwszjg+RYg/yFyusL1IgXZpV7uGVZtMvHuIn2qmxlwn/A8YbMyocX14BnK UmNKp/4OGl0UeosnqhhaduXFuZtkThWBjS0yCY2Ffr8qgsU8c6XBPOxFwmlGYApNqd5KQU46aKYn +jg/CdglSykm8SXIxI4rxe/W5/xUmwRHFWIN+exKHtuT5bCfKE19VL/aKiJJhd/u4Z7KQ7sy1k5j YW47Ds377VpxwReg9LOfOxh6dCY8Elzj0ntcJcch/Sp/MUtPVo3mKCPRtK2a8PIuRejj5LgCVLDe Ffy8E4IpU/wiCHROZ2rVBiBpEedWV91QKiBzLFe4H5J+rU9XAt3lp40V+2xSmOsQrL9Krk5m4Yr0 6XMbMTXBDViExROAmSa1osiyZ1BI548Z5zdCb0SkofAEr1754SjQB2p8Y7htYTyyJMJih2acuV5D ivxWn7WoRxuxOokZ3BTapMhF3ZuXfko/2xJLhX39xj+NFa/DEcN03gX5bqbqfLWxs1KUZB9/clKI 0Ise3EOfmdi1pl68ORztpmbQG2edrol1YfcsFXL8fa5gbbz7LDG5TWIYape91He/nt8l++NKJLEJ UJcH07yZjXMdbPUPzSroxwvHHvL5GTvz6MJEW0mbNqpHN0iElCrbM7diq9CRvmLWsL/MF4liVMN2 FFaVZnRwuEk3c66YGRFot1R2K82DKrYhKMgM0lolZT3yc4EgEnFJ+lyhgCIMumoaqAcEueWO/qAX qRB+N4Mg7rc6HyPBiN1ShP+HfD0+VDYRBt2hYlZKJxTT/24OoxPZyvtgveXA1+k+FabEFjYXVM6H /MLirtR6QYtkrTbFAdoN65DOHM5N0rKlNHlXGV84pAbCNC7L0Zgvo8UWLwSoFW6f/XQW32hlHtKG VqBkUc1xeBoQH3/YbOlCQ6JEESeG5aZZeBrcZa4F8KMJ3zsq/LAMF7V+rm+Es9jOXipzYZ+n5h0r qv8Y4unv1x79CURK829Us0eOSGPW7IaW+QrnHKWzsHhltqP+xneWKlzwvqHZ/bpFUbKZdorCKP0Q 0qVRoGZzB4FMUtSVvPJ8ZP9pOJua34Di56+BXiclAYV/MVbnmJSIPyrUUree1Kb4ip974W/jUk7n qZxV5XtyWaPXdt7o8EffuCuVP2igYMsgrus5iADlSBAd4Vb5ev4K06pPpxgCIqDXEIeoBLQW9UYl WvdSdKf6gFBNyFZQSwH3QsmleAzo4gj22ZCxHSnyasW76A7mnOdBIHYR72FJRsNeu5j0kd+G/RvB 6brC4jCj5lSQIf+/i+K7V9ByUvvwapDPfZ2frkOPxWm12ku6kwO1jWuNnVX4y1g+s423XodVIKYI 3u2b21U7sEYcPcZZx6dTbF1wxxTXJ1A3bsS8wki+umqLQ7MJKQ92N6MX9GK+a1N1FHGHPbhPpFyS MUNd3yxVY8EipN3GG78AEYWGw7iO7rUFEv89y6P1X851PyLLWVpJQv+1pO2g13jkXEXQxjqdWGn/ be361Rqn+nrpU3Av+0q0I2N4QrC3MqcqNlvb9y+DAxEsf8B+uYR/EXYfeMPZFTRd6eFBwsfTe3hG 73v5yBR0J31fmxVRnyJmhaFBw5vuVjgO4Sm44GXokKpPnH8kTnUsn9FGcLuF4L2E2FgAVtkGdGru cxMhpeIBBWqn9x+W3te/Ztl3Lan/xIGbwicnLkPx35gPr7hpYvpb955cPXvBW2oYmd6Q3tLFexh5 djiW5v3vVJyKDuLInSJqa7yiDNLhGpVfVAbDHq17CKRFy/wn5spFfFZMjsreNR9NV0CZ28aPJkoS WP1YaVOJOD564O4oA1jJVbKV/pliPjLcCoVNUuLEa6BfKOqW2Fvcpe6WTKWqCY3h/eV0/+K+IR5J lhqe0CCy/mJUkqwtw6l3gsxPcDRglpa2B5xUhThGk7uDdg+UsStdiixs5YHB3hP9yAr6vt+93Fw6 PQyJnZoeL5UWOnqGRMX8EvWX4pRxU3rStB87pOoxmUo4Pjml/BmEZaCXXxIJAw8yUP5muSuAWkdr mEtFLFI2Udo9yz0iwg0c7ZRUD4W62BAOKRYvOGytx87GqjTSiaPFna7u0q42uatuW40Nl3oqm/Rr svRpGP1iqZaBUVoPno6f3KzU0KPClP/FCQw4ZsG46Optgl02Z+u48af0vmYZ/mZ/YnQwzEif5uWH O4RN3dh5HXAYiF/m9YgxvomubIqBQTYXzzv13YLAXVaDRRJZyzz0MaTkFAHeDeKuNorSJRcudh28 6ag7yueQAQ1uKJgIcfOPUjQSYSTzdXkU/FKZ7tIYYwx3Necysa4cmVgx1HZYOoB1zibFgqKi9j/s Hl0a75QAEjAG7XS2IgqbrnQnwary457dg/Br79uhBisZf7Er/Hx93o/ji3tjapioQrCFGt5XusSv TyM5Qb5wjavPPvojdK88LWENEj1wq3pNnfW655hQLitkAkLSM3z+V4MLSmR4W3uVntq58FTyQWo9 l67+pQnkZKHog6dwnnXMS1eE+d/8pIjlutXBIYmbaZGxZm98HPxlnwJNNIXam/F0rfQs97O8+CGm X+wmjg2KmoHNunjo1Dl9Fuux2/IseKUZYFwJw9QhORZNeNnH7q2YVsW8yfZGAhYSaXU/1Ed1fZ5L WEiVPcIlnURlacnFu+8yvkS/NQTGRdirM4v/HSn3pZ/NT0ZHwoRw6jNagH8x+cgvu2iauraKd8NO 35TQHZEvh8+T2bHsT9WHLK3f5YWujMCbhlYc17qRSPGxlNFExEb76cEYuN8Mvt+NRN3leNPsqmRh QSNgj8PQ9+u0Jbkks0t4jqwOcinOjb5iUUyVaZ8bHTIW7Hw6RMew+8KTGHlXdGtX58cbYdm1o2E3 CT26elIZCYog8k/YRcb1CnbO1LIyQwL/kSMAoIXpBDY5G5Cvbv2EEAXBgWSbcBWrD/53ialeLCzB kjhA5Ts9gZlWwXcq/Ixpev/m9Pv7ClQxwvv1XrIVa552AJiA20BP3X9wJT1VeJQY6u53DX4ZJwfX +vKtNFnlMUpZRA0GO0evYYu5q2IfuwUXvvS/IRpvwx071uiWLLKhMUCtdDejSHRFJaQYs7E9Yhfk zf2gj/5XkSSTevxiPrE2O0vCjBheeKHSF1MEi8gKH47tgmkEhbWFeUNYSx6+4sk8uwrIli8bKoPM vOUrPLy3TjK1Izu0tqIDaY0KABw9e4p2+P9/woCvsF7UhWaXU121O5RiiPkVVUyZP7Jv3gGzjwyb +pSqY7ZQnEp13hu6oNprNEg78rCaWhIXFxVG/BWZhX8+fAv9/dphHuphERIPi5P9AW9VSkIp0fHm 0/ejStfDgrZSPU8cyIxsZshvbXvtLahPEaLgcA4WNrz7Ulfd4Ep6LLxH0yyzWPzpgzbMhnI4TDcU 5FwnlytfiMty+I8uXr3GK3tsLxpwywUasNIBtdkQikqeCAJB9ggGFs7wSINLL+VTtHUqPLFwARtC ySXbxA3PCsfGduZCCzMaPcF32AAZqw+mqIMMUwiZfngjaJhkBNOZ39YM2nsIzJFHAvynBEl0L8sb ZpJgBuFj8BFiWYtM6Ofi6upNldA5fVhL8uLN380CYDyD0Hf6f89xbBxpH5oUknXxv/rWNpVXPncj /JBekdyaVBt7umTNRXcC+BpPQQr9Y5yCUq6V6LUX0tCJawMotHqnz1Z1+zbshrgD8GJckJ2rezD1 CzOB16/pjd5IfbWqJbizUDnLDOtqXqvjNEagfgBC2h9E8Ul1hdMvIoBdIiFtye8wtNcFMH+Urmj6 kOkFqfC65FTKXr2zFHAIGO+mL/dwT67UM4fhBcagVPR92s08+ZeoO12m8jLVybOEsqVKJAQ+plKH v1WnEt/PCEzWeosDeYghq4ub7DWPjYEjkDvFapr3XmadWyFppcIgHJOpwpNCkTqqmtZQqd3Ib4In db6dz0IQIxqOKbx1MjCcivhOploGJKMqgKw8faIQaCad4qcDYymDLY7SaU2YSsuurFwPZF6NfKMs 2uOw74ARIx01oFfrzQ4zwHOXwsVz4UATxEdy5TLvRoLbnotj2/q+IaasBf38igfdnZGq2NS8pbcC E7618RlDjsuURao5ngwx/BTgkOhV9uWezWEG4z0gr3TEfRAEmFUCyKx1+JYUIvBG8ybmk878SGQX HPPNGGx0IoKwLEZNsi0QgcrXwIMjhV9E7Hk+pGiwRj7zQU3IKzf9aml65/S73m1Xti80FSavDmet xueZ1/lwsRSBECLDnjhgypneF8tOc4T4JzN+2PkWBYC6kiGDxbR2i/yPi3qEGa4ckWoFI0PqB0iY 5lWmqjZFjRHb4wMdXg0yN0w9YznDmMpxaHCEBfTTqWBn+joWyN5vubroJqk+otpdx8lyMuImA+VK E9LKeiuJDNSYpRmV/EEBHHCBewP/yxOrNpO4U/Iy/xGRVoPLrTQoOUJDIJOsNgQk1e1bj1E0CkFZ s+aOtMfmEAhwSckEwvOLSKtmpJkGJ5Ds495jLuSguDocOiH4cno0mjzaaapt/4EzNHNKutWM22vf ga0jEnY+pWd6DPA5MmU7BF1CJANfmSDdykIIsFfbjEksr6OwH9wDpxxl4tQLrWwUKxkcr5mGUO+E gcwpJG80OUz/LuWVK+EWyQQmSvpNlv0ENdLCpujBYHcOX51VvBhImgsYQfJNtwYxLatDOpA5m7F1 QXQAY76Ua9T7629a+O/VOydUtLr/1ulbijwBAnAS5EQ1uBSL0N01NNlhZ37w8OklIZ7Rwerz+GJ7 ZbKhm3erOr9Xl681hkUbu6oWKHcH6TnLRciE3gb/XO9382Du3msYCYtYih7tps7FpTeW2kO8m78E u/MCCcAi6hhuqVLUvEigD94PF3mtzQbKkWEUXvwi0xSVzXwW2knEEmQSfReZ6LSKVU8w7qKFls3d KqhsS83e4aHLpH5okMKSiub+NqoJC3O4SgJLY+Hx9OCi5FW/ln7yVBHNP6r+GqINyONnHiQIPcEb J9yve/Zkf3oyhuFIs+oQ0NTys8EjLsu7DbrfKE96dKXOD10w5Uqf/1y76aW/neefpzJ2s5zv7ECs vC/Kw2/yxt1UPfenNHC2S9XSSFyfwUXuAAtpewc1R739m9lNrL+wWsPkda9BfK1ArLVAx4uR+d8o NVc+laHLu1ih7KroMkpvuEeXY/RK4YKPLpZ7mf+T7wkgTn8mS4vL20e426K3WT81dBABXqhWJj5Y HvQpSKxsjOpcZZmP351aNwAaDyKC7bcFmfwls3gmFdbLEn7OdH2dRndV7HG2DAYxqib8Qbb+x6IG ufW9clVoEgEW8j697wXqlNLZ9ANfaqTLFt5TjtGZfXd0MnihdXubLTQSi5kh6UyR+INpcfbQWXiy GAlNsyEknQkOU8iJJ0qLTsU9o/A1JISVZFliSt416zrMmkELhInjp4GdObwhYYPtlGLPVjBimgPU WfidrS2UnE/77V34mDcierzEnt1xe+2nR0ux0fgnEUAarl6MgSwlRzBVyLuMVGITOxiLhuwjbYN7 NTkM1Hefk2i9+jmps7fRKJLEUfTRToGTOFSV/MNacWCRglcxc6QAscF7PfJM2zY+nHbXJ027LlkA QvH1IVBbNFYX0VYbcACqJnsyd/KqA2Q3UrV5NscD8CSWVAbMx9huWIJWH6IcHgTKCvZKhVFFAfQS TikSET/31cWjYIG+Q2HW+wVBIPmaAT14C3DKPXzjnla5hfcht5gEgL/fx0c6rZ6h54sIvxl/ESyV ad4bFxlNrudqkaiHw2Ulc3W8nlN5w4csN33cyQ9VC/EvXOxQWs0yIaFRdhxvGvGBt3ly5vT7/gpq s2WR/TcptkEzuXwS6fARlSrePreOya62WViU3rsoJs8A1CgTVi5dj/E34fTg1lTkbylk9Vq3o/wI g6x6arT9BRMISDiaM3VbcAgMa8XxpyrLaR5TXKDMgdWZKShOL+BKKV3XVkWR14vRDz4HSeRMeU12 +H9pU1BSzyhn5BnQgk4XRt0pf6+h8/SKZRF2xha36cpQet31yPzCB8oiQeA+7Oxixh5Lkh0covxl jellsw8pjE5bPMrt86MNojkpGF0N23pDY3pyujk86zwmpBXzSr8NGZx0SnbvvkPgx58nHw2FtmEQ 1lh9VWMm+BnDV69/S/apH32U/nXcelU3m4j1xMYcdkoqlZWu7lnMJ+GNtYzdTdedplOvAwo+TrNR DhM4ELac/JWcwzi2YOfYuTV7C9zitW8B7Eky5iCd7VC7r2rjMsThHJ2a+zkX60L1AMEpZiGicap0 8qyA5xbEg/uExCd4Dsr59s+gkYKYiJCrY9r8W6fYRjkyWH/3LKvtOiKWLJCCx+o981CapUL76cxi MeAAvUmXJH4YqIFlPBbZUx/8NRw7LyhFh/zMn31RPuFsndVIHmP53nx+3Wl13oDD2e3IFuDQf72I kWhjW4R/a8xSk1R/HkSFqxB0QC4/wXO9uVjyojitmtAMF0v+FMFA8GedSEsGMpQmrN1p8vl5Oqlj jjcd/vCYxtEPv2MJz7pEFk0eVEr5JSNsGUrehPl/kdZtjlFqZRddaQF6nGkkyzwNTikcrSARmB7s VYi9+Cn2vtY2R0JhIVBOLkFCOxP/ap2od5UMxvuJ1/qSuMGwLn0e6WmMdWWfG2qWfuWPTN/27rAZ F+xU2sF9ECGLSbMLIdTEzpdYedlfUC99VkHBfquW7Eeq4w3RwzCTIEQ90EAd4xmXrqgosfa3Nh1d 0f04QoyhzyqEON2J5ixUbi79/4Coi5ogUiPt0DnTgNXOOqMJJ7hwto4z8L8ZyJB1nYe5yqjar/xi XehuHxCgB29S3fUkYNm7MhWf9IaoRPqomEROEEMIkwPzmZ9HKVjVVC+IW9YeitwGDtwKonNEg6Yw S0xemKj6VLYsU5Xpki3Ir1C6oRwzztAC1/cx2nDXvmMuMa07/7PPSq+R/dNx9SRO9tB3twhDXn+m uKH5tU+QKqrF8lukpfOuXqVguRyFitPEMPVyl6laurR1GQ/e8qaign6MDs9xPQrSJji51ez0B14H tHgmLbz/ZgityfZ+C8FOVZ2tjmNbrMbMX3w1mkz6kmn5Y69nk1HXpTLNZTV3KjRfQft+ZZnTPg5x zCXtXpeslaaWBoLFthGcVzGOQKWZ/vlU6iqrXQtm6plLuDHTOR09pHUvsZPfmwQdA3XbZ27yUtF/ b/bDeTNajWhrxSDe+bQzLAF7K/LuWeARLWdMfjSCsMcHYqjc87YhSWMO3T/S8PMr5BWz+ABkbvcy LqKnkyRHbVyu4JPJS9b0sUUXYvHakKsimW1H/+4YIZSXZVmefFJXI3HAsg+//Wn2Fs4vsjzImvVa mr019kNq9kBaMVzz5+6XtMkQjVHz9f8NsFJQKSd7LXXYsa4yzlPqkT6ZeGRZAePYiRvxrH7zWnO/ Krpt8J9LlLiV2mY5iGuYO+l2GOQr1XsccwoGpn7cvNetpBwr86Gu7NTugIDnROO/yTmYY6fGzdSL W2yNY7RopSArIj9FWDvgvvofbFXG0LgHVMA48OQVTXuhsxsnJGswnHlKu38zY1eptET7m0Tvv0NZ ujYk1UZsQ3m8fv9JclNL6PtRpnSZIJGCxKOaEQ+R1dWsLnAOWthz+RsOX3mGoYM7vH38cWvlctHa GNOWRzOg3sAoG0dXN9i5UdsmrfVP6bXCySWvHJdMbqBzT/Y0qD72NfSaz7xjpU3aN+pGeS9sSHw6 Hu4CT4HP5UUfy3YWsn26BCZVGK5ncnWAerDO+dVNiDdESyVt30LU0Ziryp/0fnjDSAJi+E1m4I1n qzI5cEx4SGp3vO8WyU1DbZ9mkAXRpCXYe+Y0bdq1GBiabCVd0StnBSMWl2Skd86qpBf9SsJzV8Ft SdSyka1dfZpqJBzRTBYANx76SZd6hYT4+hY2/+oZXgon60Fnc2PPux7p+ZV3YdRUDfJI5m5dqIEt tc4fxw3oHQh1O5CaHme/EgCzvydAk9BQGBhxX9erUSGcL537lun2Fu1z98CWLIKTnkS2FJkZGmaf um257LavDVUYX1FHM80adYw/rkGMJDjeyOD4k0xkMAl/YxpfgUkhn2VCRd8wDsTbxZ5im38t2Fvz ftPiT4C/YcZWsJ8+0CbA0GQSfzDTRTLsqMv1f3I0z0pV19YTXR9DDYIBeVakeyfoxg0JYdfk7Pwm Y/RseLHOG//wsoADj9KgsXMdHmB8hAoGRBuV3KVrPLdxwrBpwgRNEGL8RMzGRaMVE8FXoHTYJk2B 0wGIpjfUzENW21M7A080+cSSVJ5OjnC0FpZSfCUKAqSCV5x0GjHaRFAdxzXZgnkTjBqS9F7Vm4TO cdg7MrnXq00LXgCQ2BUuJtgKwpFJ5ZXw0Kk3QOUf4q/J7bsa/u6GJ/TAv2MvrN9F+ysXn1IdZzpK Jzz0PPbOhxoLFufO8/q1SCUHKRi8I9jOBm012QggBgeW398BwYDJ8q3Dxde/M4XK0JSfg+yb/Ii8 51/t2KHE+ptmULYWjU3ml5Hfv/pnJ2G2Y8DJxRHco1Z5Uv9ES7cOihK1jpKRjYMoOtBWulUs7S+U Zx4R+TP5Pes9RryyqeMFfX4xKbvVLd6P2uJxbQcomSnPlo1JYAxpyKOGgMSqe3tVkkbYP6d0BD0P qsGjJRMdSdlMmfpzhRfyPxqZS+Ug7zKRdCECMEjcxPq6M/6Yb2NrI00SPRgRuM2D9WnljvEMMZrI 3+6AbL6PEKSTkRzs81vr22qXzzd7DPAcachAE2GU1nPxlyvDjcWvAXT2iIXF49TEFYbC4sjnDd79 chAXZ+6P2U1saA+l2yrxcDYv4EnIFVUdVvv+Si6dvHEMkYLM6DaQJW2glYZ6CfohJD11tL+Otl9s zvJWH3fLQcqnhLbFV+3INDCm/1wCvVgYos4gJ2ubEBKiuTMViU8RlDxH/we2845b8KrpIve03Zkg +1MjuSYFJdeF2YbeCEJZRFgZbctSd6F75AwKuvu+xH0tkNhoYFq/sWPYrb7UvevZca2dwJ45drEg eqmxxzkk7HKQWKS39Pr+riQuYIIlU/ba9TgCwxecbpihgo+VGgkxcEOf06VzhLywN7F2Kp0TWGu9 cdVznbZNtIgh3DLYD3Dya1fr4DZk8fvta7LHCULj0Jv38qWccXvZw0dx7rTqQSRllrrRYPlP1DT8 ixs62VObibRONscEy1/gnmMDiV1oPkj+oCxRqpojndeC6g6xmfQbG5VoNxp8kyVZgNiEXDiWlJUS s2lpAaHxG7XKvdvJmCjGSbO3I2bU7iGE65lQzbQ34jMPJ3YtxcprgaZlLagGEGblpfjFFfVq1s8q kuQx1CXuN/Xs82Rz2grC93SttfMEpyadlu1mt2CfUUQ/Avv1Rkv7i4rrtB6bvoth+9FIcx1ikgLz fdmjvpv0FHd2Y6k92a9r8SFAC9tpXX/lBMLakd31Yrx9OH52YLApVx5p0vV7jwviMotuzKVb9uEe jtthma7x2vFa1jZIGzKpeU3YniH73fubE7qQXZetWTjSvdxfTI5Z0j7hLrhAtduRS9NuA/s42Pvg OaDfljJbuHS2fp9qNTXtIR9/JmOypbOBwTeHtD3nSOqt4OVwh1TWy7Wx6hRJPVOdhbC/dtiMFRcC Wz1P8Q6zp4xp4AX70ljvi0Qz8ZvM3y7Hltg3spYszG+ut1PsHEi8Jslk9DiAn8K8eQZMP9rRmPGr GdI5ahWWz32u0NL244jXYTZPK3ejYdy13r2j0ryHkJ8nhH/SELt/GIOY4kMtoYr42PMgCk4pfNIL e22suW0lqGjjJtWeO6nhZMJ26w2s6oCBH3o85oZO9pIn+/vplgVdec5cum69MW8pAVkjF3vqVg0x TKZ3gdl90c8V+HCT1S3aV+QDscS7WLkqkwT0EUtBnFtgvMBUks1t0xZmXiJ3dNuoM8vz/clUXNr3 hReRnGJP27VDwqjl8hAAd91anj+hU7w1ohu4qbd5vsrI/gZtAv6OAGm1ajK98eGb8j2+gj4yz6Iw t4i7aAaDwaGdwtxfAeWk6equCSXrqKbf91voviecgRSGlbjvU7JK+c28/73bm0dA5jj25/PhXQaS O4Sw9hSkcSUyRhsr+UVX1gRZiMFiv1j+QPzOPSVF5dx6X/Ruz45yoHAf5PN6fRPh032JtA4+t6Po RpBYhAcUy5r5CXgp/lkEhww+yperf03QTCdNFBaiDUIhiUrmVC7eGNUHg1uoWE+G9605K6l9GwG1 MjylqwqDo7i0KpsG79gkr/IxTcwnLU6pNw0w4cz/Ve7KaWdkLVHZCEGuBhmuCYo1gsov9+8iFghd NRuCu+xa6FDWYfQLMDbUaOviYUKF+kh2BX1UUUd6/GH5MLEdU+A3ifn5JoTM4QgMVkSIo0nr3CFu dgkackjldeVnB/oxb2QrjLqYR+63QME3EDcMC0l4x3l7L197lz/M78yrRb9EUGL1qa8ipAx3m9V0 V/+a4Y5ttXU+EtLhm4StmOAT/5QfkuhRdaJYn+vhJRoBLZqhOFla/NBtLUC2xr9hSb5OLqlt4Acl 9xyYlgjdsWV9OPmSvEDYbwCJqr7nqdChNovHuJS3MaQh9VOwQS9BIyxK5lOPt+5w0o6eUTkpqWSd FA9zZJaJLq8Zpp79r/zCYKxuD/lHk9cg4tC3HIyW5X2jJ7mNSPAGT61w6CU3n1z6eFIPEHo4c8mz +LG8qFXDr4v5s7AIHT3/HcZkP6ABZ/I6rQ/84VHfC2I9BXuaSJBTjFVBml4q73lfe4hhCUW9Ehc8 qBGdQCFgd3dG+BfO6SxyYUlxdL5cDdRNzJe1AacqHak5l1xPHVIDgZgHkLm7Ns4w6KYu1Pfant/p sjJfaC/3hvvRClJ9Sh9cYrZP/lmxGli3z+fjuRkpplLiTBOhymkGwq3zErZddbupa6T2A46eWK6A eoagEhSMJB3S566bzboekbHzc8QXJZKLXr39JITSJaidYBnIWk1ckhwT25moLYPJpulFn9TPMtLH 8bz3bt3faIvv9u9OyF/NIYY+tyvxXTsvWH3dahyzkpO1zQBc1XzHTFhPiyxSWobE9ZVZQNHDUnVJ Bp0hfjX0QfB4rkdTqZS74mex6YzTTMSTpcAcQ+JUDV0gInTikB6/e0TUm5+uJGN9zM7nnW0leLWq 83g8RTcFlHk2KSwbnTnBgYG5znI7t+UxopOCr9oMb2EpjCgcNpjCIJPjRKR3oOCu879+JJm3Yyf/ lvVstLmv1yZ15HzJQYog9Q7DuXadyDpByxkbomxNqRQRtDCdtLDD0GRJLKdklTCLDPul7f2qACP8 hiaw4OB5vesBHCDRgVQxfm2aS4iJHQ8driSNp/AqPJVa7V78bFzRJPbC6l/uMfAbT0pNAW7evE8L auSmKXA+DjUxUJVwem8SyGUcOUAcGLROLAIwTcSt2OpeaOS7+r4rtJ9kyzzGh8ZCvtTPAk4TqZJi o7EF7bzZKkLmpfipm+WGHfueT5BWiqODUvVneBJFr+ooffSsIIyYoiH0rADm0aCHsMEYh0z1D0Mm KVzb1B08SWgJFpwf/Ks3CemXhhTVWI0MIFF6UFQOIBJU+uP0R/a+11y0y5FY3jOHVrkFNsmG6nwf SxkU/TWy08ArdV+ekJ7SYBhUToxptqAzbHOfceAp/V43YNoKeOr7ieEdgYwtffoW9uhyqfRCi4kx mOFtzivxgxVJU6FalJGJKgpIxZoaRM927DQ+WUOL1lSwPHYaB+uV15aowXAhuxhGvHDr3blIUe6N 4QHhZgMBuol21X3xWDhh3Pm3sRpRldJWQSnUZxkd+qEP+bgE8YUKWoNHRSu5UZlqrtKezK+q4ezw DcOpoi8qJO0t1zIh8wM1YI7tH7qlvhysy3OBkK4F3JnZLiiM3/jCMZymrWrIPNGhXRXmHHXwAKBz WbD9xfxABXyp1wISV9Qmn7DwVfOpuOY1RRIBmOdbg5wLrN/l+A1KvWCaZT/n0KpdVVeXZ8vkKp51 kj1KsrsdGib/mSbn1mj7qiE/X1663lRe/6PqM5U9l/fjGshTS2dx20U9jSNnTe1r752Hy06c58AW bGh2aq4bYYOJ9wL0W+AZG1WFwIzj3SnljijKGNpmz/Pw5sZmlpSv9rqfEv57u83T0ZMa4Iizqe14 DBg7aGqamSRfXZvH/z07yZynZHSIxolpi0tVWiW5rmDe4JWzE9q6858FLDqwiwy065hoiAcRH5ko OQsg5BM2BNGb+G7E6RWpL4mBEtErGhizHmfjYzLTUMlWg3rSOjpZ3NcKCUiWqXU8TfMb3a52Ds57 HQTpH39Dv6R2Vl2NxU6aCT4tjOY3RP8kbUTze6/BGH4PSSOK3Sw71Ixz2R7JbJVlFCZPRMjeAz5t U8r8rPYiJ05Goyz8UFzeSN1grDUcvSzAurz7HKFtlJpBNomSh+SUuYR6F25gHJlKNRKtSnyB3+Gr nyhUToAAnkY9DFINjcXQSV5EuZlUAjsJA6g9YHGwdY04jzsM+nQlLyJbzRdijRj2rxZ3Zgv0M9KK ZnYK2PlqFL36bjJwEMYWa1ncG78TSBhkTxnyT+DZwQRVTTeg9qO6xBjj8XL+/4WH2bm8C94FWtTD kVfD3ImUr7wady5l1IYuHmLrmewYYmW+d/7jLTLMxT2jmgPyQ5aJ1eUbVLUe+L7L0gz5jHZFNwIr CE+TKJEZGg0za3Ippqz3ZPvoGAXvlDTAIaqCL3Xa9+cL9ZVUydHS3eQ1b+0h88mUBIa5FoAQkfMI jkfLuBvjYCOC7Ib9P+DwLgu+gy15eA7YmiWwUwnvA3UKsWugrA/uT6zOINIAm5lCs0zkfS20a/DS IzHgY5EHAIG8yZ446rTEX2EiL+5q7/959npAYTtVXXrm922tOBasxz5zjZbnwrK5LQ9Rk3FECZsW 9q1wxeu9WaP7lWWS8kgLPadyXfS6E/u4O0CKnN3iTqp9KUeXhPO8AaZPvaorRYEGOXXYHeDhJ8m4 9+Yf6EpnTe6ADFhaw+DfOSxgnwDkijV11wDKupzIfFEiUgIlYgNc/jlmwC6XRmP3oMb2d39wCShQ 8mFeBXUTT2NQqnQodYcH6RroTBPvPUaIBxHs3Kp92kf1xT7h4hHVWLXw2+w23Na7Te5ym7UF01gt MxMF+s6tNZC9qUJnAlF4xqpf+sptr0onqx0SXzahFahTsIaH3o5ULOTXnz0P8FH7blQBLUhM1fZT 2UrpDHzdEjPPoEVXRtst40g9U+RVvU8WfPakiEDKctLfGwslNtLwjBxMCjSxHS7+BqJuLjJnShbZ ZFqYxWG9JuUVWraCX5HOCNCkuG8d32H2tV2DePvhhJk8ai4vlow1znTiUGe8Fcl3IvncMgl/L3uN I7O6fEiF7kLXCIXQlUwkif+/EUMlI3NpOOjuyszocuJuiEl74KiWqZNjh+wtCauCWv0fwDoLkRVw cUSOiYHnliEMFsiRi+JaCYTdxtnxV8R09jrTHAwPqCmVZF3/yS8hMl7X+6ka/C/8KJJLTCcy7mF9 FEz3y6NZ9obCWpJ/FjrHAe+5VbWKMRa+I/G+c8K69wo5IJBc11Y7uPoiNjRYq67JhcgMEU77otqR /DKIE4TslOCoKPPFMtPqGnFwJyM4zODGF1MSoiz+AO3Ljz1NwuijAH+sPbLkWuPCAegNYK/oBL9C 2YlZi9n7jR8NgO1cxsEF0ww6+rB3QZlJjLmvRaTPuDIWdwl+7lEjdGdO+IF4j2DXzejD77PDymn5 jcyRZxluQVY2T6rVBcCjd0TUlLMgNDQJjQbe6ZLuk8xeVYBD88WNP99SdGjttu6RhFsjHkD6Jote 1ixPRFC+MBSgwGG3jb4SGZjMIYMBTnEmFv3zZiKLO+riEvbvLGywyRWfvIAypCshsr74RzhmRbGC XErJXj24RlK5NZ8Ua+FJgVLhqbIL+XEd7wiT6Omgt49ztGnTmJvd6Fw6reO5TLKWieeJpkePZ+hC ja0C7rxg1siRLxPKBlhfuF5SM2j3ubbJZYd+xzoKmZEspOu8zvbPVqTZN4tpParC7K20YCnIBwSn GD45ZmmlgkUf5C9s0NpSdUke1+f/bhQKSiumoieqAcy+E251dYPW2iZgEGevwIqCUxHJ2yjeJach QayVfXffaCTLX5AhY8u7NswGuSfukeiMJPLFMba4XdPEmPAepGUmu293AW/OStYaTKpjLwO1K39M Mqjg/kKsUgQaSo6cNcQEnfqIslZKjVU+eYSNCyoyM+GRwgOfqoMoRBisqd7dFi7ZM+QNjX43tQ/m dpttrgnyk+dzKL/2uFWDQ6PfVZ4R/CsW0rfbpLHKWTAHhJqnRNk2C2HGkND7Piyrgcow4JcVsrym nK1GaQWBuXtfR/0AZBqvT1qUQmQBDYCJ0RNuk8+6oZ+oOQGtrsW3suxtFA6NIm5HOiGzNXTiET3C uXcdeAt5MnUuIxG7fymQioGXOuru35OdKkAVFdZegnXPFqFw6TmVOUVdxjx4FOkKl3nC3Q8gOdry 8aGpiEkx3b3QQCyerRR0m/TYfmZMXUbQdnYf1sJd/z9EfBVhcrehSRjYQ2gu2eZ/7MaYB5nDU7nw n/xPrmaVO6zqmTwTlg/obroLqVHcs5calA4YLZ6kaygNjmmHFJn9k6WARmhfdGD5/dWGyjIqBjA8 U1SllOcwdoO/RIBPNNgYIdEpoUC+2kRRqPC6S3N/lt6/TBIt9XQtSGlIwtVOfVrbZfl0bqt/ZiFx HwNuNZYM5YODR0XF/aKntTmeIcXpVrFhHZ2NTvP+RDdPvIqowZq5xKDh8/xyca2/Vjru2eLPd7qa s+tniO7d0WY3aUPfQ5IU9g1EEX/orTYGdEQfy/n6tBBi7ULT5Bp7akRtbz91KrdXH8I/k6+qPdTv 1alXsjNKCtHJiHG3gxA8ZK1YyEucKqMXCvld/I6MHkD7RRGgD1j1AvX8OWrfVVFQSEUkDvooOF/b 8+MsJVBZvHscWHeBLk01D4ahkUEraK6WaV0GVEKf4IkBIKov6U9L+GSH1GnDyKkzY7gvCeQK3q7g iZkyihl0fh52TADMLb/+1nvvLCewH5NyJRHk7JKfhvBMMg68P4LdeDUbrcoEdW5GaCtMG0juktn3 y4+CJpr+CxTdG7GM967TYHFvpYbVgJ+vuqNIZxTaDK1kkGjOsjra7aPy3J7dNVhugG+PIMnXfxei rX2sYMrfBaLhmsy6kG9+cmjuIhC6rz9+pE5Bd/oc4gaxwf0V9nKF961wJnzybDqsPbriYdXrvsv+ 4Au2P8o7Mip3/GzDcYPDLLF+ECUYyYp8VVkiMczZgdpBMGdqC+RzfSIsHi90r8Gl8pLC7HjIhDFx 18Lv3CFOWL6sleM5ktCxmpj+2d5nZel1vp1pR8LTu8abSlnkNnpzqgEXLXVVJbSK2D9gma/BwI0r abi24DBJUNkgbl2kkIRqWtKiw9xtxmPocsy5ZmCrwsCX8FYPAbBdRsWMORfCGWuHB16kVnD2mArw b7HGZm99YbTkd8amdG3+lke7KArQebkjVBcksHOPcsDRWUaCqHT+xB7TVAQlfYyBx/SpRlgXdJP4 RLuzwu7qB0hibtcNRkiLE7vVA1s9oLXKCZ2E0BaHhNV1Xgdc/UbeXWBY9/Ul5fd25JGzP4KkmCax NnUDtYusUILsInAQ68ENLVNqAVBWkCiPTyIiwvMT4sTbtcLgzsiuB71XEW4CZSimGaJGAVanXSpH XTHfdttEzKIYlWK2OyUL5CwgII8dPYFGw30q+benq+b65CaNjC9A54UvW7o2tZ3c6hl3HWTZnIrS 8O0D6MnSYcS2B0c4rcLeuWx3p2Svw9Z/2M6CkmJLFWjO/AQvdSTcS+rOL4cpZcnwKomYQwf9VjEb CbPeJA0wCqW3r9GUFZebdjHpV5NOf4UqTNi/8OnfpRe5NcdmPZnXYwptI9kEIhKYDSqdXjHVs6d0 z5nOYy3+hLrreqZmHchiicLMjH2FcqyqGcwUmKpIsnQTXCzt+wPWeQU8UM1PE6MqWWm6iJHtRpm4 fNqib8YwXI4OU8nHQ8pwezPOGoaav7H2K+hDkYCX/8YPlTGVPb7f4L6iou2gS99jaGf5iwIClMhh 3qde5sHOQAc+1g9Ol+bv7vZhfhAohfzix95w8tIl/xyQYH/REyO4Jd0kc8HlgUovBPby1nLFYdJf 0Qy9m9svUk02sHp0MJIxOH+YFaCKuwszfPepZI1hgua/iEQig3rQw8MbbIkhrnW7brl8G3uUxJ1L L62qovEQDpOPzMzCTCwc6dT9N9EnfDgP/u4WH4RyCQNaldVf46Dk9Wkbg7Q3lvv4ZqnPO+pmP8iU VJF8MkhP3MiicjK14/Vz6UO59VYT0s5oXZmVTx3uolFkFjWbSRU/yo1q+iHRq3n6fDg9SuiubylI CFqlqWdgSH9oNo+PBcDGpbp4elWSlrH7hxOQxTut6ZxFyMDvBF3wQ0hSQMOreO/LmeqXK0KdDWU3 Hyeg9hXwIVNTz7Y6Q5c/xtMxb1YwOCW6AbU35LPk7Bf97zAft7e+qFoI8eJe2CH1I0TotU0yYkjI 4/tsjXaXvztUTio5Sbl5b++yxE8vNlzTw/eNZt5S1z3BhpMNEPk9Y9xDPgMBKTyov0Gusu+Okfw3 DUp484mspvz9NfTJSRPt+y0ScwfnvLCfCQvXWW6CzZAWxDoxFDznIVomDjiCFjPlBwQAWpm6HfiQ Dw9Z59qdKfA1nwrhn5u9y8rWEM6BD+khJ0z0jE87HXHTUK01ysH9LSjCcSBs3Bl8tQtHZIVZyLNa xb92Pyk3+jC4riGAmYYpRAP5JAudNKYiBDu/HRG8zekXGO+uTwDkAPzT+Vf1st0K6KA/COfsPWzj dcg/VkT3BNqdJCht9RjMIkrbvGK+lxGOw7USFlqbsGE5l5K2Mk+mZmHOct/8d7S5VpR+4G+DkF7t eNugI+Iv13mXMlLqxPSybf7QOISuAo+bRPkcKWqvRuSgiigOFwFoG+WsNA2IHRraM6Ik7tELJO93 lGFdpvKC6pidTf0dhHS23d7hsD1niNYO6vL4dhPjSOOizz1heGREYpB+bbZ6KJUIgqm/89wINZMQ /tVRWZXabGUFBBxPykgARrpigPYLzOFIg89X//tYfu0d6xYRAVEsWasgvvHLi35SopM0qwfR1uH1 Lx6slSsLMR3AHgG7soBuUvSKk0HloC19Nylhhndlu807gWw0QbNyW9LIZNOWbQ+LS+F1gGnIhdxs XcjabNe9oGfXSP/SNNp0X8tFs86dfFiAYl+YcBrjlOgE/aiFVviec+sp/iVtwAQbnC52VhDz+8BZ H4iXl/LVRCjs964kTtlzP9XqcZFMICoYJ/VhfeNoQSI3XjCxV9dNnN9TtzO4Pav4A+Dr5DD+dnNb oXOIa6k39dbQhrbSOmqxtSkXMHqii+OkFIRiz9ndAXk6V2NjObEMew9WcNcAYTVttVtPYoF77t14 fDpsPNGapM6ZBeuOy8Yvr2Q57G4BGcG5NMKH1u7ZZiZ+OAHqE5uZndLR4XDvWSMPvRinB9HSVZ32 zKH80/MUwgfkefYNNoph+LPTAHiE9WZVy83OMGn2OFlkigjmKiLUr2+yZnKocDY4TNMiqWX51UfT CHNHngm22s6RLlWckVTjtMrwpkYabnJyfdGBWtJ1WFy8V4eQrewCgHJaSjDNcdPfWvYW/NPtu5Ph hCqakSLd6TgpZEvd0FrUFR/LNKozfMgH7Bk0n6UzxomABRZI4szLzSScZc3ZhGVoo4Iag33JEnuY Biz5Rh5nSaTUk2/BENTROmRZl9uxerKl/0y9V5ReW+4qHpDld7dmeqfzUDL5ZgP8icZ/715P4OYH 8NCxh2NsOCx+cKnwSpm0Uh0uzzWv/kZEWQsR8cDrjjUuIFgK3u1LrHS6refci8AB0iROxs6I3Iae 8+lKdnvxXFrfvjcz5B9tOmbYiwrGPXNHDftLbNX0FYcbQbZpPK5SIRfjieB1Q2nleZoyXNo1Ab2l B0okyf6h1zt4pNDhi4BYzyFyMXpp8j8O0BVL+8gV5k1x1evVGbkiN3YwHOMagYbgHVbGeHWfsUgW f4YtcMQZQEhmIbe6hbE+xZYQhtDhNcMOpJIM0MDrFdXYCJMVS4rjhYrqSbPOz3OYmF2l937/W6Ab viz7K6lrh7BKsjw9jeGVHXbU1eTCz2m+sxUEmRQFaaIgpp+XLwJITV2qShlSizyFN116yX7wMa6c futpU4ROhpj8aHvdavlkOdsn/Iyaj1v3uGWKaiZ5fNU2X6RFnIgr1f5oaO8pEQ58aetiF2SGZt6x KFm/X29X3cDT5+rMMwqvO0cHJi+tEja5uZKfTwPzPv0xbsY47IgAuIp/8JNFDEfMWKGMzyCRaTSF XtqlCMKcwmxTn8gKAYviuUm5Hnm7pjBYL1y6R7nfekJShQuLuPAijW9vTElsUh25/CAkd1IUwl1C Oqx4zlee7s/WX2MxwG31xMRSHlpRCcn1rVaLqNRz74BbGI5CHWhIxHGuO9v33ung10FM12VDouPS rgbn1phYbeSUN8dOYFIgFyJdyZvBPnjBaZEM1uMIRrJl+SOcddLcWAuroq6Da5LNk+bP3CUAULjx WL+/OpUE6XvO1acD4//TG9R2/CJne421de3SfnQaTWo114p05fbWycEq4MaO/qt4ddtkbwvJZfnk /PeGHTJphD2jTUV4Vn4Qw16dIBelrOtIZ3ESp1Ja2KkUK2Ql9YOXmQzUjTFM7xtY+YAhMPH/UrbO CAUIeDFeVIPzh51VVpGi7hoXCQYgE6FSWKJhZOf/TdBnxoJ/yBry3hFH4y3oTaHyIiu5KM4zIuAp gK6c/TCQScM4M7fOmcZ3J2bcOwpoTSHtHIjzTaoCmMMbnRH8aevOGhmSubRSalxS46rAB1rmwBV2 9UdAyoke+BGcxyZFFCETxk1NEtpWPIOaA629PHfG3SusAKhJRVAcZYJsnIFYqtHXCTpjgWLyy8jM mzGnDBQdM563IXEcNkmf8xpqh/FEfrF+A252Fu3RpBAgyDL4qfbwGn669aDZ8R/bmGXIb3kYMeWC m0VYRJ0lWOVGw6BzBDz6BG5fzq2K0v7EslccO7VipliaSDbKdYnYSW3bi4AG4dxICq0aRTQbAnhP 43y7zj9UJJC2xvDYfDywkleLsuumSOMy5gRuDpklXB+2IrhiuUlF+7UKSrE1hDfOayu7eMuCjWJj fxGzxC2B1oOQ0bNvzvSgE/BoU+FKln0jT8l8vsZ7VirGP3h5yTzejOmrTBaY60BJ09xq4mVJFZkB iL86ogw2DSiax9Tt5T1tKbRgRFN39kwtEdYsfpi2SrAg7iiz3MDSV3SOlzgJoLSZA4m2W6NuWHek XHAzt3c27IE5OOkeGbT0JvbjmREcLRdwmgaRYQ8gVHHJXz0JppetTmxdKZFyQdme6p4H3T+0hPm2 zGeCCy+3kxem3LBRPylrf0TToxjI0ld8zCfj05U5vJQNceNVGpS68ga5tQrauJv7NPbiHT9Xqxie glxRC8O6dNphkppX/OogJ2gC3ccrVhTSDPvku96tuX65t4Sux7zIdAK5ssCJK6FZQDkjpTqoS1Io ztAyZ7atfF1snTrDPdNKTbxReCgd70u8k2EI235ykEs/pol6mPNNG/ifmgyQcamvZ0JssD6aEBjp 7o4zzjGwYqdyC7PfcaknJs+gA9x5MWNdB+fjkQh9PWON7XPPCHeIjcQ1Ed6J4aMBSRtmtU5VDgRA S2PbsPoP+Toc+Y9hAGS5I8Z7AVpNReCCN+OUE6km99ji0n+ZBrS7tiOOV+05Sxyw6TTsOPGbR2nX mx7D4oPvnNb6pxQ3h68c6Bh4C1C1c5W+z5uOWZ+67e6XGLydRabEH0a/x+dVozT9n1adTEQnNV/V 0vy5QJ50qOp82HJlvpsgDzFcPnz+zlj5dUakVn1qODyE+p269V/gE4KwBk9UAyxJqoBFKfGeoSa9 wuaWfuPya+YpE55TNX25u12oSxZsx6kG52XLGTDiqOSv8qXL3gf9XEHqc1iAho3mYdzdzXfCz1KU 3NXDzk9bWykpvuqmOrkKkQfvaCWsVlL2PR5VV6D9B0n37bfzru0KfNFhkb1iEevYrM5Ki917yKfK uqiDdlB6+idG3rzWLmhiUAmDQog2IyuhpjWP+LYL3mDjKcRCo36aOlV192IAiJ0e4QSmeBwpESzV 2EBgj+bJa7E3Ho7ndVOyn+8HtplMNIBWhLJXdJlGu06mA77NKXpD/cbYeF3gfOmjTYeKlDxxurwG mYyMsFGMcilKxhTuyVHC95XngSvrKp99KkHjDTtezsZF+C/a+0MrVXDo485QqkQBjpED67eDoAEj lPNfSOlXqUDP/lWjp++8IoXltlsWIovnzDx0ymEFIc1sHt5SXVWNNqWygbtSwR+d2P7xiW8Kofwl +ZasCXP/v6oet/V7/y1jlC/6IyAEzaSUHSGS9vYokiOnKXU0i5uSflmFkNK0B3c+0sq7KvEfRAXL pdUUyzvieWDPV2KW50Hntd4yRMMkmV9Xjup7QNG4K8WwzxX9M/O1ZnMi8bNLYda+NU/TqgnfEVBO 1/Dp0t5JMA8tJC4NO3sv0jM21VRjDLqknBWHsQFAyD39xOHIek3zc4QxvbuRSvwhS7z932v2JE9K lyW5uBvYs4+DF676/3wjHk9N3RT5UQRBAEbYJy5BZVEr0ANfggl6qbi8aHEUcSV7Xu+KsVF0uar5 vRuwz9R/QkT2Ij7iCA33W3m2RZC7rJwxW3fOhmwk3/MvQgepCeLVduAbaVX0VoS+JID51s2C5oMw swODd/xEXUNm2JVHKPEJbBbU5u/pBMDR7NRu0ms0WmV3HJcILUSLU7BE6Fe9DZPJ2t376UNhqNSr 00YBCMONVmbZcpl1BILXwKAIqGCA+26m18JFjPMikJGGkADhf0QaGorNaVnby3o1hdgGNhVUOrG9 /VKs8EeaPyWVtGCKH7ts8wqp8mrVOyVFmN2BjIBvK/WCqNOaZ165yT0RDN4LwaGDVBVBmq8zLLzZ CU8upJQShPF2k7fJ2Bz5uBC2+gWb7uYLbGWmz9jY5dIpcga8g+DQ3l43ye43UQfyybTx/LrYe1Mr 0V83Ox1izGKPSp2+bKHkllzVUykXahbqyStMiv8nPsNo/NoZFjG/DFCnYI0XfhhkzfoQEKgoX11m Dz2Pk08pNahjGknlKmbozrRpSc3PFJeyKyoCTnJX8yLrim6KfnW3KZTuFjKqLwg4eFiAJCn24can o11P5uZbu6txEi4AsVu6wsbTUnzeIKVFuo0D7PaQLOyhIrjP9We1cgSRGmoDhaHX3pqZ/cZ1nzi8 ZOm86Qkq5Wiwe3sJfK7ssT3gtDdacV8ziVjfJfgqnTFW73SI+qWFNKUbFGj2F6vuuogtWnB2IiWi 5TQSPK2Yg3ZbpqMvoFyqjqaAvCvPgiAt5UZ1Mr1sPKwD/UidDcXpTbuPhXUGLAmim4R4VlmZOKqH e/4S2kex7quywdafIDUGfx7E2XwotF+ptPamYKGlq/VF9kR0J2DrT59CYpXDopUC9hc45Lr7pbyD 6sWx6gtJyYvagQA4U6WY82N8/kSbggfh4aOwoDPoboZFrsit+zxgN8YeUSGEUh2N4TnrGZb6wF9n 1wFQd+/iossKMuq7igkn+ya+uSi4VoSN6LhRZyZ5ULaqBvYeUJwpZIg7mPVElbkt2llgdGdzSoP6 xq6MW/whYNl3J8VBrkX8oQ5NZEx/FDaPgveddbfz7P9ENCTSRD8uTDa/JotGnRkgSWUUkZxyrHHj t2iOM6Mv0dLKVy2CgnajG/VXJ6+nk26OhXzOVyv/Kf+iSUM1NCR+h+WKX+yhymxTDPIPl90YpeQo cXxA5aUEm/e1Lu+v0Xzq9fwsdPY6/ZjmKfRhjlgvFFut0jll7Itj322qSySZxrJrQttx2Gf5vcfc c0JAxJn/SQAykUyv/CAADzAPGqN4Tx4lQRqgwcnyxLbvTZe1ie2e3zT+tp6YndhReFoZo/xhRVnh 7MgyJs640QWiwHEFYOI8XL0W4Grg/Mn7cqAHjhRzC1p9NwqlBthq6wNezwXb4CQWEAhr+Ey55evC EY/npggF1j2K6kXB2gEOsEoTLyRW3xPtv9xchZJBhqMEdF50MKaV1poyJWWk60efDKyynqAiv6CI GMUxfaYEFvO+lWE9rbiYcYL93rDv3o+7lwCpkQJPMeda/593nU3l/zfroQmWrfQQiTWh0B3LbU1r fx+KslNisGbt/x6yOf87sa/iEdl9um4ak/sj7/EQlSbuFLhsDs3uK6Fq1wYEOPp2wX56GODTElAF qdJ4zAXf2aaFzvwqvb+2717+SbzZAxqMYmVUtUhRi33azVaGSzVuwyNiQ722s9/hxXmblyC1nwMU klCzW4n+aixgnazNP6L9ERuSm55+D9/6FDjJ7AjgXUnmWFdLiDPXQl1RBEDvEgN6QE9ZGTiWHDo+ KN5cgKpyAeJx+vDPmsAYlgTTbBg6Da6urGICEHPzC40zCZOz58E2tXLzQ8CjddhY145UOaGt0n7d CImKUIloE4Rb0BsU/A3gRY+S0yGVhyTS3yekLR3rAWiZ57+Vz1P+/TIbTqfwkiGc/cRCP0eIL/Sq 1AEwFzOuORUV8vm5bE1UFtrUHEluhwzWxhetQv/Bpgv4jGC3Y2/ElQmuaTfBp8SGSosjo0URpWxh dxJJu0WmIPqZWMMt6TJqqKUBzj2k7uICBukYrNGEbKdzPxPuieQpxizZpgUNSet7XHkqrnB8wD80 aVpsvwifISYXBBVVgO3lYvBSu5oMnkat4KHTMr3PAwqZIR25SZ78WiJ/yiHJsR1/B8rKfwkXuaPI LLOpJIbFSuGzJ7YHmhqNHQ+5765GizjFYSIYbgQkWQ1G1G26ujYKQu+SJ1Tgtq73AJZgbA1T7rtU maHDdXNXHd3OWqriHv5iaJ3NX9yQ2JYctAwZ2WQjwS8j8dSKqtmx7/ZVdBgecMtFRQDm4uroSZ1X ABvoFM+BSnl/Xm4oGucqupKBO8EjDgkY+me2rmO4WowfOBGORGQb+BkGosh21kR0tdrHSfzVueWi WJFxdYX0cXUPMtZfgxWYYPYPgzNLSG3LxE1oFe3cmWKUcdLXcatJ9dn82Ge8J/tCLx1h5QULw//s haed0dETXtwFX1Ne+gjehqeYHK20wNqvj8NyMwu6t8qtwKuDlVMRVxAq5t48adaOnig8bv/8avKd RlqR1KTYUv2nGyLGKn8rz+wLPGmo6tB6udmNSdA9HdpxyLng+9HUKrzI0IhXANKkXSUS9yl5z0I4 OOtzpp6C3XMw9jlAg0kDYdczueH/HrVeEWVU6LAb/9QBy3zLL4rQJ/DUsd7Bktf5jhzWGczkV6E/ +W5a7uIlBhC2wOS/hmE3Bu0sO/ElFE1Qq1ST6BAssf3c1Q6z8AHR2RTGl9cf6OUIkDBnlfH+RvQa 2Qz/8P0F0OF4xlm/LVyXN98eJxX3L/La950ykFDi7NCCndSFk7G/bMl9jm7rT0a4ZkKnd+uWFZxq m+fjaQHveO5Xaeta1Rq/DW38miHqxJg54NBJtl6gNQRg2jWIVk67GYUr5Jykrlh73e8/GpkeCBa5 C5lMSYm5sUkZMP2B1PlbtgVR9ee5Vvtjfbky1KbLTB57vlUh/wSdOWuPKLQ/AXU/0SzIPQRUmSGJ LcTxZCM6i6lJASma7cktQ58c4iMaH9iqzQWZyvJCjzLxPDNXMBIdoNYIy33H+MxjyARXS/+irOLf m+8djGRaMefmQOQjJgIkKbme9J6cBzTRUz1GWmXCawkPGlVwl6HAM/xwAP07F7C8bn4DScA9gBJa nwkv/58XQDHMcHzGo1NrOOF67bng1y3MwhWlf1aNERca/ZZLmNBB1haqYQ8E1iTA9Sjl14JVLh7B GCqXMK/HVDnPyth00airsjU+cEIhkAtKxpfZnQAFG71Mtlxr5WiHpKmSuW6RgzhHIVwo3aytcXc9 jotbA4HuRfe60hP8Jc2XpS1cSPjXBmWEsbBe13DAv/T/OonfruJlGyk8bxnI/m0wSFD0YY6gpEbp C8TZeTxRTJBQ2KN3HWvopzEjfd3ZJRpJJo3ZLme1NWFnMNKbwfeRChvQCWSrYP/nEJQbQ8UpPYJC 6pNjXaKGWai0IRWFYzzQjNtoy7E1DyGWet49kr8ML0Y5WEl7hTHvXQ33mEf/oa+/uIZjSMpeLUBR jwuaPic9C3/GiuXoWd19AWoTU4OtPYTqEug+IGwnSFkfpyqDCOjxRcUoZ/5+FE3Fu41T+zgza03k tr/emJuSeZzVtmxDnjbXXOY8J6puoHT0GLC4Ucj3wMN86Tpx444sJInhKAK3ELPL14W5XWFocweh ttJkix//oUGEqoRjqeIWzjDseTFGowyb6q+146+IV3XTTAPxEZDNLk79+d74F7C9PDt+UWjImy/k rmFap0M354Z5wjIty5zKjE5DaXjWhWPAGj5NqPYj/bZmcSXKid5+YVP6TXyBLAgceA1bt/SPNX8M hBzUWP+O5R+b1NSn9qN9UvNlSde1muDE8ruw2cZNa4i8U8MxeoNNo4HY8L7JjnRIc9kyTQe94ZDS YFqR1em49cZC4P/1M7hEjAsw0/K4dQV/GEuJcuIUl8Z3TROi9GJONVW0CdI7V9TUcPpiy6d/odZ7 SB8ugDMRjztLbLMCUE3RxsZG92jFj8YpTZsmxOf38jkh6VeudUlo/8u3Oem2KE9OJMzeN8oiwUOv bQ4fPSA56Jl2NgFYKtiRo/JlmGWxwA5I4Ocy5M6b7OKCXkF01Tqu1gdb4RRWG/iAWXm6X0dJcYK3 gISho3mc9qOlkuyv26seIJ9ntPYYcCCpFGNBWsgd+bEzzWsQQV+wMjb3z0igdBk5gd3LCfkAvuPV GSYKUtZiZA7gOZBk6InB3fPPuz9WQ4vCskLNXL8Pmnl/n/Ze46OV5nnuqyxTFJ1gnO1P3tfdMI+7 arEOnrjidAj69dtGH/nJRkZjOkzeYaVA0m7S/W6PdzaUgirCQQZLrnPXvUunwOTVL2Z7ZPymGjDN vRqLWIFqJ3Cgvx4qODx4HEzvXpjsZiU/IaV4r4hAYOcP1uz9zoaoHu02VUnojjEIGr6uFlKNvHif 2CpRUmpAYv/jpH37IMQPkfxXNHKnc2kqS6Dqw8T7HOo5u92hOpsl61V98XC7kr1HdGnnfcx3Ed5L 4tF6j5d32BMxTHOIWIuxeySqPY4kbgGVc46eWZdejw51NoP6ynaDTKvgUDSApXTjFcHViLYBUvtV Kn9iiWz1UHMbyxZFZ/iob71yI2yyvgysm+i6YQpiHBSHwuhfy2eK/bNqatR3Nd0AvDKAsb0S8BGD YJg1S/P6rkty6+fdAd+tXRPcKzvpBOdkFZi/ZEqBwTlEE+RCMWMRRmz5ObwBfsk7gjO83YzW7c6o CcH9g0Zdfdjf8ecaE9aItbbgOoMJpweySLm2pIcDihJ4PCU+4/jemq1xBwd2H1Z7CAQwTzAE/+sC GPBRrRsaBoIZuJHMAeA/pbroAVh1KljFCN3lzv+DuFfSEwTZJj/umdk1UxXhOZkXHxhQWv0L0okr XNkTF+SGaOycRnk9OKOJpGBVIMvx3+OXqKhzNNMl/cU6RiQGTUYI82wvXpThP42ZRT5yegz5Tm75 BwYAuJwdyZ9tDhxVmlFDRz8XOae0DyXhLajFiUoHo/kLTiYU10oE/V/j+vKe2t3b6d4dyUZBDuvp VHP8wYHlrXFDXQbjUCjvFDMZKfXFx6prW4/vPkmmEgDU8gwBD2N4g/Ta1Udw6NzhFPKcIR3MvjS+ 87A+QMjlLcskIF98YrsjLcPoOibnv79Vam2qovcCR6UYBeFKrxa2sxhouCTBe1JqXH7yAe3M6VKq BtLv8HGWxK3oBr/mSDpiFIR3n73QgXyLc34v6JUJ7SRdEyu3YzLo7qwHwsh9U0cvOWHXfXpwJaYQ 2xbhEah4FIejt511yFlqahNWThbrdalKP8ZnwnpjQCgLEyTUUNcyccnm7RXRjrcPzKPiwpl3zPSr aw7BQTt1u6raytDE07Z+z7QECdBCWPhnOdQS8hSotllBWbTcU6aYTYj/Ur4pgsyFeU22Rq4cBRHf 13t+HLASgChXwu+L5b1x7oaKLWIfqWWlEDlwBGQewNundRw8EfS74FAcIZaIJx+nTHJH7gVYQdqe TsIkR6i+Gd49M+yqwUhLaXG1fr+4kjYmN0tVv9ATB6FzQzcjiNAHM00ciFxw4liZ5QTcefSqaKYy UW1TtRgwawljeQIf0gdJVJIm4DPLbmbNU3odVJqMc7Kbere7g0VAexblm3AgsQS37d9zNLcPCVSR NquRA3l2zesilx4VSvm2RY4cCrmQccJNf9n2YKr2fJxORZpGCHh7zedrSQPZ8s4FEb10Ev1HSJQL WQHbH0N8N67uxK1ikTDpKc54dhCe9nRKBEKtFvsLRul9BXt83WV3qUZCrJPI3tvq17HfHc2hY2cg p8tgMG3HBGFKzq6L98lUScw7NTHXem1lQASi3RLzlqOyBaCEroXVj5g8ybZaut8+fWZxoKfEoUc6 VQpVhjZO/laV2XdwT+FUDtpk+xNUHG88fGwqkuQf0nZjZG8SNTs1Z3D149wTHhMmq6/HDudJnFJW FZaFbPzYTtPGccP3lKCRF5JtfWkN1/NqWU94AWbPRmpmoQEbQjGYzJjM7v9dqZOwNs05mmvlktl/ SGGIjMYtv8VUaQVsdtcksoC99Mez7Py3epkMEKkKa0Q7ITHdV2Q+Y28NmElJV5RXMuH/2ZPh4CUw YajwvMLG9R6IHvzwSNFrfrdXP1twnRLQNmPenwn602z/2TbUuA5eWfeQCPmYet0szTMDDsyAp4xe 3SY5bgTZOgzXQEmNBS+BEQAJkKaa2zP8Mw48TTnPKsLy9sWmfZ1Z0o4PNB2UdZKoRLwljq2ay1Ao jJwUc9DU/rAnzem4LkQDw8Oyz49sUHy1bPJmu0+ftGBsS5MvEprjbk/oGRp7hwP5iz3Fod0y9Ja1 UPOfQh3ICyhQTqrNtjH0bsiq5Z59lvamsDaSLxZbKGsXHrmxjDhWOCuKsJit3eoirl9GecNEUjaJ gitsUeJo1e6D/E3ksRVYXp4Got9R8CVlaN93OG6MFBw/cenprZ1J4id0jOU5XVEP5uZOYHCwVNto NDsTiUdT4d5jP14geMUfL55HgEVsejsaUy/L+K2U2trCPoHIj+hXcgyi7nj5ayxEuFUBhHEVmFnn x5QUkZd/KZaK/gYhm0AhqdXQbWxS5Y9/ujzOamWR8C0uaCpmse/iRkUuBsq2iRmUsOwCRArpT/4w omX4/QVyIsVS7yNfvhJwk2YtgVCGzEAB2PCKOxroHWOUkc018O0bHD1rGUT8pKb10wysmaQfTQKp oNAClZ0P54I/oo0TxNxPiYj9/gxYSlUGQvewXWZbsCc517kcssANZdc9o5NrErl7yG959bLuMuDp +/gWliwR1FQFMr+WLSziza5OFsQ54XLc4cWAu53a10IlugxOx1iDOsxRoLcgrCPGGuQKc5Yfawm7 mtdc+7P9eRdMtLbNBWxgB+jQHOrQGKc+W5FnCMZSR1wTBVKZZV/WqHa78ItKBWt3gvbJ+ZJRxAYR Zg5KJGfcdy+N8/MacKzFawbXaqkVZlvvVgSdpeVXMdLbEa2i2pGVFk7T+feoddqjJizDK+03WHyJ 3ROv4UKKWAhjbDMElyH11PeSRU8/zduM4j5uRyf2KMjMtuSIhu6VAb9fOoc6X+9WeRcipbiYogqm ESlCokSctFQ2mmHz1DLnLSkrEJjCtcWr6EbLVKRsMbnInqzywIV4I7Kf/wn9bA2TrOB9FlSUTv8/ 21lu6VP2235r1HiqPtCBdXkLhRBL65mYTLplNL2qo6feIVI4UdOr+KlpcIzgRWI4Q3LJmzfxy6ql KJT2EFEjoZr3+ovptXEJd1fg8m//ll6cETrJVl09keSqIJQ4QPlSCNhDqA7KNGETN2Ntw9Pi2Eeh oeKKyb0aiArqe4ewzJfbnaYLxhkXo27lDaLi0eFpHbv8OCXHdjnTGlQgXhohGaKsANFUdGuDbi+s huNHd34o/cS22Y4JWwYC3G0ZpX1oFGkcQ5iXGx/sMuJAEhvtMZNw11ldYUnB5RG5KP0SxQj/Jmch pvI6MtcElHTQN7eCTLlfRrR49ltG7PaU5aMMzYTb+jZwgh42PcMCPIaso0CNYNwRx1VJHojcdwNk 9XR+B4U883i2ciJH8rNTVN6/NV2bC0p1rmquuwPHTwM1W0bHbXF8IY5U2zfg21u/sQsNQu9Z8uer 81gEJwNGkvlRKevxGBQhxz7T+FcasptgAsUxN0iDmTMEMfwDWbLON9lOtWuyDWkAcybR5TQf0Pve GKqrftLeheTDkjwhZGJ+ePvgGp1ChG5Rl3/MP512SELp9pqFI67hfxTJW9rQgco3sZGjLNTqCTrV RWXQzDFa4AiMR1v61XjnTJhSNEnSPCtq3B+HROOdOMVXr1ACEnU7DVX12yRBlxokgDPCweIuJ7RO 9d5zfAXF5l72DmSJE4o5J5GoQ5AWQTWdfljPm1h2fA3Qh2Pk0ew+NhHH2JVc45l/pch1E5RMHtCN VdrVNbcJOMbVQwfynIh40q22GGEUguDKU+Nh44F4rgLujlUQDF3fMDay3qyKb4QNjm25Vj5dLStk ofHKV+4a7a57zxh2oAKmBztp863uf9Pri3GTgwq+OedIADTS7aalpc6S3zkYmI972T/u2RziPAfT Cs8cU1WsUuKX17eQvQa7/nAncJyKjca3C2RnIJ/gWGR8RijF3foqaZa7by673ofH29uXiw+HV2Ai EcPuoteoFqtoYvabahu1aFUNumGgDKjxSX0sNpqCHGNYF31PUbbvIbBWk/h3UIZTjO8RU09HCT9s HdkpM+udqggzL58tSxvM/eni1tUhefLVVpeizuiYIyt5V1vhdREgk/bMxhiaG+a1vTX95iIUJam1 8jbm2NvBcEHnPDR1K12y0EdpfEUK92BSbsgRHEFuawae+cA6Vp4VES19wb9n33RCkeR/OtQH7ZsC K8PiLnRU9bU1PwLeEHPXKJ5EOsULSukSsZeiZTymSVfhnFYUs00w+wDvRUV/S8D7BgBizFoy//2p E+jSz8FcSJyaFzHEQICQhogajKsLskDQ1/nBwfLx6ga3zMlXVULnVfq3W80cXR1nvtQnQl1k17Ae SAR2p5vh5UkbNtcZkw14Vv/PguvUNMqnlr7a0k6tPip3hBX+k6Mty7uu+eFQmHATPkV4XirTfqre mHqCDtZekkNMoje0iDkTj6T+gnbCd+rwTokMFYU2c5Mrsg2Mj2i2P6VCLBt7nk2D1JDhVActEnnC dwctZEN56wytDZ0Lxg6LzmP+409pI5nkqTjFAIYSIQtukRhOFoTT8pLylCD/p+8LzRWW2zH+CopX opvJ9UX3m0roPnhwWyzRdiwHmPDdOdfuT14QdXXGbGs2ykosccX02kuDPkFEdWskwuLfK7oMNznd +a9AC0yf9dqrCrtLzTLMNPj/n2D6hi2szik5dNcbxYlE+sO1Sm12jLUrMuqEc+5ty7Gd08D2GJps L2kiozSaA3p/zzmhkK1x6BaV9gNT+07vcwGmipiB4r7ZmVeF/tSBaUMBxjLf8D6uCUgMLW8kwc10 a2rlu5lJ8JNWcQWjBN+rKUmbI5Gt5n1jG4QEp89nSDklIe1KlbQT8M/Z/UkeoMEYhutLXV/Li2TR ad13tyOpiNZXnBmvQhJXMJVeakrmbkUXCVVC7aCJGqpnyb60rEeM4IJ4JoRLvXluVdgGYJ0YohtF oOjBw5Yg1RmmjuKuHPM1+I1JRjN711hvO+cBo3BbWinG48POU5nhKderYHwGe28XgQlgy4CnWAb5 B/GZsBEmryyjOfBRcG+bWwprE+9Iyvn71JHL4Im0m2aq2OwvedHrUqg1IAWiU0SPKKU8NP6sM7oC VTpdfF6Eut5JhNf65RPsk2x1Xo8eyMekRo1ZrKlcRl5YVkgrX04dhApD5ralVv+bhalU3inCKTiM UhWcuaalnVMN5h2kyCsEzEhxagtu6Dil1M9N5Q0bvEVEBs006VLvbXzattVSux23aS+RBm4N1DXT /8kNwkrPTQ56zyVGZc16R22mdY9nY01O+K9NWBB/shkQv/wz+QLjJehzrMJwvom8zNUt+rqxAtdh yk2tSANPCOnEP36MuYltHw4Y9tgRDJ6d5VUoiUhOjvCKAilfR61qTFs+wRtc0wADSKU+tgn65P4E mguPB/ypc1/YcLTmDF3GO9rHarsv0FiKeDS/tHqbTfGcdg9JzaCXlGjy4lZBktrwGvk2QBGNGPpe 03cqaY3wzwquM+marLz2ksIeCT+k33AO28UXyP50epG8HdzMIpMpA0btHD5HKjeLKTEG3UzXN7MF NnbAmT1v6PJmKetVWr9Kp2XjFafK1HvgE2d2SID3cLpqLy/xUITAFGWFQClPDAMZo9yt8xHGsXFG uEw3YaCuT66PcfvFID/MjYGaeWs5OBcxTzu+sJTsuegyv+FHpMkEdnhi4G0ulpx1Kcpq8MlqyknM nfj4+2tbdMuFLJWLsyozz5WbosCnhJmdk7PN7Kjk2y+O5iT0u6XIge9KV7J23lmp49V73JQxhWVp eHCIuPyNkLroKiiOqXDWIKXjVIo3PiwI8khOL4ATjQYFPqRYlJP8zaoML9PDdVYX9duHZtVLOi8h q02cCgGgHBKc3TWkhuqP2QY60YuOJF2CdZYLNsA1fmj6AgLkzLvh7pB4zs18wLs5nijsYIFBWRVo /YPgM1uOZ2IZrUSuD9DBovEKsmGFQsv11IHXi34VsfMYPkPxOTdF9SNR6IefNXWc2/HkD9djpqNw p6GtmpAVrFdB33+WawUPMrxTPPWu3lyLnzr5ECkX9HVTtIaeQU0Wzzjo1cSm077+m6BCfGQm/maz J3ssI1M2lVaxCASkHH0GV7b4CGMzOOqfdi6DF9mchlwPfgAGyXMQGhXYCw4cav0d1zBVRXmOcVbf x6neqny4kntXUA1UW/mAY96Pq9I/8PQq54lKaPqrRFWEsW9wqtMZbssdYAu7ant+amA8Jwv6czag dqozBNMPhA4VNAIUgz3HLT+o/NIeNo+gVjgp5zHCcJP06ggCiVNQ0oMv6h0ycYd2bWY3nnm+Qh8a HK8fNHZzLwXJecuCCf2yzH+x/EXC9NG1DDoPG3v1I76sgEsGDEd7ZWM9AI4HC7V6MwSiwVNECmI9 mXk6+X4L1TCiH8HFtnFI3dUODD5Ibbsc7/MLaFvBcJprdSBYYzN16K86IddsTPdNbmcet4LOVqXQ y+YJ4b2rq+SHOCurWHXkeVABKNZLQkPAVCR4nRsvQcSL1lq61fqwgAmaEmgcRD+jvoPDautuwQFP oPr0KSrH90w2cPpZfKtM6a0t7TiSnGXASoZXDYUChjLzmZnBRNFSi9VEAWJ448iALXvjW0ZAqHfx uHf9+SpkUq2hDzII4g/0tVo8RjrvUYFiUd+qnmHgyS7GMAPc8jS0fckwuAW0u1q+gHmAt8++/v+f o6dJUX8el1kdkBL87YfEcyY+nRsUZGp2GH3FQfUDKLrhmYHZELPeNCvU+K+R3oXrqk7DFPxssbG7 GuIsTyDwv7doeGUK57g0Po4du4AAXATnbMb21gYE55d6eGPFwmQgvJbIFfTZFw9VbjB7nnh4CoKr cS6lKEH1ALnhD07w2OfDECLVwkoxLkmr4rOxolv5kZKVuJMNNusIhbZEPy860uUDfjt8RfM3cL1F UBLDH7dwmWhvO7UARTEAN+pcLA9MPsC/E3gF6HdWiTGfeYsZtoENj+08GXO64tju1qifn4y2ANXH btWc1SP0UK3uYMzayoVQ3D+vyQJH7Q8Jbp66AxHgoQR0/C57woI98TRYK9h0uR+N0oOqWbQcK3Ms 4B/mykjFpfD3bR3dZDLP4vLK14WJK/nq8Bi0Oup5S32XcX1zGFE16/GbET+DWFpCverhOZ9wHCOE J5T4hjW4ZLHCjTSuOwAhg0wKlaRqez3bCW7oswBtqZhrVhkbGq74ZvDth6EFu24MzluQoVXZI8yx 3nrpAwHqZes6S3XMgPifmOK3ZjzmkRg9j8BgBiQVEocxJ7nUc4vJ9gZEgzYPBiXgC622oZ7bDZrc wlVwOlJO3y5pgvSaKPzUrlKUBJ1voDpAnbxGTvBrMNdQMY19ZLWMsVJA8PAgH9WAGoD8gCPtSrQV SfLrJJkIdtvOuHCyuSURtI6LCP8+VXv2eGLkiO7gn0/3twCY55LA4/Tts0JY93Wxh/7Od8CCEfVB FyzC5bFchHSh3WqULaryIJ/aNhreMNGxJStw4RQsd4LrjkWxIpcRZnHwQ/gQgj4/Z9r5mirXd/DQ K3X9+7uh9KSliw+3ckyuOlUGjZ0FDYVACyasQg1OpdxQdlltXIarQXZJi2ziRL5L9L3tJ0vJWo76 Mk/+UjSf2mPCeOHQ+vzVu6y1XHTYnqRtOiIdW78taYz7QhiOI1usPuOiiKey1YpcMg/GBsBMCosu EmBG3zZxIgyoVlNbH29Fh4msx35zPbxJw1AHp3abkTq+yMv9LKEGA9yMBh6PznhvjTNlwjWsGnrX dKx8x7BpOvTS7LNbIuQzLt/kHdB0XYqdURx6piGYUh+e1RnevH/StpNpvjTjChaRuR3MaGpbhFN6 H2UQYYrl0wM9Cp0Zz9nJqs+WZ8dwUEGy/L/We/kvbGCnivP1NDFyMhf0ptawcSVw9vNYouYrA+MQ kSxnS7nXekQ41YKuQ/FdlSYdKhGbBe88ypxtp37c0yj8tERhN+ia6fywXx25lM060IW+hVf+Ylt0 bPZqBiw4dwAvwRERY0uLND3CWTSxmBc57dxgnHbv8EU2pxgoBtSbQwEbGlE0wh5++crRMkU5dl25 6u8X/+mOMRjeKzNEot6rCtYcb594/ox9fiyM4oEBETcZiiXKEWi/QqgWMELmM8jreOs1y1UDU409 RoPJUF2SxUNmXWYZ8TRllnJCb+zJnnv3Ksr3Fvb0wGJbVD9fcs3gDxRbZq8pTfWDhWzozRXExFzg rbuxZOIwIBheCb2dtZgfK7xCkmXIY5muZ3GVAejUKG/1gYMREptlrPK2sQR3mQ6SeVWbl8V7iGWT Sc+rfvjcGOHPkvkR/A5X3VYZJ01S82kjg52UXlmk9EGwanOWhyIAeRGS+2xjQWiRwLFAUKxOdbTG +2bV8G/rfXUliZ8JH1+5bNw3BxwurQWdz1YAepqUJyuy0AGrmbhtvWHrArC1jw4M9PyO6zEOSXoT zY+6jtjUhjvckrN1326DOQOMpT8lCJr1r9RvPDmDWBbAAjQhQD+e8FrVkktgoEHFK0I582ATcCAz bIcDWBVu1jQaPqqDEu3Ga5hzwyZrgSnm8S/jErRlZQ0hB+KCGCzwEEQeh6P6NOWLbzCGniB3vtmk F2L3xTYtwTsAUqsnVVesBxTXGG8s7yeCPXkI5kbq7A5Mxxzd2uVJ8YazZ8Pr3yDKAJLl0uibtPZy J9JZ3eEJrkF1Cf//nyMCIoqbMZeCM3AfLB6z15b6o5CpluYj3QJ1q54bAmmcLc4Dq4yyMx89s9aG DAoPCIuWLshes5MCjfGWVT8JGLOHmBsa+kw9Hs4rAWWzR9fPibC1XglApDwJoLoI4mnBJE293mV0 Mr+u1fepF+IQUva8yGr1MSy3D4AYSIA09NaIlA/Frm9fv0sGflQtOoRdVIrgXmOSdcGTuC4hnwXQ LxTb91APDW7eFBtfhjEV+cl0Td8Vn6TJlX78nxqzNHQWmhvattEjXpReM1Yc/dokCkRLYNl5GjwH lg9DXH+OCoEVDc2XgAHREou+MXOEZ9z/5Igagk4MmU22gEnohkmsQ3S2cfT3imgN0HbkLkx+vQAf XEm6quJCg9E3PnACGHVYMRpaOjrGKJwD+GH3VRlvkzdFZTXt00Pm3zCu4nwguMTatnkzedgS86u+ Nlv7+6rrPHKFJHyCuWhx7QV8ZujmpZwaHOio9200H6uuwENaX+7Xl/DTh8PMnq7ZhpWWnLdnVhpF WkzGMgpHtZnb1Ti1sop7/+wWkT6zyEMucixAFJ8swAgT0SwQN7HYTzpeO+xEh9/hLjlSjJf1oyJe UilnoP4Yfy3cKJRYVC6Ycbp08MVNopke/UHjc3ly8/t+/8DE/4aaB/P/uX86AzZ6vvATSqEKbgP4 OkxEjZ7k0enzk/RfS9WDJ1cKx2MxE6KvGaEf+tE8aHklyCDQ2C+svqkC4E2grDuTD74x9/uSSRDz uhmvomRkywV/UXFdCbeFHkcr0x+z2HDgTavCUEunxsnxttZwbc+AYHS7yDklOII6pzMv+QZ8Jd44 B46/A8qSYodlu42K5wYWSCQszN6RLG8OcVtcmRsy2+Hr9s++tuVNm34zbHMfUexsjCB/9OA8nb6h dRNQ7qPD2futTBH2K+crcwvNtEhIA9vjT4AcZLXpkffjky6LE7jqF7y2u9tDOTfcAJf/G/ostXJY TRyC3G7BAwCHhMJC1qtqNJZcbScqVnK6eFjoN+/QckNgi8J2t+dLKYHz0I6mQ8OsW2cl3ISd/FAB CUODp+Dh3XkVaRMtKeW0VwHkAoZNDcyoGckDNVCsgzXjus2GWAKq1BTGG78L6Av5Qya0fNuMqE0c v6M8/uo1N3DFbcq9xcCkH/ww5OScBOuKPBgjNEBO1zJIAT/3CqOSZ+o8RLB1wcH2d4JWXfzpLzQR MpSwSKUpAFtgMqnNxGIIKmEmhUVtgLYm13Ctww8MknPD1SMDluK59I7HVaqVUOm8bgkh0v4bEsWF H2NtRe5yc1T/fCYadkHs5HRU8Y/7VX118xJUDoXNgq11nOech+7RyVO6q2pPF5Dnun7R+WBlcnhR jnH0CuVQqvT5U2mCMsCRSeEUAyW1WFBTi7Il9HbkXGtf8v/FZiOjwGoz3pu7wikzHEyQAlXd7ZPj KIpJEZ6Gco8QnspO7LB3IdeI/9jhQkL30gGaDL5R6gdzQTuizJFPI12pQFP562A/P2eqPGg7WzzG f8fizrY72uFcjb03RZDNdrKanGaxsVBGXNKfkTOZaDOk8/cfkdre/wUnZc01W3LrAL1rwrkIz3oO MT6BZRNpflmJuMTBebu/vR1ksL29IWwY9b8/E9WeFG9pL+u7YTvIuOJ8x7goAxrO1QcxzOc0MO2I BvQ3VM35SnXvetRyDNErxEc3O57L24///zriAFcKV0snZLX/YRHlcWWxfW1jZsdEdVXvnglf/TnU huBF4nW4gOMKMtWDnPS9qwQhIxkKm5H4VY7YMaNIGmweK6NrVh/c9G7HKBZuh7AyutIkG+eFEmiY hNhcpoJkGho7PbidS5LCdNd1nZi6CvKIqHi/2HvB5cjhr56CpFYTWJ58czYj8MmC6JYfsXzFTblh h9FUpD3EuhTM0RcZbLidSEuH7gQVyc4sDSCjHw65Ny8ZbRWy2W4wiLcXJQGRwtks21WYRJrGSHro QlaebrK/CgP4RykyLg0ML4HLt8HKeOJFTmPgN+kawarRoW7r4bX8Gc0iu/iDT2q6n0Qf1aIudbls Hw8F9JqT14GF4DgzSEMDnndpR3+jdmJq6pHdc0PHX989T6ziax+TNUXqZTkxeQ+BqF2qagO7a4n7 W+JMYe2RUxlGKC/7xQxAU4cFQnmKmsIeyHlGJLOcJd9aWboL+zUiDRitaHkUd/3qWosYL8Xc6V1S hanoMZr1VJAlDYZODQQ/bpioTPw4SSRRLuVfpTaCk+/DKQQOQ6hBtIdAc/UuHi6ghLsRYY3ImqtB dzpfBPC+tzqgD7LW2WjEjqyh41oTiFOc5Z72hWZy1wu1H63l430+Uyfy0fKm6U+6knsI67/1eD9W dfUkRkM6WqOFFgRvKmpvxj6wfAFumThcnznrHJX+kNdet/6LPdLnPpzGGtR5qrTe6oPhPdYoy3r7 qbRDMOoVg+PziRi+lVEz1Vt5AY06Rakp3aeFsw7uC0KQYWf86+tkr0625ewguEtEDaJNeVLxfFo4 ZJx0yGiLvYqFNd39del0V4Gt30hz+1FKerVYX0okQKJaSOlFJalzeEkCGIguJGwlY3k4Ue0PdCP/ htxddXoSdVvYG8/+/9NbNlqtkGp0fKlhqRFxI+a1Ue7hiTeeO+nLE8DIK794PuWD06yxe4Klvgh7 5EaI22OtNTTZlYsi36iAx2bqP+QMuwot3w+iNGsnSn2UdFmaWRoP6NpEl3MbHgpMCXIy65b85KKF pR5Z9zumCODUBNZgsiKBX/h+CAUI+1MpaWJZOdS2beGD88PKVPZwl7sYrZ/qe5ghUX8lrw5prKSe V0ZVOpcL+Y0i/darQN84iBhnKN2wwk8Mt9KaoiaX8gc2VXKpHzBT5+BN3mRf4ld7iNFEN0dn1mZ3 SZdKsgAaFuUGmf+f04YPUmPXZ6mmwp6ZNrT24AjJse8f90/jYxhwkSET909iIWxl7to40NnwyN48 TV1xtiVAsw43RRnFy1bA+d6gUwAIrpD5Yfhu+GpiMA3oe3DErGdOjrh2OJWWQ6L0DTgwkX5kuQ6+ QEe1qqvRoqiEmjxovenaftvKscz6kQbtmIQmDPKGYlFqhUzUsbKfz2zqH70U1oZpCgakxDRUC2bZ 2N6rItKhdIlFO4DKQArpEMgJua2yloDqfHNCTYvCP5H+PTZrUa9zK7mNB6W/P2cSfA3/Khqc0/2k My4wGBC9/++ePinsVYSyRRM0bRbZQGakZfmSKtZ83527Nmu8Xfec/rfcp9N63gK/JdnMXgYf+e/3 65sAF6QDGGXElfmH1PsRuDqNab048gQjKM7gldgvzVZVi+4cDtu1kzScwMxIlBBTIPK6x/Mjzdrw m8NZNQgjry+aT9VL1BtMiH5nt9F9THbnxIGXChygUlw2nxua0ALSOGC1qimz6eP8GXHdMvoi/4UL UY8j3yH84ZY7GtKJdUOoBO82GHoCkDLSitKOP37E7J0gOXqe3iZg3f0n5ugOjExhkOhaRVDxxhhl kr9N5ROnWMJAeY1YdnrtV+BxUutNqttB1KaOv74OR/qQcKVkvUokL6BPIwgHNEg/HzYaG0+LD/CC xVMHGPsQGdM5Aw1SmbYEtXMa1fNd9Zk0lQWjumQPAM4SR1a3mDlteZsaPWFT9CwFQYKX7CFeDPpy A4CYWAoeqdmVU+Vplwday5ReBmIyUDZ396KhYL4mjfHp86ZM7kZHg+65N2uwcfsYwpZBAVJsmEPJ UNtdyFn4Or++g8veXP+aykcmikzTCiJhTrfOGXoi9A9avKVcQ0KuJbZHAuJJKku5safjZDKe2xz7 uCGRqB1N9OI3si8F37oaRPfWKWMjMUnmP6iCTEjFKT1gEWhg1b4bgmTFsHojJudCKPAYMo1UMsKB TiT9itIYzrf4AgXEJfpPtEctjX9He8Qwtu/C1ztSQrXF6OopsGAdE0gp9sVz0JiP2GoAy3dIVH4d Xboh6m5DEGZAZKoiKIIrcRu7ONYmrD8tpHE+0hO1ToeIhNGY6UE4fXmLDOfGCs96K/dD+JU3D09s V7p9pZNcuKVAT/zpTJ6Cq15FTrmbqsqDFpnvdCFsdPSaDwYjCBUQHZu6xso6ZPREa+sYUc4qPk6z tpQBDptOxDo7WJI5zy9Lb3iVpCJ7smTwqmB4N0sqapmvkP7luUTvOCNz3mRLhERWd2zVxQCfP+CA qJJ19fqDvZjlzKg/tm8u1p8nrmvrdjMYfiIpteY1QYSJ2y6pyp8GplmgLj6uqkRpcOMnp+dD50cX BH7b8LfL6wYfVoInAd0rqVz0KnRBSTWpEanJrsy1+g7O06fDpXZIDkqIZ3p/ngS80wFAVvQvFENy 68XdVbMJt85BQxHxOCO1HzOhZeC9c+gnIOq7ctB8njiI8GwJjgQOvyNr9DDWg5GOPNI9lzU95CkA A9FRR2fMfyY0PjYMtGhQKsqJ7z1zPkkF3X5wRaPJ+2YTI2UftNuNz4dR5DlcyW98hJgk6Gz/4Kf7 9QWQsRjr0WbpD8oALQfcs5xuiwJfm+XY6dHhsbzHmHY5ruxS5lhfSF1jdtFyRsK0Jh/84OCt/eSw qbx4bUyKlQzFcdo9kdBupWOJsH115XrALzpqOSLttWOx66/z+WMzPdLlKTtfzESR7D95gu+q95F1 KXBZn1uiAK0DalXr6OgbhDyFs+c44UGe9bgNCkSEsOQYSW82vyYmWH93biUFpYGk3uN7KcK4TqHs ytK4OVWZKwwqjvJ9ELHOYMgYvEihWC74eHAXm+WxVi7iy9V6M2glYI0NlZfqQPTeWqfeuC8/VI1u R/spbCRpRoF///hX1iwqgqWGPec1GuVItNfituXS6NSzrtltlqyMZ6oho1KhOlwZ3CqzY3Ka5Si5 /RHnX6bAoS9yiZRQw45Ql++ZTol6MDYEIqQNHlyDshYXP8HxW9SanfuwiDBZ6vTb0DyZ+TyHf1cn BxgAy91YN9FtUPDdJKKlq/N9k8zDt0vJOC7CZfc+kR4kcoNknz6GDFD6i28jajt1EyOl9azLLUDn SNv0Jbjq1G9/oDtYjSJLihLJB86UybS3l/+6Kyq4Bx7VK8ZbcVOw4hCy7vrXnw8Mi2rtfVLkj1QN NjtYYjnUnQYT5zVv0wG49r+/uAiaMRXYuigW0S5NPc4XLMMlgWSa8ICTTsyqfzroONcuwU+9igjF B5kaNDW7VvnGkTo41mJQBeK4v2lVwnAYTs6jHIaTiDuLiOVTQ3mBCniU2IitL7aB0zFQ07e50qeX N2KGrSODBIKKyF6Wvt6ob68SbZlDvbitDZvfh6jjate4ybOeoP6X2hDPHvqucb4S5nVhbDLBCheW zDg+5rb+F0a1Br+qfIK5ddiNM02yDbGL4/MEgPLjnHPQFHm/sAz0K2ZfGu2bNH1OQ1jRQ7X7L/3T gD4uXJHR7/tf761o74iL1o8H0r2iwAxp4iPF6svMcDRr4UMXDX2/OOWAf7hD7Vm3azhxQths4o/7 hUYQCfqE0CVkMIeBwQ1IYoGmyf2J07QQ1Il6KGNPBTvfa9Yvo4FU5ETTL/qDgN2SR+J8jAO7w9iQ N9BRqdllK1rPOA9yymPOtjAIVyVeT0ULhnJLZoPmIVA/ukoFSbZF2YnxoQALs67JPKWKcyoxw78M 4YL026WKdnEMUA8jxppuAYZ9qo4njagT9ETv3+7Cw5q/G5A8D7911yAcg1NGEonJ7Ukj7e3AS1rp CqkeYUBtcUVA7V5GYtZDTEQShslVHavb/okiQvKyj8I9IcIrTfGG7WMF1k9wEXvameWllxvF0+bX /DMv7UjBJxD/ZD5yFlacVWc0sXlRK3BEBJujOlmoiTEdppHQSAZIf36fqiZsfeyiUWwdSvD+aWeQ wF1ue0ItJ1Xf9qqlGTfkimNrtmNpGTTyij3W2SLBspeDheViYmWcRG61VLjkko/XugbKp07j4tt1 1Grk5Ftkw+PChUnrC82uYxv83akK815IGC92US0ngv/OBiNbNp3oHvn3ZMONp8mfsMwEc0o5Eg38 ng3DAAimEwTcdqeJ1H8PJR0OEzgTwnCK8++ZCrYys5eCEjEmOdyyPxXp56lTE6FS9QZU+oFlrz5C eAVQ+h5D8dJ346NdV2XIVDM83AGdSPlHlZ3sHydllFO0JnTuKCVC3VYrGDt1rS6lfXkNMgslEHRr rbDxXo2XQfQYsr69JkNuz4m3S2XsaYmQgS1Xn/OmXFJF2kKQFs8OuDj7XV85Tk/VAt1A6BdCldRy TLIjgTnMraG82O73eIK/9BkyDLsqn88Cw8jXUMTVacF743dn1m3r4eeSA4ND2ekLJfWijf8AjT6G 8reXo8FifLFY2VC7ELhkgLbUHBw+4azQRvgNhKOF0yY8ehKnvjvuVLzajXyJyk+ZbR+pZAi48g+Y 33XKftgI4tDWjiAWxg0T4YhmGAAXsGgV9KsTpaLUtl92WX7fpQmCORI+8ZoXHY2NmptBW96MZIVO mmVsK53q1AC5I8B+8AuaoTsGJrRSmfWAKSqi9HBZcds+FyIEvm7mFuuzgG0ovH+zzJYaKv10Dd/z ZC5WZX3eIlx7U5IvSuZyBWuRD2q3YufVh6xawrOOorXga9h7L4lzUMyqssu5ltpSk5017Q4uKjB6 fikxunwpwOicWtgP/ZQF1KlhAHQWAehfvBCvEK5oe4HuvdWbMDoqmXSN3bGii9Nm9N6UdnCqcK9j sduC9Lu9YA2rv294+8Z4QKz29eanjUo/tO9C/1ShGkXkYTwL40gvdJhZj04hUZuxU7YpJ47MKEPx L0urQ4INEO02Fz9+cwLolNADyQjyoT0UTyyFm4/wpLHoPXD/Qg+KVULLl9aFAcnZ41UOCh3eawd1 ycIBq72uIOBdp/GIg+lI6QgVS9OpIaFOq6uXgiY0We/8uCRIb8Hlpi9XDwyTGF2/YH5hAQU1iqnw zGPRsPmoV7O0sW2yjqTXW2BAyL7IzYtiKeKsxsTMnwlYBbu0dcT9jY7BT6MDTFLOZtspCImwa16T BiTvwQXFDAVg21A5+XJ8dg3Taq3mTEnWN3e4OA6dUDew6UDLhyCQCb8hP88arpCe1wzOOUFX2/dc umqlkRDAmQYDEK2CMoPMAIghQGxbTCXh/HjhmwDO9RzVKR+QmBwWglr5qNYO3kOoSSNRsTy4fCQv NzzVvZImM/BAYoe1k9SPQpYAlgoq4QKryEvt9EcA7kb0aeMMnsnBTc+rOYY1gFxyzave2HOCX+Gk UjtXAQXit1oLgpQJauR5PpamcxLOM7s56aG5hWcjRManRIdw99HphT5nY8sANo9ywnvHvj/zSqMw W/ox38IkwrT8Zq5Ea0KM6kVp+CXwkI/G9YKrPo735zzcDnqge+P/aNKzWhKcxAkv7T9Dc2nYCMBK QK/ej11LQwxKu59EaAUv5u/bz+M18o1YckLrCHZjTSeWhZ9klpheSkYa2vHK1aGMSH9AFT7k4XN6 /tX6yUcYZZtMekmirveyXRfVOwGIZb99WL3G3jwRtDmgznQOHEIhCxjewW0a8kVjkPdgCS/TdQ7/ GA7fTuK2PvnA4a22RDpMrYnAWsHJiFR5I0HbCE60eMsOBnbhtDnOsErFujIYhwMrBI/YJ0WlbwT+ DjLAISOjWQtBd7zIxp0aDwjtCOkBqfaK7ennJMoRbTeHkHJQ3wUn2eeKWvNLHLKwWgf0BDC200kG /kmPXys3Ugr75ONhskFsbVQYMbdfLQpmj3PQboOnaIcEelQY+JM4OPlp4hZ8QWnDQnnomHZE4W06 gtvZM1p4iL/DxhS/Yayh73gQcoSZi1m0Ua3bvmak50/G836VQebDJUd2/4W9STNACNYNXAHW2Jmv qPUaOtQrRWSg4VAVICduQWw11bFxt+1Xmg/4zG+dSxAaBRMipcxGUcsIgBaPOBa0mtNzU1eNVuWr caJ6bfSG5EsN/GgBGrOp7f7ouKO/tA8xyZVwIUtBhj+ei1sNQqYRo7wV+Nyzi2BUvoAx1CV7aN7f xcLsOVqrTV6u1mY/aJbfaZY5MYd5GaKWM7AAcOHg2Kb2O9p2H6IcHN1eRd5sK8CJ1Ejj4RdXck/i zezuc3pRS6AtbyrBqxMDDDiaseW7qW8wuAyxGAVE4OQTJVRtCRV5bSFp92H6WEF7rIinEpLn1E5G yBjaGZyCxKuAA7TZN1OGplRSglax27V0sExwjDjk/H54sWfh2Z0yY4++xw8czh/LhHGTLQsKOEmr r0/kc2eB7mo5Is58884EBUIEDeA7cfefSTOHcWJM3JxaVnZ9wU6PZXrauPy03nMKnRIrOsTT/Q/S uvjWJvV1uiJgcCgcTZNQVEf2EZcFVkG4He+X70yKC/8qjG2h56vHPHTNci6g9Msxvh+moibUnyjb o/fSJle/QSAyNBMH0Qrkx3nUkFrfho2BIyY8AFdWIJ5Y5CIMXA90hC2kA0REjz4+zlHk3kwHOSJj LKWeQD5Cl3cwfxvK88PAhjTMXjOl3L2ncVmFSOJA3eSP/7VlmyE7dg0K9/+3+jAxMmsx7tNaYNwg VACe6fJqUV7tWAszc0JP0ucwDTjhcL6MQTD24+uRlhJ9txDdtLDjWY27ENLidtbtgFfPpkDkihqO bI2ydUVMnnjSanSR+9H656yLZdtN7u5pvoegJb9XChW6+nN3QYGxV05nQRJ3FYBLgvQkKuunoi7q JxIGthSH3Zrc0dia2oEw2skPTNKdKUkLYl6Npn00SCUGHaTzL9ovBm0Aw3j4sKqZBsHvtHwjt3MF mYFiQ1uKCHHJxF99a/u0nVbvUXxqNIa40QWDgvdRdELe5NBtxuFj6ME3jHKGXqu17FFkHIdp3beU toY7iFUVbLgr5QWeAxookR0aRcEmR8I1p1S+QrBAyX/Yre599TdtRXqD4QUpSCknJniemRQw13hO kVZCYnw/EnM5S2IpC5tPGu73i2ryecXwcBfzF/AyWivVOpCABbQKF2S6EqOUaqaYOQ7yYoz4lHIL fWrHUrPE5np27hPbSPrgHUUVtaybw+OeTsb/VV/k0NTspRPQGm+bd9olWnG1hpLKt24/8EkQV9mn VHsy2sXdZFS/7fPvROqrM8DAlUHDP0H9/u7MTrGN8BoPrm1lXD8fYTMnhFQnKqMhod098+6/to37 6sWbdWfg8DFnT0/BgaDtCEUxkHJjGUuaPFn+8K628wntyNGmwuY3XS+vBTwBRwgT4g55VVG+qkWZ urxNDXGhPR+whLwJTHy4GPBh3030VHJjOksifxRY7wLIRgXRr7RcnLmUw7GtPBS8zJxF4FBsguTI hUfYyIgGtfs+kpYEkBL6iaITOqvl6eAOMtBjRq5KrKv4zdOtf/VDGYe/vq4QfpUBn0QwKiTFOgEL 1NcGpfkzk7iE0BpuWhP7oDUo/lGVs4KfvQ1Ay4sKWX5nncd97V8U40CK4AMyE6c73gMyIrWg/OWw vKIhmltds19Io7FDchf3Pau4lstweYDebg/7FDjIBQtPkQ0/PqczXr5P20dTA3qYNM4LNHogAqrN uvp1R+GnMYQ98Gjtzv7oXZQHfk4PPdhP0Z3khQ0hPfxjnwyqL+B1gZizk1irAlAGrtLtc0eQIr4V +Xd4W7c9hT5755V0h7WG5k5jbyNs+ZVBlUQ70v1qI3dX9yXRE+ORdkVozKCeaUQT/Hb6UZHdFY8v 7QDKCx58USJ3a8e2Vs/hfJ2nnplT+GlW3bW4PURlvwYd9kVRMA53CUeGk1aoS5qgKJyB+PN76WnR s/bn/00aW4gCDo9GdLd/fwCgGCGJrIJjdPPiRytaF3jzloDpJZXixbEazoLvfxtQC1xfjq+M1jJ2 yNB6NNnTPdHGdi+rM4R2lZVTO/Blwq9Sqxdvct+fGM1lYng8/5YvWPYw8nPsuHt8mjxCB05kMKRR /9EXcrK6g6FDqC+h0+9dBB/giTBGdckCAtQ+Zw6GoyUB2Ul1AFFrbhwwxHmExeHHll9E7OUa5qJj gnqudZw/uJAf1hLtYMPbOjeEj/gLHGjdHOYsUZ49cbPPCHLDB6muQIgS0sTZn/Wl3M/APr8zzKqB zAxMIFr8c3NfUBoxou8armh363l8sE8PkMsUWGzIIvScLBOJEBcxtK+gY/3QXa8oqrAHVWbxqjk2 dRrv/UUK5+3uSDDB8Xgncta4qrSQNLO0u8ncGezQ4b+F1BDT296PSu1Y8yCQKAHWYifp7+uR4i8T 4FKEv9IBu301LmODvXeoqsiIenICtZ+3XRuZpd4CZ4nfrPn3mD0YgcuPU3e5ZrVYeCyvDMjO5wlc mbNviiiJJ9OWIOdpKjL6T7q7l5Kx3Nvp5MFIhZtDUAUgtE42wOQg/za/uNFlxbbMznsCsLZoCJPu k45bONukmViZl+6pWMO0DPqlK7C4yX6ETsU19wIv2GWjdNqBGGGB26uONf+QFVdhhlSJ1te0ntZS 7BKRXcqIZkxnXDjrhKC2oKvbqb8ScjoDV/1iNG+6oDhdRMiEE/NsCHLpWUbFDUr6re3xNYHczhPC qUn5VbDskHrHX0BjVOn891Zw0A7CrznqYcEJImC8zQIqC8UOiGYDbUelsyQSZYxjFzvjCtyapVl2 wtv/Z/Uxwsu9ZKa/kBEv4HKR1HAcdYDgCuwVuNR79GbH3M3+ijPDoq9MkTg+7yViNQZOHD62GyII KV2vII+GRR6lXYnC1UJTyJV2s8MuCVFa236+u8GyU5Th+aNrJ3+QtvRQzbULnLdPWBDOIQ2Oy6/E cY/Z00jj5vbU3Wmmo+hy57g2SHXzFHCI07N9HsOcJ0mmvJoe2sZEJ2cnxktX11j4pxuVbMWmRbsh vjV1T+R2Si5gneARh7tv8M6aIAlWQq9t45zxmLy0+evLuoAGYMSM84flYblCrJFKcT61lcpNDZg3 kdsviqoQ/KwUr8eeg/iZ7cfQzzeeIyM+oXn5BJp9XmvUdh2PPFP/kUxy6Dj2Y79C9iwa+eE5wi2V cbzOtLqYCnWB4JkkZlQQH3nCNwVffAvhhVoobtGzxACmuIs6dk+ZTlKa3tgXov09JWOnuREtg/jO Nmm7lykzRoEokF6EADx8nKPGbPplwOcsMSigxnwe5r5uKPlwnWsxSXzJ/rj0hLr9Y7CZB9kbKxuf 86rCZJBgjKpso9WDoaZrK0vrtq85Z3KQYemcwW2Lq9+QrVMrBkCvzAtUUSLme/4NJTEVFrvSdEuV e7Envs2OqJiLeCSS+98908/c0n9DrQUcc8UA3JmPhtXYCbndiVUKpD7e2UiY5aiYp0qar0ZpRhlY FoqdmdQ3HZ2cg/S9bBY2vyfy3mUUaQPtbRQHj0ycZxeOuvHjUndfDNTT/bb0Kjz8ZUSp1w6gInTP dHKAnPreTQ0o45WkPg254BjdmTFdLuPGMgTU/60bbnIZd9iyOUUkQuEtbdyYIZBgTvUQzmajJsVn CLKH1p1I5Y9NQZi5Ikesw7VvcTQ1sBh95FhQzITBfJTNdhPittQ+2PPWlS38+7RcdXApXP/a38pd 9q27k+p5go+BiiAbfF0sDX5zgWydE2CjvbqnfjgocWzABobndwh6SDpgLXUSgFXK8XOo2E8XyO4P R/QpP5D+bBScn+lA4aCydIKuRfG6bLmPAY0YZsAS2eIUjlawPKmNrAat5of4Jm/q9zfjKQdm/xHW UR64UL5GKnN0xLXK8s1qMRkQVrgNJrp/cpW4HSYiQuNCU04iKeYDzZtyuSR/a/hYIyfgxRvVXW8h sckjR7EyWLBaQQ7dn09BkDV/WsVUAb6DZxFDr8oBrYrI3srktXLR0wS2F9KbVwYLq+uPPnTFSFfX yY/RtH8NecYRDSawr4Xce04pkdEkS01987AN2VOq/FXu6SAGu//BDpCq5h7PAnukoiYnXaz65Puk IWZ5CvBzLXy0zl2Hq3/IUuU5QF+nopRTl0aMNlTNls+t6lTuWUe0pJXqBTUM55bKnbVJt4y670Bw WFgAxiA6Zg3KWcJ/Aru4IgqhxrdA1Aqao2Xaf9RJ8q3ATLDi4wQG9HtMzrtVVlCnzII430H8aJrU 0jmc8DBmCsq4hqH4Nwe8Y86V/hD4XRRsxRCmlqyWBDXvhtVTrImzUq3GnOZ1fB25FWdq2tFirJTo +0AIrTX5jKECzdNcgnZ6LkJWH1Bn195fYLNPeWFBzv231MeroTO4YIMLTgFjTD16HzY0s2t315vp J/PgdrdxLYTGNqALGpBaxN6Ht4JCWk8hTF9Tl0VmPMfj/Jazi28zKm8Lk7LsCPsTX0E66R2lG4BQ s54hNUtRPVh9ifgdKUnCXDHBOe+2YozJuDDdkhUQQ5PuWhbh6tV8TNQXtyWof03HyKxRGfWl29S7 ugzNvmdzcA4bKG6b1uf3uBieAz494Z0dETzDdDWG6jstzK7Qbwe2pLos7ZGDgsTDqqf8z6X6+X6I z+ZKSkbxjOqNZAgZh3BPYad0n7ImFjNPsmOABcKeMV9XJRf174udXPGIkAgVEdZBrGMB/hybr9YW QZBkGBIbR8IoiNrVdXcr1JVjbwGNiK49UGcbHk7FB5onBjx8rVGnd//BvWRVLWBH2WMkvTZI6QQ2 rUQRovXqEisi6Bj714t+DoRHgYmTmA0IKYnxrI8G0fkdZohMvka6BlOeEsbtbrdYqf1w2ad/UoQR ZrA5Ok928rPLyd2JU6Lxl+/8w2lPuFJOJhZIBn8g+5fcn9/jQ0Lp5HpgDxEMm0RX3vv3TMu81jxc Ks8Nzsp7jYdMIe7eoWodqzBDRiIhTXgt7GIcGIHFWBPW4fTBJP8NdR+T0T2EemCom9NPGWk+rvh2 5TX7rEmcQNpB99kTnsXl1hCfy20hDSQryPZgAiLoc6yCIlUIhNr13SMu9GHZykIEqwz3nYU7fW75 2QG52dxOl3PQnelU2kLW44C7pGByUEHqeO+S+B5ah7udGQUdYh1jMbWMIeSF/WqJK1NnBMIrLNO6 i3bosgQFGgcsMk4PpI4XOtzMcIUP67kUyXlPX0DN3rxqQiz3AkmlmXadTSkBvJsly7vwC5UJ0I1e OLl3LWIcY8esxME1XbgJ9ADWqB4lILVO5uODLHY69cJi25ae6Uyw5YRnb262c2Z3rOlDhP+hnWXK YMlzOu4crN5CETTwJJmwl8VblqIkjvFfPMWUVDGqnV03YwM59SZqQW3/FPSi1yJnFnjV9+fWagmr BpRSKz1uILSziQX39ieioPnEgTsoEzLPjK1czMNa7kd3ttnsF2Cdc5d1GCIgKfWl60E1GnOiEYsO a7nQE4YdPmU6r0WiK1HCD4L3AOfoig+WtSZl1WFUjm1HsX8Az0klEwvvodEBZZYGnfYXvNJZ9R+A DhV4N9IB1GhqNm4f7M0s21DfUSA3Z8uY3qLWlDwia7kxTKqfx54ZRtdCuhasvSnqdXhlSxMdDQnc CNKATufJJPLthraSRv+I74ijhexccUdUKqIV0DmMqaUqHvCAAkCrszLdQnaCluN+SHdWHunioBmo ambl74LP4nbckZAfG0uON6ULDdTIMUZS9l6OF03AgmaBwF6aG89Da+3mTGOFIzqEV1Ih37mMPpXQ OJ3PDjV5L7AlscpvuRTg9lIP+cDeqSzYUEAios5toBkjBxE6YXlEy8cXVWUEacoGysZytJukWfcS JzIIWv0PVXmZoqgGnCXJDSrNWbpKuDODM8G1Mf2OGm+4I23s7+PCW/EKocpOobFjlQkQ7Cwl8iAV itiqOBGf7Sb66I01vGn0Ic1wiMaOi2YoM/DJLcuAaOFVZmfcyyw0nEkB0eVTESaYTr8m3eQGSuwD sUxQ9n/1K9zICqHpwcFrzG7kCWdPX0w5CLnLPqoR/dKHX/ynH4xau46v2ouqtjW69+dVOXDBigPY n55N7kwXpE+0CynpKOrJ3YIUp99V49OlHWrjFzMfGAgqLcWLDgbSerUFyWsGMYMDkOMEOhWRMafi g7hQuVI6+3i3Bx6+2CqZLYLCuiEql3wR9kleo6c4eIs8QgXKIX5v3omLEOIy6Z9nwcLHtRqS/f8R Z91KyNuScE15cembQa2DANcTEg0zEQUR7mKmFvy8bgPKaEW1zXBmYHQUwWyjwUSeve4DLoJJHxlM Bgno0nrxCrEcK9sUwvmrnSnPliwl8gEuS+2OESQ6yHl6puHend2pyOR/mclGIlhGOBrChWHpS5GB 5S9kiq0g5cZNF6z1PeORWFIhk1FsAv+GK+XSwA/pjaHNlXJl+M3E+VjYrYf6wn2/YI00kjaUfYQd i1lTaA4QC9N2YrvtQ7ffQkH8LBJxX7/rVUZYrVCduxQIbie65iGJFKnNroGn3817+JwtvMD8aMzH TQAJwkoml6cq9N1no+JEOeHB8tjvP5xHewOklttiaWrqgAm1IdLuD1+1J9exOleb/+3A8jdtvQb3 mteqQG2+SEAHRFr4rjooUBKhRDGQyxXfRrp1g9K2wwXF8ii9uAjZsWiP3s98Z8urOVmnUZ92uFp1 cDhzWOEDQGfPtKVBQYjldAZ/KtHvF/cvMzmhhbwqqxw5KYEkNw7mIlwrjHU+1FH2NgOV2GfQI5Ac awtv4VNTbk3KYBIxHmLZbE0emy2tQmPDs4TX0EbtOhK3M63I642HPmAXxOlT3tUkCKbJlK3lN+9d wzOLTM/41HTb04U+vpRvvzYyLGFwLwNtpCWqyVWsC5Cmlj5KsPnzmvUz29GBUXJmJKjzPWzbOhh/ WY2ox6TscxYpqTVMgNfPsDKHdY11u/n6i9gdOwg6dFbNCntVbGGyhSGZkIWxL7cSL3ynHaO9JnDY vlcbUFa6SiXcTFElA6LYsn3zlvfhsmEA073eWFIxS56bfkedGJB1ovF6pWjvktlU0v5hrT50P5MG bxJX0k/o+S0TP8Le7ytLHZoqEfwPLRQ+TYPAJc2Sm0A7dBlGmHPJkN6RxNMEDrF3M7KiqQB3Pivl 00E0yMdl9Xh60+g5ky+RGJUkwvDIG2D/FK4uOJwUWeLoDOTBeVjsTlmMxVPpm+kRf/rSf3qMt7ob mVyk2E4911HOzJn3VnjDIkPAlfk72vvR+rHOxRMy34XcTaf6L+IS4jMvFiXLegLz0h1MbfqK+wwR 7EUcVeG/Cd1Bt3BdqdyOCcZ2/b0LCYE1fjnZzS67sVUy7o3BExrpFoa3bRYw8LMCIOKUkFc34uAZ kr9lRSNtlNK9U9pfrNXaTr9prgcOkcXM7xyky2gZ/Hma1gNjrMppeehpM5jFgRSeiCbeKHI1e+a0 GsyomOqK5y2pAqkRTBhoHkXWSfukumnpoRCWz03SBSEkutrx2cb5GAHniGgtCcUpAzfTCEszZMuN QRpLq8HSIjA/h+gCB7nCtchW1oLjohy/FdavGdUUXIceGyIss8JtxfzRPEoRToozXtedFRwBA4lN Mc589FV67LKq909pP4WFvY7mjbr3iyKdunDkhLEOHHqs9z+cZ/jyS4TdJ1D+UJirGwng5Feg16yG 5s/mwfQRWqSyNwsAJ8N1WA0aOqJ/qRn+bkrZ59eI/oCek6tDr+QXcLvwvTvEOiYaky4+KD1rwMDL wvbAAzUMeuO1JDFnuGuKqUP9JCuUNT5a3s9x7y9BRFrF1/GFnsgyWVqKY6x7Ehe1EeeJBTG7gP4I AOHksDtWJdhfWlYS/uVpR4gGxZid33fV7THD2GAO9UVpWNuEJBLs2RmaGTgtNAaZC3Yixr/vUc7T lGGDopK+chMUXu2RnnjpFKDMUIYK4KUNjvJhL23UADsgstIUSKFYr65eXeFn81hbXx/ibsHwrEU/ XGgLtDtqEHL5gQDFiO123qxL83xDsxIvXqnQAoZ7J/FUXHOLH6oG02l93A3HNTAPCgJG6HM0O/z5 K2BXhS8A9h1ONDRz3F+5v8J2rM0ULduGkf7zW/z/N1rMC33sZNSUD15ewmmRnb3CFHb6zJOQvBjS Rl9oCQ+okqVppL97jjHJKBABC5i2P4ChIkzoY9O0y4XHPL/e2PwKT+NOw5MrUsJNYbLppuHYUc04 SPwuCfozoWJvdk3eakBhIzqN8u60SBmYqDcLyt5/qZX+V+7rjIno0312JwJwkp838NQP+dL5DNLy nbOqJmNGt84vGaf03wjUA+MhDF/00aL4m74Jh6vXZ4rmx/bSa/1eFm7ECrPsMWRRiWgQZ/wQGlbB 01QAkhUKtvuS53N4JEca4+K/eZudfDEF1wk60nwUT8fHqmPD8djTwxeIVwgKMD4pGeTfU+Eoo/6b EqjJ+RLjchGXRvMU6Wii5bF9YBp0EBvhFB/93IaRM2loJ5D9Fp91cqSmzj+Yl+lIXNGe0vgQfXJF SlfYznLHbnIk0H6qzAq+YoChSC95hXCaAT9rms61TKGfFt7AM8LqPYfLUiomUQIdynEYKmzPcR3T I/AjEnVNYBXiR3P8NNKyJwImJSD2O6AXA5XwD4qJ2rQ0B97pm7TvWA+46CiivgweGdi4s3zNV5Lb EAWh53sE4NFKZWtMxPixF2uTBhQpbzO5uGfaOiq4PXe4rWbcgA3lCVi0GYky9Mgn7DGkcUbZggJ9 R5Y5zuQTAswF5I+QytUooAfnu9muMktuP0llYv7uj2la1ryOKLIb03AiSrcn9VDQfyvIRy7x27+K OZITJz7ku8++IUt3LXw/UT16IjHTRvLJkpcgk6DxkQz6fBk6mbliK7JqVQZBaHGvHkxQnG1zjKMD uwEsVQmo3/iEdaBLZOSm88wvzl7XNKUmyZm/bzAPR43s6lrTtyqL6buUpa0RHJxhrpnW4M65w+cZ SnX3BZ6ici9HXJvFkItX08t1ntgHhb49IEz+dBKj39kkSLJRZ9Is4Kz08uZjKyRYCKTPwTGNKkpV nwlyg6d6huFBe59ZWqWbmcEnV+8XIZuT2l+z1cDm6v/+l/MnAfPQsOlmKXjM8uccB6+qKqUq5ajv UcAxu9kSM/IHFnNwM2JNlUSiPDrZQnoLh6wespRhs6UZQm8VUjYKVNlgrNqRj76n+h1SYqBBwYX2 ursncuJWXXDQDdBq494JhHH6Aw2X8uujiSyON4/lgAJPKdoxUib9oHfSXRMpcW42+jciXfpowj6G 0L+Evap3jmNBf5rQ/gAeiMUdCvxTpGKNaVLJoNM6J/ntBytzOrrJHAa+ZW1V416b1sA8s4dL24rc zsMT2pgrqpXzdTYnRaGbaSwb4UkiYRdNY0lwsmlhH/wp7/zcOqsMpt+2BZlj9zz8b2gJuQCYf8xm IGoCpLl3rv7BnBUOGG3MSoFzPPw/mxPOiJ9d91Mrda/og32DGiDp+iSNpRViJJSn7SPtID3CLeqx Mlr06lzaozU644y5mjIRcjN7jpwvzClm5KtNU1Rjl6gypq97BZrYRtzRTKlSNZgthN1+JVPb+D8C xIifm2ss4umfYViVXgBn5FhzMR7V6ETw1G7YBQfyc9dURLgn+YYxUewo91hfSENTQuPM+bPwxs3r B3pqWj8rXWAC2DKTpbI4T4H5vRW/vlI286vT8h0ylYUY7yEiVgE595bj/vFHe9HqtCvKKCbUJAGy 21d8q2h/hQKiJq4ePPW83fO6dZS0l3lcfn6ZwKzs3AhknSW4OsqoTPD54GoaeXbbHy6FuhyxFvkG JzFPj/sR8PpA89JcbPjWwG5rTou2mTQT77spo3XweD3HxtPq2TewFn1qalphRzSgNNNmW6XfkXU3 ZyJK5TEwdM/mlucRO2ODVKj2u1+M9W9LdWPuzTED1mhkg+iJ2+7D5/LtdaaQ36qji8vmq6W/lew4 sRZjtyqjzYSxLVFNy6vnovnJiwZtljKeMIZdJMeOZoPej+S8ZRlq2cgS9EV3yuD4GfRGU9XkBIp+ iGAVM0QdWgseQ7EIYPikV9ZJbGrxeI9GozVg6K9lhWV5CcwRywsTyUaa28423oGUbJlOgDHNvDyh E8EHYVDSNZ7+onYdsjJy3B+Fw7gCHaFnD+vMtK0HlD3ZJUjAiiTEdukNZ3c+lOa21Xoqw4HtQo8p r7UMaXNLuv5iJBu7DOzEHXrc41iYlI80JrJjypr0DDFMPsbZsoAnRMjAbYurzApdRUy81kiNJgZu mimsEvLCtmCZf1x9azlBI+xA2tKrRSdkdhr9uHPd/rBtOo3lH5ztRUp1SOs6bAP+R+IsqWusHvqp TuwHMCFNqK8EJ7lJiq+hNkEfndj3DFtUlbl3Wgz8WNjn7MKv57Ce3Hd4cUNh718vTxf2Loiq7m0p d5ZW0lkGvZNhTTY6FEhv9tNFkwuTEuppnqPRWGbW6NwOGxyFOT8ol/oo8WmtoQ68Fh3Iitb2CKPI IYthykWeInguTzskyGJC+DhF9dz6BK5wAlELnD7/Z/t9YvEqGqmXFQm6oJQcW0lSh8CRAS033t9S wGgHyCf0vYCfjH9ZHcxLRHi6YhNsf9btj3SK12uUhNKQU7QYEtM+i8oy+7yBhUltLQe1nPR+Q/Eo BPuVuwQdKfKW/G5dgbxXkzOuE2PJ21757gGdhWat0n+Ob3ZODGroGACL4dXJrPz22vCl2ikagdZh LQ6gRWZk6sYgh4O96YkqSHipkhIZ+XSg6nvWrdYqS0je8h6fqUNB2Nw5kiFHN9AlYYPJGOBAqsO2 KsKAoFJl0o9OoRnKCS2kACo4gvMdzY5tkSsEBCuRpr2xzSy/wJm8AaFI1RCyXD0TJo7SQdDhzDIf ocleiFyoKpBC/+3GXojHAT6MAKyr+KZTDjhkspONgezBvzm77s+qzTS8mnaI7yx4XUJ/Zu5f1RwR 2NlarC8VwB7L+XzmeoRDBLeQes4CD5zWXaJmf8VoWlofTN/uFgZztm29ntbo22/Zpf8fSGcC5y5g l+JHRgYewEOa+MdMTfDZmCRngLFcaoPFZvYB1p60SOT5gidVt+Fw3f+sRxGVjQ4jH6U2Oeu2zuWA IXfsc3hv8cwwoa/qeLtRB+9YnKtHQjRIqRamF9LgbduAiagNnfDUEzQSrzOmk69hhWLB4vffbofw Li2gm2S/qXvecaUj3w34SPHk42JD08nbTnv+KlJJ90xbm0sXoMdxJMprXZ/fn6EXwlZP5cMrpZMu myg7kIMwP9uslLHelFMR6BrGwNQVIjW2LAyjguYFTdQJl+viG8Xojv+8jwe0hk1AotXOXsmsVqDF W/MjpMFrJX6Vzb+x7Enl9McNPI6uy1R1oPSygHuAjsPsTOsNu1fMM9MK75ozGNBqrP6V1ANssSUN 1XVt0zo74cCs/yXb5tn9bsgo2emrGenPIUjLFeV/FYyZr7Msx7MtOfa2khDpM7WAI19rfHBLIjua LpD4HbMX1naHlFrI9fWIXh4i9IPBYRvCGwIEYqD2gpmlW9Hpu+0WH2F5s7JZYh034PNESBLwerDX /8Czpcx1QdRYvC5h2PxORjNIRUsB/WDu65jogXf+uUQRaWZkOVUMWovWlA/ZU2f9ztVINPV42hTH zAB2QJ8h+frEbYjuza7B4mvV3OzI/mvYB36f29yHV5hOY+0YX6ZQToyLq3FJ6DUaFsvOC3DeQEYY /vOU9W1sb4YvnUZ5RqVJVUHEzyTP1VH/QAXQqNKx7BpisR+LRtDwPYJ7wUucixYHV0Vlk8Sk0DIS hO0g7LUkiIwHPaYWzImjNMRuDGwMEe37gz6UKI3m8+apX2gBHMnVnGHgK6qJKMbODy6nfgGUtsur EF94Uu1fSMNjSt5qPGBW4wmPEIWy9O4vVi1rQy/ZIz6EIA1cwGJ8gtTWJQUDhwHtC63Wht0bwg2J 39EG5LLhftszZBYo60WzVtALguAc5zB9JFb3WPwPlHoazYdWgpHF5NTtbYlomWvC96gTJ66I2W5I gA8sDp4VNLMOVR3EG08dcBJlTOL+dt9RDvS6KEUtnoqJOaAHE0jhM1ZkODC4uz03tYV1mz5dONdo jQtfGX+Ta2fiZr5B1KTSU6BXuM+CA3QQ+r9GDt0ajY4f4RA+F5QBEsx6A8sEy3Ko5C0yhV5xE4o+ raeQ4zR5mKCUAbxD5K2GR4rb2mZcR/e/L1oiRJb5+a7nO4pnR+sYri3CIM8njul+bGvMCxKIO3gQ u+KbvfSHcbcn4LqKdWo84Cvh0gOC4tOjr4p7UjPv3M84193u9fUhY9uiJOvN4pk+WovyDkPQfwWE 579H+ioDu/j7vnBIEEkIQ/G3T65/iwnwyiqsMNcCAdcT+PquetKIOzrjKgFbapvBn3n0AW95AnDc 5zjPg5qeYRJoo5ne2NfFwijc/ouNHIa799PVy2fDJfADNXEQJrTRaWIqoMqfHl+sPXaUvmT24Dw9 Q2qrdh/tsENwrfNRv+J3pKOBRXkY4hcaxx8mMwFmaaiiFSPJb6ELUXl04pgdqvQVHf3tHATOa9Mf hrHz96uQEazrPA5VV9xZSAVN9UqubgqoedMpk6sWnrOwbG+8eAt/y8P547dMNAPdnGE6Q5p7zBsQ 43e0k38JF7POqxAvahTbXUtaOVzGJ65flKgHnFXbcMxYsLxq5WzR3RO4EddzVOrawNlO72w2Ssu/ W5jN5nHyjU6OK3m8JtotwUfBUQt0DjQS3DFmPKPwas/EaCjw+fX56a9f8nd6WGhYnr4FwfZ4SjGP lSABeOL9Q06g7RX3YJ6JXk1hl3eeDzz7nY51c1fSMuYAihcxZ/HOPJvnHtb2ScGzl8sfkNb5K0a/ cVVBeR1lyVsRiC3m0tKvS5WGt7ggzN5eqbGpahW0EVKQMLdtOvedtg8AVFE3+Rj9j3yStjm7OJ1T rRvtlxsrEow5T/DD9V7yWgnPyJvEFen5Q3Ah9xi21mE6uUELKmh0WbaShfEMk7SPiUdyeps80zKj XR+cgKFqHCcJx/kj+oQY2BfKUcdsxjzpO8U4/C2PDo7qwINMUMHAVFhSJTcPAEGOAOqkXRWPPNcl +B2AKj2X1JntGHEEsUvXAYZtmuZrxPmfCS/MMPUauPyFrEmXAS1k2qop/2p6TzDhdE6R2achyPn6 7lAhShIIOj7FsGVbb/8BXMTcp/RrvDTvyrCfWmS89sCjXccbexHRw6coODoGLUea81W1Q09yqrI7 ykQaYIh7O0WhggbtQUtXSSUNiM9AITwdpSPeo1n4qmZFapafvdODek/qhzJ1xfmdBZZ97rbd0OFS Vp82aRwu+owdcSBJ5CItH7+4aynxdUNlCIEX/u/I5eyayv78+Ug0s0G7w3KAmWH3ubswjjnV0Pv1 +eXP2k8PO0z4BWx/Fu9PmgM8T5R0JJDCRfs6RFrl+LBQ2B/jwksXIqIHbatDFQoixCDKeeJ1Naet B7+wf1tsV32cKyT3Lr2BnphEA+zj0l8647NgYbd6MZKaP16YrYmfLFUs8ZiWfsTrmG0nUQzszcx/ ZfdkL/ljJkR8ZjA1XQeLdwfH8m29pTgdhmP2M3mlP24zS8hnbicba1S4yxfQYlWizCxLTCSiTDK2 RjXSqrGOIZStwtWO0IAFMQKvPqBwLpZecvH/y9SOQEL7GTWe8tJIsksXYwKNTNdZPsHZ4YYnWGZ8 hLMZlRKtnoipFIldOCz0RkTOWLGp4QBNrsdtFI3Y+7FMaAfZo2eJRrHXputsuewDdk3FckN0C1p5 YgMgwz24UVXT9k3h+Y9dXj2nFIHMqg7WJ1893Hi2qqCeRZyAAxiXHPyRvnJhL30FcHMvk9ZCb/vc XMrV5mpT7i/QUnZqQCeKFYLUJ0KfDBrpb8ZrxMwvPdtJHj/cNBWf9w4anS34iuXWagN0bPY3YGmI 6H8g4SITrjeodZtVLOgo+Aj8NB2GxueF8+4ya3ZgTyT0NRxu0YA7IaEUYM3p2pxqYcohitGaUMWy PmNeDNBzcqgglDEvr23dwA8hm5HwIdVIvRyLefmSiYs3KA5v/SBkNO/y6+jOec85lAO0tIeGU7TT nlGcf4p8XxD+wNeUXEwGWiA3TNqQNWTl/hibro26gA3CRn4MkA9MX4WmY5H4UqzopIDSTBrmALgy z63KzrDQe+MxC5aAAsbVWycCJDc/Gm7og1Jqvd0Ps7tNed+eJiHM4dD2O1tKUtlXAwX/HD7Vu4Up VboaLvSwre6zLDgQ2yRK0AY9C4FVbPVYXpsY9lfYX8n26o+cNxIPj0eRvsfwMJLkqTWyCxqz6wFl pUA0lyv8Y59OAlRkpArqphgk6H+rKn+g2j1lQqniIvnvHivZXIIl8qqRMRiOSzbxuQLQLPGgmbnB 3wQ0cXz2AQBH57ov42WFCT9HG8RYZYkOz4AoDCsC1ji0ShSdxDXUvF6r1c+1Xnik9FcVyr7z9JoV I9uoI8UZsr4j+IAXhYWs7Jgf2QWEOd1hEBpWTQ0OZL9g3OU4NjzyLgU0WkdmqhZ2F+0XTxoQ9QH1 i/93e2f7mtz1GaS7eZ7bmeXAlVc+LcziYqTYL3RsfzB443bbc2rEOQQ337N9MAskHDYrsFxycoCT SpWnfEJVaDHr4BVnNQL7sAZOscSgA3xoUfBRSP62DitR83uvrWRwy0DpVt8J0mNueDo7Lx6NI1Js J/KRlUj47EQqsVXBDjJ75n5LVNGvnXW7lIrjvgYDY/eXfUtv/SPqkXmHUDG2WxqZWBDlltK+h+b6 GLeA2hhh64rQm7oHuEAT07nAdLzNlf8X6/LDERYy7m3wA0kfg/sXkH+lU207XlnCI/UIx5m4aC6z GnhtM04zxUZsb85afZiUxzqTo5vwhv2OtByFy77ViLGICc/Ufe+4x5htbkstblrLQ2/uU6bPGXs7 +Ba+tK7ZrAEGgNYDj5tKglP2JWAGvBx0JJnOt0mfnghjrdLptQuu1gGAkn2k18sKrA8loX+Yjxp6 TPXoezs7vEydLX6jVSkw0iepuInadg2pmSfvkqyDomTWaYaSsmbpZT42d2ugUhn8TU470W5gh3QP i7H7hNgYeAVDGpKlJYFG9/cxGgBySpMduzCHNCM3pa1AKpP0SlZqrqw+ZpMYLuRJp9GuaHyKA0IP 79Shk4iZP03fa9y3AUbPSt8RxYJHraToZ2l9Heajf0TBNI/qTTBzUE3iYKiIcFAjIio8f9O6vqHD NVqbrSqFbc2Z6f0CZD0d2kbQ8L8rgmYWZEckVfM4U0najzYCbtAhzyd/5TzzskTTM/Y+x2Fg3Sos ubpq8dlUZWjhfbuEwTYdkI09oUCgFRtldsx6c0C2vrrRoUwl8LTXfTkdZSk6+W7MYmjySVA/CksA KgI2vMJosU+z+f5v6HUpBN60Vi5uEdI6glEU2lB67hoIXfwpnvhgLdQcxAgmBF7gC589UO4Zajvy uZR14JDlVymrVRXu7VIs7ss6bQGwsrbPRSWkHRbNa66U0X5ISa+Nb3G3p0lv7fqfPF/5szvrM3RX NyDmV0ejDuo2wu5rlDo/g6ISqf6KT32i1aLTa9xfAJneWbkO2/cAJsZzQoeU46+l7ipbqFrUv2Al 0eWuMLUA500E7bq1PJD4X4rcaxPyP9Aqy8Ijd7wyjjKmBYG3qGyFcaeoeBmOydACfERP98dax3am 15ysppIiXBibMFYSIPyPJO16BqVgoyHoX/ThldqaCrDwxvg/hsNvM647HNScGU8/rv40NlkuHWNU 04uHP1ZbxH88Gp0yqO4hlBSiDkHIG4Y8MvjaPrth89vMi3HeVoJqWSxs24JS4TL0RqlzJZ764r4n VCe008QgJvdpd3ndtqLm82y19piqxEUX5tqeBJWMqtAHtyCBOc8g90Sw6T/r1mf3ymd/0doFMsDE NL3vbFQ+RrRGCGTPIYY0iXqgWbV21oe7Q5WONpC6nlSZr0fl2ulJs7FiK6QyLT5Slzm3/cMNtYaZ lTG2sPkQr+7UEXpE1zHaBCm3u8VA5mZY1h8Aux4+XSxFKwht/70mjNQsdnwfkPHq2gPIT6c4k1Ni H2q9iQSev+u6aFDqpYSMy8S8ogoU5QYEhoBEo/RA/lr7z4aPVLACqN+cgL92HcS9vdU9lskidYET CdacUc62v2ovXdAJ0HgJeW/vJHLWrVPuG1SfKLfFT1jQLrGtWMPaY+Qi9pS3iKy+q8UW3bsBqh3D fPi6IvCpDlaw2EJRZXPiItBKYIBmiahj1Eg0QFe3/FG513Y1upkCLg8CTifanLHtw18MTPt89U2p XMcsvKKsvmP6jG/FIpVAQfiaUG/QMgx5OhTZz3gedcN/ktJ02wmL+DAtwCNtsEObdo3Ery34h7OT MbIv4AKToR5Plfcefb+EW8JN4PuqkVgQiGyLHt+b7K4PtMnzDj4Bu1LvzKuTXMod8r9bKz1TKPZ1 9dOfgbAOHTyWhkguEKtmucV2zwG5KgZ2YvPH9PYbLgfe43NvEp9EhMwe+T4GfALAQDymAj+aTlDz cD9g2/RTcKl9klhpVFH95EzUlG5cEoXLJACoe/FN7BWGiaz1TXWn4SJ9f2la/9RyfWwnoAB3s56L +vSMoEWlIZqDCYYqua3weAG5YfmgRCUcqs5ZNk8triyzDMUtiiIwVrxZztNsbTcWOblIjSEkkF3x ecq48SZRQucv8Pro9EQBSK/vP4kJokVUDhnxT1YNlM82goTrNZh4v13qa7KNtKtEnnHcKUDswJlF tQnwyMcFJ88MhBypHR0etUjUDaVLHO3ZF6wyb62oKiJ6IkjOyWgU7bewbXgCxFb51f8S1OLOV19y HsH/WiC2MTHqxdgMqtJm1UnTxCV7dizHssbtvzVTWT8SNNJORXFLUXKOY+v7d0yxRId5+uJO6pAb JTQj2g0t/4FeSjRxWA3NOB38uNl26nXpKfkjVcNHbBy1c7T6HsPyM2UH5bY+6AqoGyGCoDVYGvas t8N6d4rXrsYY+rhPaojwP8twWCJyExKXjToKijtRumc2Iz/PqnDPyePNc9rztOUPYBifUXQ8Se6R OLPFZUuQ3kcy0/UHeChvhcPsENqTOWN+LEbUsxBvUt4h0vE/IjyDgdMipmLkXsIZYSe8uqqJcYqg N0Gz8bS1qgI700CSc8KYAPyNsBoLJ648YN1PjMCokClvTS7pmmoWktEcNu8fw91isul7bwTIZ04T mEL97BcBFhfHnb4PqYeFsBVRMOTLVS3UPYpRZBMPOOXIc9uNHq0I2XEuvjw/82b9U8P1iSY2azbG RaCyrWo6rDM2dDuhMX5Ttml5KgAXrtvxlumn7UeVswlVZUoNw4SzPrMqT32DDJe/eX6DybDhTKyP vDVOFj4rKDeCbRDsJKErj+76DzJP6g7I5tgZe5aAH9JxsVYBghrUD4WgUQCUmgHP0KCc2qYUFLt6 IqwhDz70ytaY7DfEpOOPmJ+ToVCgPD6DH8FUj7IH7+lGCvJ1nRQTaX8Gl9NrTf4iqAvCRLbVws4+ ARZq+7SRg0igwIRZyS2gOEth7FBk8b/PMddriEyJpalNIfvE4NOroY4UyzSnp0jtshNGiiL1Lc14 ztklIy+krcEY07YsPACos7TeUFWCCMxJxw3Cbb0ienZCbgtiAqIclKHa6L0oZgX/IFwO9Y6R7rI2 CfhFDAotzHlqv9FrghriptmdJTK3NzjIYnLMHYRk3DlEQqajYnvINRrGvijU8A0j6w3eDZmazu6+ /XPFV9z2AA1O0vC48cNCdyQ5mr8gXZw2SXQO92LNx+XPxJkPvuFc22P7yTY9h1TA460sD2Aml72u onlZZIrYrCth8pWe6qPiL+q5rJ0vYR1bzmKdGzYIL7JYHppVoMyDhJG/MMcohnB2coztikRlkZYr htHlipgOQ84u8n2z5xr1IQQQbkSrhLCrVNqEUBLGoFbNC0CsBSLJ0q8DNTfkTFxG/L2baK3EkxTh HAvCTS1elf24Pwr3CKpKhZyAITIWq4hXgZP3/F+1BIb4UfeZVqHupuWK66m+f8VH0VlHFlyG0IJG JwRPaQRYDk8y03ag8peVug5w9QWjwoA0B0UVAIJhGKUw3YNLDM5gcB80uAwtFAM+Rf3u0KlQ2GFZ tzOsyDtRAhmUqOhocfjcHk2MFuuhEeHvdzTShPwdrgCjUdt82akUexjwVfo/5JQyYk0+JmWF80jL CG7mnsUx2CXlgZqeL8TPOprCqec6Z9zTIweh0gnYkXDD70YxaLRPxZGjX8W5gqassNBhUC93rBWW fXep58plkNamtZNLZq6AT+Si2xKQkH90Z+MNIOKCEWEVo0RfLbaHY26cle06dMZHgsrNv1lo2Qtk pvxBBrEcD9XYEtN/KAXaiehKlpqhznT4E6mIkAQmqLShAV90efY3LT7b/W7JE6qqLhJmdOzBHjhy ntCnopnncwQPG27ILRaRKgKvnisetJsRQj9LxMtScZvxbBjsaryGr7nMxMyvffWuAcTR01JByGNl zhgwtuSOLkZJYepkwOsCHn06sKkkvhofzuiM8qPRN32XHnKrIr7qwO5aFsk6Vnvpzu7bS8/L6EM+ B7qKe4Il9kiN/OOxyoINg85NfZjhnCfbaYSEeCdaJtTICfsIO8G32VVVxvMDsvRVG6n0chDXtdkk Nh/Fpiajd/w8X+OqN64voygb7xAARpym4gPhPXQzG/vfb6IAWzLZfXfoBXUPKkpLpxgkeTxB5aYu Z8rZ/fB8fhlL/Qx92ScEO8GTSFLBb/8jUFXdbbG7ocrIZgdMK5gpHNiTHqQhiFQuDczGUSeSu1Sa yhTT5v/NiCRdU+OoEwsVbh2dasPilnadaTqOqDrctuVA9lCTTnQ8dWM/jy5T6m/dcESUPayo2Jd4 fyFmY3rTgqQ5A2wWoq5E7jtxcJs5pd9R7V7z/7NUnTKZ6iIrN3Z2JjebQxJsOGZnbHzXyrMb5rxV s5hiYJDJNhKR94HfL8lVPtmYAmy9MxZPZZ/JSYGZ8O9G9mQ7Khs6jg/Sim/XRKoBI5Gd0zVrhvDC 9Tq9LA7E5Ja+vxcMPqf0hfjr5PFtTiAfpcLWkK6+49bJDaZdrttgHpLL9brCSIqn7SAkQ8NZvw23 6OKC5yNzJjnb8bUIahQDEu9CQv6z3+UOGlQg/qH1uLe/Mqxmfrlw34TUX8D0KNTJyLXYO0tdqQxO yjhkA5iac4iEQJMuhnF3l7exuDDEkQy4XuDZmc6Qs0gqgX48Ipx4xGz8r2XLOii43zCrTWyXC9F4 QaHA11GI467yJVP6BdIR1drsrOHX6XR70UB6seDPSNSGct6roahlI5POJs+94Zg4SHFznlpyveBh G4mKkdT8oenWu8DM3oK3qdZ35JxwhoFrVl8hcW6YuIzua1oSEJlMnUtzPyUGjNrz1Zkiv9aGBZPH Hy2/nOd29sGp8iT4/Qt3bFqh7Omo1CaSwqb7ZPm6QXw1JDoSj1xPvi2hbw3BVYIukAdVhGtOhTQy +ICCcz7dtvpJU3xTH0AjBIgfaZTtpZwqp2y0oyoVrGa8Mv2gKE58xSLjwM1a5YTACx+jhMkxzzoO QZCzC3FaoL4BuKxddKGfS412iQTcFbHF85ILj9HnnXfKclQFJxnawHuyrYh29V+03/s21oOUHu1s 9mh+32B6ilxVzkCH9cGejiuOwwhJY+zapXlv6ltQnOV6xAAJR/GHAdyiQAbxP3iG3LPlTEBxUFKd VrG8x89tr8/t0/Gi8pWdiygsY+Glwdaj6WXfV4NkB3Ogtw5AQgsXenNzpSVhdc1AFeDldnGeZTSy YkszIKS8TmPHpiFIqZopaoPS7bHLkJOPhNtmahTcFG5KGJj84dC8P42dnkzlb+uWjr9afzhSeiQW vZLP9korPPwMGmjkvOKocxAiqANofIQbpo3VvYttMulz6XN+Jn8L/7QvU9v8R7ogre2JYWHGf/qI F4ojw4Weo3PC3jPYQQol2cNBbi+NJvWD2k+zsYDR0X9tG14+ATY9pofzN+IHMoSesoP5qEncDk18 QRScODeDeJ5+u5meDCkUdSP22f/SsdUqW5CW39uPOKnH72UatiTTv32Pi3rZc6KLI66f0W2TUFU8 vyQj7AON5KGrC5d1bEJQQBXpt28ggRz6vJ35507QXiKbi8FDkvXM6ne7yrQPr+XNTgm745qsUvNG ITs3DooT8dkcMmAgY421HI3r262CW7CaDbde64stHaTzMNIwhYdKqfWWJk6VR3G6GcrJWE4k6h/2 lwbX+8z5uxfPdF9NaTRhIlK1bSOWNS2yieAQQE6Of92zZao/Y9fbftJPL+mM9J5xk+cjII5EdS+7 mtK0iSxRBZSKifQfJoXvjyeluLre2Yo57so5zUVKrVxo/nPs/0AhRmutS+9m49ctZu3XBVb/IcZI Dba1EMS63dl9p99ab6yj0wwii/EULnEXaN3GLR7RBl7uUQTC24MSQIqqqyEszQIN1zhcZxEHKKSE +bGxw7ogNX8BwjkfRqEm1Ud7AM5wwmHvWoRc3iHU3Cr9csxSRbPzWHrhfwEOosRWwmPnSRrk3UdW l2Fm3L3MAU/j1LKt6+n4P27c42+CJnI23pr3yQNESY8xMPowbJg4xnjyYrByayGwl6yCM09Ji1QG LJlIaiS5RwJ3QZu0d5Uek3SlRbBhxTDbGiaGqnfwfwiZEi7WpwDI3Ihk+M5a0o9K3e47lubDHsxm JVs8EphE72iesemU6oBuBTu72l5bTzS+U8wf68dxJYwAd51rua34NLMZrBVbLH4Tjwgqv2qMWca4 vmYhyXCF+cp7jD5DFL3F+bwjt7nwBzvMADKl3Ylw55boJiVu4V5xrz1n0KIn4gBwpoNxumyH/RLl Sz6LAeULRSxY+EdqH8tM3YrmXV3BNSBhWC3qwprQ7SXI9kZzyqyuCYoT2wLYLcI+NTtZjsQt03SZ eCaiYKrpRMUQ9WtZCHeFXEkSb+gfgQLsMLpcsENhFxKwQjoQoEgxhxbeH0EVT1LUxuor9b3RM5NM nq7guy1o2rvOFssNbtYVvGyWuRSGrrb+gigfXdbX2Gdma3GX88J6C0/A/Vbb6d4zBlkIV08dIA0+ qYUpxaTOWVZr4uG/NBWoA3oN0Of9YMEKekMua8RpMN2XvWRNxGpSIrp/a77GTN9nTKcXhywi0UGt NruBJoP74ho4x9KVhCVW5KfCZkA7oRS2isF8qBBgSwjAuf9Zl7eQG/9rEl2dcWoo188susgabCXB heyCkdXaz7lPt0AdKSOjZ4q/2JhIm/QBOsqbYpOMtV1HZ0wTUWItDMleInel+7znzesrWIfTJ7ir EivLnVY+lWMXjM4VrrbyLd0Tz60lu7n3yr6pi5XCWWc/N/sz8CXiYvpWB0csUDQ/KxpWd9wwmQe0 88cDhpS4m5+JEi8oqvjOOGMZrSjc0P+FmjN913/X2Y2aH5y25IKOR9xd5HIwfdtuzn+XTuYP8SaV hZqezggLnk+dtHel/xcXb6XRJi+YLlyYRc5ajvIraH9OFLmXFkdiGRD38EfivF/708b/VLGwLONs TJOvbbFY9D4FnuI0IlC3hlu0FHuMrO7/7oF9KDBkv9ZCiu6wXUMOt51fWVt6GIdW0Yl7477665Vn E+cvO/bBwIHhXKSA4/Fzc+wrali7h81f25WmLLIMe+Vha5Sq2J6Offx8Gw/ARhOsfYgXREKR0KcT ZNHG9kJID+wqHNz/uMTT42umAVY45Ddl53ll5aaV/JyLDESEUYZb4bAA4KyHU1F0bwVevYypON1b jyq2w7FTxP3FO9F7aME38lCxLceYssJP/sbgm1redQxGinQTUbz4UaU6+ekF8geODWkhQ9qDnCX3 +z7Pqp8P65sTnU2HRJszllP+Jn9VOWfcrap79+QDOFWPnjyD1VD9GOHVAFkCdC0ie4AivDKeSsmG CBNZqdG2u3dB86fK8VY/neZ3Hd4h5782+0ayA/bRYQJXNr0Qbwcoj1vdIVrSrljCcSwPoFb1XEuP 8P/gYIqFilLBXTCF26HrfhVR940TMPJWlC5AShJA0Mm/Xk5a6nmnZR+376K2/aqRWHSNHoOi25m/ oT2dgMV4VVSwsxozUh2GWqwhCOCz0LUZl6Dcz3e2cxEZcfF9pYNQaB9r+9foZJVX/a70q4meIQJ5 tawUy6d//i+gKsEqPj6CQUqG3i4SKX7ICuXNPK4aKOcTCFmHFqTmfKuIwRQbJt+kKsDN+g3suEt6 1L4IR4NQD/C6iupu9OLZSDBk1druYncZ5eIMvXY8CB7KU8rNEuWR9oK7OI0oXaTvNU8ysVx+SWIC iVDQwTe7EGgixrCZt8MNbzcutpCuunGjc4xWyCusvtYsvHGlwu6PelDyhKXz1BxW9TXZLFHjBhuz 1U3U7p6djdebW3koosg1sTG+vHH62Kr1xAYI90TN7Z472wxIvGZ47URvXycgYXetsDayEJyqyjHr LssrViTWtQhMZ+dypykexqDSto5iu0fAfIAT2G6mB0aAJs4nOBMPIqkJZr3Cge4tIU/D8ci/VoHJ MJG3FJHRfDxhz3gnDhFQ6F/PAgexPHJIXVnUfy/32zI6ijXfARbucQp2T/osuZjYb6hWuXsxBNqM Pzg48xChQUuWgcWVzM+a1aBzLkpA7NMjYVcz63vT3wGsxMGM+BXw/0ARBy/ZcZr1oQH7wFQtaHX7 X69iM85BVIv0/erW4YliDEvxAHDQFqnrOeVNxBcNkf/UYNzUHS+tbJhJupguwxFDIDmRrJCYPuzK yNihfTpk2aOpOtYQMPl9FS2ssgeQBh8HGAKWO/df2NSZZqeKLyjsHpNG2WdWOOtAhYKYTH7pr3QC ZbZxg/wrleM9SDGUdTnZw8WdWm3yQ8st1QZ4r1dw7WFR9Offdy4ASWC3qoAzp0JlTQHjyYM1fvPu vjFqdy583Y0DeRDfCbMdDCNYoQcVsMiag6U45QygENIH2PZ7zcNKuUMUiT/9eqVclM47sr6Dc3HH 3HjRdUeGRPmAvySsBplGB3HBgDXUeSqMXpu6+iHp+EpLgSOhb5eACVV5K8wjxSPqRKfy9x1/RB6X OjUITyI9Qs/+LwycH6MAeiroLGUgcQTQs1YXQlT+s2M/4si9EUA5Y0BWkxUNIa6Pt9FaYmImr1oM 1MclRPSxmp2K4KSfkR2x+UHulaNIVWDJHUWz/tAdkgQHljlw3JMA3soIY4dT6qxqlF/vQwMCo9o1 eeQQQOHi1NAPnr5CuWxBkFj31iUFs+CmQ96Pfw2fy4Y6Np79h3A+nCVxBD8hkwqq6TfR0oAQyhk/ AyMg+gdyWwBBB+y/FY+HzCmymRlyO7xFyQq6TC846sUPuVXMzD7a7HP2T8MU8pBXtwPRoFa8M+kL dUCno4LXbmMU9d0rP2P/CCxIqrFgqY7FKPzbI0p1PB6qHjgLBPzHlv6vQJdTaZ5audKtXVK7Chse 8my7KiGpTxlqpPaBVYFXuOdaRHzs0dmDYDxhuQIn8AW/mfX/VQrbH9E6K6sGbGJ/wkvoqNMbAM0J 5lcqyonKubkIDGCi5xQbhzAxL+rl5MER7SKN5yd8PIOAGscFJ7qMJHg7o49xR0a2TeFRlEzKNb1l LFUNZYMzu5HRV8oi6xQJREFZ8R3t2fpfARpSD94dc3WJ1vOe4pZSP/Y0imGTIQjFCSuNuaq9/Ghe JFsFfp64k9txhw3dIfZ3LuSGbNklIM9tRYNGQ3UfyNjzVCyozOYSiCWZdmULLwZw7MfGfJ0Kqp6z nKNmutuhJwo2P0gye5N+7Fjl+Ty4yG8qn9WorMvWRzlIYck1XI3ACwPZXoaiEUU94MM9PA7qxKYy fRQNaAesQKW6Qf6xQRm6pesBoQERJUXuhLzXab15IplWqzsHqkJID7GeWCT/4/brslKe8FpNzT/z /m5YliRcVoh/Q3JlRIY4z00Db7/d0ZxN+OFXdg7N8J0evXORf2Mq8x5fY6pMlckCfgcpcx9J56wi UwPo9ZYk8yzMn+YJMC4CrBQj/Ydfn6M15pnsrJkvoIQsgrJKeB/MUZy5n5sw0fbXGcrc/2A2H2Ph PtPCqzZFxEf4r97P8wF7VF+tl1LZug4Qe287reu8fKRqNxoQVhRgtF9Eio2QD4qW/shAXTjU/oUG SP//h/pRniK7XxGqt9hu1JMSVcIyExyEZNULchNWMUHR0AB0KOW1ub/fUqo6DYtbYWaYzXhAV0P8 MKiYEKaChs1MWMpCT7KSxKLAjz4JdMSVPdazlqHyWjw0WSTvDEUklyRjEwLuVARM9af4C/J571QM uCOHjn6kDaRDoUCbL4UfltJewQJNMmOzQwiURcpuc6LjFJN5f6tvsQg6HgHH8021d4ZqYGkohu96 oWjX2vDaoYmbDskxMv5efEx/eDIr0bJV9eE1BcEBu6ZnePhNevJxD9EXEmoD5EserAxnKDAHEZvM OE2lET8UV/HUvmV2W9LEtWidWap9+INzK/L5C6ngRLdU36Ji9VS3fmeUHuEA1KY0hPxR6FKskpLq jjOQTiYw3EEO4vIAspXxi0Jxm2KC3z3Ry4bz/zRrbzx1mKBd/mZXb/i/3lq7JgQ73mnN1y395YKS NEKwJNvq7iyQi8SWXQlLGZAgvps+fP9X53EQQuz6aYj4yD8puWw0+sgpeCigRkz8TSJw8UHp8Pp0 iOcPvrE53QZ6IMOVcU1AguOYpR4zl6IkU3D60vEwov+Ox7MSYClr73HzNckZXdTTCVr/XE+wPk1H oMyFfod87Mq7q0bWNcgElfgKTqtZcuFeoL3xp+rlLwiaLOwfMBwc92sdpEtDm1zWBGip0Ile4ALk 5QWMd2eWRgNX9EuM8m2cNX+9HoAoEG/G7XuMeHHTm+G+wReXdb77avaQp7YScoiwngC3JKTnYiRg qJyxQWTl7LpwzGhLY48wZw7A9pir0gP9ChrdrHoxSYbZOCpd1IwYxZq0UVeeM9wQLL5jtkwavRXF wgjKH6HpJl03mOXuvoOOQZ1paSAU34ojgJ37OE0auAemlMPp5tB4/AyrMMHAToKW2nlf8gZbasGM wHhYQ1YbR/WW9TGIVa87uoDTSbnedsGWpleOhHgJVOEgNk2Cz+8F3nnMhwzcTJjgK973F7KME5oh w5rU+WtVoMADzz8U7bEZsIT55MQPiZo9LeYLG5kXenDigIX13LceZyeN2SEP9Rues0EKc/W4NWwO dDxTfWK6cgRaXuxFugBpztl/0wDS1etrXBh5cGpQlw/ATQphSwolK5XvsPCUBwNCDy4ZwMIirxq6 gPw6RTLbibaeV55wRknaSZ/0frOOxXWg7gm0F67a6cDKElshCo31vs2Xs+eCvyuDlH8/hMK9a+69 TXVmqlVRCtY+N8Htd3rMqscRp9DeQkcvkBnOzyCILyrYNnDqX7CKp8El1VdZ+xR+a4dsA/9QfMVP b/UI6O5fJeWsaK1e0kAddRQ5IX/+0pXLyKUu12wEMDwsCjJH3Av1D9LwmbgWtAlu/DAGoH/Tj8p3 nrBxzc6KJ3VCZ0csnbk1Nu5goa7P++rOfA/PYa8CXjP47N3UytjWEnGrBoIJbIxqCmDdTnqhgQh+ 1t2uHB3Aq3Wr6VOrBAIYUZkzAOjgctQw7stiDsJm618KJZDlJw/X2V4CD3aE6dyiAfZAlQUQsF+E BUH139easZaYQG79hbI5AHepREY4ryE7wt8V40S0NflQp4Lh57q/SJpeDw7SZhOxaYzzQlXkoHrQ iLoMp47JNsOVLFmaHTujF87dXL8fpCKDacKTLhMmr+FRX2AgmsxUeood86dhwr4NlXV5MzbOd8jv 5GV+Qu9lbL/iL7LtEZf+Y0usLr+rs9pAjTuO8sP+JgpGfFH25KZfx0OJdRgc3OH9EOgD6O+cxzE9 ic5dO4rRf7UnBMjwHWKpiPpiURzI1qwX9gIE1CSEG4pW5++G9DuNvi9NFKUTL4G+dM8EtLlFMRlI xtLejlT83BkPIcpkPTbmp6c8w2kW9gq6NBuhfkzZH2fFoD1p8riHcpuxsQ/pltiXUjIZuDmfoJAN lKBfOqOVxXhbTHxvPviC9o9kdrfNJi6UoeMQEiBfSEo/UR0pW6dcb0QynI6IiJs1uR56bg6m/NT8 6eLhFxyiR1Dy4RKOzBDQ3+ayjHFbh7xLvb5l35GLLi+QE5NXuo39X4YdQTC7UUNilKc80s7wAn4t A94RBMqhxwUshhWsUwyicdRptyaPkZ2ednxoofjKedmNogzd/l+990jMe/BSYTsT/hazMyQdq3bV V25ExtDDuiMlLm1y6uBgrZGTiM96HbgQvML6LT8hroyEnYBVd0J9qh5glDHj59v9jH+AeUQ0RCpo IZYY0+Wui8OgkkjmHGd8e3GzoJ/Xb6AmzwcqtwffVVGtKr8ef4RqQm9OgSK2NXGdfL5pz7ooZJP8 8Q73cYwSjkC4+dxrIFDh5/93N6Tac30P5V/R0K/3PFieYGkRkkWEMvZDVCfCjjEjGkD3DTMQfWmy 57t/qLfXbIlXXAvbQiJg8eKbwclkTGQCbw4t4tFagEEj/pD2Get2e+xnnEKJxOnpnTxSHEP/nn2v Z570aoSEggPFittJEmpZLWUDI62dR5NWdHPnLB+nLgvYsPzijXCwIh0Y3DTobUTT8fA6HDI/wJCZ 9WDfn2bYPA8qU47ZiMwqsPp0o4rCPytkqFKTUBW3l3wyFY7+rpOHbO07MCYOMiNVr4GQchry+aRi Wo9wEucjZwlvg01VY7Xq+HsCtvapLMMnaZXcjk6xkIDO2AlzcjWSt0GAVXDAEbTG2P5MHwglfUIT h+pUeGb+LWfhfcKWBFdQqGjkiBmIvW9RYXoG9cLUv51+9H98neFIAzG19RBePEqQ3g2xfeI10HC5 luN0aGZrIGJCr9XwAHU81+Y6J3L0VEVm8VfKgZA0lwBjTtqBIiyY8WRr9SaBu/awK3+hFdvLlCCo yr29vNopd1Ma85JxHsnoJIvsc/cY68pkCOrErOeA1jeio1+XQSPcYZwxJIQbdV2lS2zAfQUB5Fta vtUijZMxf1Gq5ZDQBUnfaGyXyS0RAI5/DiaBmEb+s+7NF7E/7rMg+alI5XiB56g/nOWWki9q63pR XAWqFKSqNwP9KDuHrbnJny/LxD1ZTacmlDcVtRRM5jvnr+FhWlarsX4X2fwLI29/XGsrb67r6nvw 3gSzugCe3uo0Z2IDkDtlH+uctYC5bj+Dsv1o3oOIPNpmJNxeaeIKKFoJxYq1mM5MvfitkLBL+vlk hpSsGEIZhlsH+gLCb4ZV16CfpQiJJhzv5b2O2YEV27tZuVAxTd20Z9IgPlLxGuOYxItUdT1eQ13s fKkpHUXzZ/D8eRGMaPjBrGbO0JdbeRyU0Ync5gI/fK7XU7oXJNaKKDCXmRqP60zXgXK7DI+lPHrV VsPE6SXIrEiOXhvSARam7XAl+FVCsDuvM2GKGxfe3EQmkWanu8HSG9fjU2QMkamKydg4/2tMSKeC DFlrTXxZk4n0SP20OOtAi9GeDQXW0R7FN1RCJFTWEGsqgZ+aK1oEkARIiQR7/w7vcRMhTQuE2i3z DrsgFUycDJ1UFY3V56GLxmF5Uv0If6OJ+LN3RG+awpUwVZDHe8YAIxafAOH+67HoFQ2iLuO+CPUV qcGBU6hfZar9Cu9evu4SkkjWxVKgY+5roCU+qnYKopfVXmqSd44jdHT1wtmphtdDvgcT2XRA1T8z XwCEHryDu2aP+ozfU6FnB3QvZYKgyQJAa7UVxpr1wnmVF7FGUp6qO2nWQnhrFuPbmym2ANDxWs8D ULXsBJkA1ZUDgvp4rD7mlyt2l00f5XOCy8n3e/7Rx1aWnSn5N0Uuon3b5oWDKDiIzYktH0dpiagq SkW0a93i/ycZ+/6aFuP6yBYza/6Z2faYWN0hOXs4CxjLt3jKtpxV9d529HkYMxP0qdBWUXgbtjvy vhtLiZrSCd1/d5mm7St6OdKUgX01kXas9ACUzQ4RnnqXD+x0fJbu+4SmF19iD9FYE1SghT2hJpiC rJL2q9hzGRfaqLJQeHBMnlSmhiRF+glXENpCPfe2Bvg9hPlVj9lG99yDIhoMQVCmY1hFXpRAZK/f OiZTElGS1CgGETGAjmenf0cMP8G/+RwZJ1VimjvK6dDeYOIPgyKYtnRFMQmYU75bf4tSEhCQWTxt D5ACi6c90N+kr/A4BRxFzREIwOFBR5tByhXPFWqRhYNaek8lpENmoDmhXTl7ruMzX0zvbwQOLoSg j3Ni8UHaJWtJRPyRWVPg4KePWMbKllwV6GAX9TtIsQwV911ISw9aTWgblt/391nVMJJquAURcgDR QtdSGsK2vWqaZeT0apXM3sIWSxRez/qpmfB/eeeo/lzsZjnIRCsW4ZNKOugbA4ah2CJ9cq5M2h/M TXmt26L/adODDd/92NfD918krqjOD53zGeM6sTcF9k1ZaRi954P/pKgU8/k6IwNnOqA1EQPE83/D QKcnppzGOrWHq+n0J5lSXvSb65qpeOCMs1mU55J0MF8W/C+KbMUc/ztziD+W4yBlox5BJWhj/WOj pPsVN7D5BOxrggO4NTr/oHv5PeACRrhYze1aNcTOzhgxw+eq8/N7ShZfcteJEmjXwhnd/VjbHpNM 1gvdAKqz/D8DYELf5DdDgiG21qmrkRDsuDItjE+o7vxnQUNHTB1VCl5sG05Q81ubwhnCiuh5Oq+q M2UxRPhLVigHjrtkX105k570NpEGJVMcSTGvkzt4UB8O1WY0wpT2zfCmmuytimv7JqIQOp7XJKCE 0DpyyGL7R+LuUsYINELlwSFK8CK/7q4JvY2ov68uWPzGtMUHi9lqcOnfIH3nwpfKdHfx6oNPBaGS v9OW/j+QJOfuAsXGJVh6JaO4+N8gYeVLDbJ0kawFoiNB+TIeHoZ9YR89YYNOUhXUQFHwAMHIRBmB QTFTzEdOT8xgBXJE9n+jvE8OeCu6CUiQKfyhFZYST5vcFbVLs/RArq5x9JT8BrNWnMkNExrC+B14 DMeEF7WYgfOfWzw0SK98yp7cIojvMRUVb6uFDCAcrq9iFFKv2Ro1qnmBSo68k8EP2E9uBpNRG+3w HoET3DaPvJzHFJweqMU0ldGaBS7+Gu1IqnSIYkd2fikhx4HEaUz84o06nGxZtAmEtUmh0tH2kMHT SFnQEMTZJLUzphXfYXoZCtQdloItVmTenvqb1Ki7OConjI/T/24vXDNo70KJqkZUqJ64Vxvy4bbn OoPuBUwMFqEDuCdt+0HprwDKnUJ7oKTu3APWEif4sNXBJ+ZhhFirLlmIsyhVZLSxj4inIv7R36Cs OdZ79mjfH+K+9EYs9Rw+eOv8gaG1CiFLTJzfDbcZOqvsE8CbXVIZ//ioh8DGb+734ahL+2qaVlcC GGQHdkV+FWn4dBTbAOca+zSTwmVdiIVZRxLrVmnia6PV+4+ctYfIhT/u5Q2xiOqy9komQa6O6zaB y3w60bWgzaguUMqg2+KuZPDigcaKzIXXOS81EWmGXRXyaSR0pgH3b03v0uAnN7XmD0XPEGgl7iAP sr2LH58LlB0o75NKDRNHQbQI6ryfrKC0BgLSnhdzCXOw9BMlAMz+u7975Slfp9zKGzOcBzLikSR+ EfInD4xldeTNK5fMwA9T0c3zqQ36H26ufim4dByjul0rOHGoYYSTodiWpq3ZJoKCiIc87zFc0slO cG0MEUiBHRQtxDXJ8eKnlu2wVaTuo9zvYs6ClV4zUEq5NBFFDv5Vn8oQFHTqa/d27KrUcNGFlWzV +6OFH0Exbd5BPlNq1cb4jLv7OtsHBmZVQwr01xDyV6JEOWiZtWCSC4DrzuyqMKM8SWhcoV8Kpy1C HsJlP1vJettDQEX9zZKbNECH3b3fyT2W5n3yVMbGdPoygE1vD4EcgmPMXvTo5spFNJUms0JeIV69 CSBl0U17q7dRTJbqlaudaur+P/nu+m8sXwSjFSfQPUgwvpXplm2eaEcFJVG23U0fHB5Co4xz1JZi Oe1jfivdOoIOOD/N1Up8oflH6ikiobZhykDMijnn2r5bwmhlwnpFZIwuCGSsBOl164CGXZOuQf39 W2dERUCxPQmflzHV1qQ01MTAd1Ak1kE6/2XN2X9+r48OuoDE9u1pJzUVEzHgW4ekSwRm5Cg8vJrU H6BuAtTraw4f7vRzWtn5qhMX1aIBNnrUh5GY9/UsiB4C/edzERfRBLhj9ndpYl3u0Dk2qbWVX0++ TePrHxSKkH7gs69vr4/lmjWUu/y5kk55erYZueRmSlpTMbALGESMXSW0ozVlYWAeEk0SqDAN6l9d qhpw1yAhBGntfD1rCHgWjUzz9ES1iV9Qdi5nEg8r1wQ74AlELttBKoomiAm4geaQPqKcD79wLlX5 eJwY3hQioYLCjPG8n0HKqo1yjl97OwCySJBwMxA9LLzGaw2l5tp05Uum4xefyi1292G4bW3c31bO j90O9fJTR+prS539hHun8XbFJkYb6D1F7H2bXGNBP3KgidlmB1rBbS/LKGeZ6WOIDN7fPsmJWNAr gQUg1dX/cfUQ1Um/898Nx+sPojaA3Dha2qrhFTzTIzbCK865UcpHAK4gD2W2cpW/pbznTgnlhEjQ 3265pObVgSonJ5m51VmIqgX51tiFbuHkt8HPmViKvHU/fbB90Konk5K5QUiiP4UzrFYn2P5CQplz drEPW/HbccfluBoBX8/uFv7q2VTmPDPMBKgLS3auto3hWn+FsyvMWhg3RCxFzOwN2RZJ1THGaCn5 QcZ8mNVAIP1g82blbpzP4/zYl/3wvI1GTcBkyHoEUGR3F2aEZsIoesNpMwglyiHe0eKDB6V0gD+z PW9D9uRdxQejZFZ1I6whJ/kFwl5DPITEpSNp4I6T6EAR5dO2+abMLwI96WlHBKQqGqmH4y095a7q n7H+XfSSnGF5Sl+8fGS4OEKx8TvMBjhrfKr5ERuYGyQm+AB2mln4YFCm1TjqLIGO6a4adRDxE791 8wEN6FEZTQo84TvIpEkysMo2Dr9y4Q/RZA9k7wOzg3DkX94byE8hKuezID6tVyXpEaon8tAMBkPs oix/SjeitzcZ/grnOeyOGsWyq/ELsCfVcKQw215qtWdXc9Yq5WvUhdzvokXxBs+4Z3MOARX01IOZ ApO8exl2FUF3gC/VbF7oHPiAf8itAcC6LS75ZveobgX2CEvVq3QxMs4OGhmYH6dLtwfEzMw6xPCZ nO5XFmLo9UDIpD7c1+VX8/JNhZ+urStqKWnvOmqf1T3SOyPpApwsIjTfHbCUCjtrXvXPvCqJ0DYl JKliJcBPix67P+HrH8M3Gp7Z5Kv+D3uZ8kRBeVzt0YR8F/dzuY313a4kMJWITmsEgEr7S9YREc/K +mw2WFTWF0layndNr9f7JcNMRRChSZqSSLYY+D9wqH/2FwQSF9+OrasSb5M/xhB6C1MGzwHyl0C+ 7lyBZgVy/7AInSc9DU0hvynlq7xC5XOZreAL2U/GMWRtym9HnIku3HeS3kMOMFRQPQcEjtT5Rt2m uZSFPr4Y2HDkMOJnet+3UihLIfEuMQ2kAOh1EN8ZkRHugacMocJ7gJIHTu/kGIUOHmsYBmP5rOSN EnaLv7M9C6xATlJpQciX7aUP83kBb3arF1OPxinZpZrAyvc24kYjKi7KKBJuk2wlDwyUzNPlLN96 0iluSiFNXATI44ETLb4llNv0YP+XFKR5gJXMjNkZ2gI6DyfX8I8kDa88bz5ihJ9DNSXQZ5daKG8R g/8OhdjGpxATkVHN4olD3efuOappKAMTN+wu/jH5bN6lHwwlvP5jsJz7oqVrMME/mszVJGsR8F6M D88PUdOO6G7H5qtVJvNRCQ0LrFXKdfnlraU0WZJQ84WS6rekdlsP8r+Spm5k62b9UdpEngcJ3Cyf h7hUsBdDa1zQvyVd5eEvfZdWz2xNyALX8qyFTXC/BDvev/RFeAfY9K2eYyjnpwYPqRMmMNiawuAl QNRqnsu9iPmEdr8tfEh/9cuE83v3FBKKdmMVh310Vm4k0RhPuW27bCZagx6Pmdd+z80u6/lhXOgp QCVCpEiGVIXg23RmMvHBC3kfdcMxMpam2Q1brs4wDrAH/U66I8EBSpf1V43y/pCtgPK4KRvZ2XGy PtX5IfEHWlHZdO1l1I0BcWjRtVYXDxEUsYEd89y9J0rw/au/C4nJNkG1zGJyqFwwPiAF6wqYp4ij KZZt2IYEgY3g4mEOEDgbXpPNIS6sIFu2R47EAdL8C8rwAc1Oljrb8Y74f49NI8bemAAN7mZnkapJ Jw8k2SjM/4ZifM+7g1CHpHYNPy7QqYGp6UUeyqIug9Q61Ptk3Sf7AXnGyrBiYkcBcRLL18mgRa1G vAZvf4Qnf7l38n9OhrYcAcu6PllriztWSMSoTo+oS2gn0TqDT/v9NWuV71DSxsciOyuMga/Rb9JM 91ioqUr72mOEEB3DLJMw0xJydxnpZckIcXHW2l/JcLQ9U5KAqXP+UaC1SBI37AitcoH3LE9UHhf7 IhnEmVQeSFThWyHLHnhaVh5FV2HGBBwoIOy4l9JN5nkX0kl8CqgR3uYftZ8R/s2HFEMepZEcMW4u m+20XydUwbVRw1y8e456xVwnaGdfuRhH+/qEzP7ceia6xYBKAUZMleWyCMS7gqbddiaqPTCjARi0 vjgs40y4ZbyEkCVqb3gAyOibOSGfKhMd8tMV3aTCrO/jAlI/gYfuyTp6omX6gB6PDSoa4ktVciWD gjHVLgL+KSt6IBGgleczpUTOl3f1ow2neArOyLKNEHy/tQOK89PQ7Bo2WqaNYN37Frhu1BuzubwO JFLO4NdMULRAWDSvnsTsoGkChQ7BzIU9GQR3YRr5+bR5VBW2JWxC1Se1XPfum7z0yfi0SJl08+fm Q96qpn3cqvP1+jFs4QO9ardKNABSfAfGW1159TPWMdvIoVHBE3DcnpzO/eX+f9NbY7y4XEzafmnB zpPHXXN/e1EOUfgtxSqZLKiltYT5H0zfS6hEkKSszr4iM4rMzXrdsAjin2CQkfX/Izr9HSEu2hH9 0nQ6ySceW7WWs3EH3m+LI80L/NwoOkbxC2KBbOY6tNoqgVcdWMecPwRb+XyvTiE5MxpbQq6058// pi2ZHMKOzc0nMxKvrTOzk9YKMiMj4GtuYPgNf6ahMaAgNNjU1r/btgVVaae/EHHhsYbdhFnN8ka6 KdkdlAGvpQsE0ovo9M/WoDAzXLTp7GfieM12PBuic31Kj+DSn/XBYmfQCankdE4KtlS6DbcXaTIi 03DSb2chlRgLcDTWt5hpL4H7Yatdb3g1dXUjCw7H8z3ZF8aK4l33NEONos6c/LbqLuczZf+8OSuD A3EZTGs3zqX8IeerzKEt8Ww8adFs44hvSREO32HhS1DnMcvB6ZdKTt/g6sQX+urn/2BxA3B08ukE rXg8WQEPC8xzU+opOcnGfw+hyqmdzPkSJsqUkpPtY2e/b4Ygvdq6iqU4qxnr4+reMYXupOuPDNfM bCNtI5c0rwhuUzzhof6VdTbI1pSh3VXRG8uerddx7kvor9EO1Y4hNQBZGFoqh8+iqUKQw0BGLFzl sfJWJAatJc7udkbTPHXB7Jvnh7yBfx2tsRUiUWt5ZbqBoAdH/9skfzBA5vIxJ7dNH0TIsH0VGh+P Dubc0/N8l3VJPnEMFl2AjGObJ+yexauXu3D5/7zVo/UN7f9HnayXyNAxGalVMc0ONisA4wNKmknM TXLjf1H0T/7hejy4WUiNgUZOrqEzqLlwn1xdqPUvh5yU65NVGSOdeQ1bthHI9CIq5O9HGAt8ldX7 RhSvw34ycdGcxIhTR6sO7slTqwvd8xVOKb/pUVFb4GTNMl9NsFu2ryEYJojTv2Eip8X2TL4+W7xd pL9NpJC72MJ5dJ3t/FHCcq7JvqKvudwsv7IMUei1dEWvAZ0IBfoBPKEwJjApJ5LpOVxmj8DNu/2M gxmqi/sJkrb6TWfUu516gmpdX9+DuLcY5FhP4QcoDUl5EhMHFCiH+KV9/dgmju5hzBb6Vk3TIdJK nQiMV9LJKfsSEjS+vnYjwq262wXZ7CcDlVSOtI0MaROSGbdIrq1Kd5CjvMv2kiy98Cxy9/qs+o7N x3APrBhuW6U5ONvHpIqeK2y11Zy4zi96sU6iVTun423sxCLfK0qY1m2RAfR6dtqO1BthxIpi3zAG 4XPWM+3aCy7h0YI+P/OlqFD/RRdQoZjBJbFNiqJYjEQoOjpjO3mLy/Et/RRM98MmCp0ljVYGsHQc db/udoaZ2g6iF1FKxavxp+C6QAEQWQkIMzgjMTCxUwMpONpdowqfNoWsKGxjCo4PKf+3jvHiY6P6 sRATRViHp+ZkIZZ0BDwZ00R6aPQ0VlZyR3OYbAD5+d/4lUOsDpPU35RLKpmFruUE/FSsoU9xA/fS DkYFQHJ17dKNVHzmkylhMbhPe4sqjzOpamneFYiHX8LWIW2ZLddhehZYsRw2BlMocFATcPFYrs4N LlsHl65r+I1gYc7dsFmEvqD+r1S4CjLJ+uhmqud4alkdGa3zFvPOUQJHObh6M1+xEdDgls1hKKpT N4NWpz7NBkE1DooMtt98spUusdndyor1ZQsrcS9ZsUAxSEKY6Y8Uv3hmvs1eVdI4QGAUua+WM78d XhLCwDh+7HrsqSr3+mWqa189BeqsjsCAXNEb9t9QJS6xW7DIOiH04Tib6aKGlYZ8Kgfywp5HDXl+ uEGzMwWS1bl0MAxcAjQZHti4d/T34+pp7w+P66rYuiuZU5O8XsObmU9xeYd57h/TFIq7+ESThPQO rcrUEG+2r0OCGDpPrkfCW1IpARSu8osHIpbdL1pUXIjpsnEbZEF5tQ1e0lktKlDywyP8SSWRAqUS ksIC4wE3QWHBKXoCIdasqk8Wks9q0Aq1NVkZS9L3QAd8S7tMHfMf1EfCaREc6LZmiT7hFVKrZt+y 4nIA73fJwz1gMBD7rJG8x2EyR4auH29op2Iu5AjuOTafG+iPxdOpfBwpxztJkv/cnqDCUKE/9SXI Yut9SV5XSv7K3TPbYDKiV6YHsQQXzA/q6i0aFdne3ehCMJYjZ+/2EngCsAMvD9DP3auFV/QB8kFY ofha0CxSgiojuxSjRVeS57oU1YxXfNYzDukLJ9D1j1/yS9s3GrFSDz5U5ammUb+e2JuyQBNH3doE +LsAk8lTUEEItmOnxQxM1ZHmudtp7RzS1PYrnIp8dO8JQwqxuL9R7nrgAkm5gpjipE3lD6z6jWxR 8PVpOozMmraBB4vrJrBfYv7tuhCY+BlRx6OYoSKol2ovs6wH1kH3cHskUfTYT2rybsrujZ5qGmur 5SHXS5joDLkC+1eyDVah9HZAXXKCQd52zfoR56UKlfdwnEv6MyQclPojfE+RijsF6Bov5nrY9lLg 1mqx9Cod5VyESn1VJXj2ih4AGiEmUZ0+AGDZ9Y00dPTySz1Lr7shdJc9PPxv/yVRSjzmWsq+B0Vz eTc1+YL6O0BLZ3230OFLOvcIrvQOazkwkwvpM3aQ4Uy6FJ6uOUmPu+CK2fC5vQdASplc6TYIIuum +3Qyq1g5jvQPbkUHldzQ4yRJnPKB/nUzUCH/t2WFQRDZq3y9I0JMSiNwqo7eEQL/dsEULTxgo3VJ E0k1EjwLQK8h1/qNVAp2CV+nbjyVQahYNQ2t7i/v2BYML76qEUTdSADxxTTMVNvBp79zb+769zEh Wy9VniZzwe/T+qumFsHMzdigeNxr6KYCudngymzfUSvj5SVT2vDRHlXU9cvuw54Nj98fiQISypjU M6y4I7hZ2NuyAA8iRODGmY4Z0vPhAUSjjNapvRgVn+b5OtM2ukMWuiSp+juFMBVIFZp0HeV4FOCi pNkZB+SN5UJZHGXHEr7qWpRK7MnCrJbgzZnOX7oZTalYa6AWkS0J2JEVO1Dsdx3vurudpoPvng80 V0I/EZNdsXG8KK79IvyuVETz1G4wdqE8+4P0U/e8uq6NNy88sWaTevuL87xHcYx7GmoOEJDrjm1U /s8n+D3pvEQn9fJQTXG0yj52kP2VVG6F4qs3nSLTT8no3ZHjfjcdXGoPO+0cDP77ZOws8hsJ3HT6 eQ2zirNCRx7SG1+RKioVSv/umbW+HPXfMCAIIlVfT9kL4zhvpa1A4nLPHNYBnM/7mKYkDDHQu9lv K8bqPDfKGYdtoEfdQQq2+hgEN/9wuxgUmuVPugCOejFZ7UeiXdIfgfPtd2KZ4/TjfdAmKhfL9x5A O02DjKP79KplKfRj/QQtZqlf1WQCS4NrAGS8xtj4q5cQc8yli+cs4V4ipI4x3fuRf6NnX2HsAsvp JIWo6sqTaQsjkZUScEhfJdHpAyYNCErFTxqcWNlVrJs0X2sUVimSrgV1MONNLAHxD5OjMeaGvwwf axwb72nnw92S7Z8TSkOP8JtOSTutMvh9sa/rpoRG9+OsSvZS8oeXvbIgU/Mb1iw4g2c/O+lxVMGi fezhGxStzCkKbpS2QnrtO6EzN4fE5hw+TfQHj248Rb+c9VYZThaq6nrw6AqrZaY1LXNYkdmlGaN2 nB8H62gevwMpeAeZgasXRFTlbx/covuD2lumJRA55dw3AW6HgQYzuqOUIVxYZ7mQ7CI8BKApCMFU SSWykm498YkvW7cY1nY/KeMtyn76wFqDe6KFEkGkZbp/XtDUcEzBtKqsk8b6igrQ20yU1cYBL5p0 BbvpMiFEKMH44cm9QGFhgOssLkWQ8SX5jNCRZzvpnBQlkJiYh1XVWgL/A/hC/3vpVPnvPn6Ok96c k+5C4D74M/L9qfcS70e6uH3SQP28xy4XehuBumD51PLbAC3/6uc2kpnHTcdWW7IQy8FZS2PDMj0l fVUj9QVC1fPnLSUfJKPOPdMtbMVgd84fCiAtwdBAGyNTjMDKBKM8R76quh2VEnZgrCYkWCzEZrUo GLiC+sDqgpnBX2n4o9j9ZwURNiPFScVN5doS6JkwM0bwA5mbLz4jUffNypahzfHu4AVWDxwttQ/6 uwLDrJnL2fiTpT3l5ZvgK2HItemabWluEWnGdxc86HoJyeEtbvoxXlc9tnz8a4gK4X/+wzJ3/64H u3+aFc76bvAUzy06Nda667GiKlKkfwz0AyYi+ZsbqGA27r94T2KDkxllKL7MtFtGmCgHGgxgoS+U LqYrgquAzC4wP6nQYeGQcmnwKU2eYKlKBYYd0BZMaIjXTpNUz6BPgv0BU84ReMXNE3n70F7pII2c ZT8wjjrxI9VFbUuBSptZDFNx3/2TK7bjOXWgoLIUUZfsYUUT8s5wIN5LxSv+xBQO4u4kv+tPxJGx JLZzoh1+pSr2sXCIV3Dd6+U6sGcMYRL8h2VnqA/ELvXwbeIkmnN573uDwroFTs5ievQd+SA9aeY2 0YgGa8ACMWPYC0TdUbD3yKq0UbFja+mo6N6NLDJFzXbRDK9GWCHuQ3YNGt1VeKEhIbWezZIyvyTC hAwxP4q4RLAwip5eMHB8mnmpDb7EOFusrDDl/eZ+6e+k4ExgJ7f2OwUJWICM1TCbavvQi2nUYnPz JWaV1VdADWTJ1uK/jlpfMYf7k/wO54+mfGNggz8KI7gW6q+K0xDA3igRrP89mABXLhoCAiAuhO3z zPdwgYGe/PM5qqg4O7PtnYbO6NxSRnF/i57Naytc8nJ2m/RKexRfwowKgJWi40HA1XtukdTD610c s+yYvGKx5P18yAvSpD6hZya3JP2piwMG8508KqkqQQzmG9Oqp1CKdG/FgCT2OxYOvlZ1nqV69NWq rejOVDmTiEfgUsx3FEFtgjPgSNkFipIXWUtSZDg1wXLucwMw16NSitd7gfmOaKjQAFeYs/MNprrb kq+gOw0wwIsAeXoxv3941lTzyiZyGhVgaSWDBs/2Xr3JFSHf4MmQQ3r+EqBpfnTFWlfSarc7gAMh 3MOVGFL+O1jlFkhlSYWf0kxiu+F809jsKLwHn6mBjPFTAlTDIVevM1wi5waTUIneuovdZKoXm6gA ViFqBD4CuQqv0eoVAVhtwDqDfpCO8DenzWfO4/OWsqTieuJt82It15jjNN+jxmkp4rx11kIt6UTl igYG/w3gEa0D8Jn1KGUjT0xI3m8u83arY8g3kC5AfC9/Y52JK0tt0uyxWlAYX3OR+26BvK5/dq+X waVTrnAUWecMlt1Uj/Crjnw0bLpRwIJE6nzXK2YgGpBaZwphKxUyWMR8Imp3Lvchkegh+Bb6V0Vw CnYnZ9oKwl8qZMzJbWeSnDHF5TMxuEAVKz55L2OH51vaTJBccjx54xvaNF03gsnhPZzygc5nsY2D nfZSCzcyMGZfsx6zT9IIW0jaPBWnrAx1ZoTWTLs+UmcSEAPnsaqgBBAZJVPK134CO+k0SX85Au4Y 0jqv0GkQY76hYtj2QDrunBNOdRnmXfZpWrNwg/wI+j2F49KLhx+4Fus/IslYUp/BiWubxdS1gdhL z1OiUEiYQTMq5EgeCqTnRxi+YUR+0TMnmtSR442Es3Ak+sOAdeye4maWouoPIzU7jmOX3DtFJGr0 FdxI632y/Lk33N+DUng5DmErFIhzC+GG35kTvbGXidHW+q6Q7s3L9UusYvu84xZABZlP7zogwl2/ QN3Y+zcHHA/dx+53LcUTqQyxmfVRu1um9M2UrlV+2QmAM57wbFIx5ENSrryZNgIBquDIox+3nPg0 636zGXIOy7r2QEXECbJ/4x86OPirC/VWCFR5Rp9z4MEEJhc9ebinjQttwUg5Tfxk790KSS0/xulV rQzHH9lIx2adYmcW0oNJkkC252rAVqtQw8Xluga0EQKzZ8fK5UR8V+ELsbuNSUBqW7da+VZ7IMpb ukBO07KxBsq3zej+6fV1Q4VqL6TUEXAwbCROXQkSWU1+OiVkECJcE6/qHp8gupdbpRtqP55O6Ga3 qYUZBNvlrakjcecAjoJgXUXl+PfzbmmBRF3t9A6H9l2/lU82LJUmbSBsCyuWLFPujMdCgxqp90jf H4hFzLCLjQGMj9PN+dvvwj32L3QK2QhK7HhZBVROscwIxXFUsoiSVN39r10z8igNqpne/1VuIKMx NIr9r7hL0G7+04xoGsL637Ldcjqpps3SLh48B7f0OMD4koxY1dI0IVQuQv8YMziP3f7+6gStV6FB oy9EKOV0w7HG5Ex04ky01h5h05Jt8NkAAsgZlr/69zSS5o6eQEXNi8KiVh55r6MPMPt6tta1O43S Pokh1vwORklVOn90chqOjQJyP584Z4wdl55zSGwSAnR97JRhpY6c/siJF/obj+cVMc22gw1jwcbN fatbsPskCFqm1Of9nXBfxZgsNI8bOT08IdydVxHeSv+CngQy+KKidO/XebRPB5fc6yNIgAIhrSsE zCk91x7hix4NgzD7Vkb6Ng8DMM2d1B0yh2vZtrQYzhIqXQZ+LOJg2YkFdTubm7Dant997HDkGAIr 1M1OOEzRGBTC0G6xLU2BTVbTsqD07wiN0tBqhmhfaEKvYcGeCqRphJfU/ue+tKlY7rLpYu765RTM DxepT+usAMJJHd+y9AC4ELP9TOCbcucxivgEzzkmK10Hf0PdT0Umda7ZMq2im5wiQhcuabEBrFhC 2O1tbzGhQyQZOu1Z5MI17T2+hgTUtnmUHcJMeaj7z+PrYzbQf/pr0a/fxqH2bEf4WgH5hQ34JuNK eJCIE34VZvpASmeGiyvKeQWOXhW8NEPHbweE2hMs/V/iHfoGFfMIbuoGwMRsbrpBW3c6dW0fNeFH L3u2AwDufRGGKvhGTe8M0evNB/KnhIVodM1/skzfIlsesyFKuZmMwu5bOLOAZwpB2rnntx75pwyH 40xv/IQfFDuHvvpdMkUlwdp4IbLrrsrGZNFx7zBp2/b7HklzUYgI2YiCHeNsswEdzKjRccXAskX4 v/0QF8gQaiFyP4Q9xx4wYbreWXm4L/9cdewKOrYQTmbN+npIAtx3UNJRZ+qSWUoQHvuOOsMQFb9g 3kPD9XoO6qv0jtPdQ9o/Ax6ZDKPmLUhtNzzCisZCQsKensfZfU7JOo8Xss8akznqHgeDRuBbjkme KIpB3QEm7VlPyoJmm0uZm9X/4ahZJTkx6GlE88aVlvK5dlGA5Vqil9lDJleoNZFg+azQlXnC7bwQ eAQJiH8Na7HzkZNDocE8PcwXmCKGSx4cMMsE5atd8a+gsCgiNL/rKBwv5ozYPl1PxJ9Y8rWrX1wr q7XOplfDqkAtMAE9S630cZ9xK0nEweLM6r3PzhyR4s5IUO04WzplMZs6F3kdfGn2CKoMHg+7roV2 pkSQJ+pq5DIcz2LDj2uCT0epxkNsEOdxVlMcRzFIOHmuZNQpUxLyfE/InGpNZS5VS+XempvqJBl6 fHhQfNz/ifar4Xcgacjzkr6Q/YgxuyqEO4xZfUxtxDYn7Nax4fK7UJc1x945lDaTOZVBH0G5GXmM E+1Cp6VOP3f3GBPBmn5O2MBdEsOK6QYaURYaSxMkSW59JdpdEipaPLAXFlCLnblz4ElQPa8IlxSb cgsVXUxv3yLVCqVTn8n3/4XVvZp+k0P6vnvtKQMd4+WOpK9PQd1GcFIfWovzfHk8/rYo0jffw/E0 8ibIeli1/xsD52FmqmTkGR+VcxSF6xx5tavTB1J7AImsZgsdHBoHO1YN7PhzQyKmqNMZCDwKGuZZ lgqRsrjb880Y2Fccd0s7mcJeeu7M09y0KI0lNF3iyYDqrtdeOD4+sSgOjVKMkCrse4f10a3Exczb PzZftyIY7BBvp3/Vd3F0xLJJaCRtSl1nyyQCHRM8EDmQQHXL7+55M4KaqU+IDKZbvTNEkBewE2Kq kIL9J7LkExwb/fY5bIwGYC1blUyp6qXkumtgqnoDV5XwHJcAQStqiKBqcOo/KPWWONDTjX+HUeBx WSRBcJjQ6qC1Uc2uFX4b8M8bBg0n4sVf+04x+NdJqJs3cYZClkA3kCQzjigyKvMtNh12aZCYfW1S 5onaOglFsQHsN7AKTOCyty8eLE7NDp6aMaZ+pNMkfFpeUbgFCjxRHVOYN2Fk+h5K+0wSgbxFw+ll TaFVxARkBUvBpuQsvCZl6ZAo7e+xTneP9eAgCqsWCnqctYEEw4nwlhKayaQ0X55coAF+kytkLKi7 ltyuUMsTJC4FSsG3uNZKlMJLS9YUL8rZdKU+0fdvsopX4hw7/PRDdwBj6qCf656/vh8TyUK8XrRX OCCKB2cpPt6uTo5Tm0+QsW1dLzhFpR6WHVgj+GxQTwQ4m5zvttTiJXqANwiPdDwd5PyKr2KLj5Wz IagHc/8i+uMB6jCwVkR6ovd71T/KnD8ibjbqG+U3Doif2ORbDuK/pQ4yVmSF3G/Kw/zFIOIalQoI R0U6JB95026l+WelwwdO/MxEKPJjSYGivHsoN6oICsj7nHpmtgi6zlHa7NBz61e0exVAIUf7iwpd g0xDZPiD42Yp+06g8W2DNJMBir43FxXlHectOPlto4iR1kdltLcebhWGnS+GdnVkOpe0BvCUCYZr je7DCASvC9UuNV36YI56neglafqaDtMXLosrhxo+oLMjSoN2/eTt7MMQeGSwBuIl9hkQGh1EIgVx FbUokQenvkI1Z6ymnDEhFVtcoedTiW6efN8bJxyUnOJ12eIId6STgUhrB8phY6OEgYChTgkJUhp5 isD0gP3XMwebZOrf0Vp9DdQBW8v0yZ02qvCncX3I0Y1d9sXG26wfaE7Cb1ByzFWbLmDa5RF6mMlZ +jRKxdv3lIn3NqZCQybgj/CO3DBrGoAMoK6CGYWz1CRl9b8zIh2zE2HD9pJ0RO7MZd6NZLZlAzxr qKWIAR+6/YUIKUQPpoMhShPVl1l3TAGOkZ/C4xCgt27FpZkLl7Tl5lFsMCelPrHqZnRjHVNO5ADY f9ee1p7AP+hiozbcY84ss8I2ldtI8cP4Qdi2c38/9uCZehbXHhYiGZz1QRROcMSKhAXSAKaEdtyR ztsP8urcAJZsVAo2eJ8TMqikD/DvUbSpaoGnUd4024GhzhanDzBxHjZfS67PJ5jNmpfNFTOHhu5o IxvxzE7Xfofv2nFnMVb+hWmhKR7BcZRzC16XPJn49ZEetMFPblg+PXYUjKxujmJkPJnIH2lgdcrB +B0PYgGbu6JFRrngBDAdB7LB9EsO9uZaZeqEC6PriXSg+bSrDp7MRKNB5CLKoxqKpj3rGgZ1+dDN NOjTDil7Z0Fo/+YIYXsgP1dUMlxo3GUpNTifnGcDFmlcvzhrvP9RFOeP5ujuGKU2eQ52IN3TOtw7 J/pqsgHrcHDtzYjXW50SS4dGnydsjJij8vmFyN5JjRddt/vZ1CHk++RQo7o1S0cwmzoMnNLgZFtp ZnUNzArt2XQQtH4Yjs97Vk+MbOIW9sTJGTmPCyVVdw7Z96HtHY85F7G5hwbLpvIFazRi4tWPpXOx q7bZcpnL7gcEXahaSfesTwehXMDKxrDkavdeaFrGRx3xgU82tbWsfyL23FQw2AciN1FBbAwC9gcn 0h2TdjgGdY0mGn9k4mOZVrXjAgwhhWnPOVU+VC25fjyrmeeqVc+gpcvKp+WmTEq88uKVcp2sIgVA YEUiDJqImm1g9SdkwsxiG9d2ApofFN11vSnmXcUrAIEcApuZseevaLYgCFNMvAxlt5W6Xlf8SHnO 4vPvM8mVqGiH1sCTUObIyp3+oCP6odfkJiWdjj8esUQ1I/D07vUio4yMp84y+qYZQsEcLZKZ+Awj 04FTjvz+xtmIZFRsW10XknYYl4OH7VdT+K/dbkdSHzcgyLZbyqWGUJXpIiYTyscTGfEm9PLv5N1Q ztAim3dBDhzj+ARx27iiOZuDZdlTcMtdIrRfj4ZGyjhxTQU60WPDXs5V1xUE3NmM+TivSkyMCI23 z03IwpFz4ZFEMJlz3vSFJgeUQ8eBT0OvRu5nWmE5YIelMOUF32xB0q5W+KeKP0HJb0ylKvQ+UeoO O/Wjz5JnLaiJeYO9O9jBZKo7Rmfc0Ovw0vaslyI+fX9qtxcxdr3KwlzNLkBT5wyRjutBCd8ngnjF BQTNdf47Lzyx4SMPQMsseFuirLCbpnLAaaBWJwjrARPSZxljgdlj1yaNl+a8Wkt7VlgeQI7JgIiL nn9E1coSlAnF3x+sa7YDcuhYgPVi9JzGcsMSwwd42kLHe90SeaB7pB8WsIxvcxTLHY0UOSyAxkeb wwc1cPOUpc5HtQZRJWkBsWPlML2DkHRpehETciInqlxFLk5nmCDnoJDmRIp1NhaBfpEEWOGRSTer u46mm2r0QSFdkQRQlfv4sXAwmFA2H7oBAcEwRw8GYTIAQXicZ3vkgAVjKWjAvK9RVRCM86QMcEHb 1z3cj6ZY3oAITOijzBKHzXfga4OePVHtQaKhjF7Rpq12v8TY7NM66ZCmw8XykV8HQnKuDI7ztnQs udgMCPly6+6Ad25LATcDrksZ1nIb6l8fcyl6liBcRz5sYic1hW9pcdNx0NKWCFj9WG5Ex0kPar/S nc/fBqg7hma1NPQcSKdBeVnZ2Iwt0+JCrJBeCCKTi/mhv4rSkCjxoEmaBMdpyE4nryvCtSgwG0oV rpukcrlKil0Vipy9nf5mo6gvWl0d0EMqMy+kzsuYI2IJbyGXwi6rVxHS7kUnXfhxgJtVNFORcoDN JEXeWXF/jPA8Q+eGR8As2iWj+klvzdPA9P5ddlVNbzGeS62LSC80mL3QED5CdDvrIWtQ6S604tRu MmZKDZwTJ0NhjSdhdK5SgQ9Umdy0FbYVq45aeHi8oqsbbUEnzpynHlK8RcDVWYZxS8u0ndR51/gj LU9bWXMAAg9Cv+yhiftQdhhT1meh87KNcGtKbiRymakGpPcRN4E2SSrT4cXNUbVu19i/5AUt3Kbc VOKyLvZ0BqRgpPaakqN2NCPANh3/zWeRpY2SzoD/ZNZByK2Z8r1JSqh5W4bFN6IPNMNC1Ibh7jqR INvQiEWnzwuL6wIcysz66RcTcRXJ/45WbZ0JOeZY5Lg/GLpIHHjDiZw9aw9qsjVRET6cYxVWnUlV /Dq2S9SljKXlulFyG1jLpPmdTqzcoChI0JWBghUudhXr5MOROb7pbVZR2Za6xjRAxdXFAQiQdr2l lNuB+HSUNqYmhS9+WAmPwGnMDtG+AaL3M3xdgd6lq9UtsJyita5+59EvzN7wh7TZi/BTKxYjLPQl Gf+7Re9WYVJdAlBWB1eG/+HhpB05iiYG+ZlQQsmW8fpEw9F3IfahsjMTJp7f5no7kM2D2LANnCna plcVD9bMAKSGNZZWumjbostx0bWOwuAkwW2XEcGkLx0fJdhUEIKbpb/4aVko4TUjoBSCb4bP5227 BYpAYi0+Tgk+aGdLXuipBH1087rvtAKOI5x0AJf0QpbyHWKkm2JLcaNJgdWUFCAp9r52biIXniOe PSv7drE9yCUGwk2IlXtTBzPt3G3DxbB6PXzKt1YhZAbRR9H++65lW247sADLAHDtOUVgRA8vE2QR t1o+GyA0l1neyCilBgRmPOiCCOOH8OQ+LSbwB1/bruTP7nqIV9EZ1PKrWMiz6CB7xNj8YxEOaJT+ uA3ryNQ9DSOUbWnDPNFqAPWfI2NH18GI1o3zbN3fX+hhpLBbz2iIZemJLfw1kSRDd+c01w16ySyS 7UXW22eeDvCtc0dUvbIT00VYxBL67aXk/dRG20F1cVUlb6rlI8EDF5abqe1FhH+nF4OhVkLBLBva v89ccefsUKOpDKVJ4WbGe+qEF5AxM/frvbOKPlFVmXW4K35uWykmgoJ3lrcMnt44iGl7vLLNqShq jar9DsqYKR/HrYRTiizcuBv5AT5OsDKeBV7KCU82XWYc1kZ6UFJHhxDjk3NjTwdd+qEwbHDCNZJp sRBGMOEEodU0YlcEwv80Xui5nWDZIKhoB52UtuDlRInM5jALt6n21CTID7/OP4fFNQzweyYoCaOB TfBY637PPkmujftxOTqJ/fozU5CBIzW5Pv/szTY4zOSWp9VA/dhT2u/PJGyIAS9Vf3nL+Q/8n2Sp NuHd8jK52c18BYdIADD9GxKf/yzqntdRAt7IQEAQVlsV9EsB080SU848clKo3xdysAtHA3ZW3s6a tPxbLw+OqEOCgBfQOh/xF7Dl2/yLY/eqJFbDbFJheOYYpx7PYbhzgeb+DcfTMdV8VS/BPv2IVBpm F4vEbykErNsHIfjtPNcyh9LaK/UapaJVq/F12bia0QjMBqkvv17uo/JHX6m1TvQ+sLVu+ocnNYPK MTVPkxHoiTSXxluAAMO5PXYm1cUfOMYCKYitsjujpjmoKxTkEPk6bHBnZelaszrbUaBdp+/zVmvf RLo2XDMfhE3wkXHHQok912s6cNQznZJD81FBKZT7Irx63ZJxoah8SqFGrER9EjGPdDFBCPW5HaiJ EUmaH9VwUZBEG4vLTY0EG2R2eoM3S2cfwz8wB578OP0xIYRGaPUR3BstAbvalAQFsnob5LFALfY5 7unXxnuMR/rBt1FGP2zz5rWuwqq+IDetMmXO2Kjb2lZ9+zdvaA8UQgYEzuc6yWxcksbPJIdcENho r8Fc5lGGcG/ed4nsJWcyvL0vyXKbkF/KeoiyL8ygH8AC+bqbkEKW9njlToom71JRaR2IkN8bzdzH Fcd51yaI4SWC66AECJGAMva6zXuwpfh0pTzR+1z03NTlp3iqldgO/IHNvEZs1nLdMQPnzRJHhOab xenTbQ3jyy/9WByHLColzR3nY8ACOxGD/vregNPzj9GIDEWCZ2df+cUwxJwzFNWi3iiF6nMgHIBt NyYJnWyHaOw5HvDi8YIivBVqiKfbyIkHGfo5b39Xx0pANmVkfhnh6xyi5DQptRWZiwOzb4dstdt6 2TNmSYY/AO8LFOG49GjAqRN/cRxXUPYgkycbtkREqV6GVP5PQbe9ONr+u/1shL2Nj22hlEWzZ1bW Is4nLPsV8rUYQnaYYmV6PpOEkubBw5d3g5Hs8cMyMnrB6QS5HrhbshG2R6gOtpw7Q4oGqjXq/6Fr 2jtzSnqz/givAhK2o9KJhaj39WB1NYhho+/517Tor5JYto8RMDjJ4LSWcx54VBgzrVjx8Ux5BzmE jxq8DiVlvLWJJlAb/prMw/v9QGCaIpeyHfGjIwI0f+GPy1KfrivWVWi1LN+Yw3y7E4UFKs/tCrOU Fo187Aezx1cIz8+KWPLtzyMnn0heJGgbTCtFSj8M6eJoqvXJBbSMw5ob/LJUDHyLwsu36e2XsYwf hTAg2L6LFH39DUpfmyNG/6yOPbJiRPt7+Tha8C3RoD1ncrASDZUTQX6R3mImtWV7qM69/53XJgL8 hhoBydG1N6mg7BIH9NPkYG4Z/SWRMiZiNnZ3URoqCWmPqgDKx+5TPp7y1IsT6a9RLwBcX3YRvdE8 LIVLc/iZ5pvpOKN7t+nXvIfaK3DM42OqJ20AHozd/wKZ/eNoeua40DfvKhbFTcibyCJ9Q7tcWLDP 9B/Oi3vgf/HHmJdICh3DbfuR3v0SJI+uXiQRFVyJe+WPIW6M+SCZTBXOkOu96wdWq+zcgVsw8bXD sLKOln8X0hR+ElNzTwv5wBjtL9WxFTuzmvfKKCqzuxIessCOE7DrHJUEhy1UxQsMe3WjYoDYGImW AFvqbCMvd+Ro3xVffMr9YznBX1LeubIM6j690HcK+jHvZfgjdbLaaHA/zijeLd48xw3oZhMkEzva lzjxplTvpVh9Sb6UV6eNwl2dKu4WrVb+LK0vy5kmvfMUY5AGaiAm0Pk0vxS9DDpF0BjmOfRVb/1G fUg9O/B8nY2SS+iuC+ajmelYJ4tf55awHbd6vp7zfHMSJmhOiIpZyBzCBRqjNssnPzsbZuUlobpt lLcFKu64w+hj5DW7h7zGJHgjxNZUENo9+mfZwIvO8wud9WbAfjaXqHwm0lMKD3aGZKWM53MlEEbz fYsJtm6rBCyF6Ru71IpBEgVZCHGH3cyEK8yiHqy+HSO+S7HoJfTtJxjJHQs4AZDbmTMTyip7h8iD cBmGr9lWozNGVpf+GhtBB2l4u77VEKOmjrh8Pf7Wl65p2POmIKymigkqesSL8j4b13m9C1V+0FN/ 1phpX3zsBXub5MkpWwc9XnnBYGGCok5i4s+T8FE9NDFqOUgnMYzDuwcWyej8sR+YoPmWhqr7sBkB SfcAGNf2sUgoLgEDTPpyOhRbKHirLG9fpQ4BCp5amWNCQnsjZm480WqVkH+L2tMYDVa2qZU4WN1v a3zFxF5Gioi1qkm9MWigCZvCgU4qGD62Arwy3Qw/rmDUA1cwWYMu2Q+N+/8fcs2B+4x2mJ2+WNTH 5bouupNG7v68M9pitu1MsNsVVHpDNUtbcgZL4jFf8/2spGdaTXRAWungAOOPeUCAr4KxTHoCBWUN m/8UVMy7tLCDuYCzLlMIjIL90dl/oH62jJPtS/2BIckdM7tJL03yGoW2XTrrjHMKMTtv1m+/VmZb q6mJ0aNw9rELd52ouhjlmmLdpeNVa5O9b67js5c91sovOdQyW69VZtUwHAFZUjItLtPHiuofQ2t0 GyWAybQ2dKi/6zIpbtoNMT6Kmst267xpdRFilp2Vr5KOy+cuV8Ysbn06f6Q7gzqOOJY4whJfXaW5 J+lkvWY0+5TTxgNIYlWRieloMEFT5sHoN8uRUXTxyiYY9M5yUp6wmSreh41nv14WrRxjid2m6i89 1nJOrlT/HG5fwtikfR0A0Id1AbQkqhwnOZF2gF6TnJUktBx0n8pdXqDwlUge2YPs3mroVDqyA9wP LOtsNf3ZcL4AhnMnJ4+lHbQuBhp95W9xxeNvAxf8+hxPC51lrlaorh3b3OXbRxCpRdpTz0QeUEvP kbISUV5osyl6H9Mk4Gao8d61EQzbQGtYyR2phYfBypycxNbIIulCr3nurE7LEL1l+flwxoZqa05i /caoE14zxLCtjDRgXfZRBmDiSszzNN7TQTylnDZNErfx6qapa46yIBqmsftVQGNvHdPczreIGJ5i CCggQ7n3LBbY0w4hI7Qvn1mgDlLQv5rbUQ6rgwdCBmWEp897MBwN+vKqp+MNBNiSs1q7EktyRcE/ 45Ub9MpZhEOdrEk7mLnx/GblnQz3CmGpfhoAKC003U8Kh+VKAr41dIpmESH7rZnpeCiP5LvCfKEP GuIHzEVKATJysUwIkiv03I7HSDm5TAL6ouYDe12f7AUUInbHeHUVeCEmrtYgKACguQyCowVIcz77 FIjF6i80lYxMsVS4m/AROwsUEUHfdTeVc8f7iN7AfpBuk0E5YMTS9WtxpJJYk0UPHD0CElP2RoTj V1JolH9HJ79usZfPMFQekDrCC/5KFZGkibHUPQnXPqLD4c2c+reegfQfQDxVdJYuZPsM5/wepDGN g/cx1Jn6vlWRMprK2epcvtqjQb54r3PDUs51RvKnALO0Z0ZYL7gYuHkyYQcLBYPas6hjQY3cTI7v bY3VXpA8+ZKQCRdGgWWsU7RvzvgRQGDEzzParNmiIg4XGg9HJp5FW0EmgDvExIJ2w0E/EI4tBzCN lJtMqFsZHGXFIUEftEjbNKKx4UvptDNEuRjm3O9EFR/Z7bqO5s6fvW/8U7wTiH8c52f16nyjvhA3 g+vyUnRW5akqfsx1/o0Ar2Ekth1U6SAsMrUpfmWWELwt/Oh2C2PEaTYggWUXjO+QL3XrhaymDB/C sbvln1hGTIXiFakWs74oPLPZIEQXsC8BYZErvg/wTpAQ2CNPWN2L3UB7gX2QtVB1f3KmjXF+9b9W qd21zPu8j90tvoSQ1e0H7QZ0eyc2ndh6V4OxBhcdlzv9WsXnzLpJvuAtNm8CT1baIRWeQSMoyOn/ VpDmjvf6bx5UDa4Nttgb0zmPRiF518Cwua3oZ2jr0VOga9irupumofIUWOPf/TnPzIwqY+fMU8Wl PTe9P8EcmN4B/hzd32NVNxTS3D6/nkP3ug2zkkKIyr/HJIbq9vdSjTJu+6QZfcBxEJvb7wTPBgcQ RQ41ijJetAdlySlEhrixFEVfHjhc9Euz0JZK285PKDmp3SpYamExwsmmy+zxAfY2Il84n5e3mGzh 3YbILMdhN3kbf/OTguIEoeG2TMTypgi61ddHPQLrs0yNd4TlzODZGSHl/KYYJAh4DeeZo5JwjZBw Gp8aVpM9TFDlut6dmFLme3pPJpb7eXABdBB7vorlQLjHjBdrjZnvxXXHDoNqkP6eO1pn2fBvZc5W N4nw4ecyU0z3luKGHYtxDOqKmCmlzWg76BY4/NSyo0zQN2jt9hKmsJs+5aTF8DCjo+c8s6KQfOsF 4517DVa6WuyupPZ0bB7ggTGs1irdfF6mjJdLJZqm1sIUr5Axe7nd+m5SFriyoRG0LzsUuwRf442h mARRlZATVVGIJPbQvDGfuxrP6V9eUIyqmRiXN1vuTvo0bo6QdE9uq0P4QOvWOBoFY7uS4o1eolUs z0K3RlZ70UXGaw07+7p15C76GXOBycSBsD/dqmJ3wVAg5mRnm85hAVgfIE+K7cm41QDxqDA2jhvn 3bP6f9vHrBkUFPBe5rEj/OXQ+64+w3Qt0/HpRnfWHDrIDnfSINpq736Wm7QEjOMiMMQJvOwuIoNv J+Pt9dB2ambUdaeDcc/5kMLUalCY37Fw5ZHp7QG/qK8RtmywJadUXYk45RQHapP6ReRBqzTTDjDf cB8Mo3MMmZ4+w5SwzpWZkUmMaSMa35MuIcMpJ1BAF1B9v2f7QVzF9cQ1lAyYHPsW7zZbVwHji6bN gd/bEfhrhBuZhyq3h5A7uSwe2QwL5+bMVEBIRGp5dN2XMFaknhBAUFsOIWjbla0MQfiBnd+7WJgy 5XibJ3D5uKZRU+uZOA6hLbSPwoDrL1bPcEogfMDejULkXqC8zQ2lHbgVhJjymvvms7t35KhCuEzw +msGyuVpugt3+sRjXjUOF7UJyPWkjo/qvURIXmfTAcUu/oKLsLHMIW5e5pHkKN9EI+SBXlIVNitd mpGRDlTKofw4gTUsFBNaPT0VG2JlAM6ggHCY9xzrlbMLRUaQRDxXOdwwUaI9ijjitACdZqk0RIuC oSNfJnXGOZh04P+WE2rr5WRK9H+JF7dV+cdXm/d5RdChwhh/uvHp6D3qZuvVl+PjBfOKmLx0awUZ Vhgac3roUe8hsYu94V514Vz/m+K58Z5tL/szz6Jw7fno2Bpgr8ikw2JflJ+V5PsrhjymR4jviCeD 9QNtBlZP8geICfPSLNAGelaWUE0IXwuK/iNNtd4XWmJQZKfgbHaxDN+LfTvyJBVN+n3WfK3uvRzo c26qZZGOBY5hVWaSpcJ7dkIqi+ddSEikf1uo/OPydwFtE9MklZuNc5TwjPRQZcGOjq8qyrKCgGpE G+OrmC1GI2V6VwhPp48A4tXFBhhs4Y9zuv54gYHZnmDON2A36BmvuDxFCa+1DwrgIcsTXWd6ZZ5g ybuG/wnGKosgILDswkBR6GpjbQw5K/42V26lxIgsY4AIG0JWUorLbxt53SIA/ERP4FAB6CJN2rge sSzBiZTFq539zsilDBH5UzABarpBeB4V6Y/1xxcGFSrIHKtDIXXIEQmbhW9NIcNRQBlz3k/7R2iw 0pSMrHckOnVkVX8fgAb5AgXdzdVlt2U4V9RAaoWvz3hrRqAsHNnHPFtmDR1nwPYDx/QoOS32fS7C IVNWxNZkboFal2TXvByccAGCz8ide2wZyFoTcmt3rOCgrxw29hO3GNzvzrrVKN+eimJ+ZxJPaUPf am7VwMf0w0jT6NKpXq9s9TPrUB06HE8cqdqoxWnvL41o1mwjhVQenJ65nLRGSt0xh7hw4gwLgbYZ Ff9eSRfvPCoQ7NFY6JZybLU5WhDwdilcPs9MwN2tuGtt39UEvZlIaHHTEQTC/M3c3UgnBYRTcIuM Fj2UEPJeQ6O0sXp6cvGygktguWjBxVyGBcgWSJuMPt9jINjNnvm61AySlspflEuuLKatw/O5fvxd qX0SekkzvJCsx+JdQSulEHNZW1uf7hgT0UeP2o+1IDCbUFi1R5Br/bZuj5n/4Qx2EY0lCXm0O6Co 4hNaEcn+4Wr0HD8zGpKiNohVdb7cjnFUu2JPdk10E2lW2XNC8IY652HawAbJuFA2xEfIRK6RYy3+ +qmIDEfaNV1QbvW37nun4vrD5GM08EQ9PBrabsFVPZd4f+A0A7K0oNH6M8w4Nz5OD6R9hlQx/vJn 1QAt3vQRHdNKHrrTj5A2Vj7eRUKJTBsWEVrsrlGRC9Ou2qXLyH9MwtvJdvOBW/y+WnZQROO4D8ao gHMoCYV51H15TZz62OsPJJ4c6xQLSZlfWZQ0VicrmIzRAo7gBHea8QJXju+BUz8XwwIcsiVkTwCi yrC6n6mrOKhI4bSMQ71z5Y0eM6zUUkMP7HIz6CUVk34wXJX5s14dsOqF/+X/hJDoGDN26mVuhaGu NU9oRKv8g/RFNdHoUQBU9FKU7Ar0S0OLHdjEL7WusXh3LqvMYOVfHrmZk9WoqucZhxeNxxDG7Ozx Ndrh7uTbEXt2Z2YAvTGGylhp0L9J/INKQ4hl9wCagFPvXdiZWGVBDgQeI2LSr4ZbTyYf/+HLnGHZ uU61uJhItbI0vZSQ8ng2fbETYdsFz1CHykk+6K/plJVzn1wcERIy50ERrcRRfXKhj9jAzQ3X3/Ew E7Zoji8v336Cz2UVZS0Ve28t2EeJ/bSCfv9Ubt6FWJbD0oMJefpxJEteBVLK0KZ3rttw8MIkfjcP mHQ7KZJKYLtWqeP3im3Ddg8w0cBgWyK6vOxNfOsS5mUOPzM6JGdtqplwoXEvPO8VGnBJEnFxjT7o N+BVubefKhEo82FLCV26L/4FEadRYkP51lKxMjRarYYqH4d4gtHAiI+nARV2Av2q1CrsLBEt0B+X 3r3Gu9OMZQdEwn/V+3qG0+t+30GbHdGVl/D3aB7oEW51PqWl6eW+IXUMHnFnHl2z3rlHYNclibta yxYMFwnnpsF5HQUjUUJT6GmeXIQWOr1Mq1mIdaMK05ArmVq4iAPVKRbThtplOZiyeAPPQAQde4vy dfL6tKTMJo232fnbOjqHTUqm9Pz4N93/HQ+INT/Ug3YfaFaYAa8Vk4VmjY8HCxGDmpXhEW/sRUHd tXg7WlzNLW82dU90kD0FTzVxb3P8NF0MesYNSt7URUMbzxBVhhcogXQ1cZ8PxSiDR/iVQyZxNPYq 08WyrRmANV6ZYvJBf2cQwx9M0bX+bhl1iGl4rj/vhFmOTcF9obo77d5oVh4dZuaBBTz3pVe9hQV2 n/sOZ1Qof6dE6WxQVRogqqXe+pKb9+xHVIn1thSFtF0/tZimtlMA5rP+vSUoAhyK3IR/fF5F6c+G WbMRHqfAZkFQ8Sf7WMb0Yljb/QUipV/ydRaZhzEdjIXrkykLBfAv5TbEFaXv5TKnKtEupK3a/9vM MZU6+tBIfawOYGTkoLpLQVQo3zL1b8bDW+3wHBD1DIfAdsmib2RdJcHjQ0baTbhLOv8+HTnMtPPF eoi1OVwiMS8HUfNK7b+8peiFn76gEpZaIKTtx7OC4igsimBskaGmf1UCu1MLuk5ARgr1HTiCeg03 b0v6SPSQ8Qx7d7v2cnj29q4/shY+8p7wReknVwjy7rDfo2KD5uVNQDHjmC0nJi83L3QE9wzxDIaC 4+qaYwo5cdCc/Zgz5BER3ZAxqd1Ad75RJP/+Z1K/zBo9lAAFzilwa/VRZIO+THe7DoKPFiVwmhN0 7lLMwianTquKIu1Y8V2pSKP6hrakCEh4D/T3AWCYagMh4o/gZ4UqHiEc2Z9IVhrIz6Rckixgj9EK AX3kVZjXA8EtrJT+c4RFymb7V4MOlmWb5uYc/84E+OMD9mB6oqdnIcITsudfcCSnKhg/NU3p8eyl oeB+av4IIuFcxIJlBe2R/ThzDKoj5wNTAGwXfWVR6U5yG0tI7rH8tnx3n1oANmsTHhLGNef2QZBj zIGcTTouOHEWWrWmXXZwD4GwoJ88mb348+KMyIwUCgSWlZ+kbtFGYxYXMcoWr+9LkstbWlriaC4q w0kJKT4UTzS7sW0HttNIKsJHaYQ4keS4FjDziHB1tmbPH4h3MwQ0tj1qi7Zb/l35Eikah2Ww1Jq+ 5MnZg1mJwq6m/MfkdJ2lXmeRS2MHRqlJf3ocXn4wWp6bE+ZC0RBK6sJSnORMWKgl6Q2+vE7ywUxa 9Brs71c1yYJoGR6KriiKSaOCobc/B8f0sbHwwDm1/RGn9hvhx8bEDVAN7AAPGSGQSdbmxh+6NJ0z /5sDGFPqI+ApONgUtP5x8WGc2prCSi2o1Qr/eRcu1QgHI3YAKWsNqhE9Dc64kHf4tlxF2TpMNHUA U3DqXY+2RqlkL5IgS1OVqMwrn0D9iGxAClnqSE6Ux+hzX7G3n5o5f+ys63GBNivASRemzqm09p4I AZGf87GLi1rWHIhIlrx2ftG4ey9OTkPKUnAkmO+SaIyzGzrohtEdIYq1dfu/ewFuY6CAkBFOWyK9 XuvQczeYftmM0IQBGyYnnWdRqLtopoes0fU3788mIZB6NhfNMotK6HNdXcFJqQ4gsffh6XDMm2PN f6KYi0VEDTaOWvdBXHXoOfEj+lCznsOl+N/mWqU8AuK4WX6PPuMe4d72I4wLin3G/xYGQ1meYFU7 jWNFaldybnaaDGj3R9MwavskoEeTA5ON98AuYdFDNGuiLz93+DSs5jFG2vY83Fu2dwP9I9RsePO3 +O2ylyRC5/kofkhHQnp8UXu6Y5g97LsHJ2BWtJe0O2AFmIt+WGBtm+Wgj7XglHXQiUnK0S2KjUfo 8sqOaS84BR7JrgbANwWsnd1Ef8ozl6i9/aXUDqKQxKCbNImN+PVzYb0ohQlPAijetwTkk8xzbolY YalOmam+zpeRL0mGpaP4eldbaDbOqq9U6VnvQZBh0D1XJnyOI6ghs8pub7j8mbwJZZJPVEbk5Na3 sJnT2oU6W0nXYModwlGiBrJcoAPYCfdJZR/c1Y5LkM+rH56m6NpBswh5uE/fFyvhiEVPO++T3rxp /cPxwNoUlnvkxzjz7yGzNwlDlOapC2Dd9tN5hGCqyuAsBfJGxhlVuAHP2nDaF5KUMOXrOY38LWqq HhVn29q0TS9OwY1QOidqSvjfa+5pP7ny8HqEJ7+x4nVfV3T2fsAiqxkm/I8T+r8OIpPlE516/lDt j6NcB0kmrMsXNCg8h/CJHX9RcWOUQIXgSPecZRMSz2pl7M/dtRJJrso4PBD8XHJfMzaArWzS4Xk+ pQA/Ceb+cOE7mxkrnxz7Xl5eFdVotdq0cVvKHLT4wQwC1Gc5uvAZBBdRv+g2GSIHhQj32rxT/4d9 FN9KrnQzwYRgdlhdX9aXiSrJJ9B4+vodOrhKtqcjWJS9Zf+/YW8koJqZ7PcxEBJ2Ff8LpTf8w8DM 6EwSacfFcch7Wpl8YTcGy7vV1FG1O/Ybt5z825sq2LIxcErtI7f8bJfGbVY83OZfxqPzwMreHWro HykVp81IMzFDmSH5dN/4Xm6TIS6VNGkOi345X3550fIDsWz9Ja9+QOZPZnjje23W8nAHEtWqcd7i 6rLebYJd0kSwJkOD3kNUeDqnPwf6zzidc9VgWt7AAbX66LDRGODhgaYu6rhcgHD+MaG8hp/bWxjR ZnJZ+esZxlbfr9gGmvX5F2Y9QPpfjvEO+Ap/L5ehyOJ8jM81/X8v5pdG7CM4CZQES1ER3hxEIQvS 0vvw95XDbxvDM3nv+OR/7KzDhKmlA3u5wZSghIZibGKiaHtjSYypc3u7iH80akZza6IwVqqr0BQi YDeLdA+n/pF0NhW8c2Zke1RuFujYaLJXVoyAPxCkh+zbHgUC8/ti8iM1PKuw5aYPpc5kbOwO0uJ+ aL4TRO1ZEBiXjGnXYs9AuvIlXih6698k5sLAO1ZCadeEIGgtUIGtBIHVdR1lJ4n8LZK1fKcR9uKa 2IF32sHoOeT4CXwGpP6qaxUovBNOX5Lq7N2uRtBQeq3Hwjy8hl7FyXrL0TIYzCEZphNYP/uJQRk3 nIXKDMKUUNrKy5Q/8ETXzNp2Up5IXtEvrhlU5DDBLgROz+PO4GiQ5b7wSeNMdSDYLJtwLtuOfWZh ZWitIS5BxqcSst81Tj7cYhCHqHoDTkq49JBT6PpMbcQj3JfOHMTJcJ20ewdDO64YlDDhhdPHWXbf gM1oeg2B5wjCwf68ivWy8cCXvoyT/Tr+TAp6lX1cMEGIxUoffZkqdcj6uDrS3sr522UNEYmroy/O /91oXbUZUyMkkOFEKr85GEumL/+8iypM8TQbWCRHde3YyTd64GfgJMfgXge6KwuNMXOuV+WFdrC3 ZLK/GttMcIB7YQ5HlHf++vo9ZbtojLlR0l0//k9Vd1YSe25INgb2A+wImIaol9rHle5s7dgk4lYZ SRpzL0gGEpRh5y1oqRLyND2mtgXpNHIEYfMSDLYYMaC52i27PsY232sxRkkWMZoJ4o7TTbnBChLf mpwRnzRWc3SoRfgi4cgEchoZiPRa7lS1dPbSNb/ukoAzedSaFpUsSmSJipJQPSzQ67EQlPGcXJMc tmpmAy+ONA+/sOli1YO+wpv8aNEaG0nK/YTUWKHqSK9FflIWja8/ZBX4Z5rM9m+zwYohNvgmkmrk FZYM3kGo5pubQ05Z4x6lQt4bBWp39JXz5Sk0ePRIGecoZm5i01bA86UDbijsmd/EqpDE5rxpsedC OGpY20vnul434k75UiHvolG0xb83RTs13Ju8vwMgGGdfdkTn8VS5vDGXHeTn8cI9PrhknZo0dKmX /yK7SSp32aLotMkROH5nVun0kqzWppVqwKC8kH+QQLqGCFu4L/tA4cfuPFKIuRg1pV+Xnu3paghb fnpwfGTiiY3FYZq0n9gnB7daFklsLnrP/remWc9VV1cdjk4DoftacuzWfHbv1In1H3yYpwKyoST5 pP7HzplZRKvNswz6MSyY9A73rRXSJ4yaxCNmA7vMEHYXZip57eMJtQVLyj/+kd8vrpU7S9tM9f4o EsnpS8PlvIFcmGhpJaZ6BCYHnnJ5sD2mxxwBgGKh8C9pvK5srpLogpHvtqKD9p139EWmuSoyIbui u68ubcBmyNqXe4f+8bIzFGijf+vRAvTu2co9bgtbnNidhruHt5bn50gMPmobk5k2Md6DjVHI1/yO /B/3dM4radJCEreluTLwiHUSQtQ7YvsnyWnvgO98G4p9JoK2zfREPbrcNXO+gxakqsFJ57KuA780 hQt+yT+nx2Dm6TaXV4vLLSQF9B/bDeWOgwjYTvuAmRFS7kvdjQcJtY9VzpW/C8jMnXn75MMjw7kH JGIe2eybSUo8CgmKRPR49C10YomahSHDQtaEVlByk7P9xpT5GD/wlmoERS28jwxe0rjJhy+YrU5c xZQi0rkxv/9lKRNyMER77GBPLzvdThwRHLyDikb7J/PGOkBHjTi7HcwdS2/dreP9QBQCp8ZzyPid AEPBdLYRpQsNO39N3EaqVkKRtAVVhAwI1Wryi88UdeZ7baZZmB9BjtmL7hettIo82ErBoGYIEbf/ dy6o+A+3mTxX+r0mdvppelwNq3RwKHto/RI1stZivCKRpPdXx2rdWoGgLraCiNfjAJH3Vv8oh7hE cwZkVM+c8GBXxPJDlG6kt7sWCvtuJcyiVtDLxb0CFozjLm1fdmJNVFd1tEzLLKTKImkwVj1f5GFj q6ijsJPDRaA+9cHXVpH1+tjqSbnKDxRYcbgH80VsrMVy9slCGon7T0VEqkNghNtuXOoqHrAvK4we sw6FLv2mCjnFOzQf0M+2DBxvOENz8f7Jj0RW1S/UuXKvO2ZQ+Vxq/Bf+jWsS33Ecm3wjM9mZJq6Y LnUUatZaYKFTsH7XvVDzeM3ys5DOPjeuPr1eZoR79zu0PfdF+xQslR2eg/c2deZ16hEF3n3oWMWi DWwvc1uCc4KaBFbzpHfRt0fvWvBQi3iyn3zX/NsnCOMkz8W5TBcCq5ygXIJXjdvV915RnNaVZ/+L AAV5DkzdLSqkVL0k/e4c6JY0AeFlYt7H5TqOAny+6bmDOVm5HxUYqaL7BgxjkW7wnXV8TAcTRPj5 vmGLUCVUgEHAG8p9ZkvHDKyB6dwfaYMU10ylFEk6gR6A1cDTl6+i6NYCBal0OyVLFYPthxJXaX/U klAqyyYCso0qYnuXJQqGSDOuKdA+YFWbaY2Jg1JRjKzJJHzqWI7jWQp3lkiKUqsxmX6avejUcQx7 v+Wq84YIycdnrFls51IvBpxQI7MfytDa9ROXNPOP8svPq8FFC3H2DhV0xJd4QPwdE+FDuXgjx6Ny MUulmlX7O/04Pxmd0QyNI0TTzqVXAky8IfTmX+9/EoznyXHXVUlITPgMf0dmOxZdkLUBLxNQIvKr m1AVbTJsF6FWGQc3VxNZoUBifAK/4D/NXlE4vVrRVb/3WkebyRpv9TvaK9PvixrtFhXjDKM7tWD0 j1Xv9kR7N1MgZ64YT9uKgI8miV9/sSj7VU+UH1G/Bn80l40mhrx7iZQnPgpeJ9/xz0MdsgwDXseT rDAhN2gte1AMUNixleelnb5yfPGw2vdN5VaMobttLImUDOvdnh2S8ITuJ1JHIcwA29I2he1Hdp02 WlRF7v3uOkrpcL2viEu5NLoi3I7JyRPzIOV5C5igwt5wXzbKzz2eLAvm2Nlbt9kVdZG0RjPGOKAF ArfChtK5eo7h0dhvDWG/QJusw6tBJz/SUdHArRRILkV1Knr+Fcpjfz4YYCrHzEvFDtGW+n3aFs31 wazmACZFZ7Bh2By+qmaJs+98N+zBYjj5X7DOuu3hI45uczct64SmZAbpZevfZnGwvR8tbmkzT//+ pMkzXWvor8i/oG1A0pxp5ZT+7soXpCC73610+4B+aVBjPwNbO2JHrn4KROrNYldvfgG0dWvqmnHb 5L9/qSf5ijpho+mIyURzUuumtAphqSxN2JJo4ZmIT66BfksGrJkzh9bHcEKrRtwcYEJPTWuyFaPO X3PUeaeLLc/Lu15WY/bDpcJ0U7mVH4s6nqKcVvIwKe5637n8U2aEB9hTFgY27PcNs62gBjO3lwQw VOOTZSeX19Fpr/58NoA2n+rze256ewIBV13p0kW8TRrknkX1rmQpf1t/l0Z+7YIqQb7AZsL0yMw7 mMi4hXLX/tPi2BJMckkeo2rEwLyByyzd2P/cbwaLqKCsjG6zuBIlQ/k5Sp6PcX0Cc9V9UV4IbdB/ jAnhkasGZCEchFyFEz/u659dVE5gn/W030450UVzNLXX7MmrIMdpf72nmm4QehPk6pvzNJ3hKW6t 3HDusl424j2kaIK9v+jKQ1MYIWf7NfOy7lSTa2mgXYkoqdJe8TW9qtcoo+QHBdGvYObp7wVgMTsq gCCPtYPz5gntoGASio2OZZJaE0/b3ZjMF0QfkXH9ETiKiXpEF2jBBFbBcusP5fjxcl4qa6Ak8Dq2 OXzOpB2GDMgi8RXyWitRnsiCYLmq2WaxYaBozWSqe5IZjkhCA0mqmz3fB6QX0Yj4V8Z8DAqaw+q6 SWAeYXRpMfeJGE7v0LJxKdew16p0zC2CZggEbaNTyPnhTlX2RGaPyUrOX2N1peWmdLLjDyBTwJHy G0Nsb9iSTa6QFRr/A0yHbjnMoXF+dbkyaK16hii8mioW3wf2TdAyBdgwETh5jU+zrLV58B2mWatO LzGnG6VzNrtEg2F9FB38bBzb3OI4S5iOihVbX6NHbBfLEdRA8R6fnjiQBLIVb+CmDGhytKGer8nU elqGyJ60Pfy9wOkzrdSn86f8pOTykghZxjXoMzG6yEB60uS+e5yjfs+LxikQdwaGojZFkOArZP8X ojEf7LLtO1PLRjXZAqusR9vw1teVOwdoMm67Ejx/g0jS0NKIESAQfCNnwWfIY9b9K9bO7pRLFoak BlHjPsRQplArbLT0Tvga2tw8t+S4EkOLnT/umCRWhEfzSIr806FgP+rO30DDCIhKFS4MY1joDN2f wBb/nqgc6hfjp9EXxoHKw/ISPevxeAMyHAiBB6+8zk1qohei0G9zHyWMFTHgAw1DT230FhSdm4K0 65kTe9Z7WBN7TWCQR9B1f/ud0o/ED5zPORsJUq9Wv8j3mPGd07vGxLpEw4GDuJyunCYpQc1O2UHF 8W8z0UWCuJAGI9dypiJ2RfadXpcd4Y15RLLe6j7vyT3eclFETLIp4lbL5JZL9XN0zEUUtIYfk+o5 IRD5PcP7AAOLVQKayhr6G2fOLw5ZPLX56UuDGliUEXpl9QeGvetqAgH8h0YaA367mvZJiiBMJ0KZ mcIcjKmcjbKXsLeZbVHOZiJYGu7ZjiNWG3yb6LuB9mwE60nb+k3IyTD4iCFuvjAPrMIvkn0txAjM b2dATwe8WFiWPqrYlAtHtSpFr5MpbLf1/pZPOcN/XyMePY/akwika350/062g6VQTx+X14fUphDN sryK021haw1KFJ1acKImiyBSIQajKXXRHZqrmGL351tppXaapLobtjEljD5vlva61/MTuCcujIJ/ CwvhsljGuuo1Fb5SCKSNdMSxIXnPVACZOsgRWcbTIvzXYqJ2rCXj1to7M6K6xmKYOnenkfJIPNXR /+bAV612jkCOC91p5G6E5ik/eZsoSQw5cvFhNmY9VmWlhPlPG4KBB1eOArW/Ibg4TtJoAX22/MmF kitxvQ+dDDfoNPk9dd8bQwQT+njvUXgg1f7delkT4Q6nDU1EwhGG9f26tQNVcQRo8NI9mIRE/8Cr 1qREO7zOT2fquKBa6RTQWfqPuIOkfdyk/MiuwtEfeG1v4HcEKzN8QLMoW+C2zDvteF+a5DOpRrGP nHKjmqMY9WMMtFdwg+dk8dfdZ3zwu54Q4vxMBQNb3T6ZOtITtLBDMkb/O3u24NfWndl7oLgnBUFj nlaXG+pSohoQyi2i5jBif/6U/ykgwuQb0b3jAumB7Ui2tDafZukXKXp5lBTTN5D5ZTqEGuG+cKjE FkokRUEUT7V+va7L1w54ad80f8Ll9eXBheW2Y2lI9J1ny6HqpltACio3GPdM91IQxOKAM0xujIHV 7vRmETqNjthDiYjkqUg58DmN+tY1n6fWwobA+uPJCrn2j2o9dhkO9m9f8fDXL4cIiq0Q5JLB/NEJ 5dfQIn6ElqNX24iAzasxD7iwN9gR5+oBckKKmTt2ohMwZ+zLnpo9S9K6xv1lJycfPiV2tZbENgOA 6G6rlj+P7D6u74gNn8unZSD5gPzYoORtZGNXAr5T2tbPr6VdQZnbNTVk8IdXts6lir4r0yrCv/Ld 5+1RskxjVCpuJ2Vd8FQ8EFgoFkYwoD5IQnVSk2qk1Yq/p45hHNhJoCXNo+O52Sj/7I/BhCWrug02 iPhUzFTlXnqWLNaeDgyS8oJt1iWEvv0WVq8xYL7pRGUflBrUJJ35kXPCH98iaPq9M1yOInLjufEl t7YgqkMDT3mNETxheKeEPJHW9BNmX347RH+yS1bYTbf6MbdX4mhl4QSI/ZnNjZUDrhvj2sMXrDrl H+n4UOUe8Q4zCzHdqKs6DOOwBxyi0IBnNSogGp8C61gBysXQYTj+9kBBAMTZFVklSGClAmRw3A25 sSf9T20dyYRaqUgJI0vfceK5nbfpdwVNMd5Dl82vVnReLPAqtsyAwR4isc8TLWew8nwcwmYj4Esz oUilV+EnlJTP01BzIxfUsWdwQ4rASoFZSkekGY5FgWw4+0ypQZ/jz43PCJtgoPoAwWBUUxI/MoGg ZGamGcvdIV3HaoDgcyjG4rDk5pnipzaZ7Mr+7J0aP0wRMTISwz4qFhoo5pXCXvDeK4bwqkE0xLGR DbWStendwkj4qoYIHrBGeFYHtlPKszY9DHo0TMdcugin7WseHULYTO3wYkrCH8MdV/14jAdYCyTO ZZq9R6d/WZ9j7yfTpkTUCg0pZVBng7YdBfzd5Rq3Ed0TP9+aY1rmBX6iblNJUNuCO2Oy5Bi6FCer XWjpn7hkdzTRsQw9XQTpRsq5ja5taFsN8zIIxHpRzujlZ97frtJOrse5JbXypLVILxVaCzW1Yn7a XAPjUBolMjAoNpG+2FCZKuuQMUJM6gOZmzumkVXlj1lGDJdcVOEwNzcQfhFiUKgoBPAT6/Gne6fr W1aVtlXqIi6Mco4VstVQcB7+9lCVDT64BX44ErayOBgNvYe1rt0UZAZv49qfoL5b983CHjg3746Y hpeITkw5D86JTPRsqS0ENaUhmrc3s5gubPZJtI/HbeQiLauvk+L18/Jg+GXdA6B8sI4xp6OwY6mI xU7DIyPRoLtpnVqe1Z51KfTbPJYemKXOTBAomB4rgkCKPmHbO7pYqXTbAOgsi/nDjB55arWR7duc c8wHy3eL0fxzt3qvUEJmM0xO9XydNFFeuj1QO4yh7UeKixutUwzklCJk/2HbXELx7qPycCSG7v1P ++Q2Lb+A53F6adoQsHtkPr1Sld+wNDv8uyKYKa5xs3JeWzdcVkiKrBpxzojtjOIXtgqoEve7PghN ZAvMtwynvH5tjftp2ztT6PcoQnxK/pDwMLV7lphAkc4ksV6hT9eLRAkojXDVJjptv4S0FiJekY+b ft8Tkpz2twTdzR3Oy/6zDpCyvjJCstgap/kMhcuG6qVpOt6sZw3g4OZPeR+cqmccIJ95Om/mef3V iq9PokW1BCuP4DFjDpThqyXYo54OVbb30AB34FoTnkZQvG+ZA3MNBuZgPJv7S2EYt5bXTOuJceTV TtirWC2SncdWt8g3HLLfHz59Gv08yAOebJ3NoBQEf8t+SUkdtfi9jingFoSHfYLujfoVz1B79QcZ 5NmED4B+bXiUtf+N5bVIxfYMFxQnkgkoONC/s5F2OMeFPL37YNI5kO5gMBgNYGRgrybV+gDmG0z8 MHuUNBUsPGXIKA3OHMGTMIS/hJtd5WH+kozesBA3JAzsDsBVH0xw8DNyujM6GPXKWmubQq70IBjw MgH5XwJ9KmZjKam7yz9tTA9sB4Dij981qJ91nhU5A2uK6o9OiBI3HAzp/DyTt22z5BUsOCy/rnyL ccg3q3l+ehKWVV9QZnY+fwLP24wYpw1gRIJCzCmSr/EH9UxrCQYURYCStRnYzk3zMCf7/qDk0oAU mz3ijoOkGvAfn7DWbdb//3zSOaVr66ncNmDDcuWuBh+QO/8pfrkIvNHHcmpF5oP4k61FGn82ufvk DTebm7o9qXfNPyMFBsBagLSV+lJgwcWsoTyd0p2Kr+3cGjRvxASe5hZK1aVznTiwxvxwT366WuDx BMz3G7MT0bwWNgZszI290I53ywwcyKHb4EFGhPJxUnXT4vvOhuUpfhAT4GmjaHGz/mSAsp6fCIAm FtIBCmWslg1PWsnPLDdd/UDrZ/dt10miGaFS/H1VH1gR79VxTrgGsOMpTenNVr1Q1Yq95OSPq3wY 9O6Yx3r4nP6ib4BtOBbizCXSXrR4NmplKjUDvfFCYTXhpVOo2o0ubTybZ2mrFHG3bXJT36UL9g3E XLLmKfwlRZRX/FA5nfxfrosDY5miMtPxlhQX/SQ+BdO5UoZtXHDwpvaRvZVt8KDU/MDs270pdmEc hsmbgfmkP21Ja1zCt5jNGP/HF+/x//C1vsK18qvl3wP27LgZzD+jdDV3nJF28FKJG5fIZ+quwgbS Ky927w64+MRLuP8vt8U0kqikP92QX95GtyDYD75LJBqdu/ig3gz/uftv77DsrKwXDEuGR4EBz1jO xfCYq+oB2TboHo0tNkTXPBx6sogDhYPjxQaLB7ctIayhw4TcX98I88wqjoDyjbid/gSM7lc8yEv0 7lHgup+eaTJpDg5BCDGuz5TbYIMPynbi9Tsb2f3LJadoCI6LQLbs+QA8QzTElXzZRDCRdXw3OOAK HWMuQH31irIt+0RZ1YkmPgoz9B8tEBHUjsgz3jPFLMp82T5+gKdSYpNvKDbbblaINySa304PRM3J 2EROFAafwzhm0VCcvawoV6of9UyqCcFB9ltK5gCR7Z0bloNT27wGTLx3H15P2aH4nV/9/T8PH2Ga z3qsJ0Yg9O8tqUyc2eldxSfr7H6D2tj7r89KSYL1DDWyTdBwexp5VncziycUOg4Oz6UEHHG3/tCb 0v3h0xuKxSQxQHIa6SjDs6wWQLve8+bBoiLpvgoKU+X+pYQpf/WAoXTFJY0uPDOzMYmYK1xz77o2 RDpNWnNYe47Jtn2MFxeS8XbqbwB/QzkmW/tYxElk/my7Ngp73IUprS6GyJKQTJLphJx+YYEucqDT 5Bon3y7Toky9g2rLFKC8gE9+n1cAL67ZpAWlfB06wgd1aBujtWsmW5GEV42Hp2R+WP9JKJXfACOt 3e/M0zqbUHA2M8aKYNWJ5CT8RV75e1TStp4vzFGZ19fHPvWAMAQrqdFzsDC3se/eb6eUebO7sQ/w GRUWrZIg8ey6ZqsQAbHd/xOYaIYUbjJJ4IrGx9Y8ezYZfbJ26DXqIxRtHP01BE/1u2IkYpxRzGj1 usXy4JpjiZBHfW4wipOQANm36D5FGj4tviq7F9jHPO0n8OAHYkw+ihRbHydZpESkymacHJRRMNin pMWC9vvgEyBAiRSIxcW7XzW1NezPBPHQvs87DDor5AM6xflUD1n9nK3w35Pj4heNO/PBa21Xg3YG LHolN92gyys3/qLQqyYdRyzGkB5kawpvmmckut9m9tS/rzIgfJzvwXqClLCLb3Xg4kEWB1AcoY8u biZIZ8IGb6iLSh7JAruUBVJwLxZ2DexgZKrzR/1h5oI4T7l+SkEKITYN0hwz4UkfxffGc6VUVf60 LWT9NBFEX38++HzjKUoCC9PCM1leZwMYCEFaVWwBe86GPPjz41LvZVEBazPvqwoph0YKacGbpOBx ArJuaWKa88xLZoJOWIJKbiq9r6SCkmydzHCjomkLsmNwVucaV8y7K5qH+9SkX25kNGrqlvuWRRdR fuVPV+GICYhpbKPY4GR0OvBh114M4MR9HRjWzbABeqAk3w1ueJHLjtxepThQTxPXJF7fL/B1NX80 ENMrugMEBXTIvL8ag0XrE5QHHgQLYAll/KCuL+dHVIlK3EnlQqhigOt72SgF7iiS9cQhAKYKYB6u DnAy+tpC0yiv88O4F7wn5OfCZDFIBfY7/hZ0duecRuRkR9YfyJ5+tdsbS43buYwemSkDQrNoFMy/ u87HaAspcwhWTKbikU2h+INmGQOhzT4rPwOm5whd63c6Epug2DiWiTMuX5BDOUfn6hRuQQmqx0ua YwuXJWqI0vCKoGAgrswXzMnFLdfkrHJssdEslp1PM29nwB0WY0mV8soXav8a3JjIggQYCfbyNxES 8y5MxSinojKhJD0Gobl/lZNQ3GeUyIIW8KeZcgJljZfH1ojTLW1BWxZlWahzho7+6HmbmHuvOKoo zUGs1Ucce/YZmGZUjnKI2aW2AIUM8dkoYZzp/c/zSfH/N98q0UbBKI5TKk69veZTQEp6VtsTpZ5K b4lUsC4XQdGlpXL02x9ewvlEJjGCqFCUAIDzsRG/e5oGdiliTeAglhzWMTp7QKqOqwoG7ri8lBKT qQB6+qehJA9UoXnc0MJLIvuq4x9Oa2D2YmtQdk+95xHZ2S91XRRIWTeUMMIQsoTNXtiKbZvd6ERG j7SbQZCjo3st1bw21p1CINNuC0qiMkGaEpe8bYmD/wKq/DYzobNC8VDv+m2BAXyrVZeOUiUPYd2u 1oFg46mV561CGcxbtQGn8n9rfXrgufgOFcmWWv4OYNBBORpM22xLyhowYr/FetuD1t5SsDcOmSZV dld2eD2w8HnX5VD0vfnPRHoQuOW87eekFeu/0Byc8pqhQ6kMZroo1KX7OuSRNqP4IvGU7rcQKT0l B38Di3y3YKjyuhVDP9ZXhT2f78f+NNT8qdKr94SAf3c1UvNne6laXndWDFV5GgC71zKefmZRwQtE O9w03BLO0rjXn/lPaJ8QYFASGU9RM0l2INUBGqR//qD8HdTzt1y8MQgB6kWAETow6/2Ujg342fb1 yj0+I+OK54BBhpuPq01rMKzVOBY6kmvQHpINNi0T389qqUMBCZDveHZtdwfZtbwfEXj8ZiP2GAoS hYJeoMhP0KJnVugHN/Uw3vMi2D1kn/NIxFxOmliBkT6YIxZvCH1vg7EJ12c/cq0VPfyYcdcbhAl6 u9rYAAAmjpXs6U+Z5AkwkFIuLKAZdiyPfTzcxReKCdET3Ip2bJ8G9ndAniSmFarWgeMBz6gdqzPo vQhx/y/kx2Zr+Npn9k/2CJJTVNRjvPetiKCizbfImCFDefHAIFL9134Yui1D/PGOzZFEN5eQiGLK wWlX0Oy3vsznb3SJCdPcBgQ6AlTX/IDyLmQP+HwqNyy1bEG49U3E5zwLGA/4SzwnjQ32KQTy/yCI ldtds/0rSTnwZeiAOST4SjMNewWW8U2EnSqN2pSwuxhFr9meTjGxqoiUAEc5UJYoYZcRP+jaXhPW Dw+DmUToq6NglPogga9XDvjXoUMJf3jLt3tFqkaWP8YW5DGabiRN1F9UmIYelnf7SI0k49KA5NV8 iTBLc1fUPGZTcN+uGKgYO3ATROTCUe4sisOEQDQq28MiV24J+cfGVbDxtPcG2sOgfAWxsLtEZ9Mq yvC+syFeej7WeJD+Zo7B0YW6GxijqQHShWWOdBHXgfjhPDTfmXDJan/Wu67ykm4HmQ/UBCjUvo+5 kBt+vCnDZaGxIwZzPRvWJh8WrfZCAv/1sDzDHJnttUzshQEeGHj/68ia+ByhNfq26GLdwYg8A09F yiXdmbk0dIzZADQKOnQ1LmnwG0/YxPLny9EzQx7rVP5B0ZaouKsMcZMdxL8aB1n56hmHXHDtdnNB E44P7nzwjZwflWYVCay9nHKm1tvrkyYP+btTQBLoaO20h2WQcSRA/QzNDes4D9R3WHej4BPjoMTc WnJ7WBwX5/GvC7hEzgRmANGm1HhUiS/e0h2U1Mps62wZOHYmrwsyA4nUSZtiQOllr65ZRxCdBgZs u8iKWRzId9FCYw5nEp9L60G7dr9JzpVPHegYvEbpS3EKj+YjXZELtRS/lhx14kOoQfqE/wfWZqnQ HSwuHIpoF6PVKmrDbICX4tkcELNH3gat/f/wZaC80zEZlB5JiqcPwu8uvGC2jY6vdiN1WhsYPf89 nnWnanjspoiTYxtqxjO6yqY0dmkfvFuaJbZLWLxeHwbGo4rJ9RBxjSubxru4DcQxipdUbtx1jBab rLM+9S55XntmF60meOn0p/XC1xZfpJBpjWtrtab013rL60rcMf0xMFYgMnfz9VSE3d74EP5cW9P2 id6xEXkdPodJJBax4iMZE9vGHvz7AXLGGVNXJAGyXriHLH5LTg89lhzPoW9AvhT1a8UPbJ4Nw/iH 0OKD7CMrqtgvXuDIdKwvvoTvBIJVjEDbc6CscnvooTcGPpPMMBdkQPT5nTFeHftYAeKDG6GHvNyI 0UrCtM8zlgsb/Nv/jkBep4ZW9VQdmgequSTWbwG+Is+9qcPJJ9qagbu4jYrAxrGMxs6O6hBXc2QS NHtI0YhgotBe1ccIOPIjk3hRmdlzHC2bAPk0THtGvmamq2kK19cTmTcvVIJZLfWBEEMhewFI5y8o v5jr4kVfDEm9d6GhyexXegTMom6d+LbzoS5zhottPXAG445gh6ATOp69Bw9rZmCuhgGem/kwvf3Z vyJ510qjyIUUnPX2YdX0SXA8Bd54rPj7gTuQRySR5PlVpojn5/U7ZTkyIl4vL1foQv0wI4ZZd5cu PgOml2i8/Qpn59NdmQmR7TzXfDF6KRTIN7PxFYcgjfqlodRhYhT+1Hadx1dukVBrcxnSSEgUWDoM yhD+nUY1idOTRLG9PZ9H0S+YWywy377Vop9faBXUjlMjnM/2HIYgHHR5LUs5TVIFi8/2CnITFa5M 77ikKe/VaUFHNFScvR0OeZDdWhyzI70b/VTY2MYFOCV3yv6a3OZJTgpi/WisV/NfIX3x9s6jtxk2 szHhW/Yfe7pei0YojsDVdCWkuErjsil8GHI5vuSIQzny4bKnp+iWZrRb00rjGf/vkFzsMDC8ITFo sIkpqcy/MH1qq+aCNAHHnugpk8/NRIpHd0DhdjeIuUTGUAkI7VzcEwGCdFjQQXTth+UW6toaJHK0 INVV/FLt71j/W9ou/XkKgA/iV7AGuw8WAKTQztLP0LerI7JsTFm5TM4gUMS5H3dU6cDtj/vH6HaP l/N+eikNVUYnLwL1VeTip9DPNI8PdB9ueiAHT18nBB4SCEsklmaAttLuSZDQb2ZoIhdjlJ6jgIte hw/5/aDue4QsUhOkPP49oQUcbb/QGoyGSQX9a5l301VFJiGFrwOMaf9iWjpwJHi5J5BojtkTNG2R fe0y63zuvdgxCPOzLRXiQ76WwVcKCN+26mRV31SdqVKw7yvUGQa+dtrIIos7zv6uryLgbVe1Yv37 72vhfQ5LsP5FszetoWK5aw9DUmjciPEQDJ4Mp0SNnD1DkgjtuyFkywT89JyxJl/SJnswRTLdX6HT 6hpeTZkxx4f3dn1zXXpUSOrOcY34PoRNCljNw8Vm6QdD3CNa/E3lSkzHa3CqfYT9TLgwuz6y6dK0 L9ztM+mJEy9UQQvPoxYAjDO+QuZMq6n3dSBw4NdtLsxCOJVPQS6KQRqprb1YurN+vV+3kZ39HWpB snJtX3kF787iT2LuHVzo/DQzdt2meE3Zwlcn8Ls/UgtrCCVq+AH0uwIC4h0ffO+gb1JkQ72Pe+JF vOwpBF07dx0EiepoOCLZ9ksUcYSZj3pWcM1ywMgWfTK/sib5OrNga5VhgWnSBH1wwa2zd9MmzXVn Q/WPrgw9Ykm41x0WuzBs0HvZhoLfNn/GAkCyCR/P1uAHkal5/o8TDQgbg5eopPOzvsM3qt02akje yJJNY0EyLGyIIkYhHpoPGTrll92J26xhPq+j5uhjXTqpZyYLpyxOnEecqgBF9bcHMhHus45iC7Vd EzJAIfBAKC8hj9nQTl7z/V9EzFW+74taYiK2mYuZfNnaoSByTZ2U/W9Ls9l5Nloe4q8pzGeuSi/e EyJtJL9KpfJFUup/yABw/LmlNZZunqgbczR47WkVfF0NOK9mZVOKqnhuX4suzk0McgGKqRBmHK2y Ls1tj8zyjsXvOB6Fm1UL++FJBdV3606Ge4yZktQyr6LEmJC+rD3HCdTbWaf9dJ+is0cqHEGPCtrc IfKk4QxUpnZX+xFtXhzOwHjDEKaGyIIjibfQP4vSRJGILwgbSg20eyu0mWiKeCKHfHqXVl9YHarF 58ITwVyzC0pn7S7Fe8inKLSPajGWv+xVjpkEhI3XoMHy2pusExxws/DkkgsQhH351/Ukk6hUAdvZ K78qojuNp70oAR84faZnaUEVjKvmZan1x+6QTgngf9yvYOnh9pZw5NqLQAzR8jEUmXppdt/LM2WF DwzLvJH2BhTb5B+bSCmqFiWC5SFC158e9lSx2Q4r6Wjk/Qxj5a5hNuDolYmeyGVpnB/Z/vbRVb2+ 9aOacX7KW7p1mBSWIdIOz/2p3Pn/4IKxQYbqd+lXsZpimn0XE65uKDvcpstmJ0K4Z2eBDAoGSIsx TLiMr/nR9oTd+HFCjnftg4Mll4W23fmVqOkGs9RFfxlcz7NrWhcxFKxf3BjuRLG44Jyb67UpJVOv 2wi8UabCOTDT3/OebXNQSV8BbxBY417T+x+/LyGyrAiVg3Km3wiqzuXkrxG/oSBnzFea4qIVzdfX Cummx05o1DMxcWg3x4R/TGa5OkYrbvAz8pXloEtsTj7wByKWSq/Na7sZSVUYQJIcuNA9MeFTP8Ah qxLZez5cVYhGZ79Iqenuc5LejPbemOpEHcawp7iaoPYg4JaCWEMRpIT/XvxRGYm8ObLUv8sBYh+r GKbML+ey/jLYX6/pDKNrpPVz0WWJLx+HYfNMFMF+5TO0NRnKzVmRjQUG97I3cj2NiyeKr4YI/s9o jyXu431xYTT4Geuh9tYimMjLWJJKfryi3rdjTRrZiQy1m7MZX0m96uGH3D73H7P8M/LZAXppkuAB xrNLEBQddhLh4OOYtHYaPa7Q+KrWn1DPNqGyjFQIhqQIpvrkZPCz6nbe+ojBnz/8zBWKKPfi+v23 YlUNTCpO+jIx7TNVwEYcrskdQcbNwGj+adIhqeyq4pwDPau7FkNgfFLtAT7u3Fe3APuQnj694c4r 7nFh1g5JcKloBlCY/ousTaYiH9NNL2qhNdozgDhcaTxqhJXWMIew4cNMn0TC8GSby87x+EoaNKuP QyIAjDpdEa5iYOZy39XQv1HQ8T1Qp4tXU4EqZcx1sRylMrkuJMN0WjBfIto3KYO1HwjNWnx0QxRF SbA+SzaYFMk4PmzfPVp8FIws5+8ymPHHbkgXhlYYiHi86gpfPt2iZFEXg3eQFFR7QKkr/5faN0QA JLYtmxj4VxodvU4CV3+4SACp3t/HfkalmCuWmBZuRKWxWe6yeA6f2r627brZ5pzENYz0x4nDTNOT 3E5eSZJFpp9GlMcJozME8BHW4UaOfw5+V2a5kjJXQ5DJQS1A1WA/I2B+dqlX9jF+dB7UzS2sUHPB vOt5XHtHc492ymdvEfYwnIPE//nv1uBq3+zttDFEM0cDVxN1ooGyKgJUaChz3vRdLh6vJ7hJL1vn lir6jN5j4szEhzOy9gDdeo1pRGN4uxaIQBLJsKHl9B3vYar7721LAjnDxhi34hrHHBm9pWIPpWW0 z8tvbvFul6hnG852V0SglQGwzI5dlZADg0c2wCl+ZTgRB0jAaf5+jnhc7pvxaRk1Xd3ElXnU2Ijr ttmKKiF1E+v17SWVuX4w3cSGKhHcxcPLNdtka566V9dMnEcimgEE/277SduZL/d6RFNfKCYKTTfi uQl1ujRHT+Z1dbgUbBiT8tHpGllzgKwbbjtqUVVZeljo0CT0I2uRVw2QlOfkMHM0CKbd8v8PBP4M 42fDO6XBtOxSS+oobPhR3XSU65BKQ0xvjE8sPWpVBL9oYBhF5wZT9+IeXpcoo0+VuST2kbabnaMm kgW5PJ5l/ytXKhjeHDH5Qm7DZUH6T1Obv1n8CHndh0YeNUV1FxThlqYwM4q5GM+Kbp3X0nzrV22W ho2hriLjOTmNcuO9oiR9agAHDwe5wRvgZLXMW0zyxYrKRf2MUuo16Vge2j58sJ+XprNqOJKH3xCb aOjEopnf7D1Xykcd5kkM9Enzi2At1SZGNHD7iY8xPI0oADQFH1omipYcFNRtxc/s1+hoFh6a3zXV npPG2Ku80AVY2vU3adPwXpdn7BvYxCGl2c5TplqWlcgnpeh7ch8IAYH5NtxZzhar+wIWicNEtr9l rtV86yiL2MYAon7aIgtKhxId4SCztZd/E9Q1As10AecRc5voHQlEbCTHDrHbITcm2be/8L/Ua2RL hkB6UfEIrB7CFsbsGp4keGt2WfhRbs89f6GJ/Dq4F1uN62KRPI47RBkV/qJ14NrPJYzXJO2Blbhi 6xcxUnWI0Z24JxG2nSA8m4l8RI75sSkb/W+UeR0Ef5ffv8Wh/Pltbo+qlIWWqnv5Y2xXKaixp+QA S4puHKQ/UfKtWDkzJdzb1eWsEmgvubR0U8OQVOzNDqy+CqgI3eWINXXqBBc8hwEl4V9uTKQrFlcy 7AKl1i+QUOaFOoryCNlP+vFI7lsl3xXlXkzPi+bDe/nImO8Iw1JZuoG7yUgRXsJyIYLhWzEHkh2Q FxwCKZrbxzEC1ifAeUfwd94DdVAOfLe8+TKiIYqZeZuXMC1d1EgiQHuk7TruHgXmX/oocM0eawM/ Vb9g5lgpL+wTgCamA/YN1LjQCjUt8L8GiVpMOg+1Rdx0WPO3jO4BpE4G7u7/u8yZn54dq+GY4QZP bG1FI8/9SsbDBJaVJPUMi4tk/XWapX6CAJrEw7Ygxpv7OR3BIyZdIaqsmqVKTmBI78C4tw9reb+M meZiOQdQ7APWLOUoi3cbeOzi2AJFlM5hwGLeiD6y7XzQGyMogY69qkbTaZD3LMThy8T9xFKt3QtL JgSmTxbxIFz3urLBguJeX6R50D/5LYVprt69nG0AlRmfBUZFSYc5fXAYVjVa1mGuV6UfwP40fQ2k HYvxA27VCkRyw1bV1pla1zEW9/M4E3P+iWS9mH9vZ04pJYcUTqKcZu+jiQxca1Ndr44BWz62hBwY aHSNdngp3nmnU7zEI6ENLCCn0ZyueaLFC7F8Q7bv77Z9gfWC3zEBYbYkdMbonZt8PaBfMjIIkAER 1jmfkGUG0h0QtJUp+M32zjtupAQX4UTK5RiobEVKY7DHu95i3ojBNFrxJ9kNfXKLyy4Vnb8L/ulv BFFIAawBCLenLiBGDbZh3B/i7lePvpzUeSHt81fz730sTHO20Jt4CYWvkrrf+ok73lzE4xfiC90w IabhYvx7682oTWOReUmGLqiM/Tl3dL34Wg71b+Jjhbdpf7fhioLbSmpkvpUU2K45XXaXxlMR3mkD kuMykaXyUjhQiBUesnYcOEAUGLeG2pVnnfABNnT6rp3agUsUm3ozPJMatc+if8BGn151bNSoX92k Gj+LWQIIintMbzTF1jj/Pif3u0IeZd8AaVlvcxJFg168CLgbxRJa0APKxg3SjZd+CjbyJk3mzIr5 zzl2xrlI8OfaP+hkYJ8lQU2Rd1A8s6gcFt9zNxNySrMrtvj9V4HIpf+wQYChFHW80uNx1cFnryox /oDnAffedQGTEFIf7aBi/0SUrDS1JlmT0IbDU3RIEhMTh9BiNRwSryKaFKBJfC288EmOuXLERZ0p CSwMDtbu7c/FbpXNMqFxL2S1IHfWgNp8WwRBJ2DZAt4XTkJ/nfZCc0wR8OSqNh+hPzRNOLDrJqmH y6WxyFDOTkPLtGNwYNYaU5fYvx6dG436NRBfwAygwVfzfQZKipoOQHLec5OrIeKzJPHO4dBh8Odt g9WSK3rZ/UnZoe1IsYNc2XLK1qBPKKfTddmqYl5m9B9HGolbSVIRumgmRH85WYa9khsEyyRNco2j /Apw+72CTqwl9bzfioAPwPIjtBVtmm9jSMXx9CIjFEVxVojAygHzPaIoPdWj1bwgCVWG0PGUx0Og 4KgdHFHGBezZ+tqTp3rNoEWlyb5Xl1QSoHsyiO0fH6TU+C3mDCipPeTaLIPYgJmqdBb/EHv8KmCq AxJLlUKH3rjo5u+KPHCoyoQXzZq+2T2HoRAahAhX/oaB8fOZ23aKs5CLRU+7AjnISNTxMGCjYGN6 jEXeyRN8NUKsfaoXzcP/NNe6iR1U7hrYDP+NWhnWoHJkGpcNOJN+w1nEto5xaSpz9Hs54cYWg+Vx QHICZ0OOfB3BApRkhM8xu/4yKGq4kJx1uynEwbcqaMF78QpxJOLxhHesXNsCVCWbiaZyyxOEc9D8 1nWLw6O4+8P1/ogsj9jXOEIRGOxW/s6320qWtr6v8uORGJGdXqONURBqigyLN8gOSZSh7IhUXJ7d WHocx8fi6rR6tpVLgs4I6kNPQuL9lBpZhPWbCED7Qijr+lqiI2L/dC6hYHoFW9pgjUVp40XEOXvq 3Txrqy3Fe8EvC+5O9s6hj8zwBW7dUglVEMjum6kI8u/QTBbvWrN0+nXnMcYdPf0oJTYCxUKKri+j 42Y/fG0JaPLHS3gwwGuS1J2bSymwaXg+iTfZaR+6rJQb1C3fUqP5Hhdr6lH8ipQVXK5O5EqVxykX /QwZGUOesFitr9b8uaeDVSHzQSgBGNBE8LCQNifU7Fp6woOLwbalkdRa2y23INjPmlvzpzYFuzn1 pcctFAiqnRS2geaFzHgVj7/TwQVXziZD2qNY+R8jK/CTKji2SdcFHuHhSd/8bdIc5uvwgG/c+gaA zkSQILkHpPAPxIg5QuChHCRNgpbEtA6eRYgmX0ywEDCIaSHQufOxcWqnwsNDjOdE1xDx0vaBpur5 +OI8af2cgKLR9GHMfwQqMjvWLi4I68ke6u0Gu158WunG6nj8M7Xu2eCPp3GsBoBWGF7cNWGov0Iy UpMoDTWaswURlKV318mnz+VpnlvoYlyt2M2po/lcKMpWbaC6SkdiGCa47c5NXb3unIzBUcdKW3+e OXk8ZXsl8q6kCTZmyIwQt35hQRHPH4fW+a6/AVbd26ahh1SrdxXaLsSf2QfQANLFvq6J80KUFaPe hJzAoYinNPfwNlm19TNNnDhdDmua6eY+PhNCdMPaHI089X37baw2lgwr98yL2x0VtFZ1hitqtvVI iytMw1cpwt0w6bJY6R3hb++TadhF74GGbCjMg6c5K05lz8ggg5FKa5xMyawVXK83RXj6la/He/sO G6dAlu/pRErls8S+Xhfq0a+2HJuCytNsEKo20ZPA70UTmBQ5lNCdxFPjyYAc5l0qtQYEPyxPvTqM Tak86tAxeGvG2dmCtZa+Xyb3TUVMaUj+0R943IvUfd6Ssw7HlPEg77ee8nc4fk1f1WI7hXYfr8uV lUiCBcSi3IZvzXZwv+0UP2IfiLoVbHahJaOXsmaWfMuYrdEdkuDQPymtaMfHqnZefriZaXoiHYpU wDWcl6vqGcihACO1eR0ob8bfoWp3pYvK1KXD12QOATYKEPcrH5uwlze18IGXWOPCFr8Yq5zO6pVA JhtsUcVKowmE7ftGf3Kstr0n2sHj68R3c4u89b/PvUCRnXiSjKAPrVjBANYDmj8m3QCLgP7AOU94 V6yNa7raheIRpr23jd3BIEo2oEaSdgwaewlttMob2nhBKfnNvoa+LVVJqFiT1Xe30F/+oT5X0j+7 8bieR9ddxW01dMhvhlcj4vXZD7lopTBrSp/J2WOK2H7oxoAXoXWz4fWMeQpvoJ9cpI1JBj4Q6zdp HphrV2iP/r6bOBBxDUaOtw3dOxKQfASs5hyUuD6ba4W8ACqjFZcWFUMqYI1va9TAn/SUvX97k9zk p4L3PgCQgltT08GyiDvhIT29CALX+DV5BROisyJx9WVs55CeCCBK8v+qGpRX1JdAzJZkQq2zYp4W Om//J2VilbmCsq/CZXOVSc2UlW8fepf8Ys2LdCmoa/0A7d7JeGu8CvDzj4HDtKdHW2zF21HbPrdT gOHckaQmo1RjrJdQ7t69Rtj7aLv0m83N/p9MVto5Lvy0BU8XSZZfWoxkT1nNHRgrgUH21+mCFyAM wNXI3l62YaH3goLr9AwtLC3mgo3g5wFulSKK/uFur6J39fd4pWqf80HvfOGr/bvGz0PNOcMbh5mC UhZTt9OLTF4J2PYMMLP3HHTmusTkxCpl9MZMBZcOvKUYX1Wi+7dF/5MeIqsJBsVi4HzIkjQXchlG cmckyUoPC0FclcxzCQUpz8b25F0Gm6GSe7XhyBia7TNRiriLA+emIwrMdLTktJhuuxLKdeUpE4I2 4gNQp4XA7sSIxEMRFq1rkChjcNWTfOIvyWGQVH5xUl0yEuy3UHAIm/C3xcH0uLdnd5ocohsd9isL GyAXnSSZLvQ56dhEAfXG+U0l0biI6WWGHR9WeA+NCmQK5ppcJAurHh0T+fWiZTRfYw6Dxpu/ZLs8 2Ou1UbfmjOXQRkt/WHbi6JyLf4K8xmxHEltt7+sKJxWs26ThGCHSnY0LVgjdG5cU8oPU+zcvlzoE NHkt8NeqO37hVQ/pzlIQWZmR2KVXQyVJVg7TQ2LbozlVMGPk2qcNSHqOivlA21asYcjNCdd2N7Hh 1ZXXvFUe5IY/N/XfEKFfmuwRK3Gk7eUGxW+xOUhdSKC2Eu/DDa8NGh5GyPOiy6Rpiqi7aKYcPrgH 6a6NQoVWBMD6Nvx1BlhJOD7+KO9ojzQaLKZH1cqlR6JZIbUG+h6NJC91y6YDPo/rxxLUsD2CruEg ZFphWCytv4kfyDSfq1DB2YzHHez5Rk6jx+S+Fsq6K66wFL/eKpo8TNmr2TYK8+7lIhQuQ9WjAm/r g5FF1mIBptzFzi8egNmdRoGUJ6cDoku8z7tE/t3vgMm8UEHLGRw86wJ+Ry1K1ZJhbj50fM0+O6dX 7fnJVmQh0mCK0kQCBFdcsWSiuu21695hkxg/sjl+lM365A1IhIm4pXRyeBGKaEV9h5Oigbi39ycZ vfDowF1M5z4rOexFz4SX/o6t5v0ymonpmW8hGkNlDxMfLHsTCEg6KwwNZkk4QVSWRpfmIaVIMOHA 6fwn0KTuTleQbmJFolMNKoxUwJSZAhNbjbMc9YAtMYGjew/MBrCRHhRBGW7y7qZ7Q/Qo29xTp7ul p5Qy3IMODLFH3x3ihUMxLxOJhRB3ODSty9y1p9bKVoKumkrqJBm0t6jVeKk0LEwHbDGCKSFZzDZx AMaGuPaosCa+wtDOqoR4G2GsN/Hx8Mr06bfofZbGfjy/jcgBU5vvSPLR7qkTg0P3sUfRXRigKbiE yZT82K35uty7MLZsU8f8Iv6d2e/7JT26fg+YpA2iJXAeOcM5tosYYU65CykxPqPQN0PwiMq/dxk/ j5u6dmorWN0AQjH0RTXrBPfResQIHphH/gM4WqQW0iZasBgVaw8tXH9mGENIupUyxoxrFYYbQtPW agcBW8RuJi8RmquvaVbGVExukTWlwqZ086aC53iSpHj6KjN9UsiAT/iCrVkprgp93DkG4Xc1QIPN w1GsNQV+NxJyi0Cg5s4qCF9FF+Pm4D1FcSTsPb36ExZT1A2KIA7bq//9E45yZl2ox4SlrDYcmCLf A29WdhShMvXX0iJ0/0iXWZ0fjjUEDXsnipAZkCtzSO/fGTjoTafWxgpKMgmZ2IVatBZTWX9APesW WixnKkbmn9rrDiXTNlySWlGpmRx917lcLDbq8gG+s7W9+hCzTVqNg/zpKPLK5L1jjjrxnkOJMMST TqVfyYbKssf9Y7Ds+8WwTnMfkftUPMJas7uzuEcdnfouS1F6NwJAGytz0ZQo9j+uUeUjLjXjbKf9 co2hVO5yMTopoQ4sfazcYXS9eqzzdRFmb9YjI1q9qO17XEU1xj3C6F1xMP+ZLjTbaSyAY4lnVqrv cG1uDUFAL1SfpGpPD8mgAmO4WG7XIQnKxGWtXcFCgeRgmANHMj7GV4R4q0WAkznUQqwbg3wO2Eij dwXt5h9nm//o3EA5gF4vqg8GYIly1DKb1m0kc1hOW9RYQ7VzZaf+NRi86nfXMtvm9R7wVIdbkAsE qKe5Nu7PKJipA9mynAhh97OWuevSXazY6qYFCAHj3wBwQRry8vJ7Pfk0S3R7SZxs1EC39BAm5VuC cicIyDCoehXh+R0EKrvW97rRjdNeIByqBFrjofrnUyBrzkTaCfPTfXOFy6CZ7Q1A9fbNO6A1gAiM Qb9LTEwnhZ82Vt1LQGK+cwO/fEw1Bwlq6titmaFXqZF4TYj3/ygLi6GZ29bLy2eUxsxAKDS9+j4n PfU4k8DG1sf0hfDIe6dFzeXULf6vARl6uArXpJNShKswgEIR4L2ietD+ieL1VWyeNimBXpEVO2AK kB93HHGK8lDMlomX/n7k4lFuPV73MlUboj7rUj7eM5AifEjCLkwGOjjbdbVp9m1Og639g8Gw8FCG UwEFd4n3a2y1XBpsCK9rKap36Zr8ss7y2yQ/hZrMv/TGMMHx4FYhD/HQSzHp5qAMhF2ylpoH3Zp4 caUUpERN2iZ9gPRtpFjxsgCLMD2d5WqON0hyr+liPQruPoz5CTSiw0FtY3wCdTSfeb/nyPnlbMut oDo+CrB8NCP3JKSw/lHjbOGA0WtaVukDU8mctIsox33IYv/VtkFjo0CvIwSVvNg18E0GcqXqWRNv cb5ZFPST66+l7Ve3dtdwjVeRYrukWckFXPVTfbWfKNBtvR+pMPKWc5JBHa9fbfnZ9Z+yQQm9k2ss RrNmBvnK3MgBFMiULPhXgkaDSgs+QQksXKoUNtToI2GRid+V8ngzBEILPTS2+XkL6N5ysfTcfpHq z3asbg4CyAyExD+GjjtiuqeFbnasjqtYcKfd1LLtgLPcPgVVUKyXmx26rOcbu4U+Q6DBxQYKoP73 a+5OZxsbZEmD9bjkSt3EOzA50x58wqw2BPkhuj/BofOyfmkf2/i0qzYhW7mSNZr8+Hi65LbS+XpF WYsGLPdkk3oW3OFBeLtKH09et5eOjxmt/rkDwDlxmuG8iMXMMjx+OgVB+vT2lbhPcvvSgZyzddQC oLy/BLDvV283EB5ako8Bk1UhbRQP2/E3FL+BczZKXv8cQBYulJ6Ke73g4dnCO/roIiZu0PnEyiky UUVeRIqKQI4ZAfOuFKOWLjDdLtmh17H/Vu3aL7xAN/ZswxKbkv1nKhkxZAprCbrILFszv+EpS3aN acoKYmJ2rPmn7cDLGfr8DFar/LpOYU1gKiz8LjYqj7TS1aQnvuRLu/ns8KAN9Ix/dSAvZKog0GUy tkYmBvORNRhVsLK/mlr1eMNCc17I7mhrYrmytGXNnLCI0EtgchI7y4dPSIkk/NPIpdg0gZqb3IEs KA6KJGP/b3x3Y1+J4MSAC41y5QsVS0TYBFtQCwhfzM/qqhYLwaw15MWsw+v2sNbihCwTzlv+j3vX 67lgVSP1q0WMXyzlkbq6vFR3ANJzomycRTk45hYmNznPomJoG08Y9AN+QK8IUj0pjXaXihJBcaC3 C7kDPDNwQwuieP9wKSci1QE8sDrCi3CIs/xj9Z9mtujkuBNqUny/fPLg/g4U8wpjORf1skHwcaLM i0mhyCRhnlFsYTGC52E5hHlGBX8SxCDnHIo9HLpOCMwNYJCDKmHE7y4UeQIqlGz7uFEm56Fv9xP2 Dh2K3qHWf4u13ru0m74bMZQaUXVJh5pWUPttvnARyow7lMg7pp5q8+eT6rVDKsM9sgtOEJg0aW70 LvJPHk+9I/vLjFHFyV2Je54a+TBWhf/qAFXBCSC57mx634gvtrEvuXCU0kEGarn/q/dx8/xldqvh nnuBHEiNH99qvbw5PWCeGWQTICS3tcoXYHMu7xorxUGJYDE0yYpeFt+mYK8yRE4LfSQdBLPAyDtj x2eLC8GD6UHiY13cYW5RERXKLC/kqniu/xIlWbiI6rINRs8BZlqd3npm0rWBn/LrB/zkarjAMXC2 EFp8JJqmlHnQ3DYzLKh+u4dbcs2FE7Ew7l8g6Vr4y5IEyID3BUdJuhbLNokKGnsf6n81vmM4u9gH PSChKDk7DGmw3d+9A9AgJtXRxhy9Bjr2yvIo63VEL64MYT5EhHrBTfEJAkdQ3nTsvb2j7tnaE1AS kpYlX4hYqoX6jqqtK7ffn8T9tVAFOANH7M2PJiF6Ju4UY4y0UfQvjpaeEuY7ScVL0oPZMd82Vpn/ gwPGMUP+wSBGbJxY8QLo30Shsx5dI8GVHXIFI7VLz81HMokKWlXzo+mSe4iUHMJiAONQT0BgUVcy bM6Reg5xPdmgiKHNCdL42qwFNltkwRlfcX7wXBe0tfH1qzkSnDG1xg/CoBtTsAW6t1yZZPPvYZDE +GhOzLExmw0OnY0Wh7TcX2zAHvEC2yG2d6NT4oZHt75u4Sa37d3e4AwFmB7dZhID/NtBdReCVYgh d9N88PYz/uUYfv7ak8MhNGZvYHob0ugH7wwSIPoEObYALn5n4ZlGDR5xBpyOJcw+9A/vOLR7fQGC BeT03dX/XHJ4kl9GF8kiCV/iyYVip1z2VfaDLYO4IIl0Uo9CXcbgSnD6y5TRwA9qdNVazpdShPfK augMXSWM1BwYCLaQ8pr9Aq/MDOEAEqfMZr3rAYKj1nRFqEtcB87IESt27kH1c38Oi2RjStILUpJK rF9TLQng2DlpG5V5PFlIzE8ls3IybMvJ1+oVI0yTVtxZoIklnaz+pcAd8ZrSQA6bNeAKMDst6Z1A 4qknUxcYfcwJ6Zvp/tcfbqd1Wf/vwPrugEOmqGch/v5xHCTlLR21y/yGZLW9bfdEOYbgJNHslCPZ U74qnt52jgBb+4bm7816LtntRdTLZv4aqS0RQnODtTqu/sB7wgYzbAtGaTDSuSKXFsg7rmg78RAL 8xMPJmk4L11nAFnUnzcO5IRUYLwZhxwUceXcvLbeX+vz/O/VNadz5W3ZwbTGHYxFpjh9FLTKpo2a MZURn2VT/S+YHx35X/e/YnOdz2qENxZgmhGzCnCujQVnogeaDQ4WP3ovlKfeDquCWJzHtzlJ7Ryy QBO45c472cRu9zMC2BrlSm1Slwo3LWqHRhBczlJq5RQReBN0gR13pgSoLbusqbO55SBrlfuRm7Js DUMIANjo5NvljMFrkcY0zOmN5CwsEtApHcAJbroXYgkuOXajYViQkpHRdaTtt0Djwi0EJqdqcF+x jreQasNPF+LDtnUtHfpVte+NCbwmGH2gbITDZ/B1GUkrrbCHCN3kFA83VULKE82QhZL+KTHYXVi8 d0pp1qzbTIUOJrK6lnUhkET1xvZFptZTSDKN/7eSxwijAv10j50zophKSwNzUqr0+WBy0P0kvqfs bVVAD13gdKC3FW9KhdU49YCVJkCgAPxB5MIKQVxdWhfP9996OEIatqh3jwdy8uZe5Ym4l2XPzZq2 1zcNnIE7uHQ3O7gGnVunCwrKDJyfjKNiPDS5XT5J6axoiwKkbCVedSBtjPc4XwUyqlGKChKCi96V KGy9L+Goi+BrdjOQS95CazGHkLNLqVi/7SWtPCNoibvaptuhOqz//1ZlNtTiWOJbiVj9+Q6aoGho UISBohf04EsfAKA60IItXD4SpRlelSUj5IllOOqhH7l3j0aS9/zA7cpl/MuKUHiMOhz4dgSv+Z+x uAfJd9h/OOaUuQbdqDXWstljlvaMgVK9uEt924FbLqk33tlebC3CiDDJ9UFtPbMXG8Xm5WEz6Rs/ 4pjhlSY5/oIk7PV0ZDQZ3w8S7ZdeHYl+VSxTvCMtzHakI/SjbVuXBvZAgCdX1SR+uZ6POe0+ewqS dxaISq6pIQlbubkkdQ291jf5CXzrVsnbeQu/i/hxqLG7fTrVYrYPzZAuy/R24HpYeqso+UK7HPja mGY+4y1lVHFby9LNdCRNxS4uSIkaEZ3dernb1hEm/kOFZzuiOMM2Jwy5us2qf1glUyK/5gI4hGUL OzuKAVcv9he2S42Qx0RbtMxDpVQVYlO/0j8XW3yWBvLhEwBlLS+hE9AR2Ma1ehlKGzBMBuAJiBN+ B0y3MNf2P7D+lGObNy/aFJhw0+PKCyZmjcm10LW+ZHJl4iZNSpQy0HFgQYWpatUd6obaDVlmC1cG E1JvyBojSGJE4rznjWFxoYsT9KrziMxGEq2P03nIa6b8ueNxa7cHzQLqX8QhzXQ/3ZgCfegDonN7 0mwtBnlmbobRhH1jToX4EIqu1/AxIWJamSlK2PQBSVM2aPLDN6IwxljTYmw5iIGHW3JMB/+AsVo8 RgZr9j8AcdprqLBksFu2IzIBHT0ivwoEX2lhF8DI8c+Z9c7S5pTG2XwCURCOog1ce+likc7VvAnH TDvNQRH5HpnQ0jZTDiN6/PqoQVSrVVkvy9REaf31qPIdN9ACyIpusmRWGCocfrc/owv9ccj+rxFn yPhMgGCmn8+DECZlIcQ4YLC4ABYIeRw9xABvyO6Ne6YYeUJ6paNuhRrPbW4ybW5Ke8HCB/os815s ZVgN5RMd5l0wzNDcflu4OlAMm8FkfxHBArbiqTuHGUa3/eRiqszEs7W4d1kowt2jYAvTByHCGmXq TLOQHKqho+q22JzwgZ1AA4cu9VtBwufsDe6FFtmGtwvgEEs8/XK6IlMhirtT6RIQlr6hwUzj9wN4 R8VjyE638iDkzgRKM2uT6OasGcdu6jznRkpHbTN3yUJK5gUL0LJv/nDTn3m3ToQqIiZxOZGAWARC YHnVyiDX09FOxYouDF1gTePuCUNWxHbRS+uXe3TtVZ8013H6o+/8AK39H3DoiWuGbO7o3fdZXIMH yhavdCepWulepXxcOYHm3XnpqoxZ/UYgwqtvVV+8NHv8qKxso/5qmkmmqj1lM2EU8WYnoPtcwhoh WMyIrZzsiC1dyaNH7GTMwJsd6g4AqVWz6unopD+9JjA+E+3T2wmAyaH6Ws9d4t7Hk9tTZfdN3xmC E2rovohE+kYeOvZqWf2JRDpLy21Vz/HKO2u5ZkDWjRhONHnr5GT5WNu66dl2yO4UutEY7Sm3/lIX Xi6aFPEQ3nKqgFfLYOTAE0TmE0ajuB1iGvn6I7BfYhJUrD66A7BNrdblpEtyRwr6QzPJMu8YUcQl FUTZUIdvUZpXwp92wrA00RVLnrexQ31NNnNqu13NJ+ypJpETm/gxr9XJLlU6bSWVUUSPGYxc5HSa olcatUyaX1B2ITev89C7w4kIZ3Vadw5iSHtGmdDZ6/Ju5gHmvxyd4r+8vni3K36y7pivQ0XRkP5b KErLAOM7kQJGiRadXRxa439n0A7zzV/m3XgSK58o+ZvasmOivHzhcqHkNhwqzpC0SftrFqCa3Mih 2mSiqyV89UCB7gfihIZKOU9of1eB6vOxDTOEqRGGSRMbTmsR3ktmTrck7nEMXpXL9tFVhUXhW04f I80qetYzFC6Kzgj/YsMxgo31Z/6y5In2p3JWkZuGgRCLcf/CHds31823spqMu5gc7/PbEEPT8ksE IKtkxsoDzZV0UgZLSSbfQd3mwgEJc1/J1gIPsd0I4wFauicF8xWH2jTb5VWAQInxIlajusnkpyoh pow6rnv69fi/dUlBKOu1fvOGFcOs385Aq+aoZu+RCCkss07KZ7EINneobZXgyp9j+xiIfPLuwRZ3 RHSmruoFAHbgwUL4VYB/Qdv7F9YPBtN/ws6GYLvJ+s7FbDPWm/rtfytjFB8zW365DlTxTuzz4lM6 z4fjmZijIkDsGiArelPeURQkAFKy97kL6OxpPEJ+uU+QodG2MPL/s6Iru0j7w63bHQmHLYca/7wM PT+ex3J2pTKHtChayDPTNOSeaLoP3VQimK8D1bXJg9wVZfFWsM9EMvkeN/dNstXfgHwl8mCGyWPG R7m8OYgndwulTIYpXoKVl82v4zom1mVIlvQ1XiW6qDESI9muIhcNa/1+Z4fqLE0IjxUzkQXDMv9G /b5kMFFCQ2fF4DrfKCsrMkpCwW/jcIjfaHQzQcLg63xOsmT0PsRDiMFjVvHJ9BqDXpeTm8Q95S30 FmpcFf+zblc7LZnQqKwi2tXjiFDnaJk5ggtjEtrm6dPMZkBM/g6AOs0wdTzNKCTFk4hcTjeF5lFy kHgnWvjwYcZ90TURpT7DiNKev9ve1aN/yfPsQqhGhQOCGDyufI8at4LgYo6hsSxlHxFRR9RHBuPf ZZQGwx1ZXldLWJlC1REZo5USRAORV9igO7oRTNvtIbsbv1wZTH1M1eiEoCYwmliYIXKafNpFv3/4 kkeeVf87nKrO9qMOAOHKidIWNju8/RkfyhhTDddBCutARzCv2C1Z3YUErUehibFvC95SUtBAXMrK m9G3BRJClCIMHo7NWsXvwJPfZr8/1PjmuAd5cV890WiBaRVMSh3uv3zr914HwzNvMp+O3Ml5YNGr RduOpDhcD+iCRBVEUWNxFo1dLOVHpvbzFYPQa4XWz+yyTXi5aLolf0ZjGGg9NzkpToqHghKURXp0 cnllM1tD8BYvs/y/ISvYuCVNLXeDRRHCZW9qIq++lT0auxskYvLPFBuGxamBaidT3BEa2im4ozTd D6N2cbUMaXWc5VOAUnMbnuEPXr2NXgBx4RBCzsie0fcuPKWRiFL7egPHpMuvsBbk6dKFvyFP2MUm o/O00rwucKbCqYnwYU6jiKYCNXVB9Jzk20GTYrpSYD777qRd2L/rjjj1vJosvWIzeBYDELQOWyJd 7JsgBoJlZ95uTxFl7j+6drVIdZYB2P5FuU+fTz5KT3e9tCq1VazRG8HRwbNH401iZ8f5zDFrmF4b RM1UPpdspT/Hl8M6C0DqKXp5ofFe52s8eOOV1ftC4d4Vs4LsGzNTA8edBBySIMbKoy/7jJtNZcwZ iHClKj7vT8V3r7Vta47N+JJlDt6/sFeFWur/6TASL3Yxl1b31jBCuLGNihw5DBwEAPswaYgcPnSL mpoQ518+bByygHIEjZa7ulx6+xyrq955CdA77FM56UXO7PX3S6OJEUUUd9m8Z5U43GNF3FRxJJM5 hPP92wZ9DLeL766XnWrV4OwBqgz1iYdTo3Wj/YVc7KPYCMUy9RJa25cedoi/2N3CAW1FJavVNJmC vDIvYN0DFATGhTQCJIL7Be3eN5SpGlMxCjO4snQ5OVD9Mi3P063cPn/+bxrcnuwx1l6QlYtqf2nV ztmlNyvMppdqWi8M9AtDAIAEv7lIXgKZ6LCZE0OOlAuIDZKqh1TdWPN30NrXSwvyA1GriLXDr4hr rZN9i4PmacRfv0ekZUzpQnVpCQ/kmrTGhde+qdHXIhynrvmbWUlbYfpXLUVtOcyrMcLlVJyNr/iS niVfJxi07MpPyM0sH38tr0Lk9SiE1GORbe2UHAQKkzvC0HpYpAJ/PZn829PP3QPaOF1HZm/8cWxC 0KwZhIzeecAf3EWyw414BnBCvK354Z3227BPN8f7f9g+KI8pBgN01GdI1Ut5PmDJNg8nuVnUr6Jp hHeC34bodhORKVDbHnogaxKwjoJsJggbQcO8HGW1MSe2vChAfQrjmGsvVKWbOGZGJ2PizKEl/mue ivUujAP+7yI79gj0arbjz8zPZiufubqVyYXalyNF+wKPcV+0K9v3NkA0CKQMMEkLYERX/O1P6pX+ GVsIHjWWjl6Tzj8uSe3/rKZ4h322hCgR9pwQBeujTdTw0YWeYfCBlAohFeIHCKyEkmAotkCrOgrZ +WcDBmbfmlqvV2Ljy4k3xm955HbRXOxEHPSZPZpt/dUfBVgXGb9dDYohpV0AeAJtQr5QY+IoCIS1 RZdXI+RrmA1RnNbrLPb8KY/Mr985lPf4QrVcUUUyPEmFehjnQItYHCoL9N9cyjLUtD9FNssUyGFg iMQ/nI0AOl+FS/Ed4ZL5pAmk4ymhKctFyYS2b7XrF2HKyu6YX2Lk0x8QlI9XCTbRmy41+ATKD5GX JhfbX+Q7RKJmPSCW4KsVzvoBSiyNWnyGHX5bL8QqDVEJrahA6TlTL7N8yNOtR7QtITQbdn8rYcu3 yC029PS+6/nD3dozKE014lVaW9zJYA8aev8oN3FYOZkXFqugTUMsPQxnEOvQ3ZF3749SIh2vsIcf 9Ay12sBlXuVAYbuhzGyi/fTt40Mj/DEPpJser/oVYkwTzpkoj3frNOa5koCLe1dQ0s672Q7tv83K w27ew2uBmFfo45AXZ3eyZ8nbUhdf6wuNCqEyXl1ssE2c3Fdznz81/0pRyrYz5EaC3CEzg9e5w1bv YLlWDIS2bBmh4xUDOhIMRRMqGumhcubzeO5MRnypzjI8mz1nlYmStFCJ3h8YZivoeE6zuaAuFrmx 08XyQxGT7kQiS96KHcJNfT9+/4dya+1NWg1vBJZt00rNIZo9BWHZWlIsv6Bp+11sCTyD4acl1d4j nnXIgRZ/qpDbVOsVBtQFFdwPjTxAOrFCTu1l9Jffvspow66gNmdSntYFad5vtodToi0T82l71mZs m6wDI4EeT2JrH5vb8oYhKrEcQp4ajyMTlFqXloslV8UTjnxhQjWYq8PX9idlincW6+H/hXNfVz7p FFRkvNPik1BbHc1iHOD3sQdwVbHvUzMqcLqZmRz7x1BVbJNP477Um/Y2/twSgBYlOW7mNF5+zPF4 daHNBAXKzPA3GY1f/O80IxZmzQwAymytvQ3DVl09emm734SrxFWymyQrKrhUk9cq13XLh9TCMKlc sNnw9U1fRomTrnIQkLbHSBtXPQXOorGcI3AjxmRylqHQ4cVaw2XXMx90nvU7QwchSKudQju5I/0Q trPVFoeY+aisfQDydthCYQx0YYalKCtDnnmQpTh7NP0nJRlqILb/eGT7NCW5mFNtwYhiSFWB8HnK 46c/yaaBlL+RRsEb8N9+mzoPDeuBrAkes/T6O9o4CnCnKfHaFhw6Xi7toxk06in+xsLm9+jtYshK bzBCIg63cgi7Hhe1sfnX8m66JyJmL7yr2sIbZi0npdK2j5nPk2Wz5NYZMf3uXuQUOgmQZaw4oV39 9IEKr43ooFKRTprDM9zfGZEYcKerlpptyZHkPmnHaMBl1coAwCGWhRy+Kq9FEbAevNsTDZ8+r4ma BLVLhj0kKgv4kEG3siB1THfmAB5MadnQ+b/GmoRxEl/Wi9F+2dGEghJa9l/8F8rLaGppWglGvCWL RgitM5JGlnsrvNhS14hYpeYcHtV5h9ItBQX7pNl10cmsVv/mgeQDbJNoVugIcF1KOq13z6TCkxPt UYWonWPQFdUbAcKhC5HJxOdApl3sJvsEwY6S8Qx+a5zTVjgMRYe3HybsV4Ve2+oeQ1NocmGBjo85 JihPrz1hvcEJzwn1QFu9a0UoMfugX+F9qS7DRQbwQhw2nBP8RvbmV4PzsMGcsEg0xNJ8ZA9xFLy1 FDzKJo98BzgsQCm7tJnloGQYzT2rb5IlUn+43c3vxklBfg4EkLFZk1W8JYTmlD1lQCzqm6XZtmro hvJd8zeyMccKWa1s1Xw7+d0X2yVZBM1fe1Xe3Kh1lu3NLB3xMTBUVkFB01seNlgI6CGlFc71HuWi yVrvILdTeZg4Q7pHkXqSCGlEvPmH1fRVUW+Pq069VFcRGTbMbkVgCItUbSCn6XnK9Hvmq0soEgW7 M/awVDjH8vmTcSXcYRXbm+TAS98NfxiBVw7fxUnznF19blhjkPjIeQnNGP/KlpQgHct6kxb5gzzf hPLYyclt2/A927gSaR3E6kCa9cDjAdjt5dOAgzGkq1rE+Y31lEky4R8Fw1cx1EQzG13P+iYTBLjh Y6BPrhGZNb5cBnEGI8U0EbrAh/Zbwi8YBpwEgbtQQqvKkp6cLkMaOpdNFbzLBEm0jYpdwjwWZlrt hO1buM83w5+3bPCpZk5GvdTD1B5ew6mYBzYKnwpKC2w8bbmubBNXb82orhhWPOnmSCoTBz9D83Lv cyr6ltpEgAVyYasUzQMz6OJWnDY4r8jOQIY8/lOs4Y5J0DI8Cc46nBfgAE/AQqnTGozICaCjlR83 D4/TAT42tt3MPzJ0QCoNkKxXB1JK7SJ8mpG8IIwbrYGlSvc8t2U4D0rk0o+49qi/PGP8hV6CKf9r 3gaUoz12cTKljTduxIAbzPZ9UUhPgdNaQKoOsmixHHypXfcqnIRlIKnGxZY1oxWvbsP85D2y66Ni 7rTkO8PWhBJ+usCZDWme1so176k4E8OSMjLCxKEXUdbL9gj/VAokf3u8VcGRxSk0RHQDz6KkwBdE u4937n5taN4dLxj9K2aHtIbHeANpHm5WSSQcsXx6ntGtrg/PnB8fnbnOKqajf0xQN/jcGoh31FOg HtKsiPMQ/r0h2jZRLN2A3YpPvdjmlAoC3jHawErloRvxauYy+GnV9VtraN+Yuw5i3L3hAR/x6TEe vs4W3mIrY2ppTWOeQoFTCjp10/pEk8kkwN0FXd7Cr8ubuBak+7GQk7tlaHrXAKzIt8eTYKZFj2Or vRnzd02Essn1PIhfKPwr+F2U8QJvR8rQge0CKHMHlW+YoPql2+43VL1mYRrGNhR1uz8PAdaAMguh 1Enwp/HoO+XARCt225m8HMNv7duGR4KyZNy2ZIYNiW880v5ggajrNELnC/dtUAoKdb7NPptc9Nm+ xJisxYf5c9lendMatfbW9nKGxfnLaJXINoi6Kl96WKu4JyCe+ICMJQsqtlFFLNwGp8ChZJIjhj69 LE+xEbAILn6E7CLRM4J1hmeJsKsZGOm393vOJG0k5/YyUSG0DKOoPfe2v0GjSGhrQEsAJdNquIH5 8UYFGKh5OypMAQS7XptYQe9zVFqOSwgi9qVVYBIRbfAmHqH5c6L7TD+R3O92VgC0jRRuvATcXcVU vfO4+k2UK/CY8bfOSiOKcFlfG0kep27Pe1QyvwITBGhx7xGkve4FnYoEpHqCkvZRBNPvsXs+9sIl GaLLeKY07mFectWy7QWYeqhJTZf6w2JxBmqn7DaCGMi5A1beJJPGFjg5Qh3eQZD5yBNkG+5XtnAE 7kAqp0n8qgpjNo9ET6Rns9TlE/M7ZnjNxmx17v3SZWnIcs+NYF7e+luVuSXDl0CuJesZQkeuWxaG N6AK6HGjlpcyIVq3ZdNL0s9kRb1him0d/Lxsriw7gSjOjokkKxp0tRrP3i48I/zRbOGC+DLG6HUy S42Vqy1S+KtlIkWP2yrLVPi3QOaKZRUZ+oFgnKlp7Su7/i5XFwTUxO7C7VHXwalgJINUNVEGa2dw PTuEIzvxlTfXqDf7BoIRrGsnjdQnsDNWNxfY4qUD2ndu9lKj0SoGckV/42fcjzf1ArxXyas7i3Bt WK1PUU6wXttfC8g/vq92QWRBIdtjLvk6BqoNevDPK41N3B6JywNSV+oovBi57RRgdk3YZE0c5Yig f373X9fKYSmgqMURZuVcNj6HjP410jD6ek80qo+69aHFPoOjSVxsWLoj75HPSJH0eI54OYq6F+Pt FS0TC355eMjpu3FMgSBJWMXNb4BkDi+HsIhlChdk+YbyLx0hGEM6m41jkCBvviApVAWuKNofurTf WCXEVvtLYCtUaz/6mPZlXWpOUUCSqSd3tGeiMT39f5J+YghE6qW9+CEaiyEiO8WSpk6+whWRfkpf nHvZsYCWqQqCYNeuCkLEQVG99c9xP3eH38mRNTuuMGHBO9RECCDXs0/bc/A+PY/QiUfUtIvdQxnA Oe3CyUsdzGqsY3Ur14hAXKumjaUhksXtSzXItlEXokFU5SeutG8MFwgYnbEN9j+gXUcuI0LaFmzq gXeeM8gy3trFzhi8fIEe5aTVNOQL1kadjEw9iF8hTRovpppHHjyiqx2rM1tBHWtKXYhxbeX8VtyI PoXgip0LTVIFVtfWD7SCIYgrXQ0RVItp0mtlSAa8eOM9ADely3BKMpBCFOfb9mDqBHPN1v+yLdBJ ULAGibfZkpU4k0+55uYgVCMPJ0HjyyJo62Ic8XiNsge7F+/CHWVFFaaK5iyp6tChA0kSA31FOm+a JJVWgGfVIjzN6wFuogvuSt37S6rbOBnclkUkSHDHwLhE31ONqTFiKV50Yy4WEHfYRvmZwHoBtHIK SeH3r4I2ft6W5iEo1NMRQg3Eeg6axkXSz1jrNDbd5UgFkAU11pwfo8yKxD0p8e9MFUeGoCp2PLbY jeLOxSGMxD2KkkES6GE8Hl9UxEIGPo4+7R7fnHwQSDiV1OqGIRX2OiemHvacR/PddhxNGHst/K5C cGUVVkCyW5F0f5AzjT39IUjOJll3AfFc6anXn4JcTJ32ZieXu8TGvF6nyCoa11DfISzmy35cG/2B QMxLRhiYRCR7Sx+YH1tx+/4GO2B6DV3VjyXAMf2bpsxBfqPB0GGfm8ANdR1DYX5JEALQYH9Al2R3 2/s+eV2H764DXBMP3OlFFBdCIa/zptI2GuUed3eCXI/MA4Td0aSqLOJG0R1EfC4Fss3mUnvzH+kp iBnt5xrIouErsUvvBoo5+AhRYGP9Hw6Hjr/aeJ+1i8l1J42Tm9uuutP8MMqlsXbUuW8bHwiRLw/Y 15gYiTbrnWzPHuoK9hBeB65Pj6ysKTczB7xdJqsuW94oeAbRx0qVy7xYtke0HDtpY8nRexL/hnod vSogLPRoAyefmcF0YITrUoRKIn8ff0JP8OoviiqbPdq1TSnK82I2z8memqE5ADO2FIhZFKVqKvfP s3y4E724Rph0L8O6yxYWD+4ajLjuZxCm4KO/8tWh6UGX1dLLB2C900G0NTu7W97EfllyWBjvnYdO wAfMOy4X+gbBSFAl5IXiDkYfnqNlBcILt0XiIukwW4FKfLEB8Cb/MkSszdTKjAZkltyUPGZptU2F TW6o/LWqX5lru/RwqP5cn/i+dlOxmHaWqfa5ZU4UH7VZxCwIKcCNBQ0PxLQH5uyVc9pdgtTmXi2d PhvmplAW8MNL4MkzN/F5CMod4RiOJJs58oZvjRFdtUbZcXzMF3xkoahH9wBCUOQWfj87FhzVspfB hnk8fK/6OWFB9IvG0lwPnoON+VoZ4LXanXgn2rhL8zIhN6oyje+qBvj68H4Kxn13nJCdx6QNvIpV +mWD3N9QsigZlLOh9kVws1yGBiq8Y0RUgWNGlGMvET0jAjp4aR7gPgCG0Qr0EEQI5SmayI/6CQpw VapoL9PPVoNGgtKj4W9rQut7epTwGS8bhMcoXxC9+CORQ+jgc+mRjObCiB9cF4VR475pB+9Um13d zv/73+hit48+515efnkCUI4w+ufkEarj4Updl/+0CNhfx0gb2dubQkMqOxJHll6GqbVx+Bu+K0PM 4zfly0CDJqiduiGMV11+1gXCvjt2VMBa/GoULDOrZtjZcNNROukqjVRVmlP649/LRa86ZluxMg9o syFZ7l8nu3TA/HQnasgBq1UMrQvOSyvZt8KtKpKFr8vrT/yQUxcyFscXKyiRoAEWdbHj5n2OepdB lfz8zKz0d9m+PKcDZepS6GToM+5ArT2cNA6DBBxFiYGodfSIXWo6JNUlmKGvtIH3+0pN8QEbAEmb y011ZOwWgzdBxpk1sTFcG09Kdak2ToXKe2Iu/5czk0jFFnlm+W7mV+J+YrPRpZnJk+nqq4CPTnr/ XqdOC4z/Ung9oG/8rGPSQv8W5uVj8P6D2fWoXE8nyHvOdEFjQfMZQAlf26D0RVpjnBREZBU0D5xD syG+cRqFU31vLulLkZJDHhiFXrH8nkaA3hnWmsLi8rDlt1CsKmcwTdcDZf8ZUVtNMVVJde/ricSq mnZn+nSXvUjN13+uy4zl1VcOEIe8sUmuGypSGe8R/QREwrI/uoW4uOpp8OeFoHEIPxcPAWopEQKL GXntB/mXPJVinZsplzOFKKSEv1bQFxYh5l710k0EYCb5CSbx+lrb81SF8M9nETVP+RmegPIbccAF 56tO567rUvk6u3YLsVEGbc4+m8iu0bJTLSUgpJ5Y32FVyNtJkFTVuhG7PFBtC3eyzKfXQs+AINqZ ll+BABjoK4GpZKALgMaO8RRaTj5SXP+OcaJbena8UW3YNMgY8CTGWA1IC8wURDyedXly/5meyKfS E/q6SzgIjJqKrKzYyP4fI6UkcJ354SwV7gFW3bVjUk7BmJpNHiQ1dUW0vAFPG1QcdqqWCDV0KNBl 4zbOmnH8F6cgAjR5CYLbUZiFxOyulRUjDWgQZFkMuWJwjTwz4nA9HHiGH66pT7+FuHfsangysVAy Qq/RGVhgdGinVkb3ZxfcxWADXTfCG0oe/lJzg7zwfNBjx/gyWVJtCuspo6JIMBJyqffTkhQlJB59 RvBhF85Qc6e0n5YJkvjD2NBErxKDmECVOB8+pHpv0oGm8ZWutWgOToTEtjXuZ245TNREU1A4QCMm WEtzZjzMQSwPS4lixO2DXE5tFDFsJ8Ez7tGy8rkdNt1Vfp/RaBtS8d7nEdAjXUrwXgJRSigoKUUB zYMgvkW4cBp4OflxECKLkdgXdLDID216USYFmPv+sMPw70MlPc8brfHbCzatoOLOr28bFTLksPTo 2VYD8nLAQ+EkIO5dJ+p9zlzeQi6PmCPIMH2nPR+GGdplQ9BbsKUhDy2tbfjbaF85TIeIM5Bzf9uP n8WNfSVVXewR0CpiCnBmBAtke6CMMOJSG/bK1srr71Yl48jTyJ70dekkjazB86LE8sgy3Ok80rz2 ZVHRWndM4rjLjh/87vrUTZPYbWHyqNvJ9DpOQpTo1+c6VU8iagIsgfPHGZ5y2uN5nDnOs5kmytBZ QYnJaBgi631JxloS96k9My/7GdNu41Z/3wyyAZkVMbKhtQ6qAVxhuw7fc+/DFMSpTBH5H8NiJH/N vqThYLpeDjv8gmGJBoPe0AqsRKohVP4+WdU5mptURE2GWz9jIYb6DWk7JPlVvdNLdvhD0Ol2pl6y P/MWdfLj7SxKdlG5RBz29s/PiwhNffY+Qpk/oRxEueFnaMf9+oXLoVLVz2lnlmFA7kD9kzVuS445 tnnndGYoGAXTRg66QbMIRC1eDKqIyg/nezuQ1pt5ecYqhumSQ7hPbGoCF7sOoBJmvSKaRuzbVOZ1 cJ6jvqDearce7B6Tq2tB+PG7VEkPlYIT8pM2rvuLbYHBvWshhKKKfB4Ki/8wukW954cHefgF9KMs HNO80WMYJhK60L1ElaElZ46asC6zsWzWZUD5OzwajFfMO09aOIMm3UZQ1Y2fHJgwkvWaPYhFMsri Q68Dv1E2RbNpQnvO8weQgbnQ+JdyXVfIBi2vyquY5Mp2RpmcSEDBpFAT/UIEu+zUOM3ltTnxxDL1 q/hDZipGxG20+XLOL94sMJBOFMOnIEE0r0kF8o55dFrFr4kFLQnQagq2Wr0ZCseKH6o5wFfqQ0cw NFT/lthDc99kWEWCDqg8CsQY+E37t+L/EFqKVdGa/DSBTy3Fl6SGgl4+CXoAoJTva093vN5BuE/k XZOR9I8BgPZMfZUYvI5tkbpemt5+jbHRDxkVA6foiaZ4UwdUF9ZxJrdnwTeeSk2XYw9qX+jgUHhX 0lidcRhKB08Xm+mcdGAAbBWCPbKWOxAGBM756xZ2olscThxCBRJ/xCbk/alrs+5MPnqFoXvS905M LuHzNpGv3Hgcr7F72khF+sEJIXxqc2GXzbayZvxrsIu+tYH3OOB0s4Ekcg6obk1omr9e3/l0ZPOA MKYzbh4TBZK6N+AxO+WRmnMJP+6wlocjNege+J2ZOgGOluXiXpsHMIKtAL86oFlWe3c4ulfwP/s1 R11I/GR7bVzX+Q/tkXJl/q7moPZjFc/gyUeMAPnPh34cfc3C46lZRqSJAEo4XQs6ocsbhwJBYlXf VC1Lre22ZEJh0PLB0eAWgozIcFiLfSC6qViTjVquoVa9cO7I1qEMRmkwF4COG1o3s+4mnyIXwO97 yEvfrR27eUxnTT8XFOy8XwXKv4Kwlk9yCAbEocJW4Q6GTGxYcUft46mVBgaeCn6TNXvheSWAA1rc ipR8DXKuoDScD3egsUX/RycQOe9E6UreHKTcmJaG3pY/NrMiiwg/d0PpwNJJl4Iy0vN3ZvGaP+6l 5ONQUzFQ5h2tyG5ZImGDDzIC0iwGmK15gCH++ogjShYTd7QC9i1/eUeMZsVTqaAs1vzjI7vDNuDq LVKo+iKEb8RNL/WUQJ/hhqm36owH2gexDUJMP7Z1dmlcC+1nGlK787t2FZAZcTDMHacsAb7gLTrM o2ms+eWnBrCRSdnfa1ulUSvV58+gHSwohNKMnMnBZEP3FfV8RJAcQcnLv8NneyJiMUvovT42CI4I PSU9p91yYV4ZAF25+oIh4V4t8Kvwmy+6ZuvgG00sjmcJB6g52pby9ZOE7ytBdC8Igc+TuVJ7gKZP DpbH97izl/JAvUBxKksBLiwCBVe88QnsaXRawfa6jKCE5hKWUBj3Ml2rqDnZFKvqtTubYZplO4RM 092xHTtq98qkhZ2a1Dr5N0S4AYbscbXP8XBIFnCcvatu6UkEoY/omH/BXJ46wk8UJWpZoybbHJQi Y0A7UNw1BVI+wfrGnncsAW/CyXXT5k3BCbnL2A7G8HLxSulday692BJSAT5Z2ipup6WeRCnoi60Z HMnYy6N96unv9Rm4qS4dvSIl2yzywXbfdyZExEQ84eQ4Dz47UgDV9BxG0LhIIk1OYERQ5oKXlwWQ 0MqmY/ArYrOW8DTuRVUPtMID/fhVwtQEqehStvlv10KLvPKqzQgJZZ92/kserlvVul4Dak+3g591 JNVPlzPeVh/82b25Oul7oRzuB4JmW9V6pWi7dp4zzNHjIDw/MJ3b9Rbha/ADCjT7TSnWBPqBH3Xy wLRF08gZbAwfIrxHEMf8CGtenZqYuYg1YtunChqCqimTeyTSytSAYA6Wy0dgyDcgSo7rKJupK5U7 +SeAxMCuhwYwgW7xadzVRJ7oQKkqCgBnwF6VcmWoqvYktZmiXMcgNj2LBcwgxpOCJrXN/ApuyRr2 e1Ajg0VLczR9MIUWjKC5W0t0Zcx2DxsMcXFqTTQJa1akQWSGjRSM2gig1ghGt+KMJNcrdUthNa4u YYpT0biyGMzmOG68eyJTb9zbud7zTQVABMt3XOEOAC06tBi/q99C8YBmagM5pc+SPtKUBbAhOiei +jR0NuMXxfJ3K5eAEqkvLHOATZgxkTGIhPZDMDs4WXyvOMyJJTpv470saUndpqOEhWwwxKiKQ7c7 1HXVFK6ehF1xQrfEIJmIA8By5KZ5cOXStJpe45bJh90I8hs9bOZLrdDl8mEpVZUUQRo3uILv0z6N viIAX3WpVdPqNA0DOmlvxItyRMGVpoD54eXJvjndTVE2xStKysDjPHxa+j3VMcKqZuZnBgPg/mK6 /P6oKJevn6b/1U8ZNCMpBlI21TAIxdHn6J+EmBzBnvCQCBiLP8mH27GTpP+co7Mt+IRYEh+oFfH8 Lp8r/F5Ja/bGJ0WaMYGTLebcIQv+FJ4UqfUe/4M1p1xMDe51YcaTtlJLaqlvE3efRa1PNZCRb9+I UvAa9GlEhD2+/5mcFDRnZZx4/pelN9lmY82H3T3PbwzHynMbyTeTK/9hrunh1b5sjkCZybxPDb7Z /jx4R3yt/poUkRAfi71Momsrh7lV4D/enPK7HacHvhQyDF9eNF8tkt1AqZ3O9jgVSADNTHK97HNt VnYMgeZHs/1D2Th0N+JiPIBca9Ak8KoMvxAASbNBjqye+T9IVv9EtzosEQiRNGOlZs8/3ELe31PH KGgFIwvW9SHRWirJmsmDe9q6vWgTV+KCW1tpCDbbtASe5ES5njCxqIW1SQC1/AFJvReupPMIz01Q LJCEBEopSttzNHjnCm8Zl98LAjyTDWVKwhHXRXqPQzvRtL/f704cwADFmoX1BuoBHiWD41EKRowc 7WWpr2CEPjHn+B21hTQfyd5gsIQn1o7mRg+emOdZ6mz7WuhHjnnRYt+1hdU2+wdwiI6O/3C37JMP YP746X/5aL4oU1Ebmg6wNzzg2vsTyu0WtwvMfoxOQz5bvlC8eMPIQ+ueRj3IKcal90gOb1P3OCYz 0oxSOoPlqY4lpWSYCn2/mQh5qCzdWERSLDKpUOXQSkRhpztG5fYYvIrpp7OFl4Z96YtxVCkCjLYQ RubsFHrGy7LOiKxFZ9rLnbnT8xXgkEMNoEq99iTLmRooLrNDzAlWWDnwocT7+pDN/EwI8tZXKiBp LEafj1WfVZJdFjZO7mRD1QziG+6hGsEVjdz6cG40E/r7R6gFdkFf/8X8sVOzlneCWSCx/2OvJ7fp VWhjXqrA8HTcU0ojTFOlTPYyCQHg+C0jhTnHiSPH1//fZ/0kxhNBNI7G+b8OK7/DcCL4XjWv+1OT ETjaX84bBcRvF425OoyGoe+VSJ4CuwYZWsNW1u+EBawuEZEI4ivgOjYFnUqNoI++/9kob2UCQNyA Lfm9Y1A0UQVD8PVX6GJo6Tsb7Hbw/5FNkaHDE35AvBgExlSsbDrTlNjG4bHzCEUaEmGjtml4fT0g lHPGgVqKs771uOzD85mkXGDkaIRtuZdycTnK60CME47BP2v7CGqwjFF9qA1Tm4JoZdosB/3OqdbQ RGK99/JEHzxHCBJps3Vrm7zT36Jv+XUAsDyti1/F+YI2fWQT0QoIL2ph70Y3E6mZYEBUVHAvXH8L dnaRI9xpJ7lcbREDOLDa0K74wrNWaVXAum3YLC9rTM2m5nuLirGkBg1FgqtA+FuVHYAoBF+Ffdae hwzpo43rC5BHyiKa03doved33jETewe1xYAONiN2B98LkCjf4pmFCYtpeqbgmkxHBgRuZ5Rrlsjg GKaUvYMUoD7lGwN17miuQQ8/3J7gj63xs7znN3VNDqXbBdO5outIXBNzIFqYp5Up6vxwgqJ2vRTk YYxBb1Yz/gCwGm+8jbk7Jtwbjq7HtnjroI9y3MByTPdVYvEapVO7NDRb5KixfWch3t6ydYKELwYE P+f047g7l7dIE4kNtgplxI2Xyxv1xQqlvrXVwx9kO601jVEM01BrXK4G051o8FTZdLCTFvSrjmmR sRPQs1ukYqVpKx5oCnjcGY5g4/Ou4l8YzfPYdwr6yH7KjcHHKVJqA0AHR3pr2FsMjeDXn3OlIHM/ Tq4XuWoY/xi4FIidhJzkpanQ0PZPjInh61Pfi4pZeQJqJaALvLj+zJx1mjcCyIdLZP5Z4y7jH+/+ UsYXr26C5q8jSA9carhm7BXfsq9Ysa3bARstL+FZNPOz0TxD30bMlPIdGjsu7kObNbIEYe1yxVMJ /2LpsxBIoUL1wugRJs78I2WDQPnWF4PhZUM/TDQ3Y8qClnejJsLzfjXerx5JtTZ88Md4nHikZdRn EYC6m1vXB5EYRq4RylpJmLgtY4kGy2AZ5DG2sjCTbBaMRWz7vlz5kyiupLnwmZxYJ6U+tJ73U+OG t2p5VkNa60WVW3wCpzhgWwa/fNdXY4p0S7S3Qn3MyHSmyTHBUYEAe8qylm3uYwU09JjSiA0IMm2Y tBYCIQmK0r0chG6pSwA/+ACCaaedgjBXOoFn3xZxPmDkpTgu1fDa4iuEKOElmMOkbrnTlGA1Df23 wNZV2wZNu1g25AyW3BcH2rVBmYk1ZKONccC8u+w8Qi6Yy4IGhRCQWvcUzSz1C7Cs6NuLSOCyDoGI BzA9GzNagbYVMOyt6ZPt2c0o7CnfZrRpxU8iGDE4LAjdZjVAmq+upCZebVSWb6YoQF4U4zqAB/I1 60Xvyf1pBHiShjeKYI3kuuszhjGhR4pUDJCxExnLo/9aoJybXLpXJbUTBV4LsWI/VHJTjPNMG8pS h+X+LmE3jWAAzhS3MQi+T0Pl2cUjnH96rx3b8KuPwXylAVHbk+KSOU82uK6QYu66X34ukZBDMCgC DTNO95RVIs5YuwPa+diFTaitu41W5SypsYT5VQlAOFG7S3d61LOHZNVtOHIBR+3XKE48dMkfTVL7 dalSf9fjZAq7zpAPSpI6/JHSZuSzEzeUSZrSEV3O6f2B/tg8P99/6W7iqBsgN1/EcN3dYRgxWo9z vNOYiK88K2Xy8Ga35d4EV7ZpVA9GIkFBzckqnNvcZIntA9naHK/CIIUd/+AnvLJ2FV6YflJJhAyx S7lvxlm/Xofg7+AW0CglIf6MIVYLljiRpQCnYhkXfBWjAXD5N41lc6k6Lh4+fXXUAsIt8lq/Hivz ftxTtnEJVre268iqIIxDYpxGvAnBJOeLP0RSd/I+f7ghZN3x1sOKc83UWtLaApqdxTE7Rr9zOcQb t7gaAXl45fVLuQuK20jYGNJ/KqBE8pNmn2Uy5kLP6b2gM8c/EM2umvlCIE2eTAWw2fJKow5LaEoY soSoj3uBck/WxJbj/3iqV1ozvTea9f8W0LFht6K5AELz05sqqIdA6XMdU6hC/UtaSbR7Jf+apnyc EdQfRK02iIGoOnolFLkfwrZgFGd5Iu2/FgRCstxMUNp9dY/Mv/0ChXLZl6Ba46ft2WsFv2gd362F +otAc8K3x1Xck0OLJ/5shXaRttq03N63rz1+itXekBShcxaHsfuMGANSxTyPJay0wrjBsgflT131 I/N3SAKO/c2KDIX6PDej4gCWmuNec9jjjMvzZUfKAg9x2OOXs3zcNlMUUTvmQBQq2h6kHDIIE/Q5 /hiC2xvcCJ5ecJPH/47nWlPoQYyw7aOXAXGjHC3wNgbVD4YIn3i/1KTq1P43TvuMT0fq6VlNVMDn lrgaU7RYdE4rImqCsnOsbP2pLOFcqrevsoVriFEQjZxQ2hFp8Ep0vvl7FV1k72gZjM0fs47JNU0T JAOa4r5YEYLnmSzyh3dQi+EVO69ZE/Iwed7FhoDNIl5r20vP83ZUErtfexP4TsQ190N9Gu9ly4bX oJBlFbrfTeuSBPT85Koaz0ncdY6TAZEbmWVLIjyFbvfMW0tDrS7dk61zzUG2a/jamuxj/qRjPjkU d4cTB70mBsfWXF5E4SUVEedn655dbv/mCMKpPX5p3bZRNhKmVZdbVU+h9+FczSulRO3dBFhy17UL 7aEaoGC6X2WszUCqR7ZFvG5L/NyQNhZRUFil74a0aVUU6+ZtJdJihaQTlF/ibjUiE1pGOc1dtfeb HzAaicpU/mcEZNGIMADj+tA3fyd8Zezdz6C/qbj4qQ9paZF2+2cIn1WjI8jGttBKwomvbbpRb1o5 UsH7V7WhRUuN+8dlfjm1VJ6lefA35feh0Q8p2izqQnBHfFUpjCozmUDisgSncEShpiZNG4e9OUtU 7MoAXvB3NKKWODEVpv/LODfnpUdYIH/q2SCSnG4HnJGnMKnuP9uEQeJ2bYtLadxEJtGAgqH4ns3x yaLFcEuZo66P8Qe+x8GzsX6SFBPwO0r8nC/EZox+Nl0Z95CkTlLOVZ8154DxkKQP+anGT1C8tGrD bVUNz+zvE2UiA5ZHiZcKGr3+CxPVH8AwR8qnhIBIow0SNMNnXLOD/49shF5A3dgaydjxHHtxao3/ jnA1HsNnPlaasRbZASik65JnjPin9LJPotJ1T1IftWVxvMWh9YZER5+JjTQGaPCUPLWcLSIQwzak IbrQFm3h+QTTC144R1lcPHUp0t1eW8uGuqZ8ZHSieJKhuz0rQ2Fm6aB87VopfCSAxCyvQUVu+avR VmhAsI0NCfmo0qN2x5CFKrXmT4FilY+nriwdKvT8aZwTp6nLxnCsD9bntcCZ5Ky//k9UkDIjeVeV 1i+7q0Oo5QWggEUa4eFJlZxHuNRaTsjAR5k12YG9lDg3wQRQ+dyQpWeCl2RWR6h7/xJOCz7ilmCe 8pdRAM0DnjarTVcUP9OqRfM95yQVhoJALv16sKG4ujFKfALPdJLI9l/Y0o2jwV7piBIq42vZjt/t kFV+GNIA1SSFO4y2mwTs2eHKy79IOCRTnE0Vj3eY7ASKytlLA5uwBUqEZLyqG/ql1jl0F9UL/hhG LHuVXKc3AOCv7y9W4peqAD1WOtaLPMQ7f6aUnEu3G3OHUGbVS0Exa778LC0IxjSPbxKVbrOoooSd jHW+BctP0cXgQoShC0aMmfDrWH14+H1SLOeqAQ+oqRN4pA8xEFxwPtjrZKgL1ERqZWnTzaGDSubR g922u4Nypet7lgCaFCL10yiqY1hHNTcawAWN1tI933Ww58KxWH03sRxS+rlR2qjxDinXX4wRlGvo wkhFnrjXamMTLZkf3pQ6PxXb0s2qwOaFc21S2fTQmhjvNWF/ypFAzC6bPEleCG5HHiRxIedxJoSD Jn5+EKztagRCP1yCqJ8bG3/nZY2Xke3SWw+MflEUEWR6KGmj5fWN3Z69IXFhbSAIODZzrDnFOCwP dXj+hcWrt37217AtIcVMOBrkt4+El/5TCBjGA/ivWXIead6qxFmygZSgO6DJBTWrJ9guGnc6cRrB SegH2vV7iVxXQK6k+ATd9HJ2W5q7JG/QaPLaHDvM8woxKlkYJ94XW+dQJE00Asn1ZBym0EkTpFmk Dfn9kLZKFRtrBmj8gtj6IdD2hakqBG7dAG8MMuSMITE0kste3tYjvdrMdJ8vKpC0NzEos5yfFIsV f93aEIjEupjKZdrnGPPK/oOOlrL4LPAd1u4aFRn/6xdFZ+/a1Ka3FqZkIH6bgJAZIJ75FzuNm9c8 pSW88cGAEv9n4BLmMgHczOAKfa4P4QeBTA/ei5WM00zIQALPxXTwzVps+j6G+XBdrPVPxJWYg+bE q+vm28SFriRo48DHokfCpXHuAe/p5cRE4+95HbxoFq4ijVgk23fo3tt5U9qlsxvBZU6XpQrG07dW VsXpUshAb5g3kqRngP6GsiIY6ILhYZ6QDl2sJmuQkyDUK/yV8hvvZqt9WCIlKqUYSTiRYpYw17Iu wnlwXyNiKWtPaj87g9JuBxQre6fitnGoDzgNyj5YxUzh+u5BDs/7NqDTIRV8FE8PGobFiHUbAoTB Gq8lmcKwW7bRdyPzkexYWYkjwNuDNcmQ2XfxZtoKbAHXkD/tz1+bBV5GP8AJbBETeLeJ6V1R/1um lmy5TPSi8dg/yuAxtxrdY5b3Ls+K+lTg92Z4C4dmURJsdBfpcbu2PGhc4y+vongQXd0Vd6FmDjhA LWmDyokJSeloxhITS6QQW7ZujpBT8LBNlNm4akdfqqjCpUIWsB1F9cmQ2lBJBLQOlnjDFYVvMq7l iN/QJFm/NESv4pYG2DTBvD8B9ynInYwVgfFQwKoGbAKc0FnnZGeiA52FBiN1gb9Jb/qdyOdUl5tp DynCB8p5ONraKEKR1NRur3MoiTb5JDlP1vXAw8ZPGNV4OLSAjlvrpIlJBwv2hLdsB806gIoA8TJn AwqvEgoC2Ctsj6EuAb2nYfdM2qohuE0DzA9pLxXsrGKuK3tj3cXsE5dwRv17zWC+WJXJQnUAVJ0I vSpSLCnWoJOdFDTgg5Qy2H4OYZDR7d4ozO6IdW8oRbgau2SPJEIAjW0SgwlIKIrddCxGxNqPx2K/ uxV0uwkLnhUBKqOqp2c6GpoJOh0pn22nbCG1O6F4gUFVrOV/hZCj6GbGATChOnsf7O1O3ILlCvQF APFb0SFaswpCW80ePJRT6ZzHdTBtYkAwfp8xYpk8qxWV1ohIiEUZTE7imQ9nfPeI3hkuUA/7ONcg 7qFsqjD5YkcrpKvZHJVYihWEdADMtCJJidIyK9Ij+TXoxc11OrXw3A+8sK8vCGugamyHdCvCrDAB d5Ao2s1etEUqzOAMY/dJ08UCh5X+n4PC6xEzDKi0x9WT0RMyUm3itcqjL7ZG/dBTQPOM7iESPg5T 7jZbJcJeGH0ehyiyULQdcUIHPGlevOIWTNxpfd4ZdjfXdeoGCuH49PCviGhzlDqBErA6TGc+Yjis wCQ6Y0y4Dxes6Kp/Gli2nzytgrLSyw4LitWfLMRV0RgHbykQzIqcFkHnXIxPZeeXBy1VdoRrZZeu Yn098umdI+Dtu1d7PsU6iUjtmFedcSgNVRJ8MLr4KMBUaFfvzWH+wb+L2FTEa3YB+HdHAokWtgS+ fvcWgyl80v429mjDbc3ZC/Is0dnQDmwXn/JIX4F72ZXiUPe8pzaqFMr0jf9OkIqr0J1x90wNWl+L Iz8cigDEp9VNhvTfot9MGAhr4ZDGKDcg4ZsIUgghPBPu6BRTQBoWmMHuIPcZ+yxrXhyUmu9BKuV6 sAA74LxUfPp1/Foh128by0R9zv7BtsuujJRiNaeCKJ8RtknpnC7Huj8f8OKxR5NlmdNWa+aFPZIM fUFoVKRsUQ5uExRmqJPIP7brxJmM+QPS4lIFJbctxSC2sA9XHC1KsCJbVYV5sQkIQYTrR9UuNu4P 4OLan3EcqGddkBNob+Rx8uOHI0+4urPXQLydsvQgbANmr5fMjN2ql9dm53/MCu62c+JXX990Thm0 EP98Ur5Vi9E8Yqx4WemR8205PI0QoOcrPzsPSR/tARUEA0sibgEUI82Z0okv3MHT5Pa5DyQdj4T/ Nz19AlTvBBit+COnoAQoOVr2s3G9CndqlXNn8JZbfnL1zlDg6ob2oiB2Hbgo2wV6AduveCaHhApL eRiJ4AYgbjbskZpXoEF92+y4YLktzif51X0XlaFgee6LENTZwIssUaf/4OgCDKfYm34Ay29vV+VK rQ/RB2EKrs9OVm1w4zGHmfOur37TDd2QS/Em4RKtZV+nS7aEtBzrNjDUagf5fQA6suc3B2BK7SU2 ReKlkoEOVx/csyPjvO5okowDmLQTK+nVQz2iuoweqwl4cl0lZqgocbkcJHU+51HNcKnGIdQ7Sa2t UZHwWsZLHoZmojfIZ0PKG5xUq2uWnM4SZC8f3R31A9juVo4lQ/SLeRKBrLPxy3LalTOZI5JOyUqd o2Wc2HmjMFqBFybBuCsbyBk+iPO1nRAbCKs98s6HzEp/Jvf9b8HPxelaLp5xhnQexksKNmmBaPfv GuL/9bzgR6xtvQoQW85qtcyfmOnXZQ+Dv5sBTtXG5m+OUHDObxbPUlwdZqo7XmpsWLhdVQ3EwLXb RYqkLjFqq65elRMLYE6EPJDslIoptM8yXeQ79R2fvQb0562KxwGulezL/9pvn6BuI/QE6+SGBJWQ LITSeet36ktMNGRTg7to9ejfI4u7K2izYVncsP2HqwBj6wuiWPomiVIKEOpNniU9DsTAV0TB+L8m YmlzIl5KkLShncNWwMPClSBTpQ34NcS7BaOgOWN4Rwt99eyVp/MaJ3HooXY5aNqpDbM13hE+r/ah 0tNXKGwlXKd08sp26s15fun0sZY8RBYlTVHoTOBCNv0k2EbV8uqAKdnxCNYvRMeQPxsFhiV0jfs+ 1QAGDGSRFgZZd3iNGc6VLkvu3QCRAN2gImk3Gn+G86ByFNfhWeA9ydKP+LiTEz58Z6iEy0svhBwQ ZyLoFzsllZ9f6LnYSVONKbtdNu4KnDsCkIjgIs1KtTtnxsX2R/Mo4Yh/KRhqH0CQMTce2iHzdyvl J6XQadA+RERnHxw9jAG9vx2ybRsUiZi4OCj0fDtExgYb8WZcdxkHW+fOONNyorg9Yx6WmlkJ7FhV z/B+Fdz5coQejQW+yvGTnDl5yCYJgymRW2hVyhwY5LTEZzAUYjRqdU9xF0iVsJge3FFp7/XUyboN sLSfrSfLkx0XcuS8CTOzfAXuATdsvT7EE6OJMRVHFBdK7PsQNUIND+dLfcbUCmSw8jE1rDazWVdo IuMgRH81umEedqQo7GLa2H8Ivb4LWwhfgMQMuuVqejDbqVcekrEwcAdNcgf0vlLKQuVQEjIXGXYs bAF6OFPjJFOvVj27gRk+4JY1BOizbfMnl3VRPizXJM9VgzhSMZztKqD8KcK1KEHeFNSpqym0bqEQ nYsMNiEkwKekV0MHwzDHlAdn3C7oBa6xPvy7pjRnl3+EVDnZDiiPJCSzHH5jaPcenHwMbZ9e0uIF a6diKw2pastRzZ4BzQdblfPUT9xzU9vZI8QuWepkWBl6EPGoq0w/Q47yc8FLUjHnE4TZv1ZnDTTM V4VOavZs1lDWXerIqlN273HzMEJ6xgQbiwSCgN1pt+CYg8pefLHIGFTxTiRf2Pfyy2k8SuHIMytW oZ9kLJmmME55jKZHrXa9Pwmxq1pIN6S2/NOr+TPXQV377fuIOOLNgJwsIC6j0bnocKGjBrgh8Q9o VVDQy49TqeV91Px0tfsc83K0V3iJEqcOdPcWL/TW94fJQs5RTVfBLMDFZmKvPP9ev4gDWpOJU0Ek ebw4hafYwzEtbmgHI20jxe2YVzaeAzHVkSWpqZcztX3n6/5Eig5QxISER0UvmgLCpkZ1z/hLf5MM P2r/0U9GSdewQxgN9FctgMaBb9tCLHhb29G3hrnfgBcNrgvQET2LGNrzNnCZHg7BvI9U4oHWDDm2 4W65flEVG0YanvE0psvl+A0e2f4qfmFmAGnzt73JABPqD7+vYZ3VuSK619DocIKSCivDp4whMd03 hfqARBSj8dgGOY/93efLMq/6agZn49v7sJ9gyctLrUU7fZM9vt+2rSWQGNkoUlP14R3M+CHL5lH/ d9FUblvwhLegi0eHSMz2et5k1HB33HAbmkJc3DF18NHRWoxic182OY87X/uhkY5cX3pQTHvKcGh4 fZ7NRFV/OGZQAxmiHfNpH4N113Oya1ZqYbnnLm7dWkipj5fTBwhQqK8s+c8lGyz8XxA4gKB9KVQB zoL9RMAI6/TguhIIFj23EX1yhCNWj1bhe8E+aYD3Cb6+0uy+MRmPqqgDfU4+vvLaH5pAowrgkWAG /X1BvUQIGBw66KbA8qfhzibPLgG67rkd0LsAwE7Z+1ePLZuBsL5lDexM8Zdfr47tjlqncfTmsb9x WAVZ50ZyQ/xSdujwVh+NVYR+BNMhKPJ2KyEEgymfe5iRqEOfRwPH+sAA4dZAxTkVyjhfe/5y7B1/ LS1MWGMJLoYN5EqlmslI72FgGBrieezVm0tyHFu+8JPuQOkGreaV7JnkGGcBWOb1LajZGDdYYRmZ NNpISNE6fBEYOPOx76UA3wR2y242JuQF5fcTAOZ6VdHOuWOy8YNFhTiZ1k3s7lW73LdwlRPIBs4h nT+HmgviY3++01HTXWdBx/iXrwwDS7dZRCfTNEt7negMpUG899snt2c4vPdbg8ags8obGqWwvV1i FJQXHl9jSTKEx86gvJ/DM4dgmWCGNAwlkBw2bicQh71yaGsf19MrnQBLJVcM5RXxYoGROZY6h4n7 Dke1Kn/kPEYmj3wkPzfJ1epxQ2QvhgM1n6WOwHkLjX+3f7qsBtr4U+e+DH1AmodMGxZ+YhirOlI6 B58mNH2+NR7grdAyBSwadMtrkw+3pH/gpM5S+htu6gnnusg3e4jcTLUdCUzDKY6wrXn+4q9n25C8 hhO4cgbZv+xeijLlhd0iArZpmR+j94VvmMJhN6E5c5B+lKJ6Siw5GYP7LbUtvDImiLMTaPB6xLr1 mEueOlDG+5izcRD/C8WbVxH7JTQ821KNMYWSY/jvKmjqgwkQqMRyei0iNWb27TDhBh3/nLqj83kM Rw4D2MWC+uo0PPpy4oHMMfNLI5OvUvB0cCMO/WNDS4a9KvSb6BBGFK8l0JOtdm7bLDVlWo4hjuyb ZVCVMZbFXh86UFODVCdBVMw8T/lBVPjQrug0xTQ+uxyE2ouaCF84ZIS8HYv/0GtA+7ux7L3f2TFN zLym4J+U7W5Ua56AOmKQaQ6RKq1/Zr63CC7QuFv3Y5gWUwywmgjiEKXPuk3AlddgkdoQLX2fq6BO CIqs81AVVhAT2b7vzW/PM6OmMjlKwOBKhjA/XClMqQ9fRfDVFI0RL0GPQRDATvcMvnlBN/rtWj2C ZFVYjGuRksX8J93JzobHWwwLs/HTPGC7/PTS438Ur9TEF6GYIHm0IYkaMK1K9HHt3GQSUPKItzuG gBniK748vXTiYx4rUbHOEKGKe1w4i7i74+OtPnNJ2G8N4EaohyLxk098vTIl5KFthuP/4ZyxtwSB NdGXz3KFqt9NnIns47v5BJTT+75UgTtH4ro5XrRRHLCxt1yJa6OOV9l0Igpn3WKp8uQRgWzJxHy0 WBHhg1+S2vwKg+GxwwLwBT2D4ar6/Yqt7kXM8lAZBF75P6bmtqjMb+oeKGp6pdv3rnAp2zo4TbyR 4+qj1UWpZZAeYYwSh+DO+tmAPb+5egOrXw4e6zFE/vo0w9xYay3RILQO7Eu+ye4LPVZXSiARQWXn DJ/m27THNTbKh8TD6TtAMzzkbmPzLOR7Kb2NCmNP3wYU7Q8GzFgd1kiM9R2OrzHtHxfzL6Ie4cVd UpHJHI348zajaP03j4/H+cwcO2C2pfNzhPY0brsYaiVexxNXxh+bSK6IMx3ebT0FOKnKDN4dDsC3 Q7aUJk8jCeOnMhTgv7N8qHVgT1FEOHyzOTeedU0qXTeR8WPxvUvjs41u5XWcXPHMWVO09Y8wI53Z 84eIZAns3gpJx93U9Rrf/ONCYe72wmRuYkp2cCn1PqSCzK6ouk2NwqRx5ff9kmpjyTifo/QjydW7 Rcj2r+I+BUT3m00XTVau284aUnT1HQeM0zazfU2VupjhXbPvQ3vcZj+Ecq4BqkaO9t1XX35UJtQR 1IaVxKgiMUqQx2b4Wh6VHhRp/ksLp3SsleLQeVDL1qaXYmmDL3sLUfik5G4pt/2o1ge2Sj1oQE/s oGm8LpY5vU6BdInffOS5P7NAMvQh3VZDZWu8+saMdl26rXa3BINgncPnRAxpaiAt46iTQgRV3vcH N/ypYlUU/b9vUMMcKjqr2B/on4ncw8crXOPADmEBvkOBSV6Ixr/V+ka7dIZWVz13yWzu9Lpah2Al Rjd/++0yKGdohRP+GX6PVhOPuu2ZAW4Si62Igpr8VyoJ4PD4Y418UU+1n55yYOiqEdAo5MEVxfWo yLhzfeu+sKpyea40ZEbm2C3Ry8i3awTN37cp48ETOLTTxWxr8oHONbyc0BFgXbGzgxCOwpRPRySQ COTPMtL8Ts4SqLHaCyO4wKwqHV+GQ5p5IV8NMIL/nHDhtM7ezTAHtykSPuY3fz+AAiXRbysRzUln 9/OGdP8AVw3Xlz0Yolii0mfK5nY2b1YqT8x5fu3ToirT1r5RpNIEKNR+b/0Ar7SOVzR7al0z6EZf YEXCU0nWkBrVAppCVJPTwqcGH8xAHTaNRVteRZTbfR865jsGVdvtZLVdbKZRHI7GrdZP4EMNU8gn pDx4cYMX5YU2rduOKvSryANXtMLH6jx/M0SU7ECxwmeoUvQxMbHF5R4uIVGf5lUaIHt9Q8XHeXVU 5pOnv4HOYEFiqyZt6DtTc2O4WUxzTi/l+kP3SS/36bhgpszQQ+s/Fut6EyOOA+rOIkaAZknpMB0c mfKZoseGodWKo7Jhd8cZV7act5nZ+cCsL9a4OKTI0fzsUL6amSL5l1l8A/6XzDWfucoIEYvSNycL gXCVleOuMYQVkXIbs9E4jyTOKv1TDRVCuivWb0PCft77ChGL2I2vxojz+/aG2dCbVzfis1rPqm1P lSZtT6iw4KNo+oPlZmW/nqsAH859F3g6dL1+L0SifQf6dCqBNkcylUz/PkXYrAtuhIzyfPMUC4hr r2Dwvh1a61ivJAxMIivYprZkl0Dk/8bYx0iSzxhc9VSQAaLbDc7aH+bVyHW1OsHLLr1AbTuPJVDY 3+FIGR7x7qNzVU+IOppWyNCt2Ft+q8mbDZmi8eQNzRtBsgKQSOSR1PPFcVlwJ8x/AM7k44D5awgE YYDdw88BI/ULKaEJyFWMlcHc/62XKpio04XfHMsxg9ph+vM0ZwAUE1wlXxpUs3HGCwf8JN5fZCeG w6efQFk/TsbDy5KNV3pS7686juFhGnb2sDkE60Bn57NZa3FztXo9mJ5mzJkF1nWbVmSKdxJ0mpDu 1xDld3wFhcNso/HFhvgThyOgWUPZQEC8WmIRkXDGNuql2tL5BAM2uD8owhD/ufEwdLLkId6GOsoK lgP6FS133tCqIN7WeYpkaGN/G6de2sMkVKz0//WveSmWBU/UpegQ5Hqk8GRcVO/LWeRHHq/BD1JK co0y5pENLKJJh3ia0jcDaKHRTmTkFGak2wtycB4KvxM/1u8+DA/pfOS5u82Mf0omUBdlEg9w7VrY DrzbjqLL2a6zB8u/vl1kHSo9CE3kN3znNDxMVrzWAFWW0tztJpqfwb0dSlMxkU+XdVB2gXfRVJh6 dQYNkg4euw7MZUQVNiDDznbRpA/hXsja3YNlFV0z/WSzJVkL+X0AYG5U7Mj/Sce1A4gc99FAbUeU 5rOltZbwlgU+dOY5R3Tg0Fjg3MCS+sqhBTvxPdgGBksbJRLAvbO55Kt4lFCK8N6JirVVzqL8syjt VHrdt7pL4swDJIZTeS8DysI6fax35vtO3rhJVH2ukyD5yklo/RUumohxurn6DJ54HuU85wv3ZsS0 8vvDlIfiOi3x1pnnpwVLp5D741MzPtaMDwOl/DR30TwSDFQ/GmoxqacsBAfr0lXThizjRS47FZim 7UXfc7gbkV9AXaatzFH/7lsmKxEzVFQIPsY6gt27BwheVZebFxfPHHhCzy/rsGb/kFKCbv3GCrSq fvJBb/Yr4homgHLVntujOiwasJyNiv5xFyKM8pvvrIyOks1+7lJfaYiWaaWVHrDD4GibegsS0UGE Xky92ygMt8VmCtGAcVtIsMOcBLO3pkAdsX75taODVY/EjuHwiiKFdzATmcKzscnbNweGYIlxb5a1 v5W3+DjI+Bfrxazso4kl9gxLTsQ/jqCkqvD4KxK99TDfzPJ9BaAOyFK3K1AyHi82yTrIEo9vDSZn QCzPIKT5BggZYoCTvw6abv/PiNZOors19mPFQ01EdR9GlHz9lHooXGZ8YCyMD5XXZxhjgDC5f0bO zo1iZh8+iYkwIlTCxRDveCHbj1UUmrnF9j/+VrolpSgCFRSaGDXBndNCUb3hJNCd6yOof7iyaqYf IC58vpyfh5ASNLITzpeA67+q3WXd7R0oXlaoAumUvcgBqOBQxUcWWnCR/JIpJ0pyhbxSsHTGJHoS dBOioGauBxhysIGd7kG6gI3/GqtR7zFCGKgvKJyUBw1Q0qkeC+/l4tQ9h0uLiu3HXm6KXnva/mRG 00AfLXVmGPOl5Bt/pG3fwNCmn+ZDb+l8ZcrCoAHn/fUrh8bX7zM3TpDA3qrCXa3V3Oq/NytU9OqV THdJ2rcHMmgIb6sP5TJe2QWNCA4N/NE+fIh1cEWjS+zCJi89HT24PiPIujMxIrM9/HGqwgkBVUaN EWGwcRjoqlKeFw3bxqgRIRpM+DfrhedBgmrRlpYM+VPRT/cJ4o2myWHpDoDtecPdgssDOErkzETY Hp9zBXg75Aw+q9oBYVwKdsp8rchnoCYnMVY/12MYXTIPRw2ADMA3vuLrICnuxNFheHcA4Wm60PUw i0dYdUyU3+EkK7eDkj5klQBa5spg7D4V8+01kBwvu31bfaWr7uUIcMcbtXKF3apiZCpq1AP1SkjI mDXIfm6zRuBH81bHBiXPmBQWd0WUfP5V/T1YJdeZO3j2UQ+gH6XMxi6wnPx0TmlqU0dmPyoO8PhS DfOcLjLfh6WVt3rLd0TV5c0lNWCsmXJDgMowxEUX+zefAfbiTdPas4BYkLzyWRIruGVrYl0uFdwY f8Bqh3+ITAPhHaYiXyzz5J5F4l/f1/5tCFDJZyYsISaQTM62n3tq/CngBTAZinmty9vFoMlG8oWe 1W1VQz5vf/QKBpkPaBDUJDuc2HUMA/3T0lAzZMFmurhk1DPm/A/6JYSRWL/JHtse5QNBqCDZ32Fb swIOBdAdlOvbse1tLXy5EHXIoUotLLS3tkvp3KCi+BhG80mtLhwH9Zed8W6KEjUEDQc97YQIMslb ovOe1aR1fS9HZc1gDeQkwTnuB0EjaTCo4xUcECJDSz7PDzQgY1DKQrAekDWTBKNAdTh5lD/ibASW akA9hBbOAb/I9DDCq9XEJXLaJnfpOjV4AeElCdgK1CliZ3WPsgyuGSjYu2FQe7RbHWSEtn0ZF0Hh r/hnJymQfJ9WBRFIsGK9Imw1HN7WZVM5v6hbhTGPZc3EdrNkgPjpbKAHWajA+crnA7gamQIamB0e Y1WjWk0WPx1SK7AZD/O5OKcQna6bsMxJTAHuY8lZlx4ExD12VBU9oNxoUMCKfsRoGEOTLKjAqyh3 IFG9YP955k0jy/1FBv6pZgPmmUb+/6uHXP9+IhfqctqAOp8kVKr6Q0dk9349ne/C8KKIpjTdtS+X L641we6PkLROcgektCzMymB0YnKVf9omr0ah4J4XDuQYHpb8XFaqegQmAbK4VCPqnJXuqgQJ0vpl Zy52bXlE9qbizmhLqHEF5G7oasJ168TWRp7Vr8c9NO0tVqiqbAEanMxjcf19M9ynoxfFpjL+T/ri cmeLenoZIJAHdUGjoCtRzQ02dQTfpxflCSKoJAY94IJXEmH76OP59WVpMarUqXA7gW1Q9MGhZWne mSRANvig5nNLNHfIXeZQTCU/W5CUyOC6xa5K2M8dVWut2VqM0yqYICyXRfgpzQfvedRtjuwQfsIT hg+D+t0NZTl9N1YeCD6yLZ9T0hUuQngKv/5XoT/UEkjPcwq6CY3vHZJ7a56HPeO0CikvsxCmRBpG TB1mrLiUWQMRsdK+xsFS0myqabo3LT4yiVS2ejHGOEzdS9RpHmW7w/DzOfjewuvPP0/rE5KtATCh 27ResLhvbtIRkoc9PKkYwonv1YezDjEFu6Gs4wAYZUmwKIO5Y9Mwglhm5Nl7nfP/FDucWx6RPJRC Skapajsod4SIb2MBy+RboGNmMBQklwQDLZnXV0oXlseOw5gUAQuyGCq90/XlvV3wDmq9ABVSD3xu TdBB8CUb8oHyyl/DkBn261lw3cGGNElu61AbUUsO2b6e7d+p4rEsqFumHDmR96eaquK8SvmmB7Dr 8JeRgH9tk6k9n7/z6xqgBuSq4Z+J7FX7dBQls/i3vloID84ZWFgfTJPrE7v9ewvaA+dI4zX5cioP Wgcg7EsyKbZup3zatUHxV708SdrzQEzglwXppf0RdvIBC8E6d3U4grgrhOhetHSrqVK6whPgd+LB HbcyWrm0SnrAPckm0Uy0f7OnVxdOxZasqOhjfZw1MO7wjwoqsTcBsTmRHoiL2mb1Rs/VSP2zLZxC tXRESgbgLTGw0RUmouZh7dB0AfN1hhTc1200511H837uLD/KkU8S/mtLyHbsXc1/NhPRCR1VL/Jq ZlMNmTT5NF/nN32yX5UUsZbO3u3Uas4+rfHeERH8iZ5NqOp5RTp76tZZdmM3Y5o3ec69TZVTLpwc FD5QperhR/zEK1DWpA/ZZmwxx0kyccbAH6eRCGLL3ehOIL0t0xRA5Ta9Rb1/naxnoqZl0M8i7FGf xtPKzE1+O2uE+TlcxWsmhZcyqy5sYIqvAc5Jn474QrIuoG37vYgNIc2hcQs6H884gVSuN4314u/o wZFAyt4bIfe8XhZsMnQXsiLKk9Ek34JUvS/KhIVFKYxZwobeg4ZnmOb3QayISBiizlHVrTPuzzE/ qOVtds/qtG51Jx2/1ndsLDPwu0a8nB1VgB5s9Kp6/hX7CKPaVzWV4aSscy/hfwgM3P+W8+YYpu54 anI6o7jlG5QkcpMOQqs1pzvrNEzxQIi6yCLqMp0/kUc6S7lvbvXnCdXUpfLCfx9Zp2AZGgy2quiR yeeaPf4REL4pss07gv0jqH7+kQ6WHNhVCvJYe3S1cm7ndb44OY/bjRu0gQQoz2fogVhe9mMKD/DY bHRl8h448z7UIDjd+/jiC4jm4MOPiONbrKsKTqBTBi5GMTxsiZqKISOxE5eDcl6iH18H83aN+Sng byAUexwIFI/W2gv31bCwW4CsQW2HcXsaDwtEhDkMr+aa2IY8Gd2DkoLHaY57n+JGQI0tMf7h3EgY KA765Vuwa4CL8eYcteGgHmgJd6cPLUUZN6SUZF5z7pEQQwPw6g5m55fPXvwTLGh1bWERa/o7aPia xuPbgcxrFiKkYpqx0bzip2G2nYpx15YA0G87N58r9YPMzScfmi6fN8H3bc8VzzmBfMI31FdIPyGb 4i6ksIrRcwK+7krG58riKxU5kC3vKiqz+4MRw3aFA7IkcTg/uW6RR020RDzsYNoP+WIXrg2Qup3q GRPAcXE0WRUuSNRmhRhN9IAUsWwJ+JJVy8vJk3Bae0diS453HMCjxv+6JMJmYqt1heaPoIEccHG8 9LVer5HoJtb60lxfuYNkNqVyvsoQea4p49XuBQDLVrfz1w/QfYfeJJyeVP+XquwrltALBMVo1x6x iWWqULJRBZn/qiaypGMCG5U3p90lUJI+Wtnz1XTRzPsRPb8T9E66HGYgBSm8yl51qv+YIruxI+MO 7gVlAgAC3JGD/Y5R0uEcBJjOG7dfHV0d1vQm5Umbi9nC7SfOhevNrzEIXF4wes4u2wHJ/OV1BkyG Fg7Nw2BvmZI3CEL42Vp4Yl3zrg01ULVYHh1IZYmSXILPAr7gXLY1W5BdFcVYgWNLrHBiAp4qOTkp v2Nkx1lPFnoWH5pm4eg3XfpGnr4SXaSnp/0TzhjDqQqkV7Amj5BStj28HV4fQSz4yYNjxGSMD5SJ 6ECtNrpQrwh/c9W6ejjKUB48Co5WnSHgn++OB3QdJMjZ8QQFQJfjWfdbk7PJoReEuz3TJvuULXXK Ygglu3NrLWigiSkfcP2J0KYvaxhcFz4OLwe9ePy+076tYI9iUY5tBieNOeVOTDMw+MT1614s+OeL f97ziiTLjRJ0O0cp1y50BWW9s4sPvJ7Yj+2znoW5rjeQ9dw4vsncCldLLJjRn2qnEpWViiaiG/Lh qqD0cGsRYS5Knn6QpLteQkmQk4fpB0qhw5Wraxq448vCaN/U7MciBEG8QQX4VYYqeXq7R3x4QDDt t9XjZs/lCfY7T4kXkHJTdmbhszqt5amnROFAfWl1aJtyVZPPj87kECmSXA0x+OPoDUXYPgGP6+Xw wVkb1ZBGR81BSjCoJU+eXFpNsYMel/MU/QdrM8dRet2oub7cekehDK8dy0i1px1J1wC2n2397Uh9 by8p3mdxlz3sMMohnoYDulMfHTSlGDZtNWVjyp6Xt46J9lGH1Af6RbLhO5pCC8vlpY5n3fTNXo+h UxeIedzYWyNPDQng8FttlG4rbYbmwU5W3tBiqdvWOsC1XNLJh1gB5meqMpj8+X0b5F1XP7evMzRz XMBg3a7xQY1du8E1tgcOpq5zsvGYwSGU+8/eDjH2k/na6WILENfvEZ9aHF1hvMfV03aTrfSq5k3O sTYkEhTsrLDEqOzKIC/sakiKt+IusaxinWURnr5vMXy5XPSWXWiRZI5slDOg6IokNuGUHqWBZx18 XaOXoE9KDiY7ePUxSAIEdVd5e5Ishi5DD5PDkyAB1qmXy8cxV+icXpRImPmJIfshKGXx9ZOC4QwM eWaMCKSZmOTKavvmw/yp6mc5hnb34H9x8sW45TNDdSHW+6yihL2BVjtkLiTRkuRiGEN7UuLjHpu3 bijlAezMImXzpYciwAjems+dHE/+O5tZJpoZYoOG/xT0jFcXeCvzzdFxgY4FSIDm5gK3fYL048nY yy96lCM4aBs97l9GYf0oZjQJvwzzkEaqJ4uAucWk4qNaNafIs3xIuwMK15BvmVvJ8+1NQJQCcLcM yEHNvOhoUqCLgD97jsTMoII7RXE7Sv1WNQT0LgD4/8BmOgX/chJw/oWmXAjxD3RsCgwAbFby9CcT Lczy4h0/77AtLkTv8QE/aTmUq6aB1o7DtcI5MX5VhcoTvks5g27oS+fRHSKhRv8VhQnypL3vfnG+ S/JsSYQv/X2KrrCxT23+SqxeqqoumKhml0SIEtTJD6BTAMS8llDGL/4liw8kTrGfizz2Mzg+z38c 5eDuVDyZlfOEyWE2bt00BfXIvdhAT9+tjnep4Q1Vnl0n0KTgk1jG/QlOYfjcOMSLOGtlqqbAd0eC 2RloNHruAzwrUA/10/HqMou6DCUjJvWMOnSH5bR8BcWwztRobIhifLZSAZyZBVtR5sZ7cmBEJmtr nSHZATGk+yTnRLD7SZsEZzbhzdV8rCBNu8Or1uhFrniQTQROmbJaNZDcCRT7OpKqENAkzT1+0mAe fNUHxc6ZL2pA3g08FrQL5zppDzntbahMzo7dsqiwr4xJn0yrgVOTseHpj4LarjhB7nwKEkbUSEQ9 At8x8KxfP6VQ39EAOx+ByNLy421PkdIDunoh5pqY+FtIk6rPh3iYs1v92vqMTH8HNDtC+048zZok ePWBc2e/jsGeLEpJlW0jV4FFTm0ainL6v1hg9cUqr/11/GGQeSM1Q9XbWdpzscR0SNqNDr+CS4yy 1P4y+wWj+WnEpQR2WiNbCazQDp0uFTs2dGFy4ne93CotyyPPkJ782Sv2VdB4k3RQuzQoj7JqWqjr rTGJbm5obLQYRCgq8H413yUtGrSN9Lc+tPD2cQ8F7ylCmAOAAqbFnpuw8PAn2QfbgrkspP2TCZbY jhkq0A3hsWnQSVfuYqfkrTxDcczuJi89jb+3HIr+XttCQnaZJxStE9jPLx4PLle5OpK42qodlFwg t8Q34ejLY7v+62e6Y5t9DZ0pfYnlAggELJMOKfeaRW02b3MQbDaqOZoXWFVBBUrDS4k8XvUyw9GG SODpNFAWTtCsg+UBOPcPbA3XU77YQK9/RnTPYsT148ehGATiQz8MZL/X1N28toW/rdLIE0RQqQRt nK3j0fINhlo6uOWQN0M5cVNXwyZoMGcZTqT1AyeWYeLSjUpLez1S1i0efVLGp4qKMi16jDccMvlX 40yep9NNAMAbteSykop2kA6qrzZRX8zZgCoZYYOX5KWii8mzetj2CSDgRVUtXyrCnGchk7OMmXzK qYqACS00mGHHuhXGeqLS1PoqiXSFI2yrocENFxmCdfnn7zj/upEIx5gTgcHL6ABFZgYRMQzp4zhv LnGyoDdwNwXf/49YQbhEgr1+6RS7jMhs9U4/0NXtDI3BS21yb6RorIhLQxH7ph0Ee0y6CBn4gstn r6EZuplNi0qXji5u2j9reTEuIJToc9X8bZXmlPz8yllsbwL4C5J6GmwYZFH6KuofhTtkXKgc1Php VnLv+wL8Ix5f1sv9yxK3dmJHlgIZJD1zwRBWRQDLd6uKaV+muS2sJUZQdyB2oaDVCbUskTM8tDke MdoPsu40IVpEqsGgneEYRtkCQuZp/ZR5MlKiARKtUq64zOUBae8UKPgRIjF0comeK6Qy0bXxtCI8 JhKov9+zh5H0/45vkjaaN+kxOGhOTvqR/45XkPx4wyxNPGukrIZ71EtYanas3z64UdLOTsffseQC BWSApeHu0+9b36QOC4mIE1L/m4FaHpwai1q7QNPe1LLh86J4F9QYTR26TlESan2sYRY/ti2LHJAV PUaRYtJLL7q9nFpn7ycIywRsCDgBLVfm/p1HQ3ZDmD8be+P8OKH++Bq9l37C6fNiPzeCUAgGBvfb 64fNupBq2q4jqS5hErMAhj+rx5qINkEJEUwy1MfriPgP1W0hAaMxVTbcg2L0yoNDzA7nuKZDbVQY UqzxpPEyb97A5DlSG2+qtgRusUGDCarXz+iB1q1uAMjMn7np+f2CJmazxzypIYpbOIAtTpbnwp/G E5G7g701ewTWAiXs6FV2Zi4UCRC0iR7f9mZuqDo+crkBag2rd0vGKKIDEFDRQ2zUhLHuVGfbuGBw 2XmYgHn3gOtcaVR2G6g2hUDxKDnmE1KhLAnA8HdLPEIfL6WwLZtP/F2Ht5u7OJ/3XTkZHTMDXR6m QXgbek/dNIjH2IBFt+ga6H33QhFdyN1d0hvOUAqVRFau/d8T2/5QyKyoCYMTmyQOAQKRQXSL5LDv wkyGBcAXtVPNqe60WD7mNBDD0/GSaFrOc36zpED6S5gflveZJQH5GziJQDwHiHTBZXg2kkw9Da2+ jsbTMaTn5fOP5W+qYtAk475Z1/w4ftbHMx7YqDBUF5GdIQY6yUDPDvNwIVNHxGVvNZYfOxim4ZXz Cenl5CSc3woiL1p9AbnGmtvVT235Oc46Twd5Ni1xPM7CqyIX9bwgMJTcoPYS2HtA1HX8+0al4CrW 2hUk9uZ9RKtA2fxZ/5YdztzIX476F4X6lLtXbYiZXuW/RKY7a58OtYNU1ipj8cFKd7k23RIHk7S+ TdiFooBmXP46BaL2yuHbc/YS8PmQe/JY30Iyf7HaRhMOZ4dpN7CVwq1L5JjJi0u536qWYUcyDcTC JDzkoDKq5ih87ZYy172Y8w/dz87oVHqupBh7s7pXVuT3jGAXOLpSZ+wa/C3FJTPMF+K7D59jh2aG mEc4tkGgXW3nSnyhEaZlXZO3z+TMnAldhrciMUfhbOejU2AWPyCyFaLf6n8aWvwXkL9cPX7mNtE4 pyBVnYEpZoqqziWGdUhJL7noXT4r1G75FV8KMsWzkMGWb0pA+m9+zkiUc2eMr61aWbD224Uvxmoi 0+abRroh9CeY/EuFBVzaF326f1zolAT3HXG4FaevEae94QLN+7+I2BNfNxYQ13DwkXA1C5pJMnZe FXjBy0/4HfZsAKHTsOLcKdL4lg01usTxsnEeYPTrM7DGQjha6kQtRBNZPpDLu2E5cudfzV1H1QXh WjsNBfVnOPaSQ3X0MQILtAjPNrinHPyl9O+FLVb43CK+2A+EPCg1I2zjJinf81Uh+OtMNlI21oeX sH/Jc8FkSewjXUIhpnCDyeVKFtuvTMNzLmyxK+Qb/+PsPR1imictVbi03svgQ3ArGUtZuOEZBxD5 cUstzDMKPekdD7VF1xCW2peSWzvFA6LnhcrwVu91Q/0T4iXThxQj1X9dBD0XSSyZVTwtV50ob0eB ci+CceySV4696JPoYkN7sd5lFF+AETzsk9/ewe46T9X1WLFlNLNA25L0wX7xQzmRKfA0RQMo6eOM K6Zhwu4YOJT2dGwFtciDM+WWEcRnM2w11sNaUui7oHAHQvDGoV596/nhsy0PALAvZkqm/LV8zw0H /K0x3+D8RNQQmEGfWs9agFLEFTaBxez/7FiYESLrYIdRnQlwthVybKKMM2DbNdcmeZEfxn3WzslB AoI61ldvAPlGo07vp2gPcjGQzGVuzWrrYgDbK2Tz/lOoIoZRs8rEa1l2mTTw/yympHywhvi7yzga tflrveeqaVdAVp3avPvz5/FL4WBioCINgZ2JoePEwDre5LgpDtbxRnUNIEiunEaS4Yooo8fHfGbb ofhg98hv4ql0kbWGw7U3FrMdlK9lST0r3C+jOhJWqnrS5ES1g07u+ypWsC2XGnOcZsnlalk1Tw4B 0WKbIHwU7VOioq73E9y31pHPcNrO0EZBkPC7BFE915JH98YsKsAcGtSMsQdBOW4bdY7LqNXdmwJN J02sTu4G1zaIkTa3Eiwajn45GeHP+mZxX2AjfgwpmqbVoTaQpWHRHUJ1l64fxiQMZ7mfG41sH9yA GMkMttnwdZYj8S+VdZXNNLyOq+qYB6NjA93iR/H6VqZQ1ogCiF6SVzQou9YDRtw5B4fWKJFimW/7 lyVcOD6zk3g1nMebb4/9/eb5e4z4XZyPNsqNvZa3uLxIUE8ju5uaqhvN8l0Y/JO4UNMa7+/fEO16 WYBKY8sT7BqJ7c2MaurTV/A3yl8ZMISTAeV70zIO/TUvjzyP04pxEVxf/SZjlCJodtJd+yp3f/R6 X8XGuwKeB5WOnqVT7j7AgVjHGI9Nld/xXr5kvGKRAz1+WF5OT8LNycO0sUAoL7CufcYSD2sqDR5h vCSTEeqHtJrvQ+3hEcMba6nJ950S7f1zhmkssKKtwaNHEik3cJrVQ2PAj95C0tZc2EkzElXtNp8G 9vriPddSl5r7yd7jwekpHSqd2DgKnQXR6cIhxUVQ20pkP28qfsyRw7Q2m5P/FdKWZJUNFGKoj+oT 6Cxsa0vAAFR6Zq4XDOC3VVYh1g0X3YCX0yNdCMiTnUAx/zET8kwWFqiYUanjjHOTNQttj7XXl6wm ZO8m5N5G82rbBzGD8t+xXvst4jeAL4VDK2Qb4pgi2AV/YvnNZqsiX2ziotysE+cI+LuxXVofNCXn j67FMgpP5ugEDJL56hCKoBF4Cu8IOAK4BI56DPKT0KW+Xjsnjjv15Q1Tch1KMItcqCJAX3erWvz4 fFTG/DHwypOax/RqbyMq9OI395fKv1GYwk93/aXnFM3oQ64l34Q4LQ0GZ6B5pfd7V53ENk0QwcDH 3d4BW3kswN2ze0aiABMhB1lF1RTFY7hiKM+lHlCaPZ8Mhk++2GdHawEvSm6MKKkBTEzrkP3buodL HZLCUMdLw1Qd48O7ezt4SAtPrhoidAqOBBRTT6xiOoSGU6Nl5aI005SB898/yF1u+7AA0EzXZoUD JJDUACqrwZoDU6hwGguD31xEHMM44fgpgHUZix9mykh5e4HoZOtz+ovTZQmt2kDZIU6h2CcnLHN6 nptGQJ6EDpxAVZthO0jiNwjKc03UBG4BOKY/FSKMhUJjdJbglBgtT61t2FP76EZZWKZ1cXIJWtVZ wmjMm5b7CIo2cVykcQbOElZEgRAtTN7NKeKM8KqEM8zyhNhUuQlRterilmyyRP8IE2VT9UMvchg7 FFit7llkBBMXX8lNMUVVbn5HMUFJu93kDEVUR4TkvSkWIWTDskZ/4K7fkoOQfx2q7o3WKvX9DfIE LGVRQFfKk0zCVm2CiQNxb7yCB9ikybzdCH/Bs0NrCEz/hx58G2xjymcTtx4jG83j0Aalr0M3IKG4 LaQqwBJoLWYyl6SSPyjtlenktaSew+1NXNg6daxiLKDNezhHeNOH5FRXfH9X6iZ8eKpB0RfwVRMY ZNONjUPn3+On5dq4a410EdgyiuvqxHPKhiha1/xt7wViScGA0VdynPFFEws/Rshkzm2r5dpLFmPj N0LWT7QDdIlsOzICrfSQcDW2LdJ5M3ZecT+XKSmBcR6SSddlBa64m7xvwfrgCloyaMD/BHdLjaf2 0YacXjvhPXQBNKywTcRqOl0rvAn17sN6vFD50D1ayJnenRDZxDeY9/uUpcGZW8Lz2oj3R7T62SW9 XMUtqNoB98OuW33xes8hHP3OzGb93/t0mqVVzykNZgQdAoA0dgMBxc3ovmFUhHe9QWfGZQ84bVz/ kzNI03CLbh+qWjZAigTSwJke0R9NxuR7gEs2LtV1NrtMhsXOfXzDdEAhkxr7u9AMaisZajxzIt0V KZ6xtgiQ8I9seOPiBS7qAbC0kK2Ez9tT2aSHJGp0HVlowhr12TuEGf+STZ7pZRqZswrjofpWEVks kUjK+0Enua96nHwvzB3QTmcV/lwFMmZNPv0zEzdbly+iS7yLfKRBivMfHx9qRSCRHdOLxVi+bCTv u2Y7JL0oKaz7hr/FTgruMwtT2vKoyBtmm3cZyK8TMZqBFxKpqhLClDcUzARM3xFCvvfPhO0Jq+Sm G46N0cgOeisDPoTTMr/ht7ZpBOTDK0imCpkHHz7xxxyZ2rMqyv+zblDiAP3kNU2Tpz9MkjiS5zYd XZ7KfjjNZpka3JHcchTkXO99xguMDVGL9vlHMuHq7ZAPUMVJEoWjWqi4E8XswrkXun8Pov6ZQlSY M6zELA8rFwHWTsqJnRe+U9gmsqBc8IHuh+vKg9ZZiBgLEQtV+RgAJDIYlpD7Ma9/+yjcLVJflova 4D899QysHQnJqGlxCq4SokMdS98FPIoD8hEg5fWsZUMdhg4YWZhyKSqDvmkQRWSQLYA+9O0KeDoS 7/O25ebEr1Wuoo412M+qErqnPBTfbfRo1WTBXuO+h+4nNT9tSO4lyfDWAfFqeClS+GEVqzA0Ft8f u7NL/j/fA1/zvh4L8tkn+WwaUDKkjyNC5Sndkirtq8ZXIubxWjy1tdouXy/bm8K0vY4GllKJdQap BFQfs139ifDND1WhdTEX7+uaykbHpDppQfAwYLwV1an3M0oHWmUMkwFlOvrU/U/MkmudG+VCpSzX +I5EZhUSsaXyxTfDGL79Dr0wM9QLTnCP6oOnLaOhJEXePKLnS9ZZyQClLmE51+GtPSskeGc8yB9e 293nU07dwEYDv8R97ugP9rgwfCZTiavmGzmb/4N3AYVPYh2C1u+GPhCIMe9xQEUJWwq+R/9Qo+jV n8w9Kb7f7sZPRtR7uOmCDAAZYqxpWb6FmDhhuoeiB5TS3ZHGPKuabvW322tJ3/hpUHEE3dI8pLe1 N9aonqwjw6ZeY3zdNAE62ku4KyZ7SGgBKEJpSMvG5BjmQRkhfM363RpuK2XsWQmrpPBwanGYzqOA xUIaHNlfLUZEck4MnKa7OgkGTz0z5Pt1y5qzlYOLR70NlHGHYPZH3mY+2o1Vgx3/Dr9C6pizMmTS pkyfDRRiTMGgnrFqLirwnC+pSo2iDMhOsyKXWcAgErCFfPlruRO2cO2Kau7JkoSPrqBX7t5ks+iI hCtim2pVJ9BRd6UQCw9FZvQt7BNqOY34upgXvBSdWzOPDfvXBXm2l92qoorQFWffcNd/tNy4XSeK YGaZYrJ9kX/Vi+IB+CPvKHs5ZXtbYQ3x1m1IWu6Xu1z8zM4tgXCU0UA9vbinoA+OlLsvXNAjJM90 dMuL9WD0yiw7dwKIK3Eh/6l639/lar3MDvoH6uEtjbrugXHp5np7U/ZXzvo1/xfZPfex+2635b1G 0O2IZIcu/hHKiVNpYNYLdoAEqmv03DR+Ig76Gi1NFmwT2tcCUBjhgBZ9ZBzWb/yaf7VlNdTXk9bR bcyo5m1kIY2FQXHODUKj8gOuUlGzlf5wReHoK/Ae8arGesYF7hAerC4GqEQl88pfGopvJ5VDrhlE szuoPc6q5gbDLNJ74rphmHhNQPiq00NeElwS1heIxcUQN8N/Y+MfM2DP3LItw1KRsQnUp1QNW7Wa 62a7xsCcrOB5Zb2kcfntbW1UAHghrO6FVvjnSBJ1uoqnUUqZ6L/dt35jJN5BSebbe7wTriFELxBH rpDEIZOEIpL8xz9CSBnuJ2lwZSnA5GuWhLz6k17GiU9BKZo1r0PhgjNGa92x0js4vsC3Pl1aQsN1 hAMjcaNdIEkSgA6QpI4a3PUOcwQDMDWLZzHr98yqhgxTaqBRdJ6t6fLoBvFOF3dzTswwTk5QLVN2 w7lGIkk/Re6IG2qm59uWLA58ia127aqyka9LjY+p3L7zTzRUzigii7w7OJZ4J5RuFYDV0CXdQSQ2 6ruGDUl2Nz9j1elsmDkuQHr5m/h45Nf3JGBLckg7rCwUYPp67msUpv4OljYLbU5nzwnAm5cT4n36 5OFZOFtJ7FFZ+m8shIcMV73rUhXqLHGmF+GgWkeKiiYKo2m9cbf60T7PIbzpKKoA4+Y9QoosVTlt VzzbeC4opvVQU1F5ajC/PNwjEkSIq5eg8U3oyRx2edgb1DH/xHtrMjQbGcHwCH25mZKIFrVVn0vy IVukYTUA4xCk+lNBMNX4Iyj8w/MpOr7h3YkJmNK3dEDnZBXzgJXRcu1Et7IbTMOM8AiSdLddqbZr TZQIK4EFLlQrLoNgKyLmzhn+fELzHs62R+Snck/O5reCKEQMBycw55XPJchXC0f2kGVYW8wJh0NF lZqXgLG0lHktmw2NX5qUZNdhT0F0YDL3JZxuoUbi3/Jad2OwlXTJTOKMnizwKKSVO7wOVtucdEr7 VEd7IePg4d9dxxDoMNB3T5JQ1HSxMY803p3leBfnxxUfCx4EEeet2bbJ8behnjJKtqHQl7FRbwpo xdbDQN5aBQYW0VAlG0/p2+D8KdEyItehsQ1HGGFHXDueBggfNTwJ7louC5yK83py5gAbFop2zIdX F6EV2DtJ6w9MBHSb3JiRAlPjc8g1asoo+aqw5FQY3HH7JJudX/IWBJ93WQU7NzBERMzJDBAtUuth gOdsE3Rxo0nFDu4ASkYOKtOmJPJ9DjrFGqzI3wFp89vo7BLW8JuTw1f0upnhO0jW8fTFrMQVLXNU FQE9j28xWssQWXgb4hhia0AtC74Na0puQJn8JYDL+oYQy558jYqbAXUkbw/T+L8ChLD1qMuHvi7T Wfmn1pRisgThg6zIRKzwDTVPbCHwjFBSyZ32CXzDKHMf5ROa6lHeziaLKwhbkp77cs26eA6WIdAv M9xetrbaPJkSdqNW62vYCWinp/c3CkCaWG5IKwu8tJ1JNjwYU9lN1tI9tDupQp7Rb/CzCG2N5zLL cg08DKB8S1e8g8iSNfmC3XAApFjDl4OgJ0pxVA677+67pumvl37/12PSVj5iK3eVxrHvf6PMo/1h lGcCi4GnK1Q1R+XJFjLSnSZXqLC5YtJE9I0v2B+3gVX4xi/B1et/Ia8gDpp/qrvsU+3bYWTFC2vb yoz17/RKvXYyFdr9L06ocvgNCzuBxiVbXz0KY3Bi27OdPXXYy6y6vzq1bLQ9VCv1oCwGsjBpicKe w2r/OJIK0K50QlFcNvaKqVaxBWbHYi6HYa0bvIWHAMJTG3Ntw+WGsf+Smt8qZeh8yGR3w2B6wXQ5 oMfD94klsi9OPMqNWKBbHtJgeVTbD5nkg8sx+DhnO/Pyt99txhvw1Za9lm9moPSIiRP/ILsCLHTi UFXOfsSIvOUxuz9pV5JoRxjjSYSulEysTSy2uRVj39YCJu7g1nPszGsXDaLP5Qm7Y7NJfHqAPmOI a5DdGpgVYBbqt4/u4oo5ZzomgsWQvuBEGazPCtvCPfOrbzsOq92DtDLtweLtJ0TaYzDrodZO8saW i0u1lKwwuNQqV3un6Owj/YnF5YK2oeYZ2wbNHOMFUBmXJpfpoQxrbgc0kt4fil6E+YQt53DKOid3 vk22kcg8A2CAs1pqh2Cmx3ka87MK+x5hL2ttduPGYlf2DAKBpwJoDxBJuY2+iAlrY64a/3qeQJyJ 0iEegHfveGTv7SKZfZVK1vJ8oDFxXaLQ/VdxXguZ1othsw+4ayuw/PKeoWHuUiZkxjaqRUfoQyMS x242WXHcvCNpSTM83xigNCcS2Vws5KaxwToCdpxNDdDArqUauTFml0y1e4Xnghi04ibq4kBWqET1 ds2U2vf5YF1+GUXSV9fIgUDh3oTiNzQDXnRzERPhbEUmZtyVjIGTJk4AvfES39gkCbJgf4zQaTIJ 3sJ3YYMdcR7ZSEPZg8MQi86kSLKkRdzahJdrQjNfs9PxEfTsL/03yYw6mmGTVGz0qLV4p1ode5ly OqBPyv0lgsdvUVJxtrzWkoTtHGh731LsYULGPVpoN2oWhziOGxQfdmXC1G4meTUJB+IXreLG2P+L YgQOzKTBsHR8/Leu6Pa3knwy7IxH5tYK1WC8LfbBRzL39OmiJOxdjPua7sjfQ08syX2xNiCgk1QD y5/Qvk6Pbx4ZIc5GXJJQBVErdo/a2CxFxSF0+UswfdPOA2+SH5qS3s+tT22P4YjX8J8ZuJA8RnRY SWS1hrWgB6P0kBvlrBsTAGjrOhpnDGff6x0y8svO57gzA/G3kSIhJ7sqRB47gMHacOMlRRp6EA7H iGJ87FRCP5iezH135BvHL3ZKGOYcqTdtX3Vyla+WsC1R6TeqdLdOA4f00cpp/y+EEpglpX1SAxOA uvRLjticvWLwlb/WCb2krCa8R/2KvITTmaI2KFuyvj+R5phNXb+N/TJBjz3JY6vxmyJte/Hrp2M7 r/BroQIGF9s3/VcPg4rXhf9dOphQ0fEn9qte1oacBxwPVFmNWDlbJwoy8jKw/HTiSrJRgyglpJtI pUqZx6WKoXvTuSLKUE01wkkGwtFWshEQ4TM64hsMZ9ZJ0l/AzdvzozkzsgikC5fxYNSUeN/9hAGg sc+s32M/nggQJl39Hhy9OBnyIgeqDIwdlIMQ2eTcWkG2UZV1mMqfu1YbdNBDVpFBAw0T0U2EKtLa WCSvvsVg8LOQkhtPzDA4g16AZfnxEwaY4Zl0RGVk/8O8VQxMCHtktvb7fYyH7fcpj0Jo1DZUzxIL T8eeE5MyScG7J42u5SWVeL2+GolK9kGGZQHgiHbpMsSMCmafBdMVEiJXO8pggqmfnFkfsJvTdk3Q c3Jl7D3tDg9JmpXrWZgLIgBRSJcnvzcCzRh8A2390zOcaht3xhjtpDJFrmL1hWeioKwKoyqgBQp6 Bz2UKmUqHfLDPuVoB70kwUNkGFrTCAY2tXXYxMqc0FRfRKEUGtaAzNHEzgrciKYTaPgX7AX9AjMU pIvqnaq5VikARNLqWMYViFmIGt0E5jMvtPPPZXc40Rua4X99tGZ6VSYtkoLQodV/pkSrHIoyUD8s wYPUfb3D5qjkmPs38GrXC/48+z++8ff/5auO1uTdHd4+Z2qu1hvDBBqIVHlIad09OGyhNyppSD6+ Uzr3PPMjLevufP6l2xaNi5b+7vVx1FpST7ID4jWH0/U/xTDN992JECLjKHKX+QU6IHgteC2NsAEw Xa23RiA3XJ7RBhUpj76qg+KZd1114Iuu+QemYjtTBntS8+LSGG8GJNjQDV0CQolig2tvqaMkjpL/ uQmhFIn5H5g0kmny8cvYIzCj+YBBF1dul5X69EZ26yfb1u1j3//hTkzGhxeDXF7hFrlcUYUmGuqI lt61CxvS2/j/ixZ96pblGYz++ITfi+sy/K0onkxH9WPtBpj7zGZdDwQmkPgYDQ+avg9laDTK/QN0 /QI9UgOJkCKBFI5No5oD0kB9fKQYIxdITe6LF9auPOZ2gFK3yDSBpzrkAaF+d82qHT2ar/SuEuU3 q984P3C42eEwps1xFSJ5yh7ShK37ySicZ7vqmVjQW2wOZRXwTAyN7Vijv19IZxHQJJu7NQPrVK9R TrLZByCt9iGplIYnruFPAQfopcj4nywx9Kgzn07ZCMW3AaS9vjio1AB6VemVuMX0/eOFF2dKi34w 2B5CYjBiSjNzZGE7v4bMZMFoYRqjOcKyVt4Vz6on9vDXwiHJpSJuX6p2/BXLQ9om4AdzOuTvr31P yuScJkMD4sGXN9smloTJtWK28GKvsvze/anfsf0EuvA5LjYV+El2mr1DFxKEi6I5Otmw5paOFhuy an61Z2hC42x6MFq79lctJh5QsZdiH6DE22te4YOSNWSAmwrYN7mpr6yzSTk/3kyD8b8uxQ8mQAiH YdBz3yeWuhyaVnL2ytIoQqap7fxb4GKzUrJcaMTaDmMY9NmXii2Y/bLvGpiZ6r7xzHr27SIkRwAT VDpZ/3BdVaRboiVbA8BrfO1k7cZ0OURbCBFVFGQtyi0V+wf2pSayxNdNeavGCBzSKxNGuaDkabJd NO/bMsXBIUODjf24ZqGCoE98UrKuTayrm+yD5PdeH/QAljL1EB7ftIH1vuypoEGSqfjUJSrxoK9g YNRuA9s3rUAeDwvtNEXcA5g9rxLJEUA5WpbbEDGMI13nR+R8cRFXAY0UaY5avBcs/iQO7RH/e5xs u+Ma8WHuYAv1tb28hFJQVtOvIW3Uk2rVjfGX8vGC7UBGWWReTknrmRIttMMBPU9NYPD7Nrq75FWx qZw7V+51L89QFVbAGslAS63NQV1hZo5rImYZSGeYfE8ezHST0MY3JhLjqmtGYJ5QzLHVMTUfT58S ctUFI2HbEaGvAEmdwU9Og3Ah/omTfDE5zAWhbkf/OxtL9SW68DnZpDq3Ww/yyQeFCn8XGs7PBneD sTSahEuS0+Pwnu+go0U7WNERgDohtEAa+lx/QmWa3qp2R88Ai0r3Sd04hJOL3k4AWsp3BRkfjuLZ gsxnqI7F8vbxps/5XZytOXVok3WxTcHXxrOsOnZ58GoRa5ch0K+SQDyqvCWIOakoD9/3gUt39EzI xTmT0aFH2trkOF6QaNZYkkzc2dP7RgFy8ewCAXempXmHn8I43U1ay3IQZMMn2v778885TbgsMbo5 c319Ur7Lx5d+r1FewlU0GP4xnk6Y3UQ4mwfFgLUVj0L8/jgc48mAJX5uG1mtyZygiOQodcidS8nG HSv2H0UnafQXi+w00QgdnRbk0e3LkTv/CV+Mkroqc40p0XP8nyTQdtzf8mcs9Z/DtL/dryzqtInN A3fW7KI04+KEp2uKBkICM/W2P2NOpIUXxOWUrSsmfz4qLFeVg5oC19Nx92DDJLFxxwpK+eOWbkCW Ot/5I8NlGKcfUl8Ajhul5RK3WQJUIx2u6TutASrtHc+onygOQ6V+w2VXrSiaHwGvchabISNP3Cn1 GS4F6Pstbenml9BfcDyFRXUIS4bH0vWrZcDi5IEd4igK0v0wF6Op+tpuMppKSb38D3kCk2R946Lv uhtRtYRqLTiYX2UfddYibeB02QU5wrWGLtf/Df0yAtmVfaRazCJKXZmDWa/lxXKahaCsS9kgp1rb GXvT3thYVQ5e31oGZJPsXNBNvYnoXiMYa6HBJUzCENPhksKRNhEIKqKj9E9yn5TDfpR0x8cKrOa4 nqBrVki16cyXCdqw4ftXOqsiCBiqqpMxbW4SlfRrD/K46IX0AFGfaFvmD9VZhZphD1Z6qyXvJMtC RwIpHuNvlAFuai5IPolwCJjBz9YgxbR6VaNnWdDqTs1LIgrOKXSMTU3Ejs4BEoShpQP2RqSrtzvP M7m5k044JhmjWO0QYzJAAggJIH/4WiM4p11lJ3c4VDdCAbnEiHk9r0OintL/xYwimHtVdvJqspVa KEv62Xlga7I0hV21FFly2+tQ5haoiNJi2EfkGsOUrz95/Kh6LoZgYCCIe4ayss7U8S2GkHU4+VGB NxQ8TRlumXkdrnb8gh0pyHS+2+B08urzLbXJ74TEX0Xcjg6YCoWU63uIdl5efKWqQKGPCsD179Iu u+g0+BuP4hI++MQaBYh992hvZjYJ4WtewsP5X7zflDXfJ1qGUffJ8zseaHqqr3v2osgmumA0+RMb CyX8W0Yl7rcALSbKQIdXJXmtT+wopIswkYSVDaGqsebEtI1LRIGdvw8DHYFjBa4h2YfEKZT3D5Fz 8TIgVHJitfozsQQqNNB5xonRfvJePcQdWeaEJGMkEqYHkMfdDuZgGspmNVBa1Z/JaaAQXwRuv36K myrc8DIi1YVbf/KXKSQXjnSgJAKsbJuzkMLJuxlgjr4G5L4DcBG5MNZXEnCRKVPQG6UY5OCOQ8KE UeovMK5cDUTmd0P1813j5UyMb3+25/hOeabjlzXHQXUk8Z071md1vvz3XFUckCrxO5oQ344I2hos yQi35PYMhV+UZj3pk7P4nN1C+mPkF0f6VuuVDRMIX4ID5h2QTa3UFfGyvMUGwLHTryCjHzEIMPHq c/5RS3CibqQMkw13kAQBsibG+2Rfe1jy6B/wMVyiyt0uQ689lt1H/O6fBDJ+YndN9OCMDgjCZHXA ed1/YfwcRaYCRueFmPOc5rpuhYXFbGJqwFc39P0orv2aiDvr90M5ETg2D1CEsH5+BrLu9c3TcUBl pwjdtdTzuG3DEAw2JhbIUb+P1/qq3mWzoJjAe7zWF7O8PxoRaNWDywSvdUQzxlXEx7KH3WzUPm0G 1ZfmdbrQWJTGnDFWVs/ji7BjLN1n/40G77mpGXafV9eL7royeGGKWBz493b6VXdWMiR9LC+3ez1t Jzn54E/u2UJrZS4E2AstkUg4DWAEPBHRpPUzguANWW3yFly/kSYqmXfLuyySwP/FAWYbVseb08y7 Li9UhQthuLC8V1R4lo75CiGESVEPFogUb3Isa3Sige6oO5QDMOdkMXG5nAvSOpv3GY/E2+YiKWWZ K0wOzoMqkmDWYE7meu9KnbHYCvUunhJI2rQqIZ23mCqzTl5X8vPWHKExGqWe1xmCX9uXaMdNfIEH uf5Lzy2lqWaYEMs8/oYIuXNti4RPsQ4KJ9KN7EUK3lh9yaKCgilI3/cZoryw9eW8zU4aU3JzNCwR F3xQdjFiwQxqjhlGCrz5+lMXtwtO8/vNXVnljgC7h7Fe91KWISGrFpZRjPhLdSOavWyznzQQqCu5 RgAT5f3qX5CnEa1nO8mL4ezz66IlxKk+Aae+wRLfIRXoJHDPl4u+xZW8ZoRTsV6jvNZvPNSV0pCb yhUl6r+ZDmV6OQk9cUKYnSAjv87AF+9jcxQw543g6DiRbIYnVjnU/XFXDo5EnkVQwmEemTYEV+w9 0S0opF4EGfAT6b85SBy1ywYXoj1GnrWMVfr2kebU1YCaz3xvv2l3Zyzfu8TbFusQ4muagR2mFgBy EcaX7Pq4KE5lFIlKH9QZkg6QEf3m/bW3c8tRcCgvxjdbFcc+8K1QlqTbQcRLtFswUzLhiBAfPg3i 5Se6SIr7tMBr1DYxxT9CD6ffnt5dAiuCKrteQGja4QCNY6nKPCFtJBnKLQZsQ/kBDJck2k6CE2ZO cJjgIz6Lzhg3p9dJCYm/QEj20okugY4q4mJANQgng3kXLBfwnAyciulgkpqkSJ262eC+lp14HHoR nThYLXAGKhZsQAAL5b63aCbG4J/cBRvCUcaWLX6HtateCyxznI4ink9kaT1fA6wywRw+MdaLmMEK AS3pWy1hk0qagAZMdLT/d2+WWL8o9guVTt/sQEldg6tzTtqikj0+aO1//IMVCv/7hJmihFJ6F8+R KEPWe2gtsbNPKn8nFeHdX9qLKBl16d/6q7pIYVw7eZzy9O/etHBvPJ7ijaxQCjgnWd+TBqI6hH/f fwzpbzFrcCzgj3s0v1sripMky7OVu/CwYvin28NF0Y3yFzF/ps04A/i622Ox8HWjoNOwjuYNuJ/A hunEzLbGHFyrXEhKewgGd74Su42+BhYdDy4GCNckky4Xfn4DOu9Qk3X1qhrJYx1A7qaGAAzmfjIs uaZUdGWB+RvY3f3jr4wEyHgv+KbYMpj5I39umf3OgSqPaBR2W7MBf7jRzaCsUwh2DuHN49VY/oH5 Nv9cXnJXu30CSKC3xAAGrywX6MbWjInX+E3ysxh33RvSUnSHLxR1LgrpGXhc/UuRUFe/WPwKbAi4 t8Xp37TA/Eic3yFbUql73T8+JG/gGGh2uffSUkEvIxdWTMqaLbfIW5KP/Cp4UteUQ7TiLz/uHhbk RXZXRrpofVbTd4Ftb3rgc7Faq/99jh6+EDLkSDpNwCp+TIPovol0nfe2HcwVGew7YzsiJM+RZrUP Bo6TulRI5MF8T7f7NAADXP+DUVyFtZRi0W2XF1u7SqSoTCPY7VJiG7yf1R+X2Bw3YJgdGwTytFfB DDRQqU+gPRWIUPvpwtFoNjC5+ExCuhP5cRztojdNX6kXj939oHr9sSsW6dKM+ts/tucbmMiZ9N2A vX1rcEMPjN4IjvH4Q9sjl5aNe/Rv4+GThTF7qttRLW4N2tXWHlxJH+X5iYlRtrunBEJ4Xfa+iM8m yIqLUGt9jBDXyIRImYIHQy+/ERY/mVrP5KvKwdKNzTh/s73g4cKm8/KFYpTRng69HnIZYVttzCjr ea5xl5eRtAyR0aVb+9gzeJ3YyWxMPzWWcBVjMVOlWJBHonNJHifSe9I5lbmTk0e2BnURJf+N/a5v mK3SqY1kmnFRXU4zvX+0GvoGgH0z8cME9IFoU5Bc8Lngzc5qUA3JcqQv7TPMHgc42Xv/zNsi8dQi 9uBxeDtCG+uoIFB8FBdNyPy6bVg/ek1r9vVDh87QbxlZHxPbiva5JODiG9HyG11xbuxatyq1SAK8 8r3I+cYUwn4L6x/Flx6Nt3q9M0Or0eEVnEm/edYjRqcqkN/ezDhf71/NkDKX9XZ8a4dYNJRryAi4 bh7PSPsbPIDGCQ+VfYb+lGLcBaFYx7K1Xg3mhk5P6STrWmB1+VbiAG8N5vNq1W4gaZ5LbpW8duTj 8Vns2EmmSP1EnUVhzHemeuA+wqJ2BhNBEeNObTQrMMDfNRxwR7sBTZq0ZDvkxJD8w3Aemap9x5mS zic7SeCcJoDU9gUV6pY9Wg1q39PEJGE4siKQINKe73nclBGjVIdTTrINdHumIaZQZXp+7xQl7uKz r95uTs1kDumf4Wd5ZrlU6BrREoPduJ+w/756xPgrjK2lqLLPHTo/byOcFeHQ+ltxBTezJfsK6B8N nm8nL0tatYnx47P483Que5CCL5jji9i+cEAZaSiKVFQBKlHYMIQKb0OhHlYoREjuNFKtsaER6Th2 +quapmltNeDrrClj4+ZuJ9e4/V40Gd6+lCYnZdrRKD7Pfe6W1+EghOsP9dX/LgsgLPsnhyorqUHb 9V1uAMNfM1Gj94wbRe2pl8bz3yftk6+IiDj8TVb6qHA3Smb866sbmUY2foG57ubR3GFzQyv8+fCq YsUX4IVQGx7QzGH/IV5s+mC3TFI1hDYRXFWAJ/8s3BmnciJ/FXBlQyRkUlTvBeWWbfgDEdUjCEtO NQb86Og0ci3vDtgOxjEslb+frcd+/F6lngUh8DZsILW58vRmV0+WYS3W4AhMJnjYaomFmQgyyyGf sFlYktK7a849Ef+lFQu0d/e1UPahVjlJXHS8T3EDWIZpfIrQJINPZ5DQOmOEOliVNe60lJw8Tt86 txo3DZLIbENwPdIHk/q1U1gL05e2TDpxMdaIxNuyShqhtE3As0jSre6vx4zv2mtUkUcxcgnXTsgj UZuWmoiIzrfdlG6I9JsCUVFfkpJntyZqS/BlrE1iaEBxhQHDfk9vVRUtK1APj4qS3ds/mz9jJ17S eh9S63YQbTU2bVw24Vm2PWvvuuEjWutCt0kJ1wusQfGvDZEh2VO7R054aKU67QYM5JzjAr90Yc6S FMwGQQH3/EIt7ETLdMHMulNvS7V5vbT6V+dnK7hXjpgnis1FuOv+2QhT95/MCxliXgAQCX9+s/Fb Uq7Sxzpwf24z/yfivQaEvIu9hmkgDUuubYPETytRPrLBotaGsfT0vBQCbhn3ekoYDJhjFu3vgLyE z1Nak2SM7tMYFDx7EBqOLlMzjQto6rfiVZ9cV9iNk8l5Y+Kt0q8LkXErDkVSF7QJIUyaod0mofKI Oc4oNggqQcAXUST6Kcv/3EjUNFVFAHipgxZ40/x5whpENe6pYjrt7AG38P7BgO6zBp/gBSyIDXRW y3FQQZ7zOz/QldQGsOb4FlCHHSYDwvK7fQ9kUU24OouHLzmyqAiEcBSj/ebPV3MkpMqPHQ9H8jnD sASSSO2ougds2GX8329Q+0bHWv+5IDyGj6COqPy0zRjr/j8pyDJEJkchMpd6frr8xzqwbf/+x27u yO3lhCup7Ylo3aU2iPBiJPr97H3MyOqtf3kNDbTME+O/IbTdRwxTn6IH3v0tQOrJo96EqS4FR65H NJQQzDZIBhSVVwPMozjXRoC73gNFSj3n76Kki+3KZmgTXShBom/Ufm4CHasaNB32R7Hocgdgpcu0 ZC5DJ2IKdDjXFVcUoVNIXQJ81rusmAM7PCuGLs4aQpPgEZ60pPjMbC6Lqv7LnqzCJxrfgWbnQbH5 LDZbcQFc0xN0dlGs4biBy16NX0wZIdgj+tJYSqtGp9CPCluO5rWpfuD2sy56gdVb2sDEVAv1UvuM zj0jh9trFRq8zZvHNWIg6GUND1RiEONLwI+FePZIJ+t5xB+zfoiTRZgzOVf7StLIv6CI+YZy0n2j UgwrPRGxxDx8C3hDZztj4r2Lyv1nj7uH4G8Eja4RpPr2oJhW3T6brHDzFB7xBOOJeskPgoorkNvV MbzZPifAN2vEys2YYASrJPjZvsipdixfwWeSTGR0lVUBPtyqrhLL8zy6ccUN8UIqgiWhpQqGRenF tinz+yyMDCT4lUYYGX55tnZ9xWMmmBz+bDAG+AsfqmAIkAwJMmkn81GPF+EfUZ63XGeVDN68BsEa VcNCUtXd0sgLmWUtGgKl5o7I13XgbXQYk+N9DAhoihw/pBT1cZo1+7qi4rmhJND6BFJMPIHOzJYU kPL+EYTYa8RmSEDiwJ/jXyadj219dn3tolRpOci9wBkf68X1I/FLR8rII6XbWdc1MQuUx0SJYYXy dqV00vpRMCjkhsXSLDJONfGz8WSsgrqGiQWFLqQBD/xUKuaoQJ6Zvasg1Arr5k+wqFajQ101uTfx DFzxhPtI8wIvs/Q8Ip+lwPNlblj9ybrpeurN13yYlI/XWTnD5Z/QI+m/7UPpO9SbRPoHu7opR2b2 JAdEyCIhcMcM5H54wbg5cjCBtcPBQLPCSs1i1A2HUR8IFD+G73a7h16XdyPPv6f4nbL92jnC4rqq jFddnNRjxTdAeIKYZKU8mYVgqSe3eaAnnspZNIATMwrjQ+PTgsx4zmvyTXbbOAoeUHFSb8INI7z5 IRU7aNADzE5rpbHrhcC20afjHl28Cth5WKt2SczjBNXZPC9x7mI3Ck2FOulHkavgq/8Mv9jAnx/B KkBHx8u2dmk7U6VrFGkDK6D+LP+h5pI6qB+7PuWjMKZCMK2JqrGgbW3aKj5ZtYfOpqL5ZtvNYl5u jlYYTh6c6cpjMBH926zWt3RtK6vf+1949qkWNqQQueEoqrhwW4V3cG+A1HOXaEr+4KPllHMDQO4d O6dWRB9UYBH2O7csiJJMtJyarUX0VBS90S+0vHUXA3yjwEyH89IF3kfTmR9ZEkjp55FCLHgr99vF hv36Id1kOmtz+tCm12UTmk4HncL9buQqCQN5svas1yXOMmi3ym52vceS9qYxG7f5wPKI7b1w6IOk mPBBsaCg8PFOTpValV8w3l2b7iC41zSgyXcDaXzKtP4BDjpM7TiH6CvmNgOMaMVZNY/R/wDKVw9k eRFOSb7OkieE0h5Y5PqycwFerAswIxlqxdUHawUt0rPr7J5vGD/fNfGvUzODY8PGtYGwiAKt5NvW 2o/n29Wanx/EZz7uydgYf7g4WRUSkweusWkMwOKl+Ifp5BEUjUPvI+pBhulIu38k1P95z+WzQyyB toNqZRaeaKOt/Zu4dGDMiV2zMCAF8wHM6dEmuN+HU76jcI9jaW9Ru6f1G0yuKhhqSJmlShP2kzzZ tg+OJsBm4rBcEoVP6dpiTGqPUiwfdrgDTcr7MiFY1HUc5mgrouB7J7AXpN/p1y0aad4YxQb2pg9p 1E2sWyGfdx0hciqg4jzMOwN7ChZWHLBcYU+lpgmJEheOBsIT7oEgUzPg/1Tha0HkC8Cdcyy2v4E8 gw4qPpP77bFSKzBF4629G4FwIgAp4Noz/Jc9WlZvL3S0e7NXmYsj9hZNNy/gzC6FTL7YtTkYY9Vl GjlvMbUk2DIB1wqs8TDS1ZyoQ0f1yFG/Pf1OrNQfHTeUMNf86LJjxHP0aEzU7xUgu13JlASV3sj4 JYLwEGVmq8J1tWYBjJYsGhxluNgXGMmceRnmtWObGNIwVULbpRoUA0xCOrYdWfX5Pu3J8BXcyxkM CFcoRkY7Ao0WT35lCPdY2TuI+7ahMXEVcPmZZpSfSQAyYCcg/C2+q2YqBOd6+uibyrMqgDvK7QZp 8LthqhZrxUdNjlHLwf/D33+GYQCvGUm42le4cm4l2De4ttD8wuLWo56YJz9W+mcG9W4wZ01jzTwM qbuZmLwXFU0+hLwup+uyAY6G5syoVmTYKvuDW2XvZEjh7gc5wh0r+3j2O5L0sqpX9oTk/8A0UVA7 5aoObsuK2JdOWaCNOuiOxKPEAIoVhNUBxu1Ct8y8zGLD7LrFUSDoEUV0Q8IQGOTCafP8FFzz6WRM RO5aCaOqC2S23MX35d6aw1nxScfBGz21nxW+Grt6GM+Qwgs1E+uSMIaI43oBAt5qnejeAsV4RcLY 3UvG83xUpJaGXs4tVtU1vAKznEpKMLlmdj3YjihC9pFI5cfx6fkcMNgpLwLqoi2SFYWv7XYxeAdI tBmy8Lam6KRgavWFdvUOdr/aoNabzIPzX7d17aLQn9mv+6v9xfSxqoGJkZYGvmuiidJTDopATbyx FfLLL1iKOYvtqbt4iUB3nBbwEVtLW3TECq7L5wJwsXUov2byIvQ1ODoEF19y2pdbD8yquuEUdLg8 +z1Bbn0jDNNbv3E3uD97bJAvm5+nSx8MX6vxZySxvFRAka4y5fYGxiVVPfMlINxX4t9nMhbptPtB lgXieJUNY2VkEZdbcPknUsfGpDKBUDkyeeOtFusijpeMmOYA1QCeitQvNcuzWcU5oelx7jYZJO1n NDxebnZHeyTZZToo4ySAJUbhr+V8MjAbZ0zSsROLW5mLq8APC05iQysmfQzTRTUXxa0HbhXgWUcq 3yTG1sR5M4xgkDvMkwBuAwooYBumLyH7NhipUeNHo9iiVPJjvNI7moXLvsNGCiUt2ZjxZcncwVeb UOAh5MeGJMSomUBWUJXftMLcKEO9uJkEleM4KyT+DXUZHZILgds3+56W48TTsJsan0dOyfWZ6Clx buPnwBaY6bpk9YgnT4LB84vr5kfIBNZzPpQHlo4IpyetSfZJocgn5FtudOutOO97AoJl+EhwUBym OfafguRquH4WDi0LecR8Nn68B8nOIFnVbw9YXDo0dzJqaT+EBbfqscYRREun4HjVKgbdd1rl7PhD TxSKpT3NxfpJGyLMAQq/UNh7cFMCx0+5PRfepZZF8GgzNZaOaAWPSr85tPLHaAfVO2OOir+ea21c RKQ7Fm0P+fo87eFHEspBoeyRGQRoKK48uDqVY2cT7vezWo6KemAKQIPpRYGLx8a4to2qq+JP5NjG ZqqBRw6Tr0BqFp/uh4Rb+IOZrTtDKC19kvCQzQSfyecbZSpboxOsfjM2W5BMnupV3zHNq9Ovx+fD nRAKcGQTk54uj/sk0uciuikmCvGSxdkXTP8Z42yfpRqZZoh+reu+HsDuxqzkcoKZ2Uc8lonmNhmX G0XUr7A7kgQxTVCU+wyApiXqo8AhBEIZj0NX8gRyiV9Ej7jOBk/QVQqbeXuloAN7jIa8WDZkujqF XjJbBo3IIudlrycjMAQT/WNd5VLvJ1xaxgRxubKGV3bfdT0vq/pjDhg0hvpdYKnMDPS0diZCWDUJ Za/FPg4ulA3pzJE1mtHmcBTBUC2H9CaXP2dxQRGsTJMrtC0s2dVXvp2Xi3MfP3s7rhtYz1XcD8nO 4tnYhUPVuLSme3ALCLT0yjPlAhA9us4pYgGRmhetmA7VfMZEKvl7caC3lbt430HLvt3fKAzxqyVP U7IzmRrTVgbDLlXT1VLVNsf/vMt/MNxvCFVvUJ+nvqe8oyhIAhseSS8GnUnqyss/2j8I73Y5NqhF o53t6nkf4/rqIzg3ABNpvvRwjLMakL3+eFQQIfdcs/CHXU/9eRuCOyJzBKvQ4b8ekN7cMZezt5Hq n17EZ6qGWgII3J4st5U8fHm3wrLxq1SDz8ArNHy3Sun+2F/g/haLdnTQbot7l/Qmvm+3oNbHzmvL eFXAmG9hFuyFLXyDd+Hm/HetKRzq7qu/MXUK35U/wtXquVKI0KjQZlWkopJk9I95qnrFPkXVoQTd BNcW926ceQHCNwt2on/QjUO69Zccxj8njzWODhVOSA8er2owJHD9vxP1mot2IsQGi1NX4CUhdvl6 UHkb+HjIYQbRpOzWSbZ670P6wQD9ljBsSjw7aZ2TxIBmAiz8UMYzI5gZh24HoiHCJqBpyfEJ8HMW dzbiLfIEUlw+RSTXMlRCc1kpfwTNUxDa8wUU2CCGpSnwdBRK39Su/oh2otr4iPI6fq3KtSannUr8 3TAV/XNhf3KLB4hjz4K2dmVOqEHVHXsD0EE4Dw54VjhOsBoD84+/CpsU+4HG3kQMYwLd4iHAhbCd 66nWLrwotM47T4T+SYDyAaYyw7GYHzTewZCS2/uj73VqFIXlfO5u5YOYn8R/pYgksR7y6XUK9QKO Xcs1/9DkYCfWN9S4AylefDE85zscJv8iurh/tg0u4+SQ0mRmDQs9c/vX3n1x5hP4K1ak/cK8qjZK EpI2ulmsuUEx9Prl6eLzYMOIr38B+Zf32T+iusFNX5wVLkfZxV8MPPaBOC25rltzBXgwWRMRF+18 dd/YgWHeMjK3IX0D+qN5J/HGHBnp5bNPb9wT+l/aQ9bH2hePNDUGcHuLxROmvLtTb03bq1t/qlyq rHfj1Rn8i8r6pxiNJIp3nofd6aDAqdJv7Trv2devjO0cRBuoICZ9pVn77g8bUl2mkPneVy9uDKMa ZgIAoBR/cIHM0Vmm4zKrd2BCtwBiXQJsTeZcEFxclT6wQ8/PCXx5unUBEnbvfMgDuHDddtvpChSd UQKEUuCvcePkqpb+Ro6w/S0qfbh5cBr170YLrQvt4eEC6aoD7+Mk5HeltRcRuhFjnFSu0iPb+UAO 0gYyMJ3KplhRufsNElQcb0G5znTJ4OPnX+5dBD3CAjEPpkOKEP/tRlhP9kKEu40UBwqjelrxxCZk HhKqsfEADIl5PFKavYWaq6bbGCx0JrQBNiegXvkyICgOetdNw8qkqdeXF6v4bX7UszAzZRz/H8Rw Evqz31HG/9KJ56zKs+xy/bjZuD2Ulc9BIiRhaZq/L8iTExX/DlGnIr7DxDWaOwUJ4fk8amPljHe8 6JhvSlxM17dBs5eHV8qeLLtXbapD/Ylv2kSeGJo41AVIldgKWcHD41OBt8pzZdWHKd2OMzEC8gQT MwAxMgo6nxCs0eiptRkTwY5MP3V44mrkUPiidqj2rfT6TwcLrnVm7AmvdzVr4OkafBCm4inYygJY 89T4W0qRNEl1Xvs8XKaZAucsTX6TuX8ajg3V9KM08qrSlxSdKP9pIEx0Udl32tH+k4ofQOuZY+eR EKYPKN9iAIbSq+ojRvN3XRlz9Z2YGkPaC2iyJFq1iG6z0reUGba8T+pUytxHcSAzj+yd6CvtDECI wG5/toEZzHXgwD10fPL3PD+FN9pYCtc95jhS/zIEPsahmrbjwEkkuX0uWvzoDJXLYP2p/qjDoFKI lUYlyEFX5fpyusymPtucYVw54R/dp3C3TQzwI2S4H3FsokU0gvF9YCojiQhSfj8KNA4Jw6tdngST UK4+UJ68miiWuqTYEGPOrIDxTI8ZBfND/VUoobZbmTYwUy9AwtKqMsGKvPGM7CqB+5BQeRNdrzFy TVJO2hZvwSgmBk2EnoiciMkmLXoPB7UlywSqGjgXW/0e8gsVxVsAZvtHfpgFLqlgHfdb8fLZRjt4 YmhqaBoXyE6qIJRC1YZ8D1nmd+VD1JUdNbNaj1emZoNrMVi170wis6IvSqh5U0epWjkLwS3ga7VZ JLtWMD7HWjzZPkICDthelpnjLLux3C4zmDo1l3Wtpy1oC656VnP9VtyVejKBH56pIjEACJgdDSbZ mxEdR48FlCdwH5zAU9x+YXDwK5V12bTjaKI3TkGmZi7yhPQO84Jmb9lIv4hA89G4uOSPgVta6xKY hAOhqO9iEyL5RFTJzSAeWfaL0Q4QUbLfPacyLjkOlMv9am59q/hfOtCa88xXdJFCvHrJn3bYmbX0 Wt1M+AodYykhoXkz0SoJWodvX02tnJeVY5Ic6qG/KlWiAW/OEfRJ1/DimRrlV7wiZbhbDd7x7RnU xlUTMCwsh1IRSvyvRKrB/fXhzBfd8lRIN5jZFRj22UjqFqynFxjGWqUfyY5BaHZSGMRlLgroNeit wnp5VIjjCwEVadKhjkOD3olbU8+gd5q5kpnAXbjTMjpAnFSBG/NwzK5Z/+AAA4RzOyJBH7CFX0Be hMbrUEa7HPFj17B0IYKXMac3AunK/7vTs+6vwFM3RyVvMCq0ENTRvqCz7yqYgrwDWTcKZDJTTyKl 6ag6jhy4ra4drzWsIsrbqxuT+fZfOGbkjfp9NCfW5asWu7/1u3P+6KsI92lWNkUGmg+Q9VQM8fdJ ac0J6rVkTtGtzLGa9ZRIdxgeWp8AWjGvale+AECKRT3OG4LDjldOlS7K7hMnDzZaFAUuCR26/Yhv 4SlWEgA/YU5f70u2LoVlyLZP+FDUq25RCKRTasY0TVXTNQyzp5QCtZONU3wEu1bKnT+1IGeb5TTF tPrj/DO3Gm021PCBWWkaRpKSYyVGP8ZRyjz24v/3kSzanzJNFugrzylkhp8zZ7FYS4sFfIbiRybl 7dMGSiGBpZmu1kutlRjsCLm6KvJdvCkIZMZpf30P8M3xDr2C6MlJn+HcWqf05tldZANrxvPGSeC4 nasgIPrlGHFt+0ErhP37PxBBA1mxEYcY5sL8jgvddp35tC+WvoNmc7eQ4Tr7Tg5aB/UJR2nV0XY8 PRpAWaeNtWn14iqiAFDKDfVuVVauOghT9GY6qcPwjUOiCkkzRY8w3vN4Nd9UPtKKgJy3XGXuvCrK kcCKiE2DKiMmP0ge//FgDVDZp2oHXa5xUdidnhu25XDG8t9yhwucSmKcN+86cN6mdadQyKD4+0+0 l6Y15xGJbCj2R7SXwXCCnU0HCfmjvLDdDzZE2oVgcJJXv6FolmGtNT+hCl6Uya5te4GIN7s14vHC x6y6NRd61WF177L/2bAfTyFwNUkWUrdBm5rQjFhX8zQEmmormkeKNM/YxtsMcJD1qMgNwYuoMjjJ bD85pmzCmMhPnwkIYHBUQVtGo2Gkkc0IOxJ+JTC9F3v3XQnFQVHrc+0G9e7GEUbR83dcEsc/WgCW ZZ58hqsTwNJmUHM7LQ5kvQz2YLzKcGB/pzVda/aWN2/H1K30E18e0mSSoc62+edoxmBOFFvTPYC5 xeYqyksADSafN5GxMKatPiZwMmHOeEzk+LVuQj76RWP/ctjf8ZjZbhv3YxnpNw8xzD/5Zpsrukfs rG2wQUh3rB6M1AkfGg+K/QZbO8Jm2QqhPyOf2DWcD7LblNRqPJgnCiCURCEvwZgAu2J4Kpd3J9WK T0Ge2RNoP4YTBM6OcaYCwbicWX7cmctBEEGdDEgjWoxsBVZL+EmqYk2mFuCtUliBNytOsSibmnWO TDXcfFybw2wm5IKVCMHxTUlfcubliLugtGlH/GC2hSdiA+8IYEnGzTD8wp/bTP2+LaSwthfzWNdm TqXW1fh9NIw+KhOkg0dVFo09Ew9nVEFoqJDkW8+taBtXtjS2DSfVB3DnGiyYdMquncs7dQSgbEBq NY48Q3vbKEGByCeb4eRdHdDFnKY3AM3SYKIF6ck+mES9Djl2RgziqP93XHpD/0uWPxBUGYTc8S9N J6bVXDOhW6Zpt7Ps8Zw8eJsGQpvz/qu1xI/ZrhcoQFCB2+NXOklsX5gDhoreYsL0UMMcLW/4OqfX rmTztWey1lrLbBEPoHujcBoxlkPWhG4qaIT9/xqWmwmov7Qk2TeBUxLr2TmztzaeCSWg6AceRV1V kMqvBLPExkWqiVHh7Vzq61JW0ii/b3ARexR398HjaPOBLM2YmzOwghFJ8RGKCehRSgbtwRgWLenM OW0AYc8qA3ezD21zLSD6/6ZQK35SbLIqxewJw+W3LsrGz8BChTnQOnkH7xpkK0s11Me6RjusB0Sg l35VH5DunuyEyMY7pwp3K0K4VhTf8+XbhyL0Ta8rFRNGuLuoX92O2NzuI1emqNjBBxKvowXfxvzn XLL4oRLh75OuE5BzGB3qtJRacihVqVb3moBbsXjIOKkz7pdckct/aoDtiFv2erVGoh7Sg8n/HTZd vxvUYD6CrphKkU9ihP0TXpMp74ccHovfGE5zuYid57eYtZwOcMFi+okPRd6+LyH44jGnaIpsfroe gl7VEC9sN2Mm7tyWvWc5cY1pYRUjMvhRDHpa3GSj08Zyag63kUKpySM7DrcrYutNqKVtx1r+TtiD st2XdvWBvPffKpU0LApfkrWzM/AyLsGCoY16loOsh/9bZ7WF2rOJVgCEt7Whgf8ypYwKSUhN8iyG DAcjCnzIMoatjDcG3InEQEDt8tLbvbdOZhudm1dHVEjUxYPHdtdU1BPBHGw827ntHf7PJVjHSOqI Rnt1Uci6Eb/N6DgLef44t2XA4A+PnkNZXrI9rWQluJUaI4fCTo6RsDNbUg/MXtV+5gucXlfj/U8O DXrUjgaEFudTegpfXtgFJAva5kFtVuN7fb6AvXX/R4I4lgLZv/9gBaczZnXhwJcwBNDnWWdlaRaV eGDmwaiNrpIlCdwSEjDvLEm9hIlHt7/LsHw3bTVtguIAnf0nEG2bNhUhztSy3Gljjg5fVncSgARL srVopS5EX4HtkkdUU+cfIM8JpgcgDNPDvKKlEMO3i+W2yPl2SW6541rKMaR2ughOTqGovC0OgpLD 7TKFKXxbONIRUMimz7nyNqwIKjlPHJ7adWGTi1Aq4kEpFUtbAgnGgBRrtY4j2/u21nPGGPiZe6Pu sdwV6kCVQkLtXlhWU2nb/SZA7YFM3fB3XBKmCKAjb3i/B9LJKYFZxo+a7KtQVx4Zi8uf0qNjVFfF J3XSHTDRFuNmFSs3lJNn5mOnt2qyVeEUKggUrDNiIzA0pAx9c5qru5nrHtPKuuGFNuCuJpvijvTZ AXBkRsXPNGWVNDp7yMRv0SnlEXkM25N8eeIJZvLeH732Sy4AaWeoDM872HCsxkPk/geALLQlLNVo W2rm6tyTUFOOTOjoofHUnNgG6hyCtrfU8bOT6saA93sRVQCp0/GR/0O7o8J+2Wy08oVaa1/nKIBj pmdmfCVW3dtX8P3eTp928l5JDqZRbEXEFC6tEl8O9fk6DN5rPHAOQyPf4mpSL3RCco5xY1VCbauK si3fDDjtePEAkxP7lNFvE1lT+FO2ndLxkbdfkUyLahnQlDzno+HvHilSZLWyVa1ygAbFqpmuB8Cy sxruR2ylzsGvqwDnm1GbIqyOrCXBV/uS0XuLakH4mOq9RXrO6+ujoq5NLSGDbZzO0atBUp1W/X4Z 8MVSBK/LwnqstS71AVquOH3jbf+sezR5PQbln9NJfyphBgNYYl4hnwVv2GgAHw7loG/Vtjn8nKk5 1tej7D+2IwWjcc4kWUwBZW1y2GWpwVpmeeZo5FFw87pm6z2pvD9yMwEbo7TD4HjR0YxVJEOSdswK Rn5tl3Zp0XCfM+wB3RQlDoY/SAguUBJHzVe88kWvjby43I/huUA2zylCdKCVpY4KtDme3s9cMHfH aGxWr0h/8xRmE8Cb48qqn/N7NvrjkYyZVa84q2SuIADSA4OPwCvUQHfrN68cyW6StX434ZpHGJTi MXAGnxxx4UsPidUo07H5oRg1ZBvfWj6EXHLfExlv6G2NEifqA00KKnilNcoG3E1J/3dfnlQjelQT ByHPTJQTLTrGbMwfT3RMplzi3LD6OZfAdFbCBqH7W+TpU8TizyFa2uF7oWzage306G7TKEw1Jxmo Muzz63xeUI8/9a75WD7sTGJlxbOqBVnfLWSH7lzEvJRJR8COSn+RjKoHYn3LjJH9umxi3xlcCD54 I8Y204awojW/gkb13qJRIOv2vbpeXhh6M4WYtTRKro9wfRtYY376lAPCcMRSLFgb1CFxOceF1CHC LCPMB7oQylrtzbPKG1dPS/KBZlOnASd5w+zh6OqgMPHYFjWqP8sx/LPqOI5eaAdOLByDuvyYGqrr +c9duKtOBOT3SjAYYzv3PNckR7el8fmDiQVoMghtqef9eF3yspMttzhZSfvNjTDdOTIpWO127uuH 7ALbeByUn/RJo/VWay5o1eJU4fxVbDI/6aBQhaaSlB9h1p1MFHGzCEjU5AZuSG+O8FZaUUq0hW2M jsYtckOzDe4ZnVHHIZJZl9DRiQv3reBjpYdPXJiEHzkNPAFDG/MhlXqsGFHIyfyp/CqLE3gBxIBj 6ysgtXF67SDYPnpYJnFOyQoBGLBAdiPbpLM2i/l50MFmHhSY4JOpOcsXJQIbFTbFLGLlzFOjfTzW RNxnuSPUGJ2EXxl/SUb5GHcxh3QEnUHUqP/F+xG2vKpOZWzCaAcgm0pMRFpdm0hB+AAe/bbQGd/i xOWBjPHBRK1/+MqN6GfePmvcVG7TmoWbNmASCYYf/bHGH9qdYIj/Vt10EnsQmZNNqwHe6wsViSpH szALZK9OEu5Q/uDpWmv0VSnoZLFztvzOjyCey8OxAte0Ya2jaqD9Y6yAP1u+9jM9Gsu3jozbceYh umhNPRpQ3nVTsRzNW5MZYg++633p98d13L6XD64xg3p0JNVSw4I1Y5BjwtQ1czhg/oR9nVUnPVj/ FCgFvKo7xLwcPFlwADltEKYSPncpnZS8Aml1kN77uvfljiVQ1ihQku8HpryECPIz+GJZdXA4l5EB v1VHuKK+sqNTxBTNhWuXTQ4SezGLryCgLS28kWsHBi7C3ZgUBTudJsmdNoC/cNybIODCEfq1OwM3 uQctOtEdPiYBy9VQ2t3WY4Av3mglVZb68J4Jtepelt4rmFDDfPNdy7P+oaHLU83yM+xhEzOTKd4S 1C+R9KX2YdzLKj9ZXjrRrl5imGmV4AP7XaxKlKfHWPblztisIj5Ip3JAa7W2auYL1o+YWGZX+ltA 3oPYQMBAyDKIs1/rjcopOxJWr+9f4D9Fui0GVkrsICsLczG9dT/uQR5A+cVqOGImJzF1CmbeG9MW SJyeuXi2/XK0Mg9k+SZTTWfj0uIijXYrBRxGw3a1SgV/cTB1hdt/PECizQjaJ2rH0XV1+RUZhfEu +j9kyNx8O7OncTHbP07IXdKpZdzwxrCD5eaOG/SDymPpx/Wx6k+m2pqyP+ssAgyP3o6XUQSj9q5G 7vDOs2UXsGuY13Lqdle49uzeGbSe+J69mJki9gPcn1y0DY11dczXWKgV0cKKRtxmeAqlOw9D3UDG 2ZGoQeeveuiwvlFEvu9vA8ANlB4Z98ZJuGtCdvxR0m0rDYKYGxPFMsKHR7kZKorshzPFwFEOSoDV Zf84nGeS5J7BH+k2OA1/n6NTX/CrECDA7ftVYUEAr1/hRL5YReIPXKWHiE3B30mdp9y3xZhaWNpM 11rDnp9q4J/h1gqx8NOYRAru8ZhkIEkG8iKrbHt2FIVNVvQiDLWgVmJCWgHbd7SyKE4stm/O8nw2 O/gNnFUfSuhOhWNRdeFvkIgzwamSjXa8zGWN9lKbG01f0gvTZw4drSjvdW0PLAIPFv7QO1/RTY09 B2Eis2oG3B7I4fTTzMjZrlPhZgFQ9D+srLVCinYq7G6sji+bdy15vnhPXRHoZVw5quustToT7nLB MZNDJ8hhlf3m03jWFSq8m1dEX+2vnzAdShmKeuSywmgRKPbwzwYiltnv3riApDWrarA8iGtovCBH IJB6q/ZpniJq3iyMvmLSeSXUki7SBkwEEi1MdgySQIa4/mR87JK6Aqq8iktFTKn+5BqvxDfqxczS 7Mz4fofM47Zafo0xwDUsj5zdLYe7UBYivsVFsywFiVwSAQvpHIjf3viuEWw+csQUtqaDPOMgTt7K PD5XLWBBRpE+lTGPqPnMbm4Kw4WGCFJmTBi/em0T/etzo0fFP5Tgvw0XypwpDAfilxzUeBx+HFmo W4vCvuQvFF7chgWBR5pKMPYyquPt3knRo6clfiLC3ETEO6FTEAoQaOlJAuZwhFwpgTDXWwEK87Yd VEhL21GSoTQDhwEdH+3lN/cIpyGJzjiHMgwTGfKwitxbFnryOGIgfvAJzxoguhCOGslVrF3kZ6wv qVquMPOG5Bu2tADxeqHCA1+UxriZ3jO3Z5Oe69CcuwXdUMOB4Bj2eAXlXaBK+8M2+8BtyhYleKxa iiC05kGWv2lMcPasZeLA5dSKnJ34oTBUieeichg42OxMVu53W/rayX+jUnzMXPvdR61rQJcTYE0R gQumO2XTa2/DWe/1gEz9rKVGFBLnNwy58nMXWgtoMJo9cP3mKwc79jofteaI6UO1IuqC2qKOyfIN pn2FmV4thTqhdR7JJ0ZSjW7zXYWAdhl2UKp1p3Vuyc27i/bOzdQlx7IIlFjuaOHXYTIu5faucNS4 ddmJN9e95SRhP/sGeWOyYBmvfbptUppgRrTsCmvqFYgZP1HZspf+vNcM5LoUn5sSM27qmxFXPyMo zLXaGBzCKD4QUcnb9GaCEuf5Z3T7ZBkrYIeX8RJFBOpU8Pme+Du/9A8x04Y0BG9Spfgekjt4e5x5 KOKo+xc6dO8yHp/qGoHPZDaaUeJkWE8EIK+gr/mmsT0UJz3DZqGSaa/45IhVOhTCm/dLNsqXh2kq 8rZOmR/OILBl/Hubsy9UdkrRLVmmJ+IGekp5NsVkSE8kdBCdBFHQUqVjuYg3Ihe7GzwweUMglIFg +/O3/CS7bYlmh/Tz+nTbM8awAhMlBeVVdjQRjdy4XyGpOXdvSYP6Rs2EIxaXgPiiQZW/SDA1sgJq 86L5mdpsNTcVZYT/7KH7SlUx90CzBy7oU9Z7PPc7hssfODzEviAOlCeCtiSq1GcfBOhjndo3SjSy dP/FMp+yeiWvWo5iBnW4KKtctMnV7nCsJ0Wrl3sbHmiXjqgyKd9UZvE1VfHONdn5h0ctFSZAuXrM J+3NXVs1rHTj/JvYm3AoUARGvDYB61bO9QtZYz2NBqNhfUkoog3J7gYC/dNXUlN/XFvVEQSj2cI9 OYAPM0flgtBkWxXuK13eq1d39wanIQHtWOmK7186jp9tViamYeat3IbdUEE9YDPg1EapnK7O2EqA UeduNEUc6C1pGOrj7lNs9CxUZECXKKEJJLVKGDBlDJt6vkAcKcI3adgLrK5mmSuFfIDjv3oaYHt5 5nRs2ICRE394z3uiI3loB2rbr4Mb8Dv5WAGN5Xue3icollPi1BAUnmyFPq1cvMk1O6DdXX1FNJRg 2BZvWjbyY9nm0MeSkm+zyIjO4e/M+y4YA5UrShHhFgZ4mrkg1RNv9ChZsXsmP/s1+i8/Y8qxW8+6 KDv+f43kBoamvFzVGc+oC7zYpvKudme1VCeNu5BGh598I1cpNUCE8weWSH2OtZGZMASbbzDO4c0c brzIUoHkjyyO5i7+V1XLnJ1TLjySWTNO8BJKf30bE7Hur6JZlaCU6AlEINzPGijEADl7gvUgwNm6 AlhL83LrgyoEJ0FrYOyXeLbTcLwylpbst5lU4c3siXxPJZww0/MWLRuYyZsdnGKFVwl7j5xhJEV5 YS9+qGJjRwdAcyzwjw6Jr/cz8f9GahC3Zz+lDYhTEbeNawnbjqVec66hKVO3G0f88wzH3eCx6q/e V9629D81x/9iKIcsB1RtOgmbU+zuXKcPFQbDKzPn71opwhlSTWjix3cA26mFXIt5mo+YzplCdPei DM6WJ3i09d4B/vwm2cP+/tSY7Sr6wMNe9NV/8/aYkIHw4HQ/Fal0SbsFO78Ud6gXDQf/q+MPHhB9 5ffWoAUfVBCwbnCRZ6+RDqC04QYfMPHQG41hZg/6d6R6FMZL2OK3Asa3lZuLey3KcoFQqGTAhzi+ ciB5HKKZZO1ixKrg0HzglzJlPihchiHfGJyHYd3LiqnuAqVLXjp020qGUclPOKQpPN97K2QWoBHH 1WXH2xNRuysxi/HvTOQHDr3hSNSIGxS/+/b+/E5ii0HWFxaJOTAvjjLpqKGDPUTNcHsx72vWxtUb 9Blc3xZoSk4siPEiwuufIcroFoI4io4N5zDcnG+rj/QbzZEHZtVlf5eAfwtlSz8rxQzbJz72eMuF 9CC4xA8nsiZby5lOLGXk7sOt+BN3cQtJ6RQYHK2hkQzuREbiQiYnG4pzc3HlAZqBhChzpN3UumPd gAJGTkFiD8yw+1/U93KgBsHCB28rCc/8sacwDJabtkkfcHYmiwzSNakBFwNkRz5ja3OvHtCgjtIl IzQHHo0lmqtzNUSwlZ+0Si+RWJj5HnyFR7wor2BI61QRJn8qzcfSHRo4qjbbCNQI2arc4u7SoTqi Z76G0EOVew+S7msOnRP1GMtwV9GwINkRM+PayhVeHLVGsue7crZAvRFo3fnPanhkOPIBJKd4AI/3 I8Fw5uoEeHt7jy2TL7iFrwMdVq/7JUW5fY5cjHXUPImLRcm97ZgXYjgj9Q/Yy7tqwX98l3+LtKd4 P0m47RUusL5dHGcNtKQg9w3U+p39iKQQcKRV333SeaLrVzLokGK6fp4H3ZqtvG7miXcuWGoRJRSb W2UjD9QbcG1sRp5xZzvtg5GSlNmncFR66Pm4PHOJXlMSz0VDp6gSWIQJRmTaTs1tqAQ2qpN6cPTI 7eSbfBSwCGtxO3aD/IB4Wfh6hpwZGn3n49aPAjMd189zmYDK+9sDc4WUXlK6SKVOM2Ld7Hl4pBVi Xg96t4jwKD5/2bWGIVCi6jGMDUaTe3ZnbBELaYEuiaVF3ATvw/uCvzjVfnAE4QtPfHRk3Yfojt4K dvMoaj8B8q4va09/+fgKv9+kCh2X0+iI4U0c/5lfJm7wGsyr+d7loo8WAL/0a/mQXtRfXLXgK74i XvxlVGXU1N0qriHeWn/wKLBbuHP75jSPeAGhpHm0HS7EPXMQVKvPnDH+gzFj0/pGJZ6o4txx/DiX 1rnuHLNZLJASnUvI9Xe5+C6isChpi3MLZPXBliMCo9EdQH6vmQe0p+S+ir3BMlQ0q9zE/W2K6GOC BDudCwzVyONPKC3RsLGiUB6yRp35Cg0Mvm4uJ7/NZ3Pgan9EcqJisX5bcUO3wQrfN37Qi1BIrBMq mfze0EMo74K4mKgbhiwiXlz3tIbPH82eMU/vVgkRet9k2NVjWcc+xwIqj7eHVQL/g5LVtmj6Y7rO Qt0Shs/A7pT7rHzTfPP8z0uhyLkvNJGMPxBOQQUFfKRRrvvPoAvZPmRgqH5NdBRuCHgm+LWAmpTK 5O0Jd1AV1uqWCshD113aWm9OMmvk8qZDGWmSPlAprZHGe1O7Q/M8YUw0YkIGXGd7C+OEeicDVB9Z lGDhnlR4Ve1z8FF6otOCu4ArcRDnsaJ1wC76I6vuLpuEybdVtRFIUwyY7sXeZdfx7E0whK5b4vSW nMYgj9LWVIa78iSsFHsLfNqjSHkXeGvBvLu0tjeGOKn7ATx0VRsMIAGYZmlhuSkk0n+seSIzOfK6 lq5pgHXxFvpaWbN0/lGG2en22BAPn4J0k7ZvEnkJ3jfFC5KJ5/ZTMQEjdrGzXju+X2ApUPEh59Tn EdCwjE4gXjU/oUlycuUa/LOd01d8dcF7QQxXUknyqFGcD3FltbdM3uXv4WBLfKtcgFlfPGcAOTo/ UrHXWOGn9AGOQzD+H5BsaJCUZ75vYaow4/QxmXE5z9eE3pbCw6ji7noWy4Lf0fjhBLFjC93zYBCJ 6UQiwazGaJ3+U0i5LJCzDZseg6TtMOAqgX+r7kQEHaHo02fClix355HNKwt3rhlZ4H6tOA2PMHkf xmHQZRQpnye16+g1ch2bOVx2M+6leNG1QfgKF2zFVMnEGlL4dtsIW5IwlGb094/clUGO6d1axt+Q 3FgHmlkXzdQyEYAT+2FtjefGYYeJ+8c4RiVAb+fYOqVfXVc+cLUtHeJyc9Wm19UfY2M4PXSpePGl 4AbvUDGH5kCL48KvGLWtN32N4T5IQas2Z9ygEMppcz/17CygSbemt1pIwhQOGmDcVCu2DF7HiECd pWG9VQVvNmaqoIn6Nj4C4NpD6vEaBQrlNKMm0nEZHUyGfEmVcAaFuOAhoycmhD5WTSAYwVh6l3L6 B8mYBUod7CX5I1oIzC9e9awtMqORwi5uf/yFBjfpHQ5nQT1eFNqY6sKr59eMI7HlHVtLOFZf3QhZ p/oles0NMtAd2iMTJJEUX+tyIaWLdtTTSvlCs1AP0HfhecUIDj8F8ujrqI8VbX6Jm+dZ5PXZAYJk BhyznLN0M9jotF49Odnm7j5pMtSuScttOtdyWlrPK2wG7cHL5IavApmzzYliIKIF1cGpOVaS8oA9 Hvp1t9IdauJxVlibQux92KPYlFDdCrqVegtyqWvRf5jlU17WK0aD0nsR3V9xk6ILHdD2ImgnjRyb 3t/ms9WVFqekCfDcWTZRC9HYfptM5l7h5bogi20kCKUNisgVSDRjZ35OvF4B5zqPLRGdFOmCJISQ c8FBkH+CIQf6h6tdqfiifNqj7pp8tr1WWR+gif7YlguiKOo5l4T22mbto2sc0YG26u7hbQHiJzBY CGfMM8/QyLrMcLrXGBq5LNgplYWkZeSPZ0V88M4RjiCxb/jkVus+jCfzKl6/m6Xjb4UIkOjLq2cU nQ34WqpEnktnpweB6rTx7+7nz9jU541dC75TFi7/Ze+ivOcBanYAq1LEVjc5bMFcTEYZvZmmLjnG jhSqiKVZpwsm79252STDSc+ILT38lafG+HlNQud6jp2pjLWkRzjzakzyFWujOTEabhO/hzYFZWBr h/5Ex3Q3LY88CfnA5he5PGOI5+0luVD74dInxR2C2rbNfDrByUelsMdDfwSgtbp29QLNeF3GlJz5 wl7sVD1Hw2KpJUCYmSNltu3Qca/X5eCeUbwaoCOkDAQtMEbXL8Q4UbcCU1O9O0R3+dlEIuQXxw2a 6GKaly1mC2FKFcMh3fcT9EGkWR44PmrpUAUdC5HUiqEHIshfzCo5xQzVbw6sLihkKSzgrLbStyun vL5V78LbdUd1JmCcfjkVAZKJ/xPkWdOsM71lA3kXREHxq5VpRGE8ZrcHwhG3290gdKKXFkrG7ARD C2axms64f4Ze52iwvwgo6l4AXVcCTXzOG4Y0mRJL78AQhSY1II+dLfVmSzQTfRijKSthemjYS682 F1f+ef32IZVGn7f/ZnJuZwRQC2nf8qE9RZGprsmZCDUHIaNjIf7FHtiGvipgi5wpVw1KXM+MZ1B/ 01c51ktI6pTmHyyFAfBMPkum2DN4RQDd7LXSt9HYA72WYAhkXfzqVUSfERcX2x/FlCleLFGlftEK LKeO+QFM+9D9IIP7Qkp+1vMiezShqXK5jnPKSQOtL8t+5puyDyPvC+AcpKZT8nj4gApGooGCNh3s Rf6WANcD9L3ViAyWt3yfxqOMUQHg/q5VIF4ifomPp+sm0807e9u47IZx8Tt1HQTdeAZI9n4R3+/j tvByGeKPx7zSefPA4mCLo0wkNWNXhxfLYPpuLI88MauhzRoHbYaNgtp9oE0+58bLOgayqueRv1cW z0dmYrUc/tiQurszWOvdXpMMrrm1b6vLIIb/kDBwKkpCH15vkTE3iAaUcZCE+t85HcqyV/jNFkMQ 0vyNrimCOF/9g8tV+98kMzEg34/Co+0JYxt0poMrErnVicM8GS+WVcNDf5rMHTlSsk6xDXOXbPCd aB8YzgdK+HOuqoMpaaumh5SduwuG1POumoZD7D71tHHeNZxCx91GdLQMatwhb4ldcV+VJhmEKyDi esrMeipt4KyCfij9YFoRLeWEHI7cmswjSBR9jp095NJ2iZFHymsRcL4RtPhqor7QDRH3lE0LWHtO rvBn/NiPNfRIbZ8m9Aie2LK9io1LtOHmRyo82jmi5amrJQz0pkCc72blYyuLuP/w3zN/DWMl5yOo WKYo32G1RgQjQhFU50cJg3tfxeTSRcMcqn4jgqEALsB+rUMbhz4W0HCewM1Z+WjijC92e1Adwu9L yJDVoz3uDiXYUn/iLvYF5Td7+1iB46J4qQ3cJHz64l9mGwXzpZSIYGh7QvLGe+j5uhKdCQOUF4+R zC3Ydhgk1JFHvx8VWZUXtCuvyGkJRmeOiP6EHZ5oxyjwJnXQfT8J5LSpOlLBDRjV8synCLDGWcId 8ueJs54GWKmqFPpG3dz5QPrLtLMlmjMg4CJ07q7cb73ivMWwti9H79hfaPPO0W4gl87UqcK/D/V4 iETB1zkkAtB/G13xdoGx589DIdvJfMjw0LeIgB1V2Ot+geu8zEC9rdmE/sBedxVgnRdeFUZjC/rz BUkHcl7YI6sAX/QXOPfr3rNMPU0QAJt4S0bwP5iowg5nLvta9rEG5vwi/qa0oLL5b8SmXotdriFF x96xpf47e43ufyDqh23diOwKFvoPZ10BAUdv+HkOMaHze4ji5btCXBwx9kHB+YmEzEDWwK9gc7VC 6Q4WUGWetyoLrx9uNTaCjHrm1hoJEmc/bwdS6n1gtoSF7NKIaGlUt5lbdyKinZkc/NaZci3/XlQD hzmlK1Lu7nvx74pc37PG92gv8gsBzCInTWZXfYVgTGRqbNj7ZSL19lITZREnRT/5v8oXakLEWm0Y owO6vT6bI2xg6zg9pTkYlR5bn0sgvH9I2UTi1GYr94+k8MaSJKMWPCb2ytWS9GWjAZnte+8LvXc7 tGjUKjopyjyenQqyDgNHaXdHpt2lelIr26Xgf/6SYW1xQRHDsWzW0YvXQuTG09JLFJMf5meBchfK l3IAN66pfPNqQHKKCKU3qwiuo16IkmzzRxbGKFWm0H8l9Ff3Zc/eE1uhoACReOVuj16hGqGOlGUZ gcIvTSkh4Qt32BdJMQZFYRkvnINM6jNcOZF4m7Uc7rBcg9+879yW0Itqn14mY2aVALa5e3hd97rO gBBQaKB/QbnWov73VfzTXkKoKEmDP9Qi8ts2pxGHrYwGy90Ab0mbb7NePKBAV6XGukAv7jNC4PMQ 053kNsvL+n8I97siYv1TYL2NrWJXFA7fo1X64Y5eRU3/4SHLtReULR+eRYjoW+D7VASuT5aEslaL GAunRA5enrItcL/6zq6HtM8PBnkLQ1TKCP3nluEtgBZPRBz5Y12OKHTkZW/eg69PQk0LDNncBI4I 2gINB2ssQrmnCc+MausvBQxObFUOm7ppOIUv5y+TX+EIKKgZQCmAdT8l9wZ9FsjOKpXIcKeQ6pEP AZAM0CbGoiYZy6dVa16i9K/ZJblQGn4KJ88FWWU5Q+RWUCOezIfMbynNJZRW/aRLH8vnJa5BGOg4 U+mx96Q0MfUHiN/vCGqDnWNIm9mYfZf5PEUNbiIV+uS+nj/rhb42maXEPi5yZcl5u92Uekr/Kp5z hgbszLawj8bG4A7viEzOU5WHempRDCYM9czyegVAIxbpmK0+GkaRXy2zeezmTBEWuoFNBShWqqQ/ /1xna1Oc7JCAauxPRQHEYq+brcl9nh71R8Vnl44sZKgE8ErxN77dciAPPPc14vAFfVx0dx2O4iFu cMXyG3WRXYilxt0b1wnHHc9cOd8GnaBqkbf8H1tunsZ1kMKx0rSQtCpsSivvb1z4y/sBVIMPOC8P pnbwkeUzJ0TMdBB/maW9rUjR2r0C5u+ybxPGGKxM1a5Sv31h65ATBX/Daom7uTYSPMZXWt3JAucr LlEDqQ7YuBZSoBPoQPBVSDtuGHDeri85PeD4EXAU7nuO68TfKhHBdjAjH8dIEQGtYEfwkinwIRog f6uGMKXlGZugbDj3g2tPEFvdiltQNwEr9jcMLSVOF1W1aFMO1pxmqE+2uhHnwBVpYR8otGRmkr0m XT2G3mL0Uc9WGr09sTx4Rj3/ntnxjxHhXZnnp+QsIIpsqiRZKSuwvG/K/IfqAJDYXQOTjOX535XC Dz9tQq/gblQDrEn+YmG4Et9KRqGUd74UZkyUY93ynL2ww33CtU7gbigyl2f90hLEO9WdEelPLGWZ WXSmsD2KBFmujeQI5Ek6cWRgJgD6wMjXyhOCz4U5vXGfPL5Bb0Cin+qa3aDZFVNCi0vh6jLHNatN Is6adTcirwMzv7SYkfERjdjhWWgrqmVv/dVrDjWuXLVDKi+RavSUKdDv3lUkvZUo1WcIP+LoZuT+ QBQ8XcsqiCT2xz7F+43cQXjfHxqzLKktWQPsMQzz6URkdu6BUR9fJE/li/74SWNYwVQ4wOlVLJ0r X6WbcnT72BO2vV44xzsC8yOHTOUEHf4UK94FNmnEQvN88iB+mlJwRn+G8MgFYR1EWM87UZvvix2X 79eMxfmbGbPmJPSnpjlihiheET9avEiryQ6DyX3FDjSqsT5zlmScs065hyJJ9Jc6LdQzIJb/HWDT q1LBDxvYz5tZjupm24wK1KaeNWd+N20VurQUV0BEqFPMMeWholwX/SZp59d2S8DT6AWVJJnaMt3y aAitnRvfJA+LhyU4BYWx5WG4BRnFs4Kd6r5Myrea2EpQ8RMcv91OYFBggQRbjIPe8q7GoWL/Z4uB AduNYNm7xU9V6k5L5ayzkyBxWuVm85/SaMpuHCxBi2fhcyLYQPWz3vDne4HoeB+gQ/7Ik2e5GyUx r9vaGKLiY5fII89eGZEo3IaZSUA8jU0wenhowQlRQWYU5BpR4YRg7bJ7qLrO6/pI/KL+/FhLxfiw 4uC+gWtl/9Eh0Xioyfg09Dupgwm0+bB5RtAUsaZ41Odne1hSg75/7nfyWIwJl2oGmPwYPh4S8gGR b9vZ9xmqPZfzmN2cY3BGcuY3fVhOJuQOWynVljFCBLxudlZpAp2PNcBnme3AAvDf2VjWV0EEtNhY hLzJRyXsyXbnLNi3RZ98Qf8HNFSYJJycdqtDLZhuSIKIAJZWpc40PedGOMP8WGJiZ6Aca2qTzAed LDDxDcyA0tGQEzTY6aIba/ldaJZlT3A70cLHzoAN5xuKHWpw2vM2m+dCCoPrxtyhWDW8oe+r8qv1 X5IBTX3uhpPCJR+x/C7zkg6ib7R4k519DPXQmsg+XjoPsZz3LliUdynN69/7vk9FCPjo2/Q7ka/x cNm8PG/w+2aU4x+D9I5jz1+gzRp07zK/saMIAId4qGMhsrK3kPB2Ruuq1e1bOihqoOAHdEIGMNPr VKi7fyYaO9OJEHnY/L/5DeUpO1VUSyNPxpUED32RUUaG2AEwS7OgucQVU52DNJdxA0IowlXtcHct 5XhXakBeVY1lBw6SxnLOYk2u9Qj/Dh7De1ISRn05UYTypX8SLDo3uA1Shzgb6HihJaOKCaNXdOwG aNovdXnL3dGm/Y7SLUakzEivWI/l3ptvhbohrzmdeMekmg7fbALb5n5gruIoIddNtkAoMrPBWx7R uih12R0ryd0tHDezj+/uOEf3zyiyzgLzW/JOnw6goA8GzOxPEYXfOf68x3LvbX+/uhVl++iWFc34 1vPCa4r6FZ6EsZyMmc8MqGJaIcsPx59+ARNQEbcv4B3TPec3tEZuiRizvz9yva8N8doEjrKolqN2 /9HuIkMUGbOCbwkRvtZAqj4FJWUYIXJaAMihvfrcGT/H0sax3X5f6GE9f7BYVy6JflCGpCjS6exI gVYWAAOq/b1AmsJS152W9cwjcXqWUO9QfltHogWaJ7jS+GuJL9mxO0nk34GKlVcWKvC6AQN6ywYH rb9dG9lsfmBs9jTZ/wM9iDIuAhWcxbLwJI/+6tQHl5R9xWxhguM8oXz3wcRvmhg7AmCz5qsnQ+NC 9w2G6UnNwAPIbYMl+Hjb5UM6K2Ivtj+1p81sly0mG1DU4ZR6v99ig81+kNvjnEGkfR9fWBY3bfTD Z9f4IO0rRpwk8vczjx8TdBEt9xhBjwqQiif5oynwLdRa77+2J2P7WAW8E8wFRx4CHfiW1ySNVdQp IFeV4iIOw7xkgDVqxW1sIUFC3ZP9jPVLes95yTEXeUfD8ipyvrXxnYMu9zO3qD0EBc2UccyGSvDY qasZHeOWAF44ETNcHdlFUp2/dJgEBw+wZ96Z7Fu4AKuarChi31ud8vv0nb05xZRcnkzV1so1MrNK egJk5k7knHJeAdSMZd1NbAyOl9daMPjzPHvOF3PkILYhMZqkipkcD1Wqky/JgRoaXHG14ONT87m7 kQjJW/duezDhSoXgA2OQ7ttY4Bm6JfsrtpMhkSarGuSdkxHyeuTQDoHirbQalsJXDb0IfIZngEjy FLTO3J/p7YYCDyirICgKkkhR+KHuKcuUd76jXxT3Awjtvyv8CK2TCacXVQFyIRF4oxaNXTVHmi0m H4eKHMQsi2gkkVmVD5XXiJEC13wIgaJhoQOxpCx+luUxGaVESAPrHONdP1qf6j8Uv7h0RrKQVGFb hJcrL0TmZm9aBeuU0UEAPwdxTRgi9HGvWtKjKeFQOQXD07QOWhQGbPYK6eWBupJk+lEgXusgzVJh FrESRyOU9mFJb0RfSza4G/vTFbKJMAZsaR9BGk+7zbdx0p4DpDnbpoHxvnrgekGSjioxuneOe/md lhgXTs9s+nvWN/MtEq+VE42gCQtnmICj8nqKJv/qoLrunIwklDFdsVQ1NZXHGQNX4hpmuhV1d8D9 Xnz/+mle6nJpZdGRGOrKcAuLfNJzsT4bha+CNcfFg4vpzRNezculgOeDyDOYcBhS43Bq+lxXRPl0 UMiZOPwQSgV4WVqvad0tP9vTpL3BxkpR0416dRy7f2MbqcLe83rFsQx2tspEhV44Wg5JuMgnWWvk rU78j7U5NqrHq+fAlxNYauvRSK4q/m2bpS46bA45lYDq3l31vqaR1qr1MhsN/Iobk79sYzvMAXJS ZZfNFv8cuE75W41rEQQRH10o67ZIiq24hvp2TcoKm2WoN7qGxWijOd5Ec3C34Xfandf6okHcqGJ/ hpxBdyDn+ssE9p2390mHn6hbmN0NE468EP5sc8/IG+qlamp31GgU55zKk3zSovq2Yy4HHYolmHmZ +q5bjsmiPv9CPDPWkykAfCRZncmWdpwCeOeN5Z90HYb77/R5fVsdBbmty5QLaFkz2/4TqHNBwqaw RxMaE5vkZo19LFH1xh0ARaHgdfzF1PbaVXGuMy9/3RDFy3M2gq/RjxZr9niutCxXseFCMgP83OEa DvVipBOJmEt6LOvdLeSO+JLlzgGAJkc3hR3vZ7Pb9kSfZgA78d95pOWR1oeXZkaqigUVp3SNPZDX Poy1i0fI11WKtGgGfOMUJgMEiQcdq4a1zv85Z/GSQm7fG08OuqeBj1DfKtVqeiHJzBPS6KaFsN2W q4VPuHOst8efY0fxxsm9xsrHqM31x2eBALY5PeJpkE6A9/ulXa09zE7rUnlHUDW7Jr+SqXu0NkBk 8afOWWCDhWOo+jelEQbrLkgTDIyEpKr9RF1DE6PMAuyF16gea/wfu2zozn977nbq0bu4Hnf4bkKL qtoqSFcoy9rI5canXvHSc1d52YIrtrYSbIVy+Hh6wkj6ADhYkMtnwWrivft1uTmTkncXCXeSmuzN 2psp/+w5bvKyJZmcOdSvPPjqXEBbu4zXVMjYA8MsLf4Au0ny3BPAensr5IUwLCL8Sknds2etxHHx DhMFYW83+ro0rCtrXcU89/0ZqW7ChdqKXTdTLg67hjMmfp0rIU8+ZJZe83+VpQM4QRrmka0JpiUg UfMgNWE4IllWUwc390ybvKdvs33jFQLeB2AKpNFkkNp6Xse3mDJrtyYGLyZiGk6gbwlaUT4nrvrA rV18QgjZHNFzUtSMrcHYU98YPvWMt72sSq1/teKiLVpu+lvAoxiNB2RLbl75L9iZMFMyCew/nqN1 Ji41dj9E138vQVD1DXEhhowp3lWq/M3t2J0nrTvMit80RE+lyDNy/yIyYU6LqudP0AbWq5u4WGxM IHJ3FNJcT/ZjVW30zFpmioUztxiGF18jqg65mgJy4HMpDzabjrle9KYdtCUFOeSAWdMUz8GdKDgV pB499JiHfM8JTkQNB3Wy5BvKfH/ODNwFhCUyEV7GBnv3m1Z2jwaER6vMV3QRD66UEZPagFko3yQ+ HgmZrVWYycPtmIO6BCAjFkHOSnl/5MCBAstWprMTwXHr2R/lo0yp8HDXijEF70wxnU105vXyAv3Q 5ROPdOmh4qE/plJ/V8LIU8UD6ftK986S4FAZOpzypTJEjDVhKFS5/3phtI0CEvs/mrlGGr0j7qzt vfVkfxT/2BlLtCQbexAH8t0YknlTVbAgBt/3xV15GCW0t8MDqs3GizEzMppPALmYQeUKOUJD+Y8y ceI82cfnjPdFSMGSNSgm+Tap0gLeZd004W7SjWGdTn7kO/+9lAgYbBoVGDebYyLiDds5e3/s/ohc NTyJEynAzmX95mOyMkblRHotCOStSXqdfI0v/54u4FMXTb9pFtXA7OGZUr7R1rzs6I8CwuKjYVY4 5yDFUuRfTS6CWvRUnTHXv/qB9ZX16hy2btpx9P50inexUl+Uxp8sIUMLe3sdF9/A13K/qYjifddC lrfrW8LE6A9Fm+XNjkswHnYGD20Cei8R1sjgTZaXZOmIYyTkhK9d4j+N/xGiHcjc5ZrNcEGSg9q0 ttJAWRX/+pdsT2tnYR9QO/KVKj3mnosT7+5ASQSotMfbLM5w/ijDHlsbu0ZI/fCbBAwZag/XESnB aQK4stPvbv6msmiyHVyzWSIf0QFPOL1iNPEuSsbvt1/xlBNPy572NsK7qlQz9Jx5KQLMbfpa+81v KjJUbbdBPiJj/p5nS4N8iWixPU4KmXVhW60u+V97z/JhnJhT2fiBIroGY9swe5dRVdcdxMnz341X zdwr2QsT3SVLrK1VY+dTVlxm1PIw3k8rowMR/Mnsp0LlO9/OSFMJM/B9g3F1vG0a3OVUjI0xDqQZ T463B+guG81Yo8NvoXdU1KMogoLI9cnrfWX09AYa6FsXNd7NHSGMCKYSl+6ShhbpZzryEQZzrsEa nS92/hcOR+EscZ8qF8rnIeY+TPxtl9E/+Wy0bwTb9HJCwwTAllPtG6nyX9Ax17wD/CH95PUw4iFm LO430Iuaczcu9+WmgFmnD7BUeOT7JYzdkOGwtUoGh6YHfvwg8aEmkVkuMtrKb67pYw42P5dIAynl iIjgIWrRGVPdH2VSAj6VWAUDYVpxU6UwDe/P4zc+UJTVKctyUjCJjGXjy5ETIHJ6qCRE+PNUlmMi iQD0ANZ4JFiDpEQF9438QOqwNG+xkUyHpD5KJtW4AsjDPa9XDjw99vOBIBP6rD3oCIGrDRRDkFt7 /eHhg5hZP75+MZtz/p2GnrKE72Nqa8U0qNabUK7cy+tvEo1P/rvmF+MiVk1DNBD9AdPSM2P0m6nJ 10WqRhpR/AOdtFcIKhN7+rJ95TAi91vsfb6I9XNsR5oWJamK6jsz8y2DAr+vyngaIfCH4u8a33hJ IhOP6R8dszm5QsPoYcVR8u2HRxgSTXwhF6UhX1pm4cWYDfFc+WYr9VRa+FobOKeyJhjgWHsWTJU5 sRT8piLMm2qLrbN7BNlNmcngiurwdrfTPc0I0CGeL8f8hrBhgVf1sut6mSZ39gIzOBZ4WLepyOIt 8HAChH4wFHuMbtcXKOPWmGjUcel7HUuz90tRcWd7O3w6xp2gN1ZesqDF4NJGfa6CQWbapSrnvFyx z1Ag0rt94AtJLxx0FQlayaA/wE0Oo1AIgSkbt7tlTy3vc6alOHaqlWOWeCHoDptFeI953eRu9WWQ Lm29i6eXGE4lvc3v53VThTBenmWa/c3bmO+eAkh5XrKIbGHC5u507AUyOwoaojsXydvpmePwRFU1 7Rq8NrMeRcogF8ocS8iYbKzkIV94GOcg0D2KRf/ZR1s/gjXHWAAZHy4nHfOlk5GL0gSYzF/HPO+0 xHIJviwK7YcIuccThk6FIHHVZBdfAqTu+xZwa97hXbzkUkUOq6IlSueYUKXkuTYQAnzk8wbw6AdK jxQ5YIqksIa8RT105sR1xzS7ufZLWOjokCz+J8kZTrpBCpo9FkFS9poXz/ArieoeCvK4nJBpaj6Q newaz+YKSztK+EZV4Cb0dVJa3ugrGK6GrUrhlmI3AEwUbny57HUd8j26ss8jjRFfrxFkQvpcSTsn 5AyFC1cIW1QIJRZ9lCGy3ntkhzg9V0w1CdHN2Q5sH0zP/rDd50WUk5M8kHHcwVbAJp2CQ8f/zZmr Ew4ZchE0fzLb/l0g94dCPDCZxzLcKMrtUTJGsDpcsVbJl6j/NGJ7HB6Ly/yy1OQ/3hL4oVAhgE69 89auNDsMjC63ivpT1oVqC6IKTlrQ+iwIFT+uOJlGAh6ibgSve5gKMdJD76pweemrBOknZuLU7nnx tfm5hcjBNlFX0qSYXhM6nufZx5Ipg/CA+6dAQUyfD8t4w1tVHf1uwXadBI1IHJOxR/fc6k/hYZZM huxZCaEDjFTT2sW2MIHfvlEtJ7CCZQz92KbX9vMCoKEe9m+dvKqZSIjr4wAL1IjXMB+011aiQZJ2 jxYYi6h9JN0uhTzF3ySa/L0NQF1B+2WnOYhsQ1xqBAG1yqX0Jdo/ZsINV6+xr+rXbHFDxCfIXZA/ mRghYaMK4DkcA2xAIzGjOMhGWoILc+1jWBGrrVhjg0PdcVmWFyERR0k4+IGZ8ykGJXYHUuxv9sgz 9CLONGud8yB1jZKix50WJ9WNGBuZZZpyr82+SJRFkzuCUsdB516Fyu73wGRd0lpZ/NvotegG921T q/Y+DF49YhL2/KTL9smJFfxVDOkfEtY92uc+8KaFuZh1SIt5PbcqfR8C1tPyIXr6Yqmex8qj2zxy +6Q7pC6LkWVXCU/QfZARad2bGT2S2QRl+jASvIWK+gzczIhhOgPqd95BCkaUdIsAnnH2rPmZ6rRu OagYy4fRKUJruZNa1EhN1mG8fNoa3jkISDRgGySUfmVQQO0DSn7a/ryG8dqLw+baK1TW4R36uh4J R44RHfMhfqsbkgRd1JV7sE2bcg2tys+3K1SGCM36igTQvyeZQVxodrTdoDkiVqiIz/My03mQui6Y UmFXGWmQh2Uu0oSndwyzh4t3dPpzrQ22fO0pf9bGMs/86mYkyfGMhBwwe168KcakkwIy4c311ez3 K8QGxLy4GZsWGLZfbG1G6RgGLUrorQOpLYqo2oTerEvRkFPNXA/aM+JTizrj7MiOXDrceDyLw/xo YJKum9HcuZLN1xJ+k70abGxezv9JjP6wqtGBBj99mSmIu5JFcU+VpJ355W2dNR5bujPOq6eQKweE 00BF/s+q+yaWnG2YZC2CALHyI4tYrGjp/9spOPFePsVbh13s3sZ6As3biZnHnKRafaASk41WBtxE gVvGplPf15357IMhtwex/QcE+ydPVZKLexBEnVsf9JXWFpUFLqDrTKXrI2sf01WzfdnCkz+/7OnB F8czNLYQ7hPvV+pruaCCYGeFG8cSPMXWc1OrwlbLA1lvdvTEhyJCM6/ZIj9lIO0PjbH2hyqGTo8K A3odJNwoExhd3xeUN9nFByqh3Qx1+wBxvD7WX6n4FRZCRh3Axzx2G6nO840+bhuZjd6NPtcVSmsl YoeVhg05otrPmU5/JwNdC/DBe4U661+KQuC31PXQf0Y3G6SJLRtIAj+vCD1aIDoMc/kB60KPIuEE LTowcNZ25PnGznuF4/B5mtkD7FzWNmpAgF5anMR4PkkACS1YGj9ID+pM0f9CZLhpED8wrRPU3LXo 9NudjlAryMnr/Ob5YoZejpO6OgJnqIoNE0IdHfUmEAIc0nO9A4e6FH6bxIMZPGsdKwivzSo1N02O QUDc9sJhqI49azfxj08HCsHuqJG3smrIN34YmKp/lger/0BbomKXG2nwk04ZEnf6O6ziCvS0ZP8+ 6SeslV7XoR1H+fxC04ddI1xQ824MPfiZL5kbU0rp6V+pBDskP8+a8Ug3I+b73YHXTISem7Z/zji4 flgVoKCRjnvhXuq7+g4Az9+1OwwpKQx+0d0pZhFLtjOXVD7jf/YjvuDCl9VwSbCBSs0eZiaUBlVs Dety6JIR25i/AfUDigUQ5FHGT+fL04QX4/4TEtGxiqynH/6EP6l0V0DuGVMh5ZBW9kB7F0b+xaTL mUoyKtpGFjTUHlYRgWadk2UPUF+ktmYc9ONwckuN+gClhxkWvo1F0BoXFHPeBc4sLhiycnL2SmKv ERoDJBYbGd+vrjQ4ZW18H60RHwwI1sAWyTgXxBbtaKeWbVkR/tCrF1uF/HqxZv3nIfmQCCVuktW0 dOQhA9wi6Pxgp8Jzfho/aPvLxZXBn/085NlppZnM5bx7FMru8CsRlWJG1aplgR6+4ysZsffJMAQE uJxL70sGP/YJ+cCffwMoOC1WSs2fqUsafbjEjiDbYWuHEdfmyXYA0ff63qnxVxKSKOt9KEhW+jge UU+ctqzwChmzWTPenEfJJMmxuwXORZeIXknQw4WDkV2CoD5D7LotPVkkWvW2I4c25VSVbz196TEA 99GlXhdxzeIaVbnAbLGWKhEcyGRY3B+okwu1YutrkVMPaUIol3vXL2wQQ+DPmemL+2wOfwYuVvOC n1R66RkrRYygFus6cB5cN4QiBZcuqzgM9lOQH78SL0b8fYQ7n7sCvh69td9eT9mRM9KIMMzmt2h/ LJuhzx+B89S39XC80EUiJ1bUY5u7gHctYHY7X0D3bcdPTRzAqG0mJnSJgtNNnrzadnkgf9/31B43 SnL/eT9B+CgyO6HXsuWzCporLc1Rmm6kTUWcTjAXOvvuDytcPzFL981eVOoBDm8mPLJu/1/2bP1X BrBMSiBOya2U/k1bYrQD2lZPgzFeIraZBeH01wOm+Gp7Affhp+eyR7BwDUewXlAnhtBobS0/mu/G IoHXZvaJJOIwOB26XxBjoq8swnBe0tuEvtFIXOeDoIZNsBqpKhyNcF7LPxG1e6SfW6wOEpNC8Mft RrrmcyvWgCxtfIR1vffySe/I1pbcmqKZh6CWfdH/H1uMvpODzJuEC4nwgCCYMZmZjgp75VtSWall XpO21yfWhPNkFu0oE5TvQQJINjlos6u1uYrhHZlSXl0agX2nlakgg9siTiicaaARU0kNgmhBQPrV Un1iS5mMQiOQzK9SMhuRA/wlIy01m6C23TgQf1jWD3OuWoVssSDXqi1Oh/cgcPpFSllknVz2Lavj aaWPTJqND6bT0ZN7+txl8KE5Aeew09toluk/VI1vlm2b3VTJPUwK5cxHm/TsEbXod+PP2+/zOQ6O x7u99vP52mlJOdvk7kPqBqlmcKXae7E+Y72IjDHQDldzN4ugABSJLhr8+N9jguAN1qEf6weT+ZQ1 oLk6UG29yzolchgJYROA6F9fi5Q9Fqsjvw0THeO1V+NrkqzAInktoZTX0ay2ELCU0CnxtDuU7ubL BwtEwQcMoz8cT78xVln9W8uXRj3dCzQq8al/9+7fG5gZlHEPJQGiZTn2nDOHKQM6rKUAr1oZ707v KCHHxU3qA/OS3GJdCpvV8jmxnu7SbT22rfHWHD9ebg3BJQyFY8BRWoHNbIDDYUJNrzblXzYxhqUw COkAp8jh/tfy6aWn0ebiiKOt2lysl93ipdDz3MtEq4S0CrbiUd95ib3sZfq9drFqwSj1wVvQlJCm 27nyBeET2H9ATVPy0fHXdQpf4TeA76BUQb+2B76EDh7DwskPADeyVF+EK1eKN+tVDF8+RPwwfEz2 8bIQcW5uS6anIoKhVQrEv0Iohuco9LO9/jmV8zwBoeM1PtkQnGIM2hKCZ7BRPkU2FwftA0QkD3tS sKFr840QT7HcbAsurk4gL8lN3bChvA5/p2ChYBaI8gv6n7yE0QfsAsAbNOE5jiYRNpbzc+7o677D vMlvoSd8SCW+ONsXOaQ2MGRL8gtwfN7hLd4LxZG1sqqGqmhKUkR5E4pXWU67aXZ8aVfcTz1hhuG6 vVYZX+vs+n/vYSjl7Q6CFtPfIv2o/c2jQVHINblee97xSmzXrBeh1Fn++QeVORkdVWeXVB4a5uuX 1K30Wc7rGVpNUwAf/E2T+DFs2oHlkgHzb+fO3zF8Kvuq0xsBCU3i1Soay7RdKqlUYolO2kFqMlVG wGIR0SE92ut7JGRFd0ITpyosrQhF9sqbfenPrW/s4vEKcj8R1NcQ0mjA4NNZZs+7/d3Etk0obUrh mwMnLNtLUY5p4jy5Nw54CKBagruLoRJ85uj67nkJ27ZKkSaTLbDqlJqXFsNKIwjrcSvXn5WFhhoC QnytmmhBinEw8TXqcArjMJGDochlu+ijfqC1rXHXGE2LAOaGQ7YHbaEm3OhbKv2R821fX3WftlZ9 JRdVIF3sjgDbfOIzzpib0l6WeJkiJbOjzAJ7/aE8AdJodhQpopN9j0pVUHLPqGKVkZk5ukiLg9P/ X/eelrhxAgOMMSP+8TlN6dmUqRLvMgDWVta05FMQ3UsZ3nCEuz2oz4N2sEuauwtVEIGq4P4k3uaj 90mdEus5t2LA/PRLc+6ViZwiJk9rbnNp0FDue2qaFshh7YkM4Yx0TLAb1v5x/sto/AWbHUKzFyio fSuJn+rL5kNNXkzuAdKcRTheMR+idWYF7XAqd2xO+HV2GzsOxZifPvjeBgK/6Kv1PtWvVDNbYvAP h7RUyN9Q3P4C6buyPOv94X4z3chwtfseBD181Fq+kH5hsKXYGj90vHSNzYk2WUOd4Ph8wuiOGzUl 9Hg1SnZZd920zmak5cfMHCqPWyB73VmY8r41ZCIAEPimruzM4HYsust2XP2pwdWJBTn5b7W/3moi rFWmY+NGQ60iikuraE3WnoZSSm5pT9AmxStrJrKLxl3Iub6iLKx/Yd+qsvRTuELBZD6RcvovsBBL hbePP3HlHxxiF2/buc3iIo6OSkotxGG5pCQ6x2EvSdXkQ4Cyr0h7c6oNiwfr1XdrSZ+FwamtToyO 0iSLth8TVPUmCdtLjH3sj5dr0MC6VVKdxxLwoviAuj2qBUGByGITgmnrgU/FwAINknmDxmXmolb6 V77l2xjIIMudUJtYaK4eSj3+V9Yl9DVoog9EchI6YeTzi9JWJxtEPuRTSoImGsYBbNG+uWmg4eQK uoWD7XNs+/LdMm4oqIB+QfEUBMFDYVmiSM9D6G8aNz8ebaCUd5c7NiLtybmn9i349Vv+/PL6rLm2 uasAeSN13Znq+PGBx3EdgM+t7jW9EGHuptdW6O7NMUMDR3l3c+wnqVhM0JFUMx7H9mr+r9dPwJwm dCDSuR31M+rAnYIgxXLSFKh1sYwHu5Y8mBzgKrxTHdz1K4HLbo66IZwtk/RLQ1DyLVk2RtGwfNPr fzZeE9mDVXXYwRscYwG0RuIRkCA4e+35s+ShGFy3i5a3DKId2nhKjFFmqc87VstZ4Vs0DJjR7mhL iHs4T9aUoSAaq5aRB7jkzj/4eSGgULOSFAQLZ6UgTxqoilTEvRxFcrDv375c5t635aevuR3hiD/T d/6V7xm7qstGV/DUYdQ75sfRiBU3ipZ6WDEvozgpZwDkZQbtwHuIA9CUEZIZSFY6n6j3ETH181Re aXN/nDh+zxYyiYhmdGmeq7itJC8j3E7CwbLazsIsx+8yBgU4qRygWb2YLSwS11kFKUolDcnMX1gQ tsci0tNmwLYylXyr8kTQdLPir9tGAdRuBVZleambKBk5uYIW8v7J2BmPjx6Qlu/rBcB6YcA6HLvD AK2Q3RE6ZoZ/jvjnqp3Myfk6WTt32PZIuSQJvap6iXux2e686mOR8Pl1zV8qYpI/AqjlJqOXKief gucw8OF9ullsiqL8aYGd7W+IGu8EORi+2ejWYNsgsIedB4hPYCcX5rdoQTURZUmNbp7M7pAFmRch dcPsZOs0bATP21p21EEucDt8+v7awmywJAXR3q5Iiw20iPFFezjwl/hCmTMhwm3bimI20DlWC1Xp 04/9AT2bh8FN64eiJalY3B1gC2f3lBaOCqW7Hqg6x3ViZlhOH6agfozXukBN4BBchK3q6u6Zph9j krl2LYYqS44qSyW6LFWLKVASj0VJTWMOQNioyU2VW5tlebqAbMI02P8A0E6ahe/wC1IofJDceQmd 1RwyvbJdVLONECM4Ca7lkcPGE0vAraYkn/k7NQ6WK5CfzeYoPatz2WoW0iprGLCLH3TawuycAt9x NHBZCioCpHDEJWknFFJ8Eos7s/4oVauxyIoyOhKNtvtIo7iV7GBrLIek1333qxLJeXHZTkjU6lXT t68zD2Oaj4jCtSPKxCt5+gUBTjdCpbxc96dYK7HW7PxDAyocNZRLaF0MiQwEB+/mb/y+qjAkEbWP s2vP+S56XR9U1zlOBBHYIQJkLq6IWxdX+9fQ3lYq/VLbjtlpskSNMA3P3EI2i1weSAhnj4V51M+3 mKa85do2PIoq4bXNDNWfjcCpuh1BDdM5mrRXSLvkaTgu3hJJyov1KIyqz27RWvSlS9YpKceJ2O1z 37+ujneN3b5Ffo/9nzuu4oEvjgilfv0uMOh8Hr7oSLN3Q9sCjJ6uZMQ3lkvcU/cCeYlm04w+U32D EnHhwc2QC0MHKOh7Edf0jD4UrHSR0nw5m5KBkVieTR2jeIMeLqQ+IF7CEarcHBFJxfoyXPGgm0Rj u/x1OUbZ0BorI7Z9I44a4qGb6FWI1kluc9zhvWQhhWApR2uf8L6j1hadVllVbDq2EOeWBosKZn26 g8zbiBZoZzyi5L97KApzoHZ5ObQ1Vsxqk4IuR3w+zjFLPIge8Uy7F6OFtV08IqHW/U8ocr06QSTr 3Ei7ndEhc0A7CJP+6MdbOhuf5TUmw38AiiXOgWCuLH/TGi1PiPVfgzVOxYeyVYOeLTupGYZUsXRb KYUEVH4JCed6OGRla2uyVQxT3p8C0WdhOxxqBboyXid80Y1inOq6UzPYv0k4HFG1Skf3hBZoBxmS qba2l5lMPBq07tFVm0NMgFLowtiqtxPi7QlxE6xvJUpYn92VL9HSXpgPQFqcwUM7AXXdyEm63KWH 7RwiD5XvL+MiUTR7MlGPOv+XNpTTd+G82MBq2KgvCepffwgujG5S3jzzDyx62fGIR1Bakj9dHl7o +MyKZXmukJnU6+ZLA+ouAw09E4QzYAYL48bso75yDguv0UsK00dP/cPtARgSyhysbu35nh/v4EHx VwPEyFfJ+IdYVahnmP6IUsnrI4EGCMzUxakdIGSNWHY3KQUtUkCx0OaQ80LUCdFPBE3ZEANe85jr RE6QdgfLAMDNXVPtZnkj1bNVBfOcIvq49ruYV7cqOziXFIU/zFpxGYaxdlI5x70d9liOHWdWVgmj KXhVrM3dtWd6FkqvJcoUGr+yYo6JziUuB9rJ0up0DCAvITYjrfbgkw5xA91FFu/s5rgRmz1Hf5cC e0t0jG7OGrR3H25B95qOb7MLZVIOuxQHRSyxfyI2x+6MssFO7b10LcezuYYRKCblZ964KM40AbID WaJhDgNmGHYuSQHgqH2RLsUlHOXK9qdb1Nx2WE9Gcr3NJWE9XiwLHzIOA5ecLeKzVX8Kb7LVh3Tq qWDr0G8uGYZfC9WLzy6pjvj00TGcuNAaPFSJwbEufWVaPQgSj+vc0/8rFqZmSII5Xk+HLEijM5w1 Pj0FotXCLf2aPX2n/PX0hOcEihlZzDF0VxeMn6d4D97YgJ98MWUuDJOKPWeffW+WnEq1+NEb14ME Nkw3Au7OCHoDJzQinjmVy3yx51JoYtkQudRqQ6rPruxGEtyAvg7v0r+wjvpxeBEVFKk6hpx7u6P8 xoQcHoHcm+FlVYZe6e3CAm0QqksHndCrylG2xyBXDl4+gFcGfW3eyzjubmoX/it7JyujIHrk41OK /+2BWv1lzAT9Pq7S48OiBBbn4aPlQW1DTaWONEUbd2zuejlBilyVsWIPw/FYt2hw0jlVrbewEads mCpU89NvyPmLzkmfiX6T2r8+IIIHuE2t05nULaH2r5ryAYx9a410ftqRrtU8MKBYz24glbKJ2Uml HKSb28DcwPGRK9VZNT9i8p+zJRl8HiRvSDNTiev4j18x8mulgNJeq/fLco7vNZ8UqZJsW6czP2Fi Gy6A+4aLoAaOJ3Nutq9OVujYap7dw7f0xf9XW4gkL5mMLH/5Y5+j4YhX9nR3uemF3QL+AYnWTO6o uzTHogMsbjnS2S6u//W5NNurAAZ6OHIbmThVMNlrfqUq9Cwa1zrb5R0EDMjkHCE85Wj2B6paHxho aLoX1bgZRzX90F4nz38Hw2D+KWU09bu2j2n7RZFOM5o/Gvm4u96qGrxh/IXY4s8IgEwojYwxRUrU s2Fy2J6pvvGQPhI8TKY5lYYDq/34ag9p3SYjiExkV3PWoUXiEYACwRyTMOyOIFQj7Xcss/D1j7DU Z50ytaE4cRD5i8UBqhZHsblahxSWlcHBrOMva2LfKL7eHhIqScFyPx6hH/rdKJO2lhCCuR5PHHgT mNmKM8khMR2PmU1hIt4UfRy+KA0E6h6QgMWF1+WlXFilFuy6l9AcCXV1XdBbJLL/b8+MdYCLnJCC 7N9CukQvAlf4+tiACKm2mKFVPsfDRCpYxuyeRAA2uxOD5mMZURQqnvy1JccSsvbi/InAxIvAwwrD VMnljVYbWZFJoMAjJ3b2Fn5KVrd10Cl2X8by/VDmGroC9z66i4tKDcNMZVLFyhn7zbVq0/7mGEns Bo9qy2P9gVvbKXMJW1URDI6eKUHKP5UDXztC7xg/a6unjAWDCC9ZCjkEbAjeL/iwN6xLEBpHcLOa QY/3mMNFvRRrEVDXw+v6ZB8joK0HNeVB/BM6GMt4ByCe33K7nqfdRBq/vTim/1nDneyLZS/Ekqh0 gNhB5lH8Ma3m3L1OZY/JBJ5Km9ikys0iw/YSxaVPXQcz5KoVSNG8HjQCSPkwi3tcp5sfwLlCf82A I78q/Y5rZvqoTNVaAXumgqV+ybEfX1MiyQwvXLXlx2mZZmlKzOZZfSbukf6TvwgbRBTM+4g+j94g aqwuVZ+haJPtPC/lBUB0Kb9nhLRr6blsb4qU+2GO+tOrG44HglW5YxoIADJRRYiroA5RijaWrVMl ukXfZH9XfQYOLXjtfSE3NL686yd8bxDFrCrYNjpilAhixFp4GYIgxxOBo3ItVs8M0CfsxXgyCzyA xanH8FENWh5FQF8wTMKPg5jfugOhkvdrHuBE9C/j2CzmiCYbNm7sTdH9wkJ8MaPUotlHyQCqzA5M IzwibPMCdJ9fC0ifruFn9a3kGU6iIb8OX8peURDUn7kbNSEhbHvzg9QtRCp2aY6M5hSqNtjfRPBS UaCGVUeZdmqNy5dAzZ+bxtuVkiD25r/xRpOu11X35BVWfUr21kPe+5AsNxEokP0akjuJMogAz9x+ zRyYW2IzzwpTpdgDNmfRBErobh3Lnvlz5P2F2kqFZDCU8lxMYVoSu55IWuReQimDBAIqN/udfbJc Oq3A1UdwY8h7Qg7zhBfp06UjkE8lElJTRRY/Y/acHuxOdxnbPjDtCZ1NfaAgVCmT4NKCW9QGT4SU XDhlhzTeEyQeNfR48YC/icgbLzfYujszGJU97vcCaPhWayG7zNA9HCNls3LBhmhmY8mNU1mrlapB 0BWOmdX1HujmMsoPwyU4MmraLLwBXzYJbM5n7l1S862vpsM1mroTthWuqE0uSnCjFUyJFtAutQkL CwRqWQSTkY3KLWBvyAvdhKvItAk4u79zYW3IqVQWhjjVRL1RPGM1DXxs/Y+5x1Q8ZGkph72I9nSI 5PR01K+6n7tofxNFBU6GNdE77z5b+OHe8/faoOETSFsLN5j5efv3mydxyRIRXecdawy8SBD0xAfP keMD9Q1CyQ1qBNnvcnSrgbA6+dXtd3CSrS285RwAXCQDSgQMO93j6pheG4B0HYvFNIzE4CLiA1F8 DLMPlkKDYlTShN0UJhqYjexjn61xMNIVe3pC8b7IdOv2wPP7XLIThX6gYWfOul6ZyFdMJPMQ69Ru m6ABoUEnr/aH24fvhO8u8kr969g42eyKFoJ13fdrAmaS5xCk0uMYSWfX3Njl7foSirMZN/lQihA/ 5K4Y8QhT8x1DLkYpP0apg5Fopw4ZMafQYncVc/IT5fGH1mTp9DdNdlPEiVZmD8eJ39Husdw+SPow LlvI8/fkBPHrkDwGw/0XHxO7ch+MUkP5Ljtmr1ocZeRkjvSJ/MjqT5zd1WeAPp+SYXCKq4iDQFIM HSmOZrg35sYSf5QrtE382UzmtNg98G97OBplXTIQJIHhiIHhRhNR2GTHhPnOLQ1yhxWxuE/4S+2k VOTBBBZc423+iF9S0Ea7CTFVlJTVIpBt+OFdk5o6tzB4QO/EzoJuZULRnxzdA4iDseia+csGBPTz WSM4RuR+JloZ0SVdiNYsEriiIFgRl4u2TXDN3PXbjKUOw1gmvftngSFN9gRjUO2Ao274JirP+0JT gOZsgeh1jr9f4YRAJII/74om/svjR3ZiiPOWshZcmeyOJiiSvl1NjJVwjsCos/x55w99f+YsYf1F 6uhw2UscIsW3YsdBcjNGcQw9U+9lAmvTERfZ5S16YFNWwr+E2dcjDZqVGoM2tzneStaF+ukjp07A vjZJ71eRPEZaTUFh28fgh8mlM5yAx/azdlNKg94IHY2kxcb//4Ts1MgTqCF7vxGxJjdznMNNFEBK Zlf3sFXsC/IHut5XuLYF4XtW+TgGPTxj1gJ0jhvx+7h2iOxv2qpySJoIEVIrJWoAwJAcGefIKgWj PRAszSMzcfTkomwiMPYj0g69hxocfhiAhFH3U3APqGg3KyeHkLe0PYYurWNsJXMI7hz+yjisopv2 vOWoMxhFjSHxlpT2kCebL6/Z+hH3oREziujgPjcCC5bYRP/WJHiWezUSiEygHAKgPUamXizH2Sft VLFWxcb/t6EvMM57QbqdHTLJoH3UGqy2zsN65xooqQpEpjCpVJrCZ0XuWVwDPDcbMlmb8jkUJc9W 9sO6I8QzPMlWZJfRaaKEwekpyspNz/crXP9H3mpeKdkM7tNbOKPVIKhQpX+M7Ifvjhonhyndhhta q6xJspHuuskATzmr11oJ1WHHGSWQf0sk93r5sc7XlbHzd9rflGLmPnKPqa5wycUk3R+LypqXOsUV she4YQNsDQhi4dqQ044TF+AlIxeKVkZ7asn5oDRLWiB6JrIhjYS6mVce5vdWwWv8nRM9O9P1Hkkt J7JjWOM/74MDHVWoPtQIm8bvJNzQY9iUwomwIVQ0OvqYjltbMl0lmuHN1Bj3+8wnQ7V7XqGtbWL1 7Kw111XZOsaZoxvdWkt3SlrS0wU2bnKB8N14AW5iyDvbH0N43VkYX87fs2apjb7xDDvMxFMEUr9g eJt6NeQN5gH9ty63WLwKSGRrk25Le8hSG0ubteHgRugFqIgCLh8ywpLyIvg6iTHOjPzVTH/oj6uU uN2rzA6LhMYBPiA9Puhxw7WoKkyJzkf6LZXEWfYJ6vak6u0Ij3PzzSDbx8lRs75joywmbqC5dIQP Z+sNdvGUvafMLAYtY4Uv6azaW91WFppPjJb8X/GIVKQdZeOLmLv3HdvtWB4vANCqcEAUxMXM33+c 7qaUBAmPt2azaKJpP0rXw9SQK/8jnXxlINi9YCwgsEyHOaTxDBxP4cLkorP095/hG7F3n4kKJL8+ NZ7hFrKhos5YgpUc/yM8bmBJNPfPdoo+2iK5Mawn2yI8bE4UeZwbSzQHKRWhOnaIS/QrBWmx/uxn GvfstIVteGmvGlT0ueuS5uQyMqaLhHoCAYSP2hPKCwSnalJDnmDDlpCNVeWa4pyKReNbajrSmjxo ieL1t2EPdcRXniBbpkPBrKl6bG7lCEQB2OixgzwWF1zXQSo2kXQ7YRrPefHr1fsl8U2+IF/Tc0PC UCtbTO4VXygJS7QPs40cI8g0gi6VqVQDwD8kVhqnNGF20KRjlLuWUrOPpiQWdYAv00tpzsxWzBQu HmqxOwBLWoaF9bZvt6NXwHHZsE4y7nFrPwzlSI2t3oilEN/aEhVNUtMgw2YH7lZp0Mh8SMvedlaQ zbKMMzFTpKt5YOJKV22D0DFcaN32Al5NAobb7PijEWp290ac9H+TdwLyH2sfNFpGFJ8NXFq+Dc7K y3KBF6w6svqpEsrCLTnILpospOt4uRSnM8yV/uMr2eQGh+QQVR+1sjECJkCyk152TiljadNISjPP WVyP1CWLMW+8phdvb4eMW2+1ZLh/156UNzrjnpexCzMVkiSHQPmgXjkXxnaH257LyGU16GhIYw0w +aKklIie0eJmPEWvr/Mp+sC90S9qD2dtuCHrw+p/jYMFBFC7CeQ9v6W9fTesKXII5UVMNhXEpFP6 2KG7vQHe/TP7SmdjP5+fTF9qzslNjXSPl+sje+kljG4W9Y7NE0zpKUJs0CRwK+7uAJb9ZoPNT9br 5p5AQ54LGtbcxRz85A+3kvH2pqLAz2rmElVXJ44h700G6ByX3b2UnwTx3d7z6sSx2gpBgaHOAVws sPVU840hplGA04feY+d5XfoxCKaFwoAf5/f/Hhm45IqHTa8visxgCapLGyJB/QXV46SG8muD7gfy CRSO/dX+AXAEIawFxmBJiU3MrH3nm9K4/u/kYlgTg2YneixGCROT3cMCWO9knDvOZ6EvD/2Noane Ojx85ZUvOFVrU5qLpl/Y3La9yzwUxuz9OtqjA02ql5Q0JS+UOvKAeEjkXdTXH/ECbBK5P3wI6AWI uKP9buHNHN7YfqvND69pU+bPiYFxR+KoVYdVlqIX606MCFZenjWIpBJQsvDWxG8SNdiiq2GDQwar qr3Tf8xYds+pNYJ4Okzr0RqW00R7OWR3lBo4zxaXBD4ju+4glc9PVGD0XkReXbB99ESjbuG8Pldn OU0XcxY40Z1ut+4YO9UpzZrc4o1CmgaPwick+dWBfWI+xmYLjLD8rz0YIUQDZ+p/Ja/hiAiOcel7 nxXnPF+/KWLIP1ld86AXxZnfnHuPcGHleYKoAFtT+fnMfVX2Tyhky7VC2dg/S7OcBC6/rXt6PPKQ CZOSoaivNuA+9EIymN6OZn6Ori7/bXn7Gi8oLxsyy+Pq5uZhqUx+EAuNgznZ5rZQNwDyXX3nG32q 6ZKd7CYf3UlXFLYzJYS+mZK2e0UfUYeIdvMkOWQtFxbwqhL48GRjJvbY42KbwYcVSxqYj8rsvXUq kJIFofbPgJus/o8OcCETEsxbiQnIOqB5xFCPRSA/J19WWCsT9aIWNo1QImeD6PZHiYkEp8jrh12O 9lLscUMPiAebLkJTFWtk8yruQ7yv9+swH6TJaa2HHhsACtXfP8dxAWsanMaz+BCFrRWX2/mV9hBv ZUlGoKHkoG2xe6AUVvmHLxobD363fmm80z71/EKTiUTqBZxI6B1AUNAv7tsP/eiY/sl1JLVW5xFs +oCkYwU+3v2v5ql8wtDEA1foydvTE8QHVRlxiaj6txR6MNgT8QNNhFfBJCHF8FQoVBsU90EeYPSQ aJFbG4X7sM1Q4aImyi6aZ1jLsIyUtAWYS+GpDhTVtBrNBShG74cpbBYXLh+SKDPvy/XnSyQWH4/O 02Gb40Mgh6Z9OkAXXecr6yj1WqOR4TZcEX5mMqHQQgie283jRRoaLN+QVngGVJuMnPwwp3gxMbr1 RCPOLZPzwLCQ5iR4k4eRibQWARKGRM2VmWUKXPt5p9d9hGTotjYiGy4o1uxcADlfcLTrSJX94YNe 0cGfCJc7XBAUXJl6MaO2W57xI6P43hZlTRWRAaMayHiqw2JW+52blloL8IrYzt5IW7+m6ZBPzWKv wEW2fGoD0S45FGkDAK7jDIGCXBLQ+9MHNuUeSPee9+JX2Lk2HmYaCcALA8FeUiLEK+uikUXB5Ydg fPXLyRLXHnWSVn2waSuev0qurJ48JaBKd8N6p8oxxDsfUbxwgKbxxSOU5UHxUB6XLFqBXU4wSX2/ iEsW8b0u/5Iu9HYPLhSO7Woz5fw0fIStYgmHx2LFT3gxwzFpdFi4eh5n1bvsz7sNoggS4tmxuc8Q Xo2iKZGPzSWSXpIydiROTb4ZaTBntoj3d5liu77FatguVNyQpjSDRgG9WXnEbJKXxMMJJC6TFLPQ FkdQNZDYst6o6l7WPsjVEtnH8EpqApZ/BNSadyVJcVkucLHtpJM4MC6UBPh56ZBUIFVzgrjzlg8R 1V0Y5n7QMyaSWiVFykBJ8eTbM8pFDrLCDXmGSx/KBBor1y3r3eTlyVDopsbGk1uUEBzWB9hdamxY 9e21ma6giOnvXeBxxGRRMIVKRUo2K/hP1S064gR0z15kJxredrLGliFbHNw4ssjefujpYA3mjjm2 0u3pPbmn4MLKqzxyK2sPTo6hhaS3BMby9xwvesRToJjpbXWBzpOFFaINmWdlxoKLU8xXBrHmwDYD DK8QztyizjWLSdTQZUm6qjsG0juKANZHtzPbo2c2BwXXIQbRKKLsI+nz8dRuFI0gfJ7c0KFvxJkw Ht9fnpBQV8JKN9VxYutD8/5G6TAWJHFAiYOh+6zcM4jioL8e4nvRGqR8h2cLKWGfLXSQ4q2OHQMY c5X3wR3w55k/JUju5Glrv7CRqRKZ7uvXjyd5DUAVhieWJSoFl3XR2ZQcBaf5mIDgOMAwutJtuyS0 U7yBcSsWrkRqJlz8pLXcqFL9gIj6EabaTuQJzQQVOuYtCXyBXccr3lej06RH9Gssgq3M0+um80QJ 17zA+p7DCygmpgE1/ek+ObfQOc/gb93O5dbEv1Ed0vVu0zeAxQ2+FnfKFWRkH8SFrBlAZUe7F4z+ Z9oAIiwLcMxa1ahdRe0IW/8J42zwPGq0trN1euV5dCAp2OMt1FnVvlswlWxj0r14Mn0iPE8us0U+ N9XIVKuAt3P8ywTuVWDJH4J0Mzp0fSee/tEdWpfO6EyIHmgVcl8/IS0jaSGcXAElDQfoy9GkcVuM G67refWhG4CLYfOJk0fS/xzL5XjGF9iQTra+tkYZ0SHfUG1rZ1oa4rWG7oyAU6VCCxZI8lAtqK7a v8nJVvn0H5Ha7tiE77DFCSd19TeVKOEfwxRiJkkf/FMe9Kf8Ve8kR2RQnhJGlcRS8zWaLt+PhMyK z7QDCEA0WMRTISpEKpAGQlxq1PulLUz8CsdsHGPicYwFEY4FKgys508IcBOv1SReN0cI/GkFVOKv QSWO31EmtFh9HdPj00tXVaTOUBmFBubysFIg1UGSBqIj1f/P4toKPNeav9AWf15PoYpQyS/FvZ5m tAvsvWlCFPWmLMkzZlXGo1L32U7jGmJBBcw08OEJB2Q92sPa6Jfiyd64VrWPO6HFGw5t0RkHJaG4 MfcK9kDF8qpzqAHdTL+U2wSLVXLw2SS2ajpL+KNymMKZAuCPowaN4EZYb9ZSd1AsoCOi3fDp6vwW 81IroIrm1/Mgn8lhFXOB6C5aHFd3vns9fz31MDCqlYNvPdOGozRHPLKD0LsWvOBHn4bxkGN8nKgY MgQtwGDFqInIiA0GTQRBpr8k15/902ge6bpsutcdOzLDNeoWlkzzeXAdAzaDNP16NaBxDizWHZEJ E3UMmYiE3c1O0w/wH5q9pcZrPT9pc6sZjmLqo1UNuy01gyEbBVN/IxeDUCfUjXyNy4bvflcTGeFf SLRWpuTrTlKdawhUmy8OiqqfykHuMPTucgKsjbWmyySQP1W8eH7lVWVjCBARFrKsMqRhWL7OLfIm l4LBD4W831rPYpAl+bzA17jLS8QRDGFVzGstca442fyQ76xpB0YXSLE6WS09+piVEn0R8VnPibpT ZnSX3Al6+Sc+uc1rUsoL3nvpC7TOG0WT09PC7XXuYHd+e6t2aw/1c+NgzJz25bqXnSagF9K4O+xB 0RxrQTto7NfRBt0SoTeDMrhhNqAp/mT9Ehs7gojO7KAkKtJavmLQ+gs+Fvnzodm8fs7ZMez/n3gj szJj18GDWEQp4rnOsPuEdLcq5vYJAaCL93DsJ3f46L7pOxyAtdMBzDDEzUFOTEQA3F9dY5OdHFGf TnXo6WnEObFK2RcJH27v/A7NxWYrOhJDaace3eQjIh8qUw1kTDQwGn6YM5nTHGKKTFn9BB2c2I/m 664IRyRmyRWV9wVypjSI4n87FvpBiFh5kyqWadJLxRAFm/8u+Ekz1pRHXzNuBG2L3i/W0uwm+U75 SBRKWlPJR8bPbldYLfAHJ4nX3aYDVphs/xagZ3PD3w88SrS/ISRpOhQ3AQgNe/PIcofG7Wxb3sZH 6to7yN9hGsDtqqu8290PWhVVzQh6khrFDhzMcqZW2U+Zw59FMZ2smnjMaCKD3bev80SuKn/6b3gl WcOUy1+5+FSu2BG7XslSwCE2z3ZB6dLjWbExGxzYahXVmeicYJ8y95TLDXwrCjZkQu5TZjdZP73u /IS/9Seudjc1ojJ9WmjKLD0OscJXOk2vb6oYBS/9TQ4aY7PcxIeVhHzTJtQm2f3kRqtwiTTicYQT Rf6bmXA+KIss8agipdcpX9pRW/svQFaISR/jrW7HdfNpokoeWv05WYklOE/5Jo2pO9W3RxtDbqlY e8vw+5CJFc7K2Kh5J3yj03weUR2cK/bv5wlyByH6LMrl3YLjy7JIWipm7NWhDbNWjYxB7JZIRV5L SpCoF66m7hOJvIlfoT2a7spgrpbCrwjezikZo2w1A9s+6anFHb2MbfDER9pHSAtXb51sbeNLZqiQ UaCkfqBXvx4IHzE9gzU7mpNQozU6fLVN7slauDzPTpRxbvla7H/jHep6awnTbNN4Ta6XF6xWgunr HxzcArxRJyXzP0OybfS8VzinnCEmbFjY4XO2euc/Ee9qrKBzvkarHxaOiKORS4qbMeVc4opZ5jAh Wji6ll/pxuqZRvPlDQ3qrX4BFI1zKJtNAs44NxHgKphqzHNFmmgRT27X2kyAeUfMJR5UjuytJ7fd xvSCeTeUz8m5NX5RzkIHgcix3PbAFYxmx+l5gaNSj7ELvUYW2LFvtyBpAuEQQ8hgjWvXVUl7D6Fk mJ0oMWDTuK51F7zV0A9mp74xHxRRM/8SX3E+fuBJ+X6efJfCLpzY53BiHQspOC5eyoDLxzDRXzQc LEez4/adNzdcQkFmFOXtfrt+HapZ9OapxtQG8qeOOPi6Xdsm9PiPpGyhSM3qoP0qU3ILd/qfjLnN JwFCVoipQksZr98KIRXXQs9KgYhpcT0DfdcIzBaz3FLF9x/qY+m9UXGkwa4iSgg+Fjwp2/4gcMpH k2RtzeZMOU/snsTArKuQHiTueXbyL+1NkO33LHTHxCq4WNumC3QkzAdN/yQhnmM+oHHWm5rg/dkt PIz5aSIChhsOkClT1aijUK8UeW4H9l7yXeyxNyLv5fIXgFYANq+o9p1XLDfw63tMhcLkyGmmdYfK EW2HAzeE9TvAiV9rQyE/ol3pGC25MRa0WRZb31HFopgJwG9/6JnWKxeyfs3ih4EtQYSmDhFQpYG7 NVNuRzjAjAdendhX3/4tWLEOJq/lYCxPvRdBLj/EM3JQJCkrV+X4bKghjzE6k9beW6aqY1vJaYhO RVKFwm4o/APgC2E9ilzJsAHRnsOlH2BMizDLJt4OsJvtNinQDKFXjGW1QbBSLD2AYjnlUfP3TN0I fGHSX0kGXs2CBrxqM6U5wAsbh3pH4AQglb+mweqVBqFwmnp4yYUMSlDphDt/dpLBhfTh//SJVl9O g5LifLjct5eYDOvS3NnIlq6eVUOkTJX+uJmmJX7LGek63P0qcG0hsvXf3k7L2o5kOYey7FFPWpg/ Qta5B5TUQjE/JTOkZ9A9wD12wqOJxf7w6yPMvi8MLg8tsA1uYV/37uvPWge1AUwfwSQV9fKZGBTR BmzJMFyeIflAahGS0bwz/Dm+FDxLn0XhW+3ZuDxD0X0ZzpDoDIYoRR7AY83spO8CwqUZWbExPihZ JSs3EeHyU6zYXmXCj7Jp0SwyhCQD+o3kltMc78ZwdnjyjiVyID01dpw4OZrOlEjxlLwHN4mUDMJ+ nkrYQz3Gjryx5+eWVWb5kgt6lRQDEepn+cz+Ew2t+bdyyUytXtJyvGwOC8Rxf3Ew1JcpSweXIUNY /F1+zYadjqlC1xRpAmO9a1oKkqcKEzHBfURSSG4+9hZ566HLNVmYASQRTKEhUg4u8swbJ08kFedr aGXgcHRHeVkxOXKufB1hjMViOrehKp93XB9u15FOE3A6rwNXpQTK+Num9yDlqJ++EfITKrk8SD8T qF4blViDb45PTBY3w54ELlaJldjNRHvks5XRS2qyILadEdt9JmWWAqa7p27HXUpaJij4LRdHLTMK QytWUTwvznYDBuWRDB8+3MDovE9gSld/yLRkeFPgfc/295K/OFKJMRqeQGsv6+XJL3gfd2L5igfy XqRAT7SuFWGzYYGowsDGYZWEGJ/06Mi+1oK96WWRYVEi54VMlr90zX5PDhPIK6vt5XQNkPYXTjsp D8kpuHBrbQ8affmoPn6IAihkSQVhk7TiBdt4YfatDmWe6xDfre4MC6Ynn2+BGtTlG53wP8gef8IE IoYNAJcYj/1m691zqrXclqT0WHkQjp1cQnEWDCqtRHSofXRMjw+1Gbr0pu/gGwaR2U2g/fEK+QGt C1KuA0aUsR1VLPL0HwFZlqTkTZ36rot0TTqE3i59k4sFVLLfjKtnVA/SbSOgfboKIO1BPhW820NY p62AbaI0HnEElvVi8j2UmulVbDWFM9KbBpBWx+4oTKwK0xRTZ6baHaiA1Z3SoQGOFB6Y7LCOrGGn 7ytypIoGRioP7Tt7q3kQ/lzvt1KovCmphzivgff6NvEZraqb3DQ71sdISPHHHADDiAqcmaoq+hXm iEXoRZq+zaNKJLAkmptHtrTlx8rbC953548r12igEnt35NkPlhacllNBqkL7Lf8cmB+z2yBQHGXF /GSNZYZ+kisAaiW1o4OwUfr27iBBc7yVvepPPD5QXN7TPhElZgSk70uBLNhDYFAZ4zAIfe/n55Kw aMS/yNhd0qU/yPWbeoe2Mg318BKiib+k7Jy4asSLejsK8XZXb0EHWTr70/Ul2K6sGeuDlzAyWn5j Kw0G7qRSlAnlpgonk36JfA4Mlbqb6VxJ7zPRsIJ9aFVy9RtbWRCxc0l2Imeu1J4USCAke8jcGnZA SunFepuV8WGoZhMjk0D3Ulg83vwYpTzauASOlQmYBq3mdWI4heAv4RUn9Y7i1yvk2Yz7Kj+oPVEl J7V0rEGbEKCf77ieQXTk9c2/aCcf0SS/rxfcdQNzMgazmyHe51zZZjtbjJtql5N+JztJ/N/+dnCG C1IHFPMnAOpfhfsKAJWKR4aTDjGEIxC0F7IRQJksmqz9eXIss4zIiCo2QJx00qFBw9we9arXbalP RSrR5RknkRU0n7SfSQ+aseg/+DA9R/lNGVBb8fjeofMLgsbOMsOjbqFMR0RwbwUOHNJb23UASgVW aMttBgBsWuQWZJvxAaumWrVp4pdXzHkizlYUH9p1KqgXF5x82+M5kiseQaigUiQGcJQAu0p5o3Tq UNnPwg9Ku/crte8eqRWqN19lwO3pKBYiaRmO0Y+1BVt9m2/gaykMkQtq2IaB2auc9cbdv21MQUoh CYzLKwhk4caHew8NVHNTL+WKG0jmgIP3FLJUXnZzez3go8+k7MgjDCEbDIZiK1qU2RZFAjH0KqhO WoRSmTuUtH/lYpHjEx66SeETE/vG5JACtE3LdpvcpOH8gs2Z6ctHY8xXSm+Zcd/0AYiUIUxLIl/p 1AEkFjcLAwIMU2AWNwPYuzaSOeKoqRW7XLPOupB/ZVnbIGvxVFJ/lqFxh1OIeTyk5WgUvmGc3mZU v2uVsgXqkEwGH/fXDEvowHE6F108A4dnYSHQ70AxpGXyJDaIvrvAK+rqtPewP9Uz0SsHch6xuUPF dNNNWVG7xjY/rGVZIP0jwqdCnRvrmWxQv0ik5mSWH95Gc6Zc9zvVtVNKrGEu6XIO9Fzi4crY8aDt IZLckm0dyGyF/A+V6KLNTNawRleh81g3bj1bUKXOznyf0MyxSRh5+K3OsJ6pjWjN1itkIToSeP0V mrwKRbcjUsyGGjegvZ4a3/myolaMkVUSuILxryQ2Za71fY7M3E6oXolRLPToxn9JaCJb+yjdAvMD jHXONmqeIhdNy2O+f6G8Q31/z4VRY4KWJvBPDoI0QeiArK/dkt1y2IRJOCkxQnAZQqs87qViNaF/ LY9I21c6yUANZyuMeAzRdk3GBItWY6WNtpCVjmNQv91g5UdBZTPp7/FczcduSXlzBw4G8env/AdJ POSMEAgRh5IlELljnq4SbqU/AGGyM4KcMN2yawvdPduPljGydVO5QeC9a7c1x6qCNCUvNNM8b24E go8ElrV928roetfpXYjgCdWa6JDmK29iF6mFsFEEt+FfqBGdrg0lGhO+syN1+s0j0WDsGwqgyuFc wJHAWBil5OR93RscRaj0OvZ5wt727M/C1me0KwCp0qF5PyLaIottzyp5gfPetatNjHYLMLa4xdNF sEEMnZMx0cGT4Q7LYXQOef/bdaZvzPl4KRdDsrQW2Vh+xbPjkZfpCEmOXAfa2ZCT4/J742Y8K21A Y4XLwNVhZKB57uj/j/dIzibbZaegVsthINuP/vVvLk8pZOp99q2CbqsZ6snsHxcAMx4diaZ+Tzuv vOGqq6aWzkONRPsmnNZp7C+34X9rlMOeO9rWKnabVm2rHcPQmRgB9tn2xtEbPeTHWpAM9waSyAPC 2gCwwDoIoA/cltOQwgEYw6XoHRPxxIkRQup0tcqzGIoOWpk0YOJAXtzY2logmmxTGdQIry+T4mhG 8gTmNFxDsAbaP59SSqhsCFfHY16OCeNCindPzUcvmrq9+B8R4YdBHeodCcHsHpUh8YpoXIgd46+9 xuSKWQK6kBJxw/cUJSsyewPGYhXXd1qkADjSvqnMn8SOVoigM3Jc2d1CIx7XKEDUmP3+DL2wW0qI UgRSjPmjC4jw0a/pJSvYJ1s8vqrLT6wS1XyHtRAhhNCUA0IF8zBWB3kEOZAHjhlRR+wmpQMMRvno dOUpTDCgiodX7Q+wMNnjM2Dhslk+fOASf7HxqR8fc73iDhq6dOcwBc6cu89K2hl6sbA7FJe/U+ft vAHUqREF21BED35oJywXC019wNxA+OdtM63ae6t+mUQDh29jgJc/el2vA2GTkrKTAgZysSk81rjH Hh/VWOpzNKdc1FXbcr7wpBBRKZL6emCnQ75d69ovtoD/EYZeAOm/f2lFoEnnMRGo9BrEq4/5eHxl w9fOt82u1FZAjfybW/gwXO/OjsSJS0Al+0zNUL8oBASE7pRtw1O0RAcM7djzE6dppUFj0b7a+CY3 APTuMMy4yKIcw7VSC6Aa4pDs2z43MdhQPJclukHQoT/sxA1xGlRuNgD2GrLDIIfGHnKks7rZ66HV KYxSdHqb3USgYhOkK9cHeEn6LtWhMN8RzfffMxAENZcmG2RSiOgPbw33QSnnFr9ivFn+6D+yGWG9 TWiag33q86XTpgRE3w+LObqerzVfcGRZ80QhpuWoOdSBiq/D4IEh9bAH3uzC7Y1on+ZkgqZXptm+ BYK7Bn0M8gO+I+LWGjmJJ3gHxHbQe27Fh618bId3gWqYRshHLCPhUjtWd9WtPW3v/o9cKThaXDVr qQIMXy5ka+XWbo0gfpV78uhWr+Iom1rkASJfHo0Qt3W/jf9chTMbmWkW4QAesW6MsNKJHT9oj04I 586sJEsU7JGDjne09F0EIRMjkFobv7RaaiFnYOEcOhmqcHzM1tOUhiq3Hzt1nAVv6UDG3a2YmU63 IlElDyKYWKHusrU4faKIcTyWKYHfAx+qPVHODUMnTNroDNOsryu8QoRuecIoCUIxbSh5bPIQo/2+ AIClB9J7tn/hFZX5CU2JXC0uxcBVM5i/9xn2UhXVrDhqDWijoVM3h4Mz7r331SpseudHD8sA4cvC dNZ66W3Lxr8aQloBkCCbJVjtskqzS1Aq/Ppy3VZUWdJXk8t+nDl2kA2AGfByABDPuf1dQMOyM0m5 hkCzHkMpVd0h6E+gjMf9uzEYj4S+1zCR1LMWbkxXxTuzaf/PU/IFewzORvW4VQwo0RwGGP+NEvhL TUQdKsioKfnKXk53ym1R7ihYOxO41f3uuOuBL9SeAEtrIZTetsUrQnfcI2ffB6xBkjIIqmXQo51z 7DyXHfd5f7VNJ6NJWUM8Oh4tfsx4KxiNEeucmr830Wv8gQJvT8V7GKyovJboVPatHPTSZAJMAgNG r6qhuORkfT7VslARtuj4KhUTQAspwbkzKAUmr/6lKrYd3RrtzS21DXq9ZkcVKo+mW3rrUMN3lYJ/ +UEaL6rhhE2Twg+RomTiFCLBxMszFoT1dYVplzDmMao7cQ6ILRGSWbZnqs7OSuaFJv2q1FDQB4Mq weBAfuaMOeK23RgKHilx3Yrc5YePUJEkieXooKTqBw0G1FRBjFYnOR1QLBgSWSlZe+V0CMqqZaOn iTfsZZCJapYKdtlYb2mfwaiqGNPBxS9cI1EZPJDDGHB96/j7w7wG2XLrbKP+JNY3tr6HTy2na+ob MXYfe6Zu9glW4BaEbWTPaZUMoSeddownoK1geOKyCRe/Dc+PvHCfRklC1XYrhW/Q1OcAgnC0ORLd xMZYxT67g9OrvrQOqq0vP/bYBZEhRt3iO5TxYFhxsTTeVw+qnIHKnm2l0M2Xj1Fw6O6pDWdrcaoX GgiylyrWm64/A4fSdl3z2id6UB//YXzsvRpxzgNDIHROEz5g4woyZv50rN5bBBcp8ccKff0ZUKV/ pmrcrXITa9no1TMbiZY43CJHkZPi0aG23Ufw6ZzcVg4HzLnuOamkGjfH/Q4Q3awOpIsFEMGgmCpH sUJPIlTz2CYUuVOspHzBYYz2TFi4NzOQB6bEQApqgY+4rudty04PGOTDKGuzRGvqK45liOTfmY8X nRs9zOzFDpLKq/mCLSrqQPFcQ/Vce1mP3H7Y0jqlC0f6+diokfN8qmi4pFmnMKQyE2MMYgsPM9dD KjM8mAXYzlVcsi3n43h0dnN1lzOMGhgUphL/+tYfc6yl5U2rvcB7tcDqTzo9FQUT78+fQc1GfEOV WJ1Sit+IrrO+QyuoP8jwcPhH3g1Lv2X8QzaIVPZfFuM3HuCA4RwMKKDZgLJ4U40lzYaGvu1ONSB9 RzB0o2vCeJrz4aLht7b05W0ckFCfLbb8HXpsl4aZoB9fUL/PTkAPtVr5WR1psidFmEOY5rMWjnzy jN5mEvQ/zxy8Tai+eCFTwNHRefpkFhMjVQbMM3OBn1f2ioXqkXR7VdepJir6SI/mdaMFY6azNZAX jfrcsClrt3/dMnlL/k5UOB+BqaqfFAzx2m8yRvjHVhLPvvOSIF+JlddbE17GLgv5acMStCp/JwVH VmV81Rd2BQds+ZYrAbxKD6UncOmJXQXE+YhWPI2sBkqAHKQSSVkHfyQlprEQCRz7vMMuM6grYgUL SVal4vv0+Joaf4iaEDm5qqx71JTjt+HYZ8+NcqejBzV4bkJ61Wgru4/qi91f/hAlRgNf4h/r02yS XlB4229cHbl/6MNQU0D+zpg5/ZEno9+cSc8ST2xu1AhZDfPL8lLV73fOcYNd2ybxGTOD+s+s3UlW B0hXawwKOXeV4tzgiPPwqXYiZ1RGHh5pYiD7UAIbjoTo4x3K7oMUzcC0239+U/4qlfzpLy1BIB+W oHitgqIwE3d03knGFCjhBEeeQc/Sw5F82Zpm3hl3gFXn/ccScDydXeIrrAf84huSlx2qSi6Dl5CJ 82WWv/nPLIDeOGn9M6UhgxppVcExgVOfktt7ESZbq2LxKHp4Um48SXWL1fgZUWTFOmlxMxr2JXMu YUncUZboLB85jTzS/uNMNZzAAJB3bZs98ZJVXn+huxF9UykAXcJbcOYcOc6aLJ3AmPGQJisbOXJk 1WHGSqudyqcDNwBYZiwXbEqLCu1H7dRx0oCMJUfe9Cp7IvC3cROKMjvvYnu/d9xzcPrxUk/5d8p+ eveLdmH/VY8yhG1pcS1WAB6lAliyXn99+PA78wY4kWXHKR9zpml4z8S6MXlstcv7YZlPS4al6o11 yDRY5r3AU01qUnJqImQuX4qmvU4OkI3d7R8MXoOxVrVUN3X88jP02uIvy4KxriJUVULfvqwpBs26 zjUOf+9rzYq3fxYjyGl0Paa/VScSbAlpKTRjhn0I9OmlGz1w/xvE6YnwNVgPncZUq32Kg7w2AGit feuOSqq2DuQrpHc8U8qtXZSZi43GqnoiIqEWOrKvNMtwU81EToCGvf2+THiF2z4AC+/inn4eJdVH T2o6ZJlHu6yxco0Gks02Fj8/pbhSlg6ZLjkk7FrBJNIxrs/wl9+2+DysrRjAhlq8whmzqrqgxIM8 Er9x/tav8hqZd03NCdTDheS76jGioTq0NRh9AUMYAQVhcqqIcwJnTGv2q9O96B2OSD8tjAAhqAZh XJSe4RPTV0fyEmTNk3BYtlkpeEkGFnJj7EnMFS/23/PSntHej/v30z5WI42MOB74um3B12K3/bZU aNtioLacYn7jX9YcMn1KgjE4xURDay78TpgEJMrq2nPFGmUxoHW13CSRdW5VyzXf4Pn/wcgQ6CiG hIkkkks+RegF1UVNT2CHDkfHCe2ZxD/0eLpHLeix0cWtRzuPNZciIPhxoBi/4oyT4PVBTGuA77Ao mXbWE5OPvmz9Sf6deg3F40UIFDdQ+hEVcxrs8pH57/3MmpT8WzgDFYm5EP425Yv+G2rt6ttUSOml exMauoyxKgAZOizzaE7KBv3qPB7K47I6fe6kn5RQFtfxhGCe7/M9wOCMzE9kWABwhq8FHhowuhl8 Z6d4JMrtPmxQ12NBq8d/xYoKY9rOikN70GG945keeqVNvKeuKq/fSBi/fhiV5jtHj/5/qbr4EQap EK5Z35uSyKyM6XmJu6Kmlw+/WzMiBSo7K87uQ1nfzPChR349QVXos8h4o2OLbwVvQbANu7/nXhtY bOYz7H2vXYzQBm820aAuywllfvzZhY8wabuDzHp4ExA/aCAbLfpc2nrWDbchLjNU49qHf/hfrWTX sqRNY4QnHDitgCDR7W/y3mfwSkT3fRNTtsAtqYMdGlF10Jh+Apyfq24qI2kAB5mjg6H0a39+cqBT RyCze2rQALlFAtRDPe41FuiMGgc9eE97ofDQjHw9aCqnakw7zJghQjLqU0jS25TIjkfoK4lI8plX hp9yWq2AsrNM+mVnoK9pvm5ajSHd/h2wmE5W0u6ie16S7ibQQUkS3T1QQHR8o9S6GS80EbBotHJq 7Lvj1JPWVbk9iui8NhN4/e6q81VbTEZakvSW2tF4wkbXKoA+EUDs8rRpS5JZWhLp7owCs17h4Vzy xLXAZHh6hqzloCyg5l8ccYaU9Zp+D2TJyf9evCSSRH8Ep8jT7TXjb8X1KetTFC94MQi9FeU9CzRw mElSZaV6dtKd5vd4nQ0T03oXrm4LhmCrfqry5qvzwhnEmU4EUtL5Eh/D9q8wnx5xyJo1nLq4HU50 F4gtIUobZ+sELBcrLjyBlAP2FPUsE1g5FKsMLxc9HolvfwQXKJiQwFa0CZtCtneAjUabN3NkTs3m IKuzqJHifCIS2ug9L1+9Y1La1Cxhv8AXtUlmFLvii4Svdax+OfF+tsu4NOefHn62/OG93hV0TGPI NgvsAiDAC4mOCQE7kAUNv2+tF2wNdYfmRqo2RDk8GWrQiWlxf04ypieog84jELHTZDhJAMirb+qm CB4BUv7BG7p7KcbqjnKa0jpVOsXAHjRFA2COiHkvQLHFGaXvXPmLeuACN+CmFH5Y7d4DKtzvGth4 Vp/Unr/F97OCgfHkMKa7IynHNHwET35By8/kmH3DWaYPmtluthkK/T5Gn46h+8QKyN8pLE8rrtYR HGtxX0HV06MBKsdR+Zn2a5ncNee6k7M8Ic6B04mpvNbXFTDsfmkIiUcDORRduIqj6FDPQo0VbSxC Wy1zOaogthipEZvKSBD/v2f2tClA/KF8LX06wReSGyhQ9W6IddTmMJBfCjmVtlab85o4v6nHDC+3 QyiXDm/RPBnXxY2KNSzSfxncDasfVZSAS6qgTcqNCdx4pKtYD3eCvl5I0XoVdC3vOhSBdhPc7IAA FNyDMyf7YivFbRZ3J16aJ9/6nImdms76oxLbKHqaJrXHMpJ2b/ncI4WfX+B4N/hrRLtu1OBOd5zT 2/ZaoKqRont2FfnZNT9VfKurxESTsi2xOVq13hCjVXljf9P2VZlwGCXWaO2dcNEE4ajsdR74mIbl DVzrwSPUx86IG/oHrllTxQHjcMbQcLYFyHKzPJVTuHozOXMCdveTRRTOg0QrTAfv8zbQGVuMn7H+ uXWN1oy532BH75bUj7eKiC0XCEnhgNVVkqUDB6TpAbb0pHa5mllwFKbGOxJUA4s1h6dQeqbXNZC7 FOLDIIZzhc/XXO6a54qT4YVRm40Lnku4ApiRG3NtUEf6ExUFBZnaWni5qACWyb+w787fuTFEyMvP WyAB30kXTvFlJ90zDK95pTTAP/EbbVChQT6zMGIlJASUzbqrmup0OJl+PIZHYy5oIUzoP1ijDyu/ hDwigsYSZSSrXgO94Hb0vdUwFrC9ybyA3ln+teg9+gwQcQyv7PoyHoUTc7/7DrliZe34o1JQx3ze xx0N1Qq0+DbwmSB6r/eNdODIv5RQOQ0TZYEjPEbSp4waZhKWJ/B31QZZyqVmeU2Tq26sZpAIOsTj mEhkGuiAp0hbr5u6aoiaaeZ7LpOMNRwG+Moun71yTyaP9DID+MoSePP5Kv0lVS0nOWaS3TruDruh dRg3EjCC4zqplQ3lpprpvIEH44+41drF+R49aItAfIqsx3Ibbsi3pCuCLQ/Y/oIxaWPPLYJ6BxCl Iia6o1iHb0qWCmv1TS7gql7Gz3+ZxMAvV0+ov9z5iolZMhvkxwGHd7mPyzz7XcNUNwlnWcs4W+Pw tGRZU5XZDc6ylp/wvax36+jk3HSM4oxhH28p2TVB5xueAOJ7kBzgOaio8Ketmj6TNG15uZLGTLhD kASpQxxuzmm9CggA9V50dNlTTg3tHqGx74TSPd6ukpmBfSsYHpYqwKy0lRDIdXroO3vcLioa5wrU fCHXJQp77VdcKvwmQKYXXXJqh3VVKUtZ4qzZQc/xVNJ4bRPYQiHyVSP4zIuBrmkDYyFmKkvboSyd KEbjVy6TG8jPuJPGWWExNkLg30ow4gOWICnMoeblPK3DVcBgLhRqH+6Jc2aC2CKNRrp+802Ef6dH BEjhp1hOBxmtRpJswn9sjyxJbk5kkG2Gt7LDICv1F37gd9hsBgX+BVlu+kzwJxleY7Uz1mwcHyJv fc+uuYOEOaGeAHR745W8/LloQxLlsSCNhC760Uz2hMEff8cN0uwd82Auy5Ss2fLXSRzFT9ps7+4y p8kwklJ1hiBO03PNPh3maQCX0bbHFoWUUBAVKKtPRUeZwS8NP3MAK2GYXTM6EgDRs0769jujHfle zugwrSfes9ENzScAxxGvoeb5NeBsYs2K4qcnhb3WBNoE3+5YC3cLO9ZBwW/aEFLSMJH+f9xEtuw8 b3GsSfCQDrI0jNFGUBoFvbEC0FamiqUIyUOumHE2sC2xLhlPUEEPMERvxsLZZzGs1IAcnTG2TNWK M49as2ze8iuf/9PExw0zswmDenb68M58K7SwXQqT3u1mt0nUTpyqdjCsQePcsuAksYotPhRoHWyD 9320DihJOiLjRHMYLizxfRQzCJ9fiBZp8Phtag5KA0wcNmQZu+HRkqShgVvWdg0bDc26XJbeIwCV dssBFylVzZn5OqzuUuSbvELaWJW0MNGPCJ313DBkpNQMaLnjR7Lw/v6BOm212SUsoq+LAuFQwgPN OpYs3aW5tIxE6ZCjsEeqNm7GMo2/gGPn+K7Pg/rBqT7xwg5HasHiMdeBNj40Yq4vNbycfm0NZpgr DtRHnE7SgQXf3DnSE51Cfe+DjOJmfu4dzAYoo9NNnVlz4c8bfaN1Q9zwM/lIPI1l85aTLVsg4PHD 79yLEKuR00gSRerXbzc5lyVJ6VOteIA8gzBqitdLjh/bQjzj7wTHEklT9asEfJDE0JJRi8+rhXRg II9ULB58m/LWYnSZwEdg6hqOUteDAuaisdncW7tVeznGpw0TIjkUVErEdW+PB4RPDQP1PGDKUEjo CLwGeVwd6ivWRdkTVZUPpG7zj/Fhb7ZMsgSsXiKuT9HtH0y4KrKfUFI5vXx1oPz8k0Z02PXp8bml 5FsiaeRp5WxJZVasgfVC8mAmj4VJPpjhL8UHhHyDqZTsNuebZChVEIcnZ5VV/hFocugwWoyyhdvO INkajb/OA1bEqIhKQV+fX9JxpTN8aBZIJuHQ/Yr12Avxau36MB5s3U2AjNj9R03SlNScZaGdR5t8 nqaXzgh6u7DCSDRyEPJ6pz1xdue+HrQyehagWkN2TnwJ9LbM9x9XHrF2rStEWloO9De2jXRUDmws jOIRmw7ak082dMIBrIeT5k5p0y8OPd7osNWr3eXejzA+0xDJJBOF6Pd/uavD7ihf1z2reHP9B5Zw 2oJBGrfB0t/t0vm9udfDxrcorbQC9lUf0fZ/Z4colCSSvsyH+ZQvo9SOSEiNuhO4wGuUOKms6Pjo 3eHVpvSckIPPp6NNegM14JRjKd6NVgoyb1c2O7spkLp+8gJLKCIwQNh2nnNyYG1kp0XPeNTChMSd 5CdhqHUIA4PQHwTz+QyLMJmODmpwUIApAuxkL1vrpzbtLJPk0UxhcCHPzvG2376oQVDqY8y5j4y2 krXgqM4y4opKNbTVF/o2QAZxvNnawiWj3GwvFTgV9QhlE0kVatjw2zKY7tSdldF8pcDAlpI2oc6d WW8o66BqY+Vpj00rAdmOM87uzPklvsruu1lM92QNu0v3O8sZr/SN6C4FJhmRySrNwVK2hX8nv/9z EEFXDI6yutnihEfW/EL4HllQn8wvFPBx1yVqrrQnDCk+/IleR/wuYU8aWXE4fa2bRLJf3vBwm/0A dZ+uQMe2OrfbH/PktlijDZ8wh7a96kjnYlaTbjzxTAmthPU5Z9oGXTqlgSk2h7eoGVFZAOdiiHzP 93iObPlWHmSBwbsvTpXmwtmJGWt5NrH4N8aBDcsajxsAq8E5AKR4d/SK/pvKzF+iRkuLPtk6Szan TOhPklnDsf+ZCjF8FY9hqUc5I/xBZuryvsUN6u9ARo1Gs+UbH8RELdBPBdAXxrPj+qS6t2P6jvSm Baj2x0WUmreMozwquHm2tzwk+nFf7F2oPrvuWxJh4j4o/DjFou1tV5wcGepFqFhPa+41JIglrNN1 14cMMElfYn4dJQbB7BlbMlyPblabk8XgjTHJb+MwaNEaOHoQzAhnt/+pmNmbiHB7z8XlQqDt42EF m1NSjnC4/W/fJ5oEi/MEc2v0QDgD6CslEQg6eoopVPZoVB64QF+/ZGHfKIEKT6PI9/bhq1Z7B5bX 2gRVoB7c4u6nkz44+6U7z0em/f1U6a/iFvGf3quSV1dyusEEV79ubO4OVPOfovqd/rpB8bbuLyov ropq4A9hbI7IrnNGHmoENJHS+UjGjorI9lTfUv1fL56terUdqCLLmM/4mOmQUPUCbWvxbrJHFI0L f1vOEWre8bCw0nw6qjJS6DD/nFDTB2Jr9PdGqQ6fWGlBIkrzN4jsZvm/dnwXaAH8/ptPUFVCCds+ 2Rs3Se45r0ipNkq7GQS7g3fSFL0l1S2j0nW6caHpvWlo9LV21e90pfww1GheMu3Cyr+xLJ/xe3Ng 3rWXEWAGHErk+STZ+pxPzoHFYaxDVzN+8pqXsQeINzJrpsYnckxTP0D/Z9s2jKLe83bRAGIowkTF vfFZNerfvbRhx4avQmSL35dV0fMTxfXlQqwld/PqIBtleUA4uIuGfhuz11N//IjG1SyaSAJaYVLr V0ELwZxS/9N3yXM6DyusELy9xtu3NPWixuHGS9bQAG3WRV4US8T/xwnELMsr31b5vS/n4JOHyp/N gVJefFQIZqy6xKiQfAsbHXr8Fu1X8MZdiOpR5QXRSpjwyRFFkBZ35JyjwDmgHqZFziYdpMm5rs9U CXillFdySXkOPQkshSBXpIIGGIGxpB5qy0hRypS25oZNcrk5vqCEqeCFt8UI4ym440SRLRdbSIFN ZU69o6fz9qIrSLqZaHV5OvYeoEzHkuWRoNMfSiEpQpLKxWRjg9LnZqMEMePfxLPAtW2WYkhONAMF 2sRYy0O0MlNW2Yui7+WfpdibrVCKNxI6XCAce1xXyPxUIo+UR1tT3+L+nLYSnQwYXxotwt0pCEgc m9875hLQ6fU4Y22tDWyTPl0l40htFI7ZPE9xoq7TJik5mPLmKiEBTrebuIbPufxVmc0dPf98NbGJ 8CF8R0xRoFgSWmcFav1YBAhrFHixMG90aTe6gW4f7r0avc/PSDbqOANMHRuCorw7b92M83DzmA6f KIYGD4DhJXHlowgbGK8KgfY3oCgn0zstKiA11+yx+Qnl9CSTjW9rfxnLHlB9v1Twln/u1FVEdhB2 jU2DVf9y/cbAMMps4tP49FmiKy34xYc0x8hK2DMBkhZutrHXsknQpSuctrKkUDRBhEjEDohmUF0A nJ0F5m5lBsWAEnKtNfLNeVV2eKGrnWGViYizGCvmdRYDqj5XmJIU2namvsd2rfqrJYo6XSuSpObf 8xdM4uoQI5MmVZpu+M46/E1vJkhxf00PH7BS5xPdlf/bT8fsryWCXCwZ6KSToe4+H74ugXXGaJML ignzOcrfvr+a9UO3wm98cWooNin1Z+dxuzrVHhJ82oQ8UJCHAST/qg5cM3Lo2+r4bYVC4CSYjJOE 0ABHdl49KjhHV5l49j2j+4omCI18SqXujg6qpjUEOwKqosMXTO+qraI/IqCliIZU/imlGkQLWLD9 00+PtRdlD1ikeOiY/4wBz2oIOvz+QdzoqDopIJjewWUrszcMKFC06jeDYVXOz4wBxt+G+jXtayMr rw7DZKhP4Gmt7zUdUvQDODHpiuvJzLy2crranoQ5rRzX6gR+ADjM5PGszM8qIH7dB4EW7opY7kcz Qv054FHs09GA6ZYKCdmFsccoglVCOepcOzSF69h/usCIBJi99hminjOUj4XWN9HFyv3lqX1JpUzU W7vn8GAVNzA3TUbVRjvsjWT0pDSP99QgQZlpb2vkUOHzc09syA3ZPFV66JpCJn9GS7XnFr9tx1Js Tca4kWUjMoygylCI4KR7NqlqY/bPgTjzpGTJwRiqnOIJRhpPXYWI/yGP3J1vr3e7Ko3eAg4XuufH hRFuRAU4ftOoz+66ZIWUs+OOSz5REfMsNGsYc3GXvRsE5SD0OQqgwomVIkSxR9NU69Vp1TFRe1sl crt8rSyFCz2+uu05Ov384Lewu/AqYuQ8e6xG/swPbid4ZbAiSqAddN6ppVpdkBhtIVFYrpbTiGNG /OyxzCgycUU+IaGcKdRDYRLXx5hFYJ+b6za189MpAkQD9CnK1q2DMld1DUzO0q9vkWKrOM5oj7ot AzyvEMOqdmvlL9D6J2azmqNHW3kA34mLfCkTJlpvQ3fipoiJO0v9BcjERF5Pf37OH4PYyzICqsIQ xsrHEbY2f5OLr9EYi8XVMVNaY6E8kTvfrjAH8xpKMBNnX3TXliKw6d2B6m/sj5G5BUPoZP7azO3n EIxh56QwwHNMw3dEuHpHGxeC/cP51w/mh1rFL6WmZ762UEY2awYjNXpmCn+gnWs9hh82bjvTe1tl k64fIyf9dn+Vp7Am5J7FNSPbg01YkczA1eevogFBPQQ+W5sp4bBaOw5pBOsbA549Ek+99N3+SzbT Kw3684OUHZLixDVs/W2MuSU7JuIjR1cDR5/vcWkFm6Q8THGgI5oR6gVcnZNc2zICwDquM/TlngwY mdjeA/iZHzzjv358Akc+HXdeudkGn9/0utZCVTrBAAjSFetbqiemXkXla6LGaTIv6TL+oW8hyvvT tog4Kx33JBNlWDnujCCcAiDxnc3QGb5+NyBDFm25zH9+gkOvPyWqZUjqNhOzmlAk8y0N9SaqibQf AlYFzcOp+37MDTPcvbeO1KN2DsVakEAui2BejWY1pUtXfvI1E2ikO2LrzALjyCn+HKA10WwYF+Vt AiC3ng06oqxFRKPxZTr8sl89W+lY9tJrPdZ4/wcuIPuk65GVko2OotFW55z/JuStRx1DZiFLi2pL rLaJKJ2ISpuW6s42NC4OEZ95rHq8SjBIohvtIvVEyto8DVlZ3G8Cgv6GEkLueD23A1QRxe244KJl U/Sh6MuHv+mW0deAVhgWv8Qd0BteNEKY/WqOOmz4crCZdfX/4rTIkR9R2HDlIfFx7Byn1Kodwkcp /fvFCFD/Ki5XxuwBqL8A0sU63b8CQhNUtghf5X2oJzDDsZVbCQnmqrCv76UqQILbrOnUTQP8Red6 Zn9Hr+cj9gfIiwxJVokFXsE+TdlpADyDUkwoMRnH03FZn266f8zceM1q8ERcF7rNzW3vzcEDwQdO vxxM6XCegyAY+PTuL9uGgMMc7QxpNk7Jz9sdXqLyq8h5tj6SzRGuQQ9uG9MgBkrpI0J/GeuIre85 bnDzZTdUmsPnXDGfSQ55WAsCNanTYl5rbpXGt3Gc4nkMxxh6MBbUMQv1k9Wq0z6k15TcdWSG9i91 wVyPSSUhZ7zALNC6efgHK8dZ/M4oYBE1vTY+IdKtPhqsM4LJJCgBGV0ZDgBBX5TzpAgZlM9Ix6lK BemGfPesR+bU+5tqvBhkpg7yWtVP7+rUREZxlrZ2EU4gcEz9swkzkU3A+/8tTF3n8iec08Y3tHa1 MlQWYw4WR9IrIKejKvJh+JWhwkBE3iWPac2NvqnQvGrObLLwMWEKkj71+TzT+7S9begH3fISyk8i DBPalfHnTzfbsUEcYQ8NqREReA0Gb7tOeKIMRFxi3Y+fHcmAEteoHSYGTTjrUl4av651OcpeF4qi mWk+1EtDAqet6QRWvlE3pQcwfCOTENw1h9t67kJjm+rcqyYJXOkj9a9Oum8yTvT62CwYmBRikKkE TARapgI2CiwDB8tr4JGs0FmJoXvdAiluHCCEFtvAgNLZ9BOw7N1NJTlPx2CegUBomeNwLqR2ZhvX I5dJrklkldejCOC34D8mWCoTMoVfMxsBYsJleFxvJkWBgi+YkEYtk15FC46617GTrTlOjg0AW0ki OiPod+ITejtaEruYrjmVOUYqTuoI6jAGu2O1WaxbPrR67o3/XvmGV3HWergBS+Tfhtdw/sFzw+gp jZWF8TT3NNEsc0kBaruZ6lgU+FGtYzeOZd6xZWqq2Ep+ceRN1Xf/HAB9Oy2RxScJbUiMFJ5nI+/J 2dxslwdM5ItV7Nsmu05iCfNOqdAtK2LhiksPvaq7ZULfeLZ/fL4XoY5YJoQaLb1NegerOVkwAiyk uj8uyJbP686pS2pYbcIKrmVCIw3UU6f4OmI+Lg2TmFPAO8jUOHzkB4Fe3f9Y4CcimSG38D2Tt8Ir 0gWA4WlH/ipnsuokF9m4PYC37d9Nwo/X/w1Ksn4RfwHIz1spHJwUxy25wyY5Sjfi2g9rCSSvIZe7 fQh8L/w8DlJa9UO/dCml8YR14QYeQDvl3RdEuwzZKnL19zHbtR4o8Bv0WuqXBIieuVN3kcNawSo4 JeUSOTDowAYbdoDT596aU3aMtAbOlcDW/f4AaK6GnN/GxzTryKhb5i9gyXyPA+rYWetNCnWg7FB8 NKOeNnXGuVQ/+fGFXUiKFuTBjnux6K5qwjY6T6VGCNGKA9s3o5e0G8OXyrvW2dWmRevP5kfevZmm hBEQl7LaLyprMHIvNTRho16f7+FVQMUZtL8kojrhI7S1xXo7PEpkzqFxi0fSjXyQUa9Mj57C8Qn0 egXj5PUymW48O6wS98VK5mYYXlEYNsZJm+xNNTkSDjVD3JEXSahN8PlugDKdSlKf8xerV0dkUf2y 5IdOAuW1ipXqXsGPQ3Nx6eksF1mwte1jFfayn5pTBD8Q9UgLTULTY8LXvqzI1yehahhi3uIa1oJ/ 1RVqsBEhLf7Py85J7FrFukQ8oUh3psTQpEFcn7gj9NLm2W6liWVyQleB81SvpQteM8upl7aAjH+1 s2urig9TPVa056EPWyU7yv7izWcmW/zwypKUyqfkl8TIoEpiQLUnz2PfeGDaP2ZXybMtzFblfRF/ Ck0GMXtLGwtp86PAcZ/5zcqklMsXoZ+lIkkF47K8z+P7QSyXUo6tUE9Uqw9ETmCvQGfA0lIz/tkK zg1OKuP9aPx2bsdvmEZfDYtjTz4S8oc44kGzEbQUaejstfn5ZhhSHbN5w+Pwp8r3flDn+pNqj07q K7d65N5xHBKBgkbm1Hu4iHhr25YDzK8+tj580N+R48f9lSYTg7iTKxaCgAp22YdEcPSxeM6WbvkI CvDPqmKoSufp0TziQfZjbEB+TZMu+vVGUA/wCKriEDS/hU1I9a+i7mOwqwu6gHYELyNezVRBNBWw RxmwkudSHn+VQ4X9lOlhjHLL18RtoeJK7yf7BEjBntkzdtUN0ropzYczsfVCxshdS4pTRyHW5ozw nPAu/0dBpsyzjOLSFASzPTk+l6NwiXy4wXc2qFETRovMMFmvgws3zEBmHQpREPWtq8qc+d5uwp0l EOdB1hFCrFS4D03Ae/FY9/lHWx7y4KP7p0XIq987a2XM9Md8OXytP9eT+Ee/Tj3TPTTmJuTiyM5a HUSiCKsT491gEhyj/Uj6Wh2kKea7/4TsWDmW6GvxDkAlDox4GxwYqn8JbJZKKGQOtoc0F8SwNQie 3zmsiCgLB8riyXJWpuZreYr+9iWtPmm58y1mrlErGnZPJqEd4MjqcYQ1+nBs/ClbuBHkv8edrmj3 dCI/IiZYXRDgctV0P0/dHZWcGSLizLoLHfUBa3uxwG4w93FAd1cWBhm/2ZfrSTwAtSG++CFYEulb +A9tF8zspr1SB1YhLWVwQlKWDPLQufQeofWS2TUm+r5KMkG1Yu44dgXx8HSj6h/Bvcumgy6ikpDZ LWYzEWiyEafN42CwKDo2BEdK7MttctL0o/kfPBCU4lkRBTbbbR+6x7sjRnUNDzotzNemrzicP7xn 3OQ1CGBDWvE9Gnqh9zhwHsyCNzEclv7O0Ax8TtrdQcIU43HuKCezUMW6FQCbvvDf4qGJlw2gGBPU HnHLjnbD6g+paFuH/VRuV5xI0yJq8nSCpNVDkq74PytlVlQO43cSayfzl+L8JwkI89U3zrIfTuV3 eJN6OnmmnRVE+HmxkM0nbXyMjMZpVHzCu9tLIWEXKm3EGpthV35JHkRmc0gwZipQEFhy526kDvwZ cZiJOLhEK80oPVZhVTQY7Xf5N+twae8YvBXt1W5NrIxXk04DTiPznLmr2kOLnNt/onXNIZmyuLSa w9hK9qOfR+Mkn52zOx+cWkxhECods9iywiJqZ6tWHOSrEoXdnCgmGQ/LlvAT60kv9MImCPH83i/k JDcJIusLG2s7k/MNBIH8Pnc7pTM/EZMWdQJ3MfTQoTyRT7/szfy16koommCzPYdKMI7NLnwMVakh ET8WlI8Mg5py9CHWCuGJSIh7zSS2Qllarw+BfvJ1CtKB5s1JSfhYIbUBYXO/PTFv4Ck1Z7l+VW18 4SHH7QXKmUKj8+sb/33Cvx7faXhjTHhMlLRoMzMnOysigwBegVIkoo73xMTD6de883wTmyrUP9ZH 8/pQ3Qxw66s93acrEEL9kv4HF4adZNCsBz4aCAOokSxFDSybpNq/SIhoAOAIeTuwFOpsWVuuQ/Ym +2YOP7Pmy8vFn1iFE5w7I6JToxBz+y4Khv0Z2g/tcm/MD+ikXnyZ54wiA0Txc86MAcXNM034++d0 3yPZxq8Hyt5E5L5SrGbgAR+i/io+GhEyCDghdPBlGXJdtm+lcHH1lA+3WKy/FIDBqfhP/rMQyYyU jC4z9AumyQBh9clI5OGAsShceDXMnvuArgxNIdYmwPXH5DeNCd236qnGqK1Y9WJtfbhUQ+IP+fvq a1m6FyXhoF84yWpgLjTnsDM010qa7vxToiZhqqjaeuM7xMtHdbIPFJxajHYIFm9FqiQrVy4If9yl 29EY4WVwaZhpdkNBh83TLTN+2xM+1+rsJXWuqnBDGw0p/ibZjYMDWB+PkNtpeKD2k/5jriQFp04/ 2Up/KIJRMqJp3rXM0GLSonpseN3Np2tKQUu9H1SRx3ImHj0T89OOhiHegABhhzs7tS81zM8ODXpW NXwi8ePmd7QKdz92wO2nc6Okx8qU5/CoA5YVEGwO5ihbA4792g4YmSnVM8KAb8S2VdFAerd8+MpA gSf1RhXO/mHtd7aNYi7afrT1E5GPSqRCGG56UxWitY8D/8kDed7ETEdHZPn8FxbRKxgLsefvpaIE ZXl5FKN0y7KjkrSSmYpq7pCtmBF3fo/FXpKR/6SpuH1Qph+rjtEkvJdmJmJfmim+9P3Xk/j8qGQ8 phK8yFC0P8J0jmyojDbbTzDAK1/P1NKVq8LUc6uganA/IaNqBEisDLZetLcpfcaBLSWyj4A6HLTj mia6KThoUd3iuHyxe4FPmTmqL1PgFkcB4s7yI0UR18n0/eGSaTS1FaVqAR9XHQi9QQIUJBgtRPru 1rL1McNmeIjIfCCoaZiTMTGCCDv2Gfzm8Cnhg/4L/MqqHktoqyS+OY7rfkle0yM3AM7qaj2L7cSf sT8uyaA7kE/7ao0Bn5KR0qO2KV8OrSJINPhU+Lfbm/2fPxe9pOfJQBxFz/yyzfZEDWA0hKKv5LVQ UyrypCYtjtLNQINalw5BIArat7ByXhtrTF/MTG2WTtfGBYwmfGvaaLfPdrgUTPOlF5vwcbCJ1gIy Q+kWdiko/ve/S+yJl/bLxPdXDVXeB6rsDm9wsT/hxgusgcAP6RixOiqcyE3aV1F00o7sOPbpM8zQ 2LZLy2jI2Nfi9KMnanDCJqXBmS3u3dCJUVe5GCI+Uu9s+4pmSvHxNmi9QdRhFovTHskKz9nzlLEH f8e9MnkWl4bKJ1HQhRvZVEXVR4Dwi0O420VxaT+MOhli+nZFGoMzS0CEnRsNWRp9p5iVwB0Bv1z6 WKEp64oswJvgIjvHCeknSF1jZ1NwRwav/OZHIi5TPs7ebNlScNoWqp5BGGp4681s2DbYM6YDfeeK XzdxeVbBpe9L/HaCqUnifXzhX3g+gUtdJZtGGfJaCDhj51EkK9WlcBGSwudUWtkIbMeztm/XXuRX nZ0lHFaD52/S/+Ju50OGMHQ8fAoPNOEkHlaI608QkJ4PsbpjH82jZSPM3Vu41cU9YJfnzgRHJ6Zh hA54BPlksJRWN8BcUV2eaeaerue0g2jLATDg/BGofNAeDNWlNoJfm+ld80gC4mwZ8n6nk625XI4J FwPVTRyt9rjbMNUyrX98/F3BRq43PnTARqZnEMNNKnPnetI7VwT/TwO0d1Ic1pdQVT+AtO4IeUdJ QIpsunWSwN4lG/Zr6WeA4iOf7+GKd/xFB/axH09qdy6awjfU8tp6nhtMglFFpHeSNAlzokVth83o 4eb/0SGF+RfGxR46o5ygRg7nuazP5ma8/2DNhum6SGflLuTvMrPxVmh4ln2VAnQPQaUsG5/BqFIW 9jM9yjbtv+CF462YAXms5xxhIeuk2sTgR6ffjmhjl4oM4JVPzj9871nwdgtN47uOkQ/RfI5F8tz5 wfSfZ2k2b/Hz9EonUOx2R7zBcytkNbBOrBiw9WSh/8bi9DUhfxYhFSBGC8zfSY95ePKH77YS9qRv TPa5GJEwrx/d4pY+Pr/+LzUrAU70ZcRVef+lroB5nqEb9guv0eF8UVf+h+7U56EjcaRvjaeyIwUf zZjR/KEjFOJHyijDK4iHg5MweFVst5OQ+gulsjYUqVYhDbA6vbex+MSCLMUSaKXQ/QjQpJA5wXIj fs6U6YOzNjUAZJEnelneILM3R4S3leD2mT1b9f8pp+TUuVwoTIDCbXmBcMBeoBRwiXPLzrJegtXY PpzSeXqnsJFs8MRKSmDydzdB3RPyEH9fPqW2cq17hqSvUzDjjj4bffHv2kf2+UnTgbPybG515cBO yPbQtgvCycXt5k9vc9nrfMj/eNuRd45XALbg6W4/n09BtJ4wNPQNaEo3K9Rnm7JYVt/0rot2VP8m m0maUdOKEKwKqotqSRACp1KftQOGSfAb0NP/hqq38oi/KQvCk/EYSS07ExnHlRX/MIZwtk/zdVwP VJSUH3vW/E20aONNz4jYPa/PsufKxiSCOch3pVq+z52W0S8jpO5Tlg2oPq78WLoSut3PKnRp3oIh YCuboOTC111z/AoUM3+zxdKPKpym8Nnq2j770HEjhc5u1pc+NzFi8pC8y/P6T1XyHhVl6P9iMfin g8VM47GCxOPST3aG4TPtQc9DPFpvwf31q/5IsU+zPtUM0ktsww7+Lq0RXpuFWxeoZKRoGNjvFLbz Mzgd/lNtglHWa9lzC8ZsTtK/Fk29wma3wV62BpIHMeUN6qu4KHUp+iNaJIaCXwjPB7P2AgM6+H5c hxEGkUbXAIUBepBsIriFXWtJNGLcgt72Nohxq3c+zefGILxPwLepEkbOjXfQtMoZUrrmYNMp2ZCg aGp/4830yhpwtMcwwIuFI52RH4UXWY7v2p4Nw03xVktK1WjTX3Rk2pveI5HbF7yyB90WcQHcNMmC UalEaW154szL5i7Z7VkK52Mt8hugN9BGMK4p8vEJgxwj13pACwTPWmVH6kp5bsa+lTv+Ikyhhiff TERynGZB1SxS/n+YTda+EC9HdKsrS/duWgXHLJ+MTXtpd0VkVnocN5lXJ56++BXAvJYKKZe0pE0I jklEnWbLXz23A2VBkuRHJHIPxLRXnAeM29urYMh22GV38n8uZxr86u6kGgkqEQJlCP8T5s3uTsrq cKTU3lYEcXR6+ewg+sSGqc+aA5+/KQqfkjQYVuvFkpNsFCWnZpWQjs/rDTHRVnSSDJVt0lOFcPCX TlV6itcmQGdiDy5vX6hhcWdF8aBfmK/hRbYFr/gUsD6FY77ix9PK4ZrRmM8lE4YiG8ovCgdLOckp OVWrMVINxPjACZe8r8gjzcVLQ0uP1bmVYDGp7uHa9QH1qy2qCGSWzNBMJ6g+kC5ysJKJ1BuhLJvh tPBpao4ksiV6b+LEXT8L1pH8rBaPQOCsU/Up0p8IFoCKD5eGPDWOOPFlCVwJ2SLJCzQoi1LGnGHa wK11c6O/kAI9AFPyzsg/r9Ppkn2YKfk6bkG4afbG7eAz9E/qb5MszNDLQ18ura4/y99kCSOUTbKr ggBHd+S2EVY//xU/tkKEMMztlRXmvmj1Y7LGKpYegzUlg77MF5fO2u/bkwJ67xgmcyWwe9JH4try odp0GBX06qervHgPerNgcetJt4ADhgN+6s4qXs2BAiGgxwhUdJGDhsZMP/UYqLh4TDhTOTio73IW Zcnto/Rc5pJHBbokNpgFg5yxa43RylYuIoP3YwM89xWvKgdlgaUg+g5iSTN5OXpyWu0KDwtcXjZx 2MWm0PRxOCnE0BUctNI5nqtCZdSgdqEB2WROjQ/SfqANzLpAL/y/YZsH7MjYsVIYV7tidIMk8L+H lcKcjdemP7MIuswW7xA1BEIf1Mqd/UrFbWsMMTvfW0GHDuqIOzDZ4zXWHtqkDI0yPW/AbEBIa14U 6jjiuOvTeaTWUvdMhzizSsftGOascqdDQ0SolnhP6TZhlZBM0Xz8q0m3PXhI4OQOWOFKP4ONMulE luVuBJmTzHm9FPTZjbFGrKuStwIs39LnmEYIp9+Zbouhj6kF6dbyN5Okm+JqzmycGOxDhpi5hIMt Xx7zlMLnDEsK6ljRSpEWRi8DISlaR6i4ps/ZSIMiY9hPbLunHAE7Dg2eLHyEMJ2X+aCoQPkrP9e4 FD81Skrv8x3SW+Dz7WYKp9/eClwcv1nyYVDMhr82ZYwQVaWFYXwBveSV1svofEX5l5aLuKmLSwTw MzFgksOlwGgBg2fSEBboJH6sZnQn3d/5HS1raV267gWLzpwjuMNY/2O9e4GoPI6V2WPflF5dJDu6 iFKgDdPgl9ylNv7eiXRch8TR9d2Sp9ZDnVfQv8ZNaKB9kbCh6cX2v3i4dwAmt1UjO+OdqouzvNXZ Jx1w/DjZStHWC/Xv+U7W9saUt9rJ82Bdmy9Wy+KZdiB0E6VEiaJuxITF62ckCXiOSVHNKElP5sgP NtEhG2BBNsNNK0R0zEAA2d7W59vaWdA97FVoGfGdcXoQjTc9JzW/C32DK2xXimDnnmzx0d1jNypj 9GPFgDKEqYz76O3fnppzrf9lqdW/4cUblAPQiLLUYCGaYyoQYfrWws8c0KlxhNMo2o67vaTZvm1R ENy/ClIxKFzS72wlZHNbEhrbompudEsna1/FVJrkUbp0AymZclUgI4Oy5gpUoMWYh4AHSngm4TYF SANdqgjMxIThVaqYQdD19Dyzvh7V3Wcur7LNCLCM9UAA93CBskTEIsfqjwRJDmQKsUM5mFGC8c+J UsOL7Eh0JvAoaxX3F7gmaglOfVaHJWoiKQhtvK05DvTUaOb/Iy/N/Ne96kK4gpdfeREUbOb7TW6G c3tuGLlfsEJLeIR77EIlfC3IQVgxE0WCesNFlpyMhLT9/u3C+/kiEf5EZMsQRad50vUH3SCx7MSf kRLQjxw/BXBRafpNBS/8xhvQT64u5CgatOXvKNuZ6QpSGfxXRO8XsAGVr1YhaF9mxE+5aIK88Mer cQJdyB11yx7zPDFTsrAJfL0IBVc5h2rHyARLsFCgTJc/fFYuhBo06cRSjcwNT+zw3SYgDTog4eQP vtbDag3HWe81720qcfU0hhS58gcIia3BLIWfLy8VLlaTQMJ7wja6E2ojs1ZHm+Yw7Jcq3m657ZG/ +XIexsdUOfR3UrEn7klJClVGx6vbGdA8W/LdUXk4C4IP/zRDrnUag0gzFV1+jb+oCnueVPzKn/mv IcO9bD9iTxMiTdnK/YO9XvCy6MHxUj2s78Quwx97fE6J6xqG168VHZ0s79nz6uY7zA2GSOAAmDAW Wb0i7bqAdDXbfbgSFlmMSRfiTlV84CBhhtHZgMQu9NxEAG8WRNH+TeVWeIzetKPmRBzcLAgodJ5R miJqidz/l/jlKkpfNp4Ur9M4Wao1Oxka1+eBj/cgW8e8z3XebOp3XcVJ3wdJJqxlYvrnhSMTIYGz NHyHrYE927xhsrWBA1/EksvbZS0k/gf0bGceQeO2GnQ2bQDJpEl7BJbN1QIwu6IbkrNz0oOKc/E3 kfJSQ0HQFl8fA3E/G9to2aUwFy5NhUWsJSNVMA56pyb9e10p7KeM/9M6vP1r0SMuYlUEyXm/Plrg X2VrR7LSyot/MQCUFlgivz8oYQp8k5QQ86MdjtEvNKmvNOQTTTaR/Rv6mA1H29/ZQocxCygy8OUt j39lSnaXX9GwEyDx/JMGRiio2+eQh2Guj5Ge0kAB5zBMU6CPqMYGC13lxgaNzAA63zRhZkdTq/Pm In6o+410vvJsFeJ7vF5b/LPUUxx32QbDJ+v0lG3yDfDA1j4pJxZtTlZI6dttUy9zk9whSPIvRCCD 89c+2pN44BQwJ7iImFByvoY1VIR0qO2kop5TERAYQ8NBF334pA+0UzCrrvGOyp5R6blgzrHEbJzI l43P/9Fc/lwMwzRqw+9so1i3+TY2v5yNMqEL2tXKM3QpV2r+wtU7Aulo1IPQpYQE6ua8RA7qHqZk kmMirqewM85fRnvFCXH7EK/Cu5QN7RXpoY1BQbdkC7R2nlSTT+z0NfoSlgE3i8Y43k8gSoawoPiQ 5MA6h/9V6DxnM+X+KY/hLzC2/Cm4ZbX9hDMlW3NM9yGvRYhTbLPo7sjxguNQBOJuAwlLL56e6x/7 atMBO/O6bMeeFUX+6uJDBLoceWreyVTGEaI+80uuI8vcsmGGkZmwSohJXxj9sEMAXIqxSplVeNKm hz0vRHs7YXptplTB6xqqIllh2zjoDT54N4DwhZfnYYg50rYhLfWcu6LjLFs1PhOqhTwg7ndge7Us 7ceD9VA0TnmMUeSk6VFhZT6We36cwhBQRuG4jf02+Bu6FkQ9NVsAhcLZMGdAPGNtAEfDWt0RtWY6 rmqmk027xGTtqTdVNcGT9SMyOozLnokYUlhzQwMJi03Bd3Sl5422W8aBR1vcSxBvP9tWMi1NTOh8 ThvC5Wj/yLOpH7/WFXQsHBvHvTr2BJZayjwv5EVq0edlmgsvNM2o7nq8i52b0SeveMACPJ0BlXFb xJ6EkaRpMg4+exYLEJcm78Qsy8g990wwifJtmU0kGG+EnfylXl8xddTzO+uTawVz0zBLfmNl0IpT 29pIPYGmimjnAamUT35dBK3YWgfQyXPRbM5iJnS9ydh7NX9ulVqErBX8SvG05+V/m0UM2IkHexUY 8oCyB/VSViNM/hZOMpsp7KLJlpzRosFJpYlYu1Pi6MAVG/e/fkLi7jnNPWq36rltijri2QVR8Npv LtIyA1UHwMOlTMZ5J+YEWCehn5UN2UPjlbakSaE+szXOH5Nv1mMw2lMwHnbkkHkZ2NUWWhgiblzT 8KOCXTBudl1p5sw9v8RaWZqNZvaIiVOR/QKbgN8+75Wf5KBrN7Q6GuKOH9g9rezEfJJFTfZLhTiF v9PPjr4llz/b1FgBOgGh0Ue06QDYztnnoRbcChxmOfJod+KuPa+1WA3TvT39CDfQNAa68rFUP6JM y8RAgN0nvgn/7qVe470V4/mSvetzeoKtTSDmYYcSlC7SHuuQn3/lNkzZlb2fmapqgsY0XoACd8lN ZUYfXhpwSpTxCRGO6vEZydNTkiyNrQWxpykPJbveH4ubqLPXALrHBBubqIdiR+A5tP757vSWc+T/ gC+s/qEjDm8RUdtv/VoNoVvyF60Qakzs1vcr2m49eaMvk9X8gPb47Uyq0T4vI5nQi/a667AjpUYt dILr6NLi6jUvyJNEzbsKrzIJi5h+zwSjB3gLrAjIo9o0NTRpk83Bce9xR4AfNlMr4fNuo9ynKbKf QqmMtMlfO+EFwEeRtEM/tgeESzUffSnTSPsS+REVfStHGrsRtIMYahM4z24udrRZbVnIngXx8rix 5KqbWFXsVy3gX1EkJ9SivTt1J+U08DijNZ7+VNq6/pTZ/fhVOqu1Wt23gB1o9sB2/o3J7VRnZPXz MJkjzf3cQhN6x5cNbNwM8og3k5kicQLFc3ncqVv6HMSvVbM54QIBiHcXFfa0xujhNTNqrRTt1jl3 njjvr4hUjW39HeZPvPBPwkxDZJrwuT1qaqABbs8zyqM1i6brgkp4OfdqdQkVFuvq5ID/laNS9NXb DtiOr7JeDSA0rgnWfDmfc2dqHPyTvk/uzMle+66GoGT/YwYzRsoJXHut0aUR0o29A49DyVeX4QK0 64Q7cAGWYQVYPWN4sKM5XWjOaCAmVhetCPilbyonKxGHisnFlwtYZpMEXeW3E6+6fJj4Fyu2bkuW O1wHh+xpdfQzTLtGm2Z9O9urKe3fE8+LWMg8ntP8b40f7WfvbYAJFWAcU5Ao3EA1BzUzhrFuifvZ N4HWyGXBHKbTepXgtSDjaGlQIfboBti5YTm8t5a/dP+1qI+QT+OGZQ1PMMfYnAIOC/B1VKyHXCKf PvVxEKF+0PorecP7T0BYdKAgUgaVXhtmLi8xRGsGnfLK4pPEfODtU3o4BehLtNxsq1rToRlNw/gx P4laIGLZr9h1QUmbqsNu110gq6Z79ugSz78vWcNlUhGjBszC/bP0cy7UB8oyxbs80oFX9g/Qhi1j xX55xm5l8TLf4P15pLYV7/5yT8em3LXbml/cWolzFWqrM/TYWzkdkxXB9BoTaF8H4EdUGC4Ru3zU hC6O5eL1ugEDaQpbph7G7yaBXJ+LmLddWPfpz2Axj46juY1vcZxct9qVX6c/B3xt4wYDodOmAPpT 4XTKWKodFmFLO13b2Ri7OLt7T6TIMEnDe38/pIHxo028ZZx5wJ7SQ2BOxsAqo1HOIPqcbMdUpS8F 30VQcjFhH7YFdv4Hklu+pmTdP7/KO8PpuWli2nJpVlFJbRjrXJXuNdiefqN4vRH/vpsTYIoA/5kT fkCaK2AIf0fsqpmd6QE9BymVCTOvPpliLM11akkWaJ9EG7xPDRJ7LRucgC0ANxqRyliL1SauriP2 rJrU/JsF+uVDa19jQVh6Ts2Gzwr734s78wWa1OgKpALFm/ywft1Ucx3CAeD5GJ3Blkcn8q6weQjb XAm1s2GBFBRXdG8QwMMCOtNc57qSF9fp7HVTErquQ4A5sKmPa++A9nHoOeHgsrf1OauFKb8HkvCe 4BgcOhWdRr9ruuKfVqWeQVhgD3NPDfRxGluBgBifY8R0oGDxHtqbdrR3nFufQBEHHPwjXwE6CbDG P+DMnrJlic0gy18EHqModBEbSnLWk8RUf3Z0mWG0j3zyqnGXVg0W3/4GUrlvZH2IeX3ue/QvTgJG Ss6v6hHO3YbIEUCcxWqVD2xyWAIQOiltwV7sXwZ1bGpDk/wyFla7p0y9DKdRYE93YJpPfABHnEAe MlDRk/EXtjjeN9slMVx3E83xIVrHIhVROaWo9ii8HKaublCRZFwQ1M/evIXxWF8iF5bkRH7EfySV NeWJkh2YNgKIC+D9kcHJLCZpgMr9X8qqQexBEklut51dPpZ3zI6uO8FlOhpYuJQPhorslbYDTQAF dFP24pG3xuJt/lbeuBP4DFb9McrFKGAR/Jsy5RSGne/bpMWNqkE0LFUSdevLJTuM1uGtUVDC0bzV KxJ4U93OksbGFaLYxjamEGmtroCVixyF+xXdM19Cek+6bTWAUj9o4tdupmmqRDPQEzyl17Q0uSXa h3Pmu5oKIlnBDdSLQLTbbLnaVOqafP6vv5YkzMzBueTx/kjDzge+YHjxtDxvUXdMayhJdfu5uvKi wIDtCceUWQ/CVblly1B6lBPhBvSLYcL0QE28XIgC12l2ZmoD6bC1mUZ79f0IKce6RPMcHlOY+wq/ KfoPAbfez4Abm9FU9mfd1JcALsxkbNwvOx4/FtkH8UOx1wGRa2OBoyqm/P0t5mmUdJM6zp/v/JAP XiH5UF97XsoMu+MVH/ihOtNQ0zfQzxy4RGxVDYSusXyRhT1xY8gPpXMXy8aROlFaidljgnfwFe9K BSDQIgbe0HTqeSNgeFliQCmUtFtTUGGBoczEM4appQpwSKHmB+hgNrQSO+mlgjrp+pcFfNoV1Aep A8qAQeBPXcvqOu3hvEh5lufkSHubtdt/c5hVrFvSYxHaZniHO56T5AREaY4vJ2sctE50t9EpW7pY iiW3ITQDb76rVqk+Be5Yqv+4WuJqvGeEXdiigjoErL9XCA12l0/7u6eJQ5EHxf9b4QTYoR7kHvGM UETT1g+RvXdLKUE5WwIVupMiwKndb/L/FieK4bvmQaxs7T4QgXaTZqfyBS/PqeM1OdufQDnavwyY pWCGsDWeJxqYQKlaYYgduuVtq/kt4wu/Bqn1urFe4883b/+VgFKHt0XM2Y2QfJeivTkKTvLkfCTo Y4Snf9UtE+JslOg7DuhpqwblAxOKzaW23Fduit+9b190cJNWOte8DCqEqb8c1Tjdn9OB2RDIqI6q UxqVLHvYWLy79NIcqsDwFYIeLEB0Bv/wng22pEb83uRYp7Vphfb1JgbNt6O3WWCoMOilNGbGXktj MsjDgbg3wjdyF/QlxyCEax5PtO74yG0qWYFE44IszgelP4RXWZ0Xe+SMFW3JIA4uAC8qI9rf1SVl hgZC+3ZLksrOJc/NHY5pxR/QmP2rtJlM0P7nygu3jQEIpTUHNa3Jho05UmQEKGQ7J/GoTSMRs30u f6eVpQ68ARR9Uq7g5ZqM5x0MhFIzq42h4xYBrb6iASCWZsa9z+KRYhoD6gVcLj+HVR9bqHATYKwg C3CdkhofFv1iah5my8VKXIY5Go5zb6x+ACUOvGhaaF8CDMM0xJifdGeDeveTVB7I1kHbBBGGeGF6 ywVtDQR+s4r2lX7WW+E22xrHxJ1PqPt0yIIzpc7LSrZb2XpOnSGvbGc8okWmbM1+R8ZmvG8OAGoz 8sOehnS/b1eWRP9p00ZGo8OoF+msBsKVcNcA2qDJmM4C+F5NAoLlVRuQar++RVjUt+izLarkZDaz d+dnPCzYHw96XnBqfLY25g9Jvt91C33S03e7QgiQKgfO1Z4JroRB6A3Hif/J755SjpJ/4cIC1VuW IxM2VZtyl0HiqEzUWBCnOpueRsWfYKazLsxQKrH4XVbmzz/pyBGypqMXTcgaOi/B6wGE7jXWeois jdJOYOCGvrgdGRnA4QqRX0XGaudJsxiSKZLzXF/ieQ4uCtzPexAmgjC74FMAvaTjRih9e9X5mLr4 ZU/s6NEIsMMPV7rJnzkWyyDiqfbjJsf4EyVzi+PkeZl1L9BNddlY7luMJ2fh8SqqTjlazj2wlalv t8/wl0e3JO3kuCgFLCwO7MELz8P9fh3lomd/vsLlV/RKWoH/jl8EEVTQmjPkG8pwWisqgzZDOZkQ PHWr/BwO8Ueg8D/6xz6b1WXr25PkiDPfgGPnP8mdkWPxgcHy/eAfNl1/yZgAVS9G+WXtRp7B+z2M Y/sr+zFH9sOGLH+VzVpjjzfYjrcOg24honB70bbP1fCHT9yl96gJT20ZIbcga57v1xgF9UdIdKB3 A3i7km689qi2sNa+3iABt6vV4WIlEBuBmBnJ40N0dofHJ2lO4vlfaB/452wmgoDcXadolyS8XGA5 xq/Mh2hutTrqtj7xfF3FRvBTKJ8bztfR2b5Q9J7HlDpsZMVhJ7TEl85JLyywNRyXAVGPxEKNX0mc U9zeEutX5GE4NRTPHDabQ9Q/IECY0igGzcaF/uFwGwirrN5eAEUN60I5H1aX2rtgmL+ztTL5nhSY 9gCQdT4u8/6PjE4fT3UFOJfUcPOmob5tWrUimEwgBGe7rjCrNG93up7rBm0bKg/QJpiElb7ew6KS j9FSwT9xKA7DlZ+O7ChOzdppidMpHlYA+aQM1X9bCpwsvOfoveUQBqOxxqyK3hJNwEF0GNuDHb6q 9k0RhBGiNVUP1Re0z5U7f4Z/Eo3+zZdTiDIEU6w+Bl+mI/190fCUxStb10vviNA6ZJLN+fJYmPfk KnKCDWw+zUDGzRKvdpx8M81FxLAjsNNjtZcvQaHV+w6PzUsspejbI231fmzX+RKpDCloB7EB8pK7 0UEQJE3PvIyoZWTxbAlH69GNaGeB0B/0Z2XRRgYB5sqYL54/CAzml+RGAK6ftTCG+JH7FE6hUpS7 ZPV+J74ZRfj374JNlskJz1A0DeTRBCxCc4QL/6EHXQK73YSRbbTetZDwTc7W+11MRhPBP7TTAyp6 37Um6oi0D7UdCGYB2EgZb+auh3NhE47+JNtO8Jc/6XgOFOhRu361zqFhwkq54NG53DpOz+fYdmzT o+irqnFmLBWBGzvDieunf1MDUwO5FDt04eO1GRHC52pIg+xKCAcYRQYxLZexeYUenMyqe3usv2nO +cH6I/a55AHDPoLLm5zXqWHSv6IvciDXsnWaIldvXKgd5CPVrc6XpM3ulfHcgb5ZI44k+jB4djrz ABIYduIiraDjJhAYsvH6YGkt0gZOGoK/VmTmL6kzzr4UM4uiYxq4OyL4Mda5RD4Gi3dwRKEAcfny oD/vhtTeOMNLqnvquUH2p6kak2Y68YDlkiELkBCGCRWusjnkOlSo3YW9RZWwL971gQgH8jL5Peo1 yA/IX0uIYnsY1Q1nn9dHiYkkW1qlprpAB+9y2IMqR7a57lYqHZ+patEdy810/jSngbttvu80k+EU prR1yJYqHjk+0q+ddA8vb3Dza5vfvX9DMW8Z1jtz/eZWBgPCkx36Q+t2C5QKJj7GiBqLdgXsSdzP MYbfNNc0A90ATEDJGUPKfW75Ufwe6w== `pragma protect end_protected `ifndef GLBL `define GLBL `timescale 1 ps / 1 ps module glbl (); parameter ROC_WIDTH = 100000; parameter TOC_WIDTH = 0; //-------- STARTUP Globals -------------- wire GSR; wire GTS; wire GWE; wire PRLD; tri1 p_up_tmp; tri (weak1, strong0) PLL_LOCKG = p_up_tmp; wire PROGB_GLBL; wire CCLKO_GLBL; reg GSR_int; reg GTS_int; reg PRLD_int; //-------- JTAG Globals -------------- wire JTAG_TDO_GLBL; wire JTAG_TCK_GLBL; wire JTAG_TDI_GLBL; wire JTAG_TMS_GLBL; wire JTAG_TRST_GLBL; reg JTAG_CAPTURE_GLBL; reg JTAG_RESET_GLBL; reg JTAG_SHIFT_GLBL; reg JTAG_UPDATE_GLBL; reg JTAG_RUNTEST_GLBL; reg JTAG_SEL1_GLBL = 0; reg JTAG_SEL2_GLBL = 0 ; reg JTAG_SEL3_GLBL = 0; reg JTAG_SEL4_GLBL = 0; reg JTAG_USER_TDO1_GLBL = 1'bz; reg JTAG_USER_TDO2_GLBL = 1'bz; reg JTAG_USER_TDO3_GLBL = 1'bz; reg JTAG_USER_TDO4_GLBL = 1'bz; assign (weak1, weak0) GSR = GSR_int; assign (weak1, weak0) GTS = GTS_int; assign (weak1, weak0) PRLD = PRLD_int; initial begin GSR_int = 1'b1; PRLD_int = 1'b1; #(ROC_WIDTH) GSR_int = 1'b0; PRLD_int = 1'b0; end initial begin GTS_int = 1'b1; #(TOC_WIDTH) GTS_int = 1'b0; end endmodule `endif
module stopwatch(CLOCK_50, KEY, HEX0, HEX1, HEX2, HEX3, HEX4, HEX5, HEX6, HEX7); input CLOCK_50; input [1:0] KEY; output [0:6] HEX0, HEX1, HEX2, HEX3, HEX4, HEX5, HEX6, HEX7; wire ms_clk; wire tenths_in, ones_in, tens_in; wire [3:0] hundredths_bcd, tenths_bcd, ones_bcd, tens_bcd; ms_clock ms_clock1(CLOCK_50, clk); ms_clock_switched ms_clock_switched1(clk, KEY[0], ms_clk); bcd_counter bcd_counter_hundredths(ms_clk, KEY[1], hundredths_bcd[3:0], tenths_in); bcd_counter bcd_counter_tenths(tenths_in, KEY[1], tenths_bcd[3:0], ones_in); bcd_counter bcd_counter_ones(ones_in, KEY[1], ones_bcd[3:0], tens_in); bcd_counter bcd_counter_tens(tens_in, KEY[1], tens_bcd[3:0], ); seven_segment_decoder seven_segment_decoder_hundredths(hundredths_bcd[3:0], HEX4[0:6]); seven_segment_decoder seven_segment_decoder_tenths(tenths_bcd[3:0], HEX5[0:6]); seven_segment_decoder seven_segment_decoder_ones(ones_bcd[3:0], HEX6[0:6]); seven_segment_decoder seven_segment_decoder_tens(tens_bcd[3:0], HEX7[0:6]); //Turn the unused displays off assign HEX3[0:6] = 7'b0100100; assign HEX2[0:6] = 7'b0110000; assign HEX1[0:6] = 7'b0110001; assign HEX0[0:6] = 7'b0100100; endmodule
//////////////////////////////////////////////////////////////////////////////// // Copyright (c) 1995-2008 Xilinx, Inc. All rights reserved. //////////////////////////////////////////////////////////////////////////////// // ____ ____ // / /\/ / // /___/ \ / Vendor: Xilinx // \ \ \/ Version : 10.1.03 // \ \ Application : xaw2verilog // / / Filename : FB_MULT_ADD.v // /___/ /\ Timestamp : 06/27/2012 17:04:17 // \ \ / \ // \___\/\___\ // //Command: xaw2verilog -intstyle H:/Firmware_archive/Ben/stopped40MHz/FONT5_9Chan/FB_MULT_ADD.xaw -st FB_MULT_ADD.v //Design Name: FB_MULT_ADD //Device: xc5vlx50t-3ff1136 // // Module FB_MULT_ADD // Generated by Xilinx Architecture Wizard // Written for synthesis tool: XST `timescale 1ns / 1ps module FB_MULT_ADD(A_IN, B_IN, CEMULTCARRYIN_IN, CLK_IN, C_IN, P_OUT); input [20:0] A_IN; input [12:0] B_IN; input CEMULTCARRYIN_IN; input CLK_IN; input [47:0] C_IN; output [47:0] P_OUT; wire GND_ALUMODE; wire [2:0] GND_BUS_3; wire [17:0] GND_BUS_18; wire [29:0] GND_BUS_30; wire [47:0] GND_BUS_48; wire GND_OPMODE; wire VCC_OPMODE; assign GND_ALUMODE = 0; assign GND_BUS_3 = 3'b000; assign GND_BUS_18 = 18'b000000000000000000; assign GND_BUS_30 = 30'b000000000000000000000000000000; assign GND_BUS_48 = 48'b000000000000000000000000000000000000000000000000; assign GND_OPMODE = 0; assign VCC_OPMODE = 1; DSP48E DSP48E_INST (.A({A_IN[20:20], A_IN[20:20], A_IN[20:20], A_IN[20:20], A_IN[20:20], A_IN[20:20], A_IN[20:20], A_IN[20:20], A_IN[20:20], A_IN[20:0]}), .ACIN(GND_BUS_30[29:0]), .ALUMODE({GND_ALUMODE, GND_ALUMODE, GND_ALUMODE, GND_ALUMODE}), .B({B_IN[12:12], B_IN[12:12], B_IN[12:12], B_IN[12:12], B_IN[12:12], B_IN[12:0]}), .BCIN(GND_BUS_18[17:0]), .C(C_IN[47:0]), .CARRYCASCIN(GND_ALUMODE), .CARRYIN(GND_ALUMODE), .CARRYINSEL(GND_BUS_3[2:0]), .CEALUMODE(VCC_OPMODE), .CEA1(VCC_OPMODE), .CEA2(VCC_OPMODE), .CEB1(VCC_OPMODE), .CEB2(VCC_OPMODE), .CEC(VCC_OPMODE), .CECARRYIN(VCC_OPMODE), .CECTRL(VCC_OPMODE), .CEM(VCC_OPMODE), .CEMULTCARRYIN(CEMULTCARRYIN_IN), .CEP(VCC_OPMODE), .CLK(CLK_IN), .MULTSIGNIN(GND_ALUMODE), .OPMODE({GND_OPMODE, VCC_OPMODE, VCC_OPMODE, GND_OPMODE, VCC_OPMODE, GND_OPMODE, VCC_OPMODE}), .PCIN(GND_BUS_48[47:0]), .RSTA(GND_ALUMODE), .RSTALLCARRYIN(GND_ALUMODE), .RSTALUMODE(GND_ALUMODE), .RSTB(GND_ALUMODE), .RSTC(GND_ALUMODE), .RSTCTRL(GND_ALUMODE), .RSTM(GND_ALUMODE), .RSTP(GND_ALUMODE), .ACOUT(), .BCOUT(), .CARRYCASCOUT(), .CARRYOUT(), .MULTSIGNOUT(), .OVERFLOW(), .P(P_OUT[47:0]), .PATTERNBDETECT(), .PATTERNDETECT(), .PCOUT(), .UNDERFLOW()); defparam DSP48E_INST.ACASCREG = 1; defparam DSP48E_INST.ALUMODEREG = 0; defparam DSP48E_INST.AREG = 1; defparam DSP48E_INST.AUTORESET_PATTERN_DETECT = "FALSE"; defparam DSP48E_INST.AUTORESET_PATTERN_DETECT_OPTINV = "MATCH"; defparam DSP48E_INST.A_INPUT = "DIRECT"; defparam DSP48E_INST.BCASCREG = 1; defparam DSP48E_INST.BREG = 1; defparam DSP48E_INST.B_INPUT = "DIRECT"; defparam DSP48E_INST.CARRYINREG = 0; defparam DSP48E_INST.CARRYINSELREG = 0; defparam DSP48E_INST.CREG = 1; defparam DSP48E_INST.MASK = 48'h3FFFFFFFFFFF; defparam DSP48E_INST.MREG = 1; defparam DSP48E_INST.MULTCARRYINREG = 1; defparam DSP48E_INST.OPMODEREG = 0; defparam DSP48E_INST.PATTERN = 48'h000000000000; defparam DSP48E_INST.PREG = 1; defparam DSP48E_INST.SEL_MASK = "MASK"; defparam DSP48E_INST.SEL_PATTERN = "PATTERN"; defparam DSP48E_INST.SEL_ROUNDING_MASK = "SEL_MASK"; defparam DSP48E_INST.USE_MULT = "MULT_S"; defparam DSP48E_INST.USE_PATTERN_DETECT = "NO_PATDET"; defparam DSP48E_INST.USE_SIMD = "ONE48"; endmodule
module PLLE2_BASE (/*AUTOARG*/ // Outputs LOCKED, CLKOUT0, CLKOUT1, CLKOUT2, CLKOUT3, CLKOUT4, CLKOUT5, CLKFBOUT, // Inputs CLKIN1, RST, PWRDWN, CLKFBIN ); parameter BANDWIDTH = 0; parameter CLKFBOUT_MULT = 0; parameter CLKFBOUT_PHASE = 0; parameter CLKIN1_PERIOD = 0; parameter CLKOUT0_DIVIDE = 0; parameter CLKOUT0_DUTY_CYCLE = 0; parameter CLKOUT0_PHASE = 0; parameter CLKOUT1_DIVIDE = 0; parameter CLKOUT1_DUTY_CYCLE = 0; parameter CLKOUT1_PHASE = 0; parameter CLKOUT2_DIVIDE = 0; parameter CLKOUT2_DUTY_CYCLE = 0; parameter CLKOUT2_PHASE = 0; parameter CLKOUT3_DIVIDE = 0; parameter CLKOUT3_DUTY_CYCLE = 0; parameter CLKOUT3_PHASE = 0; parameter CLKOUT4_DIVIDE = 0; parameter CLKOUT4_DUTY_CYCLE = 0; parameter CLKOUT4_PHASE = 0; parameter CLKOUT5_DIVIDE = 0; parameter CLKOUT5_DUTY_CYCLE = 0; parameter CLKOUT5_PHASE = 0; parameter DIVCLK_DIVIDE = 0; parameter REF_JITTER1 = 0; parameter STARTUP_WAIT = 0; parameter IOSTANDARD = 0; //inputs input CLKIN1; input RST; input PWRDWN; input CLKFBIN; //outputs output LOCKED; output CLKOUT0; output CLKOUT1; output CLKOUT2; output CLKOUT3; output CLKOUT4; output CLKOUT5; output CLKFBOUT; //Not a correct model assign CLKFBOUT=CLKIN1; assign LOCKED=1'b0; assign CLKOUT0=CLKIN1; assign CLKOUT1=CLKIN1; assign CLKOUT2=CLKIN1; assign CLKOUT3=CLKIN1; assign CLKOUT4=CLKIN1; assign CLKOUT5=CLKIN1; assign CLKFBOUT=CLKIN1; endmodule // PLLE2_BASE
`timescale 1ns / 1ps ////////////////////////////////////////////////////////////////////////////////// // Company: // Engineer: // // Create Date: 19:33:35 12/02/2013 // Design Name: // Module Name: tetris // Project Name: EE201 Final Project // Target Devices: Diligent Spartan-6 // Tool versions: // Description: // // Dependencies: Food // // Revision: // Revision 0.01 - File Created // Additional Comments: // COLLISION: The implementation of the full row clearing may cause problems in the top row. // // ////////////////////////////////////////////////////////////////////////////////// `timescale 1ns / 100 ps module tetris( Reset, Clk, Start, Ack, Left, Right, Down, Rotate, q_I, q_Gen, q_Rot, q_Col, q_Lose, blocks, score, orientation, location, next_block ); input Reset, Clk; input Start, Ack; input Left, Right, Down; input Rotate; output q_I, q_Gen; output q_Rot, q_Col, q_Lose; output reg [159:0] blocks; output reg [7:0] score; reg [7:0] state; // Current Block Information output reg [7:0] location; integer i; reg [2:0] block_type; output reg [1:0] orientation; output reg [2:0] next_block; // Number of Loops for Rotate and Move reg [24:0] loop; reg [2:0] random_count; wire [19:0] full_rows; //assign full_rows[0] = blocks[0] && blocks[1] && blocks[2] && blocks[3] && blocks[4] && blocks[5] && blocks[6] && blocks[7] && blocks[8]; // for(integer i = 0; i<20; i=i+1) // begin // assign full_rows[i] = blocks[0 + 8*i] && blocks[1+ 8*i] && blocks[2+ 8*i] && blocks[3+ 8*i] && blocks[4+ 8*i] && blocks[5+ 8*i] && blocks[6+ 8*i] && blocks[7+ 8*i] && blocks[8+ 8*i]; // end // Check if space is avaliable for a rotate or move down Wire // Square wire square_l, square_r, square_d; assign square_l = !blocks[location-2] && !blocks[location -10] && ((location-1)%8); assign square_r = !blocks[location+1] && !blocks[location-7] && ((location+1)%8); assign square_d = !blocks[location-16] && !blocks[location-17] && (location > 15) ; //Bar wire bar0_l, bar0_r, bar0_d, bar0_rot, bar1_l, bar1_r, bar1_d, bar1_rot; // Two orientations assign bar0_l = !blocks[location-3] && ((location-2)%8); assign bar0_r = !blocks[location+2] && ((location+2)%8); assign bar0_d = !blocks[location-7] && !blocks[location-8] && !blocks[location-9] && !blocks[location-10] && location > 7; assign bar0_rot = (location/8 != 19) && !blocks[location+8] && !blocks[location-8] && !blocks[location-16] && (location >15); assign bar1_l = !blocks[location-1] && !blocks[location-9] && !blocks[location-17] && !blocks[location+7] && location%8; assign bar1_r = !blocks[location+1] && !blocks[location+9] && !blocks[location-7] && !blocks[location -15] && (location+1)%8; assign bar1_d = !blocks[location-24] && (location > 23); assign bar1_rot = !blocks[location +1] && !blocks[location-1] && !blocks[location-2] && (location+1)%8 && location%8; wire s0_l, s0_r, s0_d, s0_rot; assign s0_l = !blocks[location-1] && !blocks[location-10] && ((location-1)%8); assign s0_r = !blocks[location-2] && !blocks[location-7] && ((location+2)%8); assign s0_d = !blocks[location-7] && !blocks[location-16] && !blocks[location-17] && (location>16); assign s0_rot = (location/8 != 19) && !blocks[location+8] && !blocks[location-7]; wire s1_l, s1_r, s1_d, s1_rot; assign s1_l = !blocks[location-1] && !blocks[location+7] && !blocks[location-8] && ((location)%8); assign s1_r = !blocks[location+9] && !blocks[location+2] && !blocks[location-6] && ((location+2)%8); assign s1_d = !blocks[location-15] && !blocks[location-8] && (location>16); assign s1_rot = !blocks[location-8] && !blocks[location-9]; wire z0_l, z0_r, z0_d, z0_rot; assign z0_l = !blocks[location-9] && !blocks[location-2] && ((location-1)%8); assign z0_r = !blocks[location+1] && !blocks[location-6] && ((location+2)%8); assign z0_d = !blocks[location-9] && !blocks[location-16] && !blocks[location-15] && (location>16); assign z0_rot = (location/8 != 19) && !blocks[location+1] && !blocks[location+9]; wire z1_l, z1_r, z1_d, z1_rot; assign z1_l = !blocks[location-1] && !blocks[location+8] && !blocks[location-9] && ((location)%8); assign z1_r = !blocks[location+10] && !blocks[location+2] && !blocks[location-7] && ((location+2)%8); assign z1_d = !blocks[location-16] && !blocks[location-7] && (location>16); assign z1_rot = !blocks[location-1] && !blocks[location-7]; //for Row clear condition wire above_row, location_row, below_row, double_below_row; assign above_row = blocks[(location/8 +1)*8] && blocks[(location/8+1)*8 + 1] && blocks[(location/8+1)*8 + 2]&& blocks[(location/8+1)*8 + 3] && blocks[(location/8+1)*8 + 4]&& blocks[(location/8+1)*8 + 5] && blocks[(location/8+1)*8 + 6]&& blocks[(location/8+1)*8 + 7]; assign location_row = blocks[(location/8)*8] && blocks[(location/8)*8 + 1] && blocks[(location/8)*8 + 2]&& blocks[(location/8)*8 + 3] && blocks[(location/8)*8 + 4]&& blocks[(location/8)*8 + 5] && blocks[(location/8)*8 + 6]&& blocks[(location/8)*8 + 7]; assign below_row = blocks[(location/8-1)*8] && blocks[(location/8-1)*8 + 1] && blocks[(location/8-1)*8 + 2]&& blocks[(location/8-1)*8 + 3] && blocks[(location/8-1)*8 + 4]&& blocks[(location/8-1)*8 + 5] && blocks[(location/8-1)*8 + 6]&& blocks[(location/8-1)*8 + 7]; assign double_below_row = blocks[(location/8-2)*8] && blocks[(location/8-2)*8 + 1] && blocks[(location/8-2)*8 + 2]&& blocks[(location/8-2)*8 + 3] && blocks[(location/8-2)*8 + 4]&& blocks[(location/8-2)*8 + 5] && blocks[(location/8-2)*8 + 6]&& blocks[(location/8-2)*8 + 7]; assign { q_Lose, q_Col, q_Rot, q_Gen, q_I} = state[4:0] ; localparam INITIAL = 8'b0000_0001, GENERATE_PIECE = 8'b0000_0010, ROTATE_PIECE = 8'b0000_0100, COLLISION = 8'b0000_1000, LOSE = 8'b0001_0000, CLEAR_ROW = 8'b0010_0000, UNKNOWN = 8'bxxxx_xxxx; //temp localparam empty_row = 8'b0000_0000, full_row = 8'b1111_1111, loop_max = 25'd1, //25'b11111_11111_11111_11111_11111, //25'd1, bottom = 8'b1110_1101; //pieces localparam SQUARE = 3'b000, BAR = 3'b001, S = 3'b010, Z = 3'b011, L = 3'b100, J = 3'b101, T = 3'b110; initial begin random_count = $random; end always @ (posedge Clk ) begin: RANDOM_NUMBER_GENERATOR if(random_count >= 0'b110) random_count <= 0; else random_count <= random_count+ 1'b1; end always @ (posedge Clk, posedge Reset) begin if(Reset) begin state <= INITIAL; loop <= 25'd0; for(i=0; i<160; i = i+1) begin blocks[i] <= 0; end score <= 0; location <= 0; end else begin (* full_case, parallel_case *) case(state) INITIAL : begin if(Start) state <= GENERATE_PIECE; else state <= INITIAL; loop <= 25'd0; for(i=0; i<160; i = i+1) begin blocks[i] <= 0; end score <= 0; location <= 0; block_type <= random_count %2; next_block <= random_count %2; orientation <= 2'b00; end GENERATE_PIECE : begin (* full_case, parallel_case *) case(next_block) SQUARE : begin if(blocks[154] || blocks[153] || blocks[146] || blocks[145] ) state <= LOSE; else state <= ROTATE_PIECE; end BAR : begin if(blocks[152] || blocks[153] || blocks[154] || blocks[155]) state <= LOSE; else state <= ROTATE_PIECE; end S: begin if(blocks[154] || blocks[155] || blocks[146] || blocks[145] ) state <= LOSE; else state <= ROTATE_PIECE; end Z : begin if( blocks[154] || blocks[153] || blocks[146] || blocks[147] ) state <= LOSE; else state <= ROTATE_PIECE; end L: begin if( blocks[154] || blocks[155] || blocks[153] || blocks[145]) state <= LOSE; else state <= ROTATE_PIECE; end J : begin if( blocks[154] || blocks[153] || blocks[155] || blocks[147]) state <= LOSE; else state <= ROTATE_PIECE; end T : begin if(blocks[154] || blocks[153] || blocks[155] || blocks[146]) state <= LOSE; else state <= ROTATE_PIECE; end endcase //State Actions block_type <= next_block; next_block <= random_count %2; //change for all blocks orientation <= 2'b00; location <= 8'd154; loop <= 25'b0; (* full_case, parallel_case *) case( next_block) SQUARE: begin blocks [154] <= 1; blocks[153] <= 1; blocks[146]<= 1; blocks[145] <= 1; end BAR: begin blocks[152] <= 1; blocks[153] <= 1; blocks[154] <= 1; blocks[155] <= 1; end S: begin blocks[154] <= 1; blocks[155] <= 1; blocks[146] <= 1; blocks[145] <= 1; end Z: begin blocks[154] <= 1; blocks[153] <= 1; blocks[146] <= 1; blocks[147] <= 1; end L: begin blocks[154] <= 1; blocks[155] <= 1; blocks[153] <= 1; blocks[145] <= 1; end J: begin blocks[154] <= 1; blocks[153] <= 1; blocks[155] <= 1; blocks[147] <= 1; end T: begin blocks[154] <= 1; blocks[153] <= 1; blocks[155] <= 1; blocks[146] <= 1; end endcase end ROTATE_PIECE : begin if( loop < loop_max) state <= ROTATE_PIECE; else if(loop == loop_max) state <= COLLISION; loop<= loop+ 1'b1; if(block_type == SQUARE) begin if(Left && square_l ) begin blocks[location] <= 0; blocks[location-8] <= 0; blocks[location-10] <= 1; blocks[location -2] <= 1; location <= location - 1'b1; end else if( Right && square_r) begin blocks[location-1] <= 0; blocks[location-9] <=0; blocks[location +1] <= 1; blocks[location - 7] <= 1; location <= location + 1'b1; end else if( Down && square_d) begin blocks[location] <= 0; blocks[location-1] <= 0; blocks[location-16] <= 1; blocks[location-17] <= 1; location <= location - 4'd8; loop<= 25'd0; end end else if(block_type == BAR) begin if(Left && !orientation[0] && bar0_l) begin blocks[location +1] <= 0; blocks[location -3] <= 1; location <= location - 1'b1; end else if(Right && !orientation && bar0_r) begin blocks[location +2] <= 1; blocks[location -2] <= 0; location <= location+1'b1; end else if(Down && !orientation && bar0_d) begin blocks[location] <= 0; blocks[location+1] <= 0; blocks[location-1] <= 0; blocks[location-2] <= 0; blocks[location-7] <= 1; blocks[location-8] <= 1; blocks[location-9] <= 1; blocks[location-10] <= 1; location <= location -4'd8; loop<= 25'd0; end else if(Rotate && !orientation && bar0_rot) begin blocks[location+8] <= 1; blocks[location-8] <= 1; blocks[location-16] <= 1; blocks[location-2] <= 0; blocks[location-1] <= 0; blocks[location +1] <= 0; orientation <= 2'b01; end else if(Left && orientation[0] && bar1_l) begin blocks[location +8] <= 0; blocks[location] <= 0; blocks[location -8] <= 0; blocks[location -16] <= 0; blocks[location +7] <= 1; blocks[location-1] <= 1; blocks[location -9] <= 1; blocks[location -17] <= 1; location <= location - 1'b1; end else if(Right && orientation[0] && bar1_r) begin blocks[location +8] <= 0; blocks[location] <= 0; blocks[location -8] <= 0; blocks[location -16] <= 0; blocks[location +9] <= 1; blocks[location+1] <= 1; blocks[location -7] <= 1; blocks[location -15] <= 1; location <= location +1'b1; end else if(Down && orientation[0] && bar1_d) begin blocks[location +8] <= 0; blocks[location -24] <= 1; location <= location -4'd8; loop<= 25'd0; end else if(Rotate && orientation[0] && bar1_rot) begin blocks[location+8] <= 0; blocks[location-8] <= 0; blocks[location-16] <= 0; blocks[location+1] <= 1; blocks[location-1] <= 1; blocks[location-2] <= 1; orientation <= 2'b00; end end else if( block_type == S) begin if(!orientation[0]) begin end else if(orientation[0]) begin end end else if( block_type == Z) begin if(!orientation[0]) begin end else if(orientation[0]) begin end end else if( block_type == L) begin if(!orientation[0]) begin end else if(orientation[0]) begin end else if(orientation == 2'b10) begin end else if(orientation == 2'b11) begin end end else if( block_type == J) begin if(!orientation[0]) begin end else if(orientation[0]) begin end else if(orientation == 2'b10) begin end else if(orientation == 2'b11) begin end end else if( block_type == T) begin if(!orientation[0]) begin end else if(orientation[0]) begin end else if(orientation == 2'b10) begin end else if(orientation == 2'b11) begin end end end COLLISION : begin if( (block_type == SQUARE && !square_d && (location_row + below_row) ==2 ) || (block_type == BAR && !(orientation[0] ? bar1_d : bar0_d) && (orientation[0] ? (above_row + location_row + below_row + double_below_row) >1 : location_row))) state <= CLEAR_ROW; else if( (block_type == SQUARE && !square_d) || block_type == BAR && !(orientation[0] ? bar1_d : bar0_d)) state <= GENERATE_PIECE; else state <= ROTATE_PIECE; // Start of RTL if(block_type == SQUARE) begin if(square_d) begin blocks[location] <= 0; blocks[location-1] <= 0; blocks[location-16] <= 1; blocks[location-17] <= 1; location <= location - 4'd8; loop<= 25'd0; end end else if(block_type == BAR) begin if( !orientation[0]) begin if(bar0_d) begin blocks[location] <= 0; blocks[location+1] <= 0; blocks[location-1] <= 0; blocks[location-2] <= 0; blocks[location-7] <= 1; blocks[location-8] <= 1; blocks[location-9] <= 1; blocks[location-10] <= 1; location <= location -4'd8; loop <= 25'd0; end end else if(orientation[0]) begin if(bar1_d) begin blocks[location+8] <= 0; blocks[location-24] <= 1; location <= location - 4'd8; loop <= 25'd0; end end end // end of RTL end // end of the Collision State CLEAR_ROW: begin if( (block_type == SQUARE && !square_d && (location_row + below_row) ==2 ) || (block_type == BAR && !(orientation[0] ? bar1_d : bar0_d) && (orientation[0] ? (above_row + location_row + below_row + double_below_row) >1 : location_row))) state <= CLEAR_ROW; else state <= GENERATE_PIECE; end LOSE: begin if(Ack) state<= INITIAL; else state<= LOSE; end default : state <= UNKNOWN; endcase end end endmodule
/** * Copyright 2020 The SkyWater PDK Authors * * Licensed under the Apache License, Version 2.0 (the "License"); * you may not use this file except in compliance with the License. * You may obtain a copy of the License at * * https://www.apache.org/licenses/LICENSE-2.0 * * Unless required by applicable law or agreed to in writing, software * distributed under the License is distributed on an "AS IS" BASIS, * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. * See the License for the specific language governing permissions and * limitations under the License. * * SPDX-License-Identifier: Apache-2.0 */ `ifndef SKY130_FD_SC_LP__A41O_PP_BLACKBOX_V `define SKY130_FD_SC_LP__A41O_PP_BLACKBOX_V /** * a41o: 4-input AND into first input of 2-input OR. * * X = ((A1 & A2 & A3 & A4) | B1) * * Verilog stub definition (black box with power pins). * * WARNING: This file is autogenerated, do not modify directly! */ `timescale 1ns / 1ps `default_nettype none (* blackbox *) module sky130_fd_sc_lp__a41o ( X , A1 , A2 , A3 , A4 , B1 , VPWR, VGND, VPB , VNB ); output X ; input A1 ; input A2 ; input A3 ; input A4 ; input B1 ; input VPWR; input VGND; input VPB ; input VNB ; endmodule `default_nettype wire `endif // SKY130_FD_SC_LP__A41O_PP_BLACKBOX_V
/** * Copyright 2020 The SkyWater PDK Authors * * Licensed under the Apache License, Version 2.0 (the "License"); * you may not use this file except in compliance with the License. * You may obtain a copy of the License at * * https://www.apache.org/licenses/LICENSE-2.0 * * Unless required by applicable law or agreed to in writing, software * distributed under the License is distributed on an "AS IS" BASIS, * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. * See the License for the specific language governing permissions and * limitations under the License. * * SPDX-License-Identifier: Apache-2.0 */ `ifndef SKY130_FD_SC_LS__DLYMETAL6S6S_SYMBOL_V `define SKY130_FD_SC_LS__DLYMETAL6S6S_SYMBOL_V /** * dlymetal6s6s: 6-inverter delay with output from 6th inverter on * horizontal route. * * Verilog stub (without power pins) for graphical symbol definition * generation. * * WARNING: This file is autogenerated, do not modify directly! */ `timescale 1ns / 1ps `default_nettype none (* blackbox *) module sky130_fd_sc_ls__dlymetal6s6s ( //# {{data|Data Signals}} input A, output X ); // Voltage supply signals supply1 VPWR; supply0 VGND; supply1 VPB ; supply0 VNB ; endmodule `default_nettype wire `endif // SKY130_FD_SC_LS__DLYMETAL6S6S_SYMBOL_V
`timescale 1ns / 1ps //////////////////////////////////////////////////////////////////////// // Engineer: // // Create Date: 03.06.2015 14:58:39 // Design Name: // Module Name: harness // Project Name: // Target Devices: // Tool Versions: // Description: // // Dependencies: // // Revision: // Revision 0.01 - File Created // Additional Comments: // //////////////////////////////////////////////////////////////////////// module harness(); parameter CYCLE = 100, Tsetup = 15, Thold = 5; // -- Señales de interconexion ----------------------------------- >>>>> reg clk; reg reset; // -- input -------------------------------------------------- >>>>> wire start_strobe_din; wire [0:63] plaintext_din; wire [0:63] key_din; // -- output ------------------------------------------------- >>>>> wire done_strobe_dout; wire active_des_engine_dout; wire [0:63] ciphertext_dout; // -- DUT -------------------------------------------------------- >>>>> des_core des_engine ( .clk(clk), .reset(reset), // -- input -------------------------------------------------- >>>>> .start_strobe_din (start_strobe_din), .plaintext_din (plaintext_din), .key_din (key_din), // -- output ------------------------------------------------- >>>>> .done_strobe_dout (done_strobe_dout), .active_des_engine_dout (active_des_engine_dout), .ciphertext_dout (ciphertext_dout) ); // -- Bus Behaivoral Model --------------------------------------- >>>>> source #( .Thold(Thold) ) source ( .clk(clk), // -- input ------------------------------------------ >>>>> .active_des_engine_din(active_des_engine_dout), // -- output ----------------------------------------- >>>>> .start_strobe_dout(start_strobe_din), .plaintext_dout(plaintext_din), .key_dout(key_din) ); sink #( .Thold(Thold) ) sink ( .clk(clk), // -- inputs ------------------------------------------------- >>>>> .done_strobe_din(done_strobe_dout), .ciphertext_din(ciphertext_dout) ); // -- Clock Generator -------------------------------------------- >>>>> always begin #(CYCLE/2) clk = 1'b0; #(CYCLE/2) clk = 1'b1; end // -- Sync Reset Generator --------------------------------------- >>>>> task sync_reset; begin reset <= 1'b1; repeat(4) begin @(posedge clk); #(Thold); end reset <= 1'b0; end endtask : sync_reset endmodule // harness
module game_graph_simple ( input wire clk, reset, input wire video_on, // control the bar input wire [2:0] sw, // control the gun input wire [9:0] pix_x, pix_y, output reg [2:0] graph_rgb ); // constant and signal declaration // x, y coordinates (0,0) to (639,479) localparam MAX_X = 640; localparam MAX_Y = 480; wire refr_tick; // refr_tick is the refreshment rate //-------------------------------------------- // vertical stripe as a wall //-------------------------------------------- // wall left, right boundary localparam WALL_X_L = 20; localparam WALL_X_R = 25; // decrease it if you want more space //-------------------------------------------- // Let us define the gunner // just think about the death gun from Sword Art Online //-------------------------------------------- localparam GUN_X_L = 50; localparam GUN_X_R = 53; wire [9:0] gun_y_t; wire [9:0] gun_y_b; // register to track the gun reg [9:0] gun_y_reg; reg [9:0] gun_y_next; // gun should move slower than bar localparam GUN_V = 2; localparam GUN_Y_SIZE = 62; //-------------------------------------------- // Now bullet (without silver, pity) //-------------------------------------------- localparam BULLET_SIZE = 9; localparam BULLET_V = 5; // should be able to run in all directions // however, one direction is locked with the gun (emitter) wire [9:0] bullet_x_l, bullet_x_r; wire [9:0] bullet_y_t, bullet_y_b; // speed shall be registered reg [9:0] bullet_x_reg; reg [9:0] bullet_y_reg; reg [9:0] bullet_x_next; reg [9:0] bullet_y_next; //-------------------------------------------- // right vertical bar //-------------------------------------------- // bar left, right boundary localparam BAR_X_L = 600; localparam BAR_X_R = 603; // bar top, bottom boundary wire [9:0] bar_y_t, bar_y_b; localparam BAR_Y_SIZE = 72; // register to track top boundary (x position is fixed) reg [9:0] bar_y_reg, bar_y_next; // bar moving velocity when a button is pressed localparam BAR_V = 4; //-------------------------------------------- // square ball (this part can be deleted, test purpose only) //-------------------------------------------- localparam BALL_SIZE = 8; // ball left, right boundary wire [9:0] ball_x_l, ball_x_r; // ball top, bottom boundary wire [9:0] ball_y_t, ball_y_b; // reg to track left, top position reg [9:0] ball_x_reg, ball_y_reg; wire [9:0] ball_x_next, ball_y_next; // reg to track ball speed reg [9:0] x_delta_reg, x_delta_next; reg [9:0] y_delta_reg, y_delta_next; // ball velocity can be pos or neg) localparam BALL_V_P = 2; localparam BALL_V_N = -2; //-------------------------------------------- // round ball (we use this ball actually) //-------------------------------------------- wire [2:0] rom_addr, rom_col; reg [7:0] rom_data; wire rom_bit; //-------------------------------------------- // object output signals //-------------------------------------------- wire wall_on, bar_on, gun_on, bullet_on, sq_ball_on, rd_ball_on; wire [2:0] wall_rgb, gun_rgb, bullet_rgb, bar_rgb, ball_rgb; // body //-------------------------------------------- // round ball image ROM //-------------------------------------------- always @* case (rom_addr) // right side is the shape of the ball (at least we think it shall be) 3'h0: rom_data = 8'b00111100; // **** 3'h1: rom_data = 8'b01111110; // ****** 3'h2: rom_data = 8'b11111111; // ******** 3'h3: rom_data = 8'b11111111; // ******** 3'h4: rom_data = 8'b11111111; // ******** 3'h5: rom_data = 8'b11111111; // ******** 3'h6: rom_data = 8'b01111110; // ****** 3'h7: rom_data = 8'b00111100; // **** endcase // registers always @(posedge clk, posedge reset) if (reset) begin bar_y_reg <= 0; // well, this line is added for visual effect gun_y_reg <= 0; // and so is that line ball_x_reg <= 0; ball_y_reg <= 0; bullet_x_reg <= GUN_X_R; bullet_y_reg <= 0+GUN_Y_SIZE/2; // 0 should actually be gun_y_reg // (which shall be zero after resetting op) // different from the ball // since at the beginning of the game, bullet should lie // still on the gun (or gunner) x_delta_reg <= 10'h004; y_delta_reg <= 10'h004; end else begin bar_y_reg <= bar_y_next; // updating with reg (one time pad loss, same with sync) gun_y_reg <= gun_y_next; ball_x_reg <= ball_x_next; ball_y_reg <= ball_y_next; x_delta_reg <= x_delta_next; y_delta_reg <= y_delta_next; bullet_x_reg <= bullet_x_next; // changed from y to x bullet_y_reg <= bullet_y_next; // a little easy than the ball end // refr_tick: 1-clock tick asserted at start of v-sync assign refr_tick = (pix_y==481) && (pix_x==0); //-------------------------------------------- // (wall) left vertical strip //-------------------------------------------- // pixel within wall assign wall_on = (WALL_X_L<=pix_x) && (pix_x<=WALL_X_R); // wall rgb output assign wall_rgb = 3'b001; // blue //-------------------------------------------- // right vertical bar //-------------------------------------------- // boundary assign bar_y_t = bar_y_reg; assign bar_y_b = bar_y_t + BAR_Y_SIZE - 1; // pixel within bar assign bar_on = (BAR_X_L<=pix_x) && (pix_x<=BAR_X_R) && (bar_y_t<=pix_y) && (pix_y<=bar_y_b); // bar rgb output assign bar_rgb = 3'b010; // green // new bar y-position always @* begin bar_y_next = bar_y_reg; // no move if (refr_tick) if (~sw[2] & (bar_y_b < (MAX_Y-1-BAR_V))) bar_y_next = bar_y_reg + BAR_V; // move down else if (sw[2] & (bar_y_t > BAR_V)) bar_y_next = bar_y_reg - BAR_V; // move up end //-------------------------------------------- // gun (well ... interesting) //-------------------------------------------- // gun in the left assign gun_y_t = gun_y_reg; assign gun_y_b = gun_y_t + GUN_Y_SIZE -1; // pixels within gun assign gun_on = (GUN_X_L<=pix_x) && (pix_x<=GUN_X_R) && (gun_y_t<=pix_y) && (pix_y<=gun_y_b); // gun_y_t should change timely based. assign gun_rgb = 3'b000; // changed from white to black // black gun, the name was taken after the famous boss in the SWORT ART ONLINE always @* begin gun_y_next = gun_y_reg; if (refr_tick) if (sw[0] & (gun_y_b < (MAX_Y-1-GUN_V))) gun_y_next = gun_y_reg + GUN_V; // move up (minor changed) else if ( (~sw[0]) & (gun_y_t > GUN_V) ) gun_y_next = gun_y_reg - GUN_V; // move down end // gun is controlled by switch //-------------------------------------------- // you can not use a gun without bullet //-------------------------------------------- // Let us define the bullet assign bullet_x_l = bullet_x_reg; assign bullet_x_r = bullet_x_l + BULLET_SIZE -1; assign bullet_y_t = bullet_y_reg; // the word size b is a little larger I assume // right? assign bullet_y_b = bullet_y_t + BULLET_SIZE -1; // pixel within bullet assign bullet_on = (bullet_x_l<=pix_x) && (pix_x<=bullet_x_r) && (bullet_y_t<=pix_y) && (pix_y<=bullet_y_b); // Now pixels within the bullet are defined with color assign bullet_rgb = 3'b000; //black bullet // Well, silver bullet is prefered, but I don't know how to represent it with rgb values. // the board should be blamed, not me (laugh) always @* begin bullet_x_next = bullet_x_reg; bullet_y_next = bullet_y_reg; if (refr_tick) if ((BAR_X_L<=bullet_x_r) && (bullet_x_r<=BAR_X_R) && (bar_y_t<=bullet_y_b) && (bullet_y_t<=bar_y_b)) // now you hit it begin bullet_x_next = GUN_X_R; // bullet_x_next is the left side of the bullet (should be held at the right side of the gun) bullet_y_next = gun_y_reg+GUN_Y_SIZE/2; end // emission of bullet is controlled by sw not button // actually, this should be done with a de-bounced switch (written by cpp) else if ( sw[1] || (bullet_x_l >= GUN_X_R+5) ) bullet_x_next = bullet_x_reg + BULLET_V; // y doesn't change, fly along the trajectory (not very physical I assume) else if ( (bullet_x_reg<=(GUN_X_L-1)) || (bullet_x_reg>=(MAX_X-BULLET_SIZE-1)) ) // correspond to initialization, over, less than // to make it clearer, over the right boarder of the screen, or less than the left side of the gun begin bullet_x_next = GUN_X_R; bullet_y_next = gun_y_reg+GUN_Y_SIZE/2; end else begin bullet_x_next = GUN_X_R; bullet_y_next = gun_y_reg+GUN_Y_SIZE/2; end end // please don't delete it // may be used in some test case //-------------------------------------------- // ball //-------------------------------------------- // boundary assign ball_x_l = ball_x_reg; assign ball_y_t = ball_y_reg; assign ball_x_r = ball_x_l + BALL_SIZE - 1; assign ball_y_b = ball_y_t + BALL_SIZE - 1; // pixel within ball assign sq_ball_on = (ball_x_l<=pix_x) && (pix_x<=ball_x_r) && (ball_y_t<=pix_y) && (pix_y<=ball_y_b); // map current pixel location to ROM addr/col assign rom_addr = pix_y[2:0] - ball_y_t[2:0]; assign rom_col = pix_x[2:0] - ball_x_l[2:0]; assign rom_bit = rom_data[rom_col]; // pixel within ball assign rd_ball_on = sq_ball_on & rom_bit; // ball rgb output assign ball_rgb = 3'b100; // red // new ball position assign ball_x_next = (refr_tick) ? ball_x_reg+x_delta_reg : ball_x_reg ; assign ball_y_next = (refr_tick) ? ball_y_reg+y_delta_reg : ball_y_reg ; // new ball velocity always @* begin x_delta_next = x_delta_reg; y_delta_next = y_delta_reg; if (ball_y_t < 1) // reach top y_delta_next = BALL_V_P; else if (ball_y_b > (MAX_Y-1)) // reach bottom y_delta_next = BALL_V_N; else if (ball_x_l <= WALL_X_R) // reach wall x_delta_next = BALL_V_P; // bounce back else if ((BAR_X_L<=ball_x_r) && (ball_x_r<=BAR_X_R) && (bar_y_t<=ball_y_b) && (ball_y_t<=bar_y_b)) // reach x of right bar and hit, ball bounce back x_delta_next = BALL_V_N; end //-------------------------------------------- // rgb multiplexing circuit //-------------------------------------------- always @* if (~video_on) graph_rgb = 3'b000; // blank else if (wall_on) graph_rgb = wall_rgb; else if (bullet_on) graph_rgb = bullet_rgb; // bullet is higher that bar (since it can get through it) else if (bar_on) graph_rgb = bar_rgb; else if (gun_on) graph_rgb = gun_rgb; else if (rd_ball_on) // this stands for the round ball (well...) // you could also use the sqaure ball which is not yet deleted for the test purpose only graph_rgb = ball_rgb; else graph_rgb = 3'b110; // yellow background endmodule
//***************************************************************************** // (c) Copyright 2008 - 2013 Xilinx, Inc. All rights reserved. // // This file contains confidential and proprietary information // of Xilinx, Inc. and is protected under U.S. and // international copyright and other intellectual property // laws. // // DISCLAIMER // This disclaimer is not a license and does not grant any // rights to the materials distributed herewith. Except as // otherwise provided in a valid license issued to you by // Xilinx, and to the maximum extent permitted by applicable // law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND // WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES // AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING // BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- // INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and // (2) Xilinx shall not be liable (whether in contract or tort, // including negligence, or under any other theory of // liability) for any loss or damage of any kind or nature // related to, arising under or in connection with these // materials, including for any direct, or any indirect, // special, incidental, or consequential loss or damage // (including loss of data, profits, goodwill, or any type of // loss or damage suffered as a result of any action brought // by a third party) even if such damage or loss was // reasonably foreseeable or Xilinx had been advised of the // possibility of the same. // // CRITICAL APPLICATIONS // Xilinx products are not designed or intended to be fail- // safe, or for use in any application requiring fail-safe // performance, such as life-support or safety devices or // systems, Class III medical devices, nuclear facilities, // applications related to the deployment of airbags, or any // other applications that could lead to death, personal // injury, or severe property or environmental damage // (individually and collectively, "Critical // Applications"). Customer assumes the sole risk and // liability of any use of Xilinx products in Critical // Applications, subject only to applicable laws and // regulations governing limitations on product liability. // // THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS // PART OF THIS FILE AT ALL TIMES. // //***************************************************************************** // ____ ____ // / /\/ / // /___/ \ / Vendor : Xilinx // \ \ \/ Version : %version // \ \ Application : MIG // / / Filename : ui_rd_data.v // /___/ /\ Date Last Modified : $date$ // \ \ / \ Date Created : Tue Jun 30 2009 // \___\/\___\ // //Device : 7-Series //Design Name : DDR3 SDRAM //Purpose : //Reference : //Revision History : //***************************************************************************** // User interface read buffer. Re orders read data returned from the // memory controller back to the request order. // // Consists of a large buffer for the data, a status RAM and two counters. // // The large buffer is implemented with distributed RAM in 6 bit wide, // 1 read, 1 write mode. The status RAM is implemented with a distributed // RAM configured as 2 bits wide 1 read/write, 1 read mode. // // As read requests are received from the application, the data_buf_addr // counter supplies the data_buf_addr sent into the memory controller. // With each read request, the counter is incremented, eventually rolling // over. This mechanism labels each read request with an incrementing number. // // When the memory controller returns read data, it echos the original // data_buf_addr with the read data. // // The status RAM is indexed with the same address as the data buffer // RAM. Each word of the data buffer RAM has an associated status bit // and "end" bit. Requests of size 1 return a data burst on two consecutive // states. Requests of size zero return with a single assertion of rd_data_en. // // Upon returning data, the status and end bits are updated for each // corresponding location in the status RAM indexed by the data_buf_addr // echoed on the rd_data_addr field. // // The other side of the status and data RAMs is indexed by the rd_buf_indx. // The rd_buf_indx constantly monitors the status bit it is currently // pointing to. When the status becomes set to the proper state (more on // this later) read data is returned to the application, and the rd_buf_indx // is incremented. // // At rst the rd_buf_indx is initialized to zero. Data will not have been // returned from the memory controller yet, so there is nothing to return // to the application. Evenutally, read requests will be made, and the // memory controller will return the corresponding data. The memory // controller may not return this data in the request order. In which // case, the status bit at location zero, will not indicate // the data for request zero is ready. Eventually, the memory controller // will return data for request zero. The data is forwarded on to the // application, and rd_buf_indx is incremented to point to the next status // bits and data in the buffers. The status bit will be examined, and if // data is valid, this data will be returned as well. This process // continues until the status bit indexed by rd_buf_indx indicates data // is not ready. This may be because the rd_data_buf // is empty, or that some data was returned out of order. Since rd_buf_indx // always increments sequentially, data is always returned to the application // in request order. // // Some further discussion of the status bit is in order. The rd_data_buf // is a circular buffer. The status bit is a single bit. Distributed RAM // supports only a single write port. The write port is consumed by // memory controller read data updates. If a simple '1' were used to // indicate the status, when rd_data_indx rolled over it would immediately // encounter a one for a request that may not be ready. // // This problem is solved by causing read data returns to flip the // status bit, and adding hi order bit beyond the size required to // index the rd_data_buf. Data is considered ready when the status bit // and this hi order bit are equal. // // The status RAM needs to be initialized to zero after reset. This is // accomplished by cycling through all rd_buf_indx valus and writing a // zero to the status bits directly following deassertion of reset. This // mechanism is used for similar purposes // for the wr_data_buf. // // When ORDERING == "STRICT", read data reordering is unnecessary. For thi // case, most of the logic in the block is not generated. `timescale 1 ps / 1 ps // User interface read data. module mig_7series_v2_0_ui_rd_data # ( parameter TCQ = 100, parameter APP_DATA_WIDTH = 256, parameter DATA_BUF_ADDR_WIDTH = 5, parameter ECC = "OFF", parameter nCK_PER_CLK = 2 , parameter ORDERING = "NORM" ) (/*AUTOARG*/ // Outputs ram_init_done_r, ram_init_addr, app_rd_data_valid, app_rd_data_end, app_rd_data, app_ecc_multiple_err, rd_buf_full, rd_data_buf_addr_r, // Inputs rst, clk, rd_data_en, rd_data_addr, rd_data_offset, rd_data_end, rd_data, ecc_multiple, rd_accepted ); input rst; input clk; output wire ram_init_done_r; output wire [3:0] ram_init_addr; // rd_buf_indx points to the status and data storage rams for // reading data out to the app. reg [5:0] rd_buf_indx_r; reg ram_init_done_r_lcl /* synthesis syn_maxfan = 10 */; assign ram_init_done_r = ram_init_done_r_lcl; wire app_rd_data_valid_ns; wire single_data; reg [5:0] rd_buf_indx_ns; generate begin : rd_buf_indx wire upd_rd_buf_indx = ~ram_init_done_r_lcl || app_rd_data_valid_ns; // Loop through all status write addresses once after rst. Initializes // the status and pointer RAMs. wire ram_init_done_ns = ~rst && (ram_init_done_r_lcl || (rd_buf_indx_r[4:0] == 5'h1f)); always @(posedge clk) ram_init_done_r_lcl <= #TCQ ram_init_done_ns; always @(/*AS*/rd_buf_indx_r or rst or single_data or upd_rd_buf_indx) begin rd_buf_indx_ns = rd_buf_indx_r; if (rst) rd_buf_indx_ns = 6'b0; else if (upd_rd_buf_indx) rd_buf_indx_ns = // need to use every slot of RAMB32 if all address bits are used rd_buf_indx_r + 6'h1 + (DATA_BUF_ADDR_WIDTH == 5 ? 0 : single_data); end always @(posedge clk) rd_buf_indx_r <= #TCQ rd_buf_indx_ns; end endgenerate assign ram_init_addr = rd_buf_indx_r[3:0]; input rd_data_en; input [DATA_BUF_ADDR_WIDTH-1:0] rd_data_addr; input rd_data_offset; input rd_data_end; input [APP_DATA_WIDTH-1:0] rd_data; output reg app_rd_data_valid /* synthesis syn_maxfan = 10 */; output reg app_rd_data_end; output reg [APP_DATA_WIDTH-1:0] app_rd_data; input [3:0] ecc_multiple; reg [2*nCK_PER_CLK-1:0] app_ecc_multiple_err_r = 'b0; output wire [2*nCK_PER_CLK-1:0] app_ecc_multiple_err; assign app_ecc_multiple_err = app_ecc_multiple_err_r; input rd_accepted; output wire rd_buf_full; output wire [DATA_BUF_ADDR_WIDTH-1:0] rd_data_buf_addr_r; // Compute dimensions of read data buffer. Depending on width of // DQ bus and DRAM CK // to fabric ratio, number of RAM32Ms is variable. RAM32Ms are used in // single write, single read, 6 bit wide mode. localparam RD_BUF_WIDTH = APP_DATA_WIDTH + (ECC == "OFF" ? 0 : 2*nCK_PER_CLK); localparam FULL_RAM_CNT = (RD_BUF_WIDTH/6); localparam REMAINDER = RD_BUF_WIDTH % 6; localparam RAM_CNT = FULL_RAM_CNT + ((REMAINDER == 0 ) ? 0 : 1); localparam RAM_WIDTH = (RAM_CNT*6); generate if (ORDERING == "STRICT") begin : strict_mode assign app_rd_data_valid_ns = 1'b0; assign single_data = 1'b0; assign rd_buf_full = 1'b0; reg [DATA_BUF_ADDR_WIDTH-1:0] rd_data_buf_addr_r_lcl; wire [DATA_BUF_ADDR_WIDTH-1:0] rd_data_buf_addr_ns = rst ? 0 : rd_data_buf_addr_r_lcl + rd_accepted; always @(posedge clk) rd_data_buf_addr_r_lcl <= #TCQ rd_data_buf_addr_ns; assign rd_data_buf_addr_r = rd_data_buf_addr_ns; // app_* signals required to be registered. if (ECC == "OFF") begin : ecc_off always @(/*AS*/rd_data) app_rd_data = rd_data; always @(/*AS*/rd_data_en) app_rd_data_valid = rd_data_en; always @(/*AS*/rd_data_end) app_rd_data_end = rd_data_end; end else begin : ecc_on always @(posedge clk) app_rd_data <= #TCQ rd_data; always @(posedge clk) app_rd_data_valid <= #TCQ rd_data_en; always @(posedge clk) app_rd_data_end <= #TCQ rd_data_end; always @(posedge clk) app_ecc_multiple_err_r <= #TCQ ecc_multiple; end end else begin : not_strict_mode wire rd_buf_we = ~ram_init_done_r_lcl || rd_data_en /* synthesis syn_maxfan = 10 */; // In configurations where read data is returned in a single fabric cycle // the offset is always zero and we can use the bit to get a deeper // FIFO. The RAMB32 has 5 address bits, so when the DATA_BUF_ADDR_WIDTH // is set to use them all, discard the offset. Otherwise, include the // offset. wire [4:0] rd_buf_wr_addr = DATA_BUF_ADDR_WIDTH == 5 ? rd_data_addr : {rd_data_addr, rd_data_offset}; wire [1:0] rd_status; // Instantiate status RAM. One bit for status and one for "end". begin : status_ram // Turns out read to write back status is a timing path. Update // the status in the ram on the state following the read. Bypass // the write data into the status read path. wire [4:0] status_ram_wr_addr_ns = ram_init_done_r_lcl ? rd_buf_wr_addr : rd_buf_indx_r[4:0]; reg [4:0] status_ram_wr_addr_r; always @(posedge clk) status_ram_wr_addr_r <= #TCQ status_ram_wr_addr_ns; wire [1:0] wr_status; // Not guaranteed to write second status bit. If it is written, always // copy in the first status bit. reg wr_status_r1; always @(posedge clk) wr_status_r1 <= #TCQ wr_status[0]; wire [1:0] status_ram_wr_data_ns = ram_init_done_r_lcl ? {rd_data_end, ~(rd_data_offset ? wr_status_r1 : wr_status[0])} : 2'b0; reg [1:0] status_ram_wr_data_r; always @(posedge clk) status_ram_wr_data_r <= #TCQ status_ram_wr_data_ns; reg rd_buf_we_r1; always @(posedge clk) rd_buf_we_r1 <= #TCQ rd_buf_we; RAM32M #(.INIT_A(64'h0000000000000000), .INIT_B(64'h0000000000000000), .INIT_C(64'h0000000000000000), .INIT_D(64'h0000000000000000) ) RAM32M0 ( .DOA(rd_status), .DOB(), .DOC(wr_status), .DOD(), .DIA(status_ram_wr_data_r), .DIB(2'b0), .DIC(status_ram_wr_data_r), .DID(status_ram_wr_data_r), .ADDRA(rd_buf_indx_r[4:0]), .ADDRB(5'b0), .ADDRC(status_ram_wr_addr_ns), .ADDRD(status_ram_wr_addr_r), .WE(rd_buf_we_r1), .WCLK(clk) ); end // block: status_ram wire [RAM_WIDTH-1:0] rd_buf_out_data; begin : rd_buf wire [RAM_WIDTH-1:0] rd_buf_in_data; if (REMAINDER == 0) if (ECC == "OFF") assign rd_buf_in_data = rd_data; else assign rd_buf_in_data = {ecc_multiple, rd_data}; else if (ECC == "OFF") assign rd_buf_in_data = {{6-REMAINDER{1'b0}}, rd_data}; else assign rd_buf_in_data = {{6-REMAINDER{1'b0}}, ecc_multiple, rd_data}; // Dedicated copy for driving distributed RAM. (* keep = "true" *) reg [4:0] rd_buf_indx_copy_r /* synthesis syn_keep = 1 */; always @(posedge clk) rd_buf_indx_copy_r <= #TCQ rd_buf_indx_ns[4:0]; genvar i; for (i=0; i<RAM_CNT; i=i+1) begin : rd_buffer_ram RAM32M #(.INIT_A(64'h0000000000000000), .INIT_B(64'h0000000000000000), .INIT_C(64'h0000000000000000), .INIT_D(64'h0000000000000000) ) RAM32M0 ( .DOA(rd_buf_out_data[((i*6)+4)+:2]), .DOB(rd_buf_out_data[((i*6)+2)+:2]), .DOC(rd_buf_out_data[((i*6)+0)+:2]), .DOD(), .DIA(rd_buf_in_data[((i*6)+4)+:2]), .DIB(rd_buf_in_data[((i*6)+2)+:2]), .DIC(rd_buf_in_data[((i*6)+0)+:2]), .DID(2'b0), .ADDRA(rd_buf_indx_copy_r[4:0]), .ADDRB(rd_buf_indx_copy_r[4:0]), .ADDRC(rd_buf_indx_copy_r[4:0]), .ADDRD(rd_buf_wr_addr), .WE(rd_buf_we), .WCLK(clk) ); end // block: rd_buffer_ram end wire rd_data_rdy = (rd_status[0] == rd_buf_indx_r[5]); wire bypass = rd_data_en && (rd_buf_wr_addr[4:0] == rd_buf_indx_r[4:0]) /* synthesis syn_maxfan = 10 */; assign app_rd_data_valid_ns = ram_init_done_r_lcl && (bypass || rd_data_rdy); wire app_rd_data_end_ns = bypass ? rd_data_end : rd_status[1]; always @(posedge clk) app_rd_data_valid <= #TCQ app_rd_data_valid_ns; always @(posedge clk) app_rd_data_end <= #TCQ app_rd_data_end_ns; assign single_data = app_rd_data_valid_ns && app_rd_data_end_ns && ~rd_buf_indx_r[0]; wire [APP_DATA_WIDTH-1:0] app_rd_data_ns = bypass ? rd_data : rd_buf_out_data[APP_DATA_WIDTH-1:0]; always @(posedge clk) app_rd_data <= #TCQ app_rd_data_ns; if (ECC != "OFF") begin : assign_app_ecc_multiple wire [3:0] app_ecc_multiple_err_ns = bypass ? ecc_multiple : rd_buf_out_data[APP_DATA_WIDTH+:4]; always @(posedge clk) app_ecc_multiple_err_r <= #TCQ app_ecc_multiple_err_ns; end //Added to fix timing. The signal app_rd_data_valid has //a very high fanout. So making a dedicated copy for usage //with the occ_cnt counter. (* equivalent_register_removal = "no" *) reg app_rd_data_valid_copy; always @(posedge clk) app_rd_data_valid_copy <= #TCQ app_rd_data_valid_ns; // Keep track of how many entries in the queue hold data. wire free_rd_buf = app_rd_data_valid_copy && app_rd_data_end; //changed to use registered version //of the signals in ordered to fix timing reg [DATA_BUF_ADDR_WIDTH:0] occ_cnt_r; wire [DATA_BUF_ADDR_WIDTH:0] occ_minus_one = occ_cnt_r - 1; wire [DATA_BUF_ADDR_WIDTH:0] occ_plus_one = occ_cnt_r + 1; begin : occupied_counter reg [DATA_BUF_ADDR_WIDTH:0] occ_cnt_ns; always @(/*AS*/free_rd_buf or occ_cnt_r or rd_accepted or rst or occ_minus_one or occ_plus_one) begin occ_cnt_ns = occ_cnt_r; if (rst) occ_cnt_ns = 0; else case ({rd_accepted, free_rd_buf}) 2'b01 : occ_cnt_ns = occ_minus_one; 2'b10 : occ_cnt_ns = occ_plus_one; endcase // case ({wr_data_end, new_rd_data}) end always @(posedge clk) occ_cnt_r <= #TCQ occ_cnt_ns; assign rd_buf_full = occ_cnt_ns[DATA_BUF_ADDR_WIDTH]; `ifdef MC_SVA rd_data_buffer_full: cover property (@(posedge clk) (~rst && rd_buf_full)); rd_data_buffer_inc_dec_15: cover property (@(posedge clk) (~rst && rd_accepted && free_rd_buf && (occ_cnt_r == 'hf))); rd_data_underflow: assert property (@(posedge clk) (rst || !((occ_cnt_r == 'b0) && (occ_cnt_ns == 'h1f)))); rd_data_overflow: assert property (@(posedge clk) (rst || !((occ_cnt_r == 'h10) && (occ_cnt_ns == 'h11)))); `endif end // block: occupied_counter // Generate the data_buf_address written into the memory controller // for reads. Increment with each accepted read, and rollover at 0xf. reg [DATA_BUF_ADDR_WIDTH-1:0] rd_data_buf_addr_r_lcl; assign rd_data_buf_addr_r = rd_data_buf_addr_r_lcl; begin : data_buf_addr reg [DATA_BUF_ADDR_WIDTH-1:0] rd_data_buf_addr_ns; always @(/*AS*/rd_accepted or rd_data_buf_addr_r_lcl or rst) begin rd_data_buf_addr_ns = rd_data_buf_addr_r_lcl; if (rst) rd_data_buf_addr_ns = 0; else if (rd_accepted) rd_data_buf_addr_ns = rd_data_buf_addr_r_lcl + 1; end always @(posedge clk) rd_data_buf_addr_r_lcl <= #TCQ rd_data_buf_addr_ns; end // block: data_buf_addr end // block: not_strict_mode endgenerate endmodule // ui_rd_data // Local Variables: // verilog-library-directories:(".") // End:
`timescale 1ns / 1ps //////////////////////////////////////////////////////////////////////// // Company: // Engineer: // // Create Date: 03.06.2015 14:58:39 // Design Name: // Module Name: harness // Project Name: // Target Devices: // Tool Versions: // Description: // // Dependencies: // // Revision: // Revision 0.01 - File Created // Additional Comments: // //////////////////////////////////////////////////////////////////////// `include "system.vh" module harness(); parameter CYCLE = 100, Tsetup = 15, Thold = 5; // -- Señales de interconexion ----------------------------------- >>>>> reg clk; reg reset; // -- input port --------------------------------------------- >>>>> wire credit_out_dout; wire [`CHANNEL_WIDTH-1:0] input_channel_din; // -- output port -------------------------------------------- >>>>> wire credit_in_din; wire [`CHANNEL_WIDTH-1:0] output_channel_dout; // -- interfaz :: processing node ---------------------------- >>>>> wire start_strobe; wire [(2 * `CHANNEL_WIDTH)-1:0] wordA; wire [(2 * `CHANNEL_WIDTH)-1:0] wordB; wire done_strobe; wire active_test_engine; wire [(2 * `CHANNEL_WIDTH)-1:0] wordC; wire [(2 * `CHANNEL_WIDTH)-1:0] wordD; // -- DUT -------------------------------------------------------- >>>>> test_engine_network_interface DUT ( .clk (clk), .reset (reset), // -- input port ----------------------------------------- >>>>> .credit_out_dout (credit_out_dout), .input_channel_din (input_channel_din), // -- output port ---------------------------------------- >>>>> .credit_in_din (credit_in_din), .output_channel_dout (output_channel_dout), // -- interfaz :: processing node ------------------------ >>>>> .start_strobe_dout (start_strobe), .wordA_dout (wordA), .wordB_dout (wordB), .done_strobe_din (done_strobe), .active_test_engine_din (active_test_engine), .wordC_din (wordC), .wordD_din (wordD) ); // -- PE dummy --------------------------------------------------- >>>>> test_engine_dummy #( .Thold(Thold) ) test_engine_dummy ( .clk(clk), // -- inputs --------------------------------------------- >>>>> .start_strobe_din(start_strobe), .wordA_din(wordA), .wordB_din(wordB), // -- outputs -------------------------------------------- >>>>> .done_strobe_dout(done_strobe), .active_test_engine_dout(active_test_engine), .wordC_dout(wordC), .wordD_dout(wordD) ); // -- Canal IO ----------------------------------------------- >>>>> source #( .Thold(Thold), .CREDITS(1) ) input_channel ( .clk (clk), .credit_in (credit_out_dout), .channel_out(input_channel_din) ); sink #( .Thold(Thold) ) output_channel ( .clk (clk), .channel_in (output_channel_dout), .credit_out (credit_in_din) ); // -- Clock Generator -------------------------------------------- >>>>> always begin #(CYCLE/2) clk = 1'b0; #(CYCLE/2) clk = 1'b1; end // -- Sync Reset Generator --------------------------------------- >>>>> task sync_reset; begin reset <= 1'b1; repeat(4) begin @(posedge clk); #(Thold); end reset <= 1'b0; end endtask : sync_reset endmodule // harness
/** * This is written by Zhiyang Ong * and Andrew Mattheisen */ // Design of the pipe module pipeline_buffer (in,out,clock,reset); // Output signal for the design module output out; // Output data signal // Input signals for the design module input in; // Input data signal input clock; // Input clock signal input reset; // Input reset signal // Declare "reg" signals... that will be assigned values reg out; reg o1; // Output of flip-flop #1 reg o2; // Output of flip-flop #2 reg o3; // Output of flip-flop #3 reg o4; // Output of flip-flop #4 reg o5; // Output of flip-flop #5 reg o6; // Output of flip-flop #6 reg o7; // Output of flip-flop #7 reg o8; // Output of flip-flop #8 reg o9; // Output of flip-flop #9 reg o10; // Output of flip-flop #10 reg o11; // Output of flip-flop #11 reg o12; // Output of flip-flop #12 reg o13; // Output of flip-flop #13 reg o14; // Output of flip-flop #14 reg o15; // Output of flip-flop #15 reg o16; // Output of flip-flop #16 reg o17; // Output of flip-flop #17 reg o18; // Output of flip-flop #18 reg o19; // Output of flip-flop #19 reg o20; // Output of flip-flop #20 reg o21; // Output of flip-flop #21 reg o22; // Output of flip-flop #22 reg o23; // Output of flip-flop #23 reg o24; // Output of flip-flop #24 reg o25; // Output of flip-flop #25 reg o26; // Output of flip-flop #26 reg o27; // Output of flip-flop #27 reg o28; // Output of flip-flop #28 reg o29; // Output of flip-flop #29 reg o30; // Output of flip-flop #30 reg o31; // Output of flip-flop #31 // Declare "wire" signals... // Defining constants: parameter [name_of_constant] = value; // Create the 1st flip-flop of the 15 flip-flop pipeline buffer always @(posedge clock) begin if(reset) o1 = 1'd0; else o1 = in; end // Create the 2nd flip-flop of the 15 flip-flop pipeline buffer always @(posedge clock) begin if(reset) o2 = 1'd0; else o2 = o1; end // Create the 3rd flip-flop of the 15 flip-flop pipeline buffer always @(posedge clock) begin if(reset) o3 = 1'd0; else o3 = o2; end // Create the 4th flip-flop of the 15 flip-flop pipeline buffer always @(posedge clock) begin if(reset) o4 = 1'd0; else o4 = o3; end // Create the 5th flip-flop of the 15 flip-flop pipeline buffer always @(posedge clock) begin if(reset) o5 = 1'd0; else o5 = o4; end // Create the 6th flip-flop of the 15 flip-flop pipeline buffer always @(posedge clock) begin if(reset) o6 = 1'd0; else o6 = o5; end // Create the 7th flip-flop of the 15 flip-flop pipeline buffer always @(posedge clock) begin if(reset) o7 = 1'd0; else o7 = o6; end // Create the 8th flip-flop of the 15 flip-flop pipeline buffer always @(posedge clock) begin if(reset) o8 = 1'd0; else o8 = o7; end // Create the 9th flip-flop of the 15 flip-flop pipeline buffer always @(posedge clock) begin if(reset) o9 = 1'd0; else o9 = o8; end // Create the 10th flip-flop of the 15 flip-flop pipeline buffer always @(posedge clock) begin if(reset) o10 = 1'd0; else o10 = o9; end // Create the 11th flip-flop of the 15 flip-flop pipeline buffer always @(posedge clock) begin if(reset) o11 = 1'd0; else o11 = o10; end // Create the 12th flip-flop of the 15 flip-flop pipeline buffer always @(posedge clock) begin if(reset) o12 = 1'd0; else o12 = o11; end // Create the 13th flip-flop of the 15 flip-flop pipeline buffer always @(posedge clock) begin if(reset) o13 = 1'd0; else o13 = o12; end // Create the 14th flip-flop of the 15 flip-flop pipeline buffer always @(posedge clock) begin if(reset) o14 = 1'd0; else o14 = o13; end // Create the 15th flip-flop of the 15 flip-flop pipeline buffer always @(posedge clock) begin if(reset) o15 = 1'd0; else o15 = o14; end // Create the 16th flip-flop of the 15 flip-flop pipeline buffer always @(posedge clock) begin if(reset) o16 = 1'd0; else o16 = o15; end // Create the 17th flip-flop of the 15 flip-flop pipeline buffer always @(posedge clock) begin if(reset) o17 = 1'd0; else o17 = o16; end // Create the 18th flip-flop of the 15 flip-flop pipeline buffer always @(posedge clock) begin if(reset) o18 = 1'd0; else o18 = o17; end // Create the 19th flip-flop of the 15 flip-flop pipeline buffer always @(posedge clock) begin if(reset) o19 = 1'd0; else o19 = o18; end // Create the 20th flip-flop of the 15 flip-flop pipeline buffer always @(posedge clock) begin if(reset) o20 = 1'd0; else o20 = o19; end // Create the 21st flip-flop of the 15 flip-flop pipeline buffer always @(posedge clock) begin if(reset) o21 = 1'd0; else o21 = o20; end // Create the 22nd flip-flop of the 15 flip-flop pipeline buffer always @(posedge clock) begin if(reset) o22 = 1'd0; else o22 = o21; end // Create the 23rd flip-flop of the 15 flip-flop pipeline buffer always @(posedge clock) begin if(reset) o23 = 1'd0; else o23 = o22; end // Create the 24th flip-flop of the 15 flip-flop pipeline buffer always @(posedge clock) begin if(reset) o24 = 1'd0; else o24 = o23; end // Create the 25th flip-flop of the 15 flip-flop pipeline buffer always @(posedge clock) begin if(reset) o25 = 1'd0; else o25 = o24; end // Create the 26th flip-flop of the 15 flip-flop pipeline buffer always @(posedge clock) begin if(reset) o26 = 1'd0; else o26 = o25; end // Create the 27th flip-flop of the 15 flip-flop pipeline buffer always @(posedge clock) begin if(reset) o27 = 1'd0; else o27 = o26; end // Create the 28th flip-flop of the 15 flip-flop pipeline buffer always @(posedge clock) begin if(reset) o28 = 1'd0; else o28 = o27; end // Create the 29th flip-flop of the 15 flip-flop pipeline buffer always @(posedge clock) begin if(reset) o29 = 1'd0; else o29 = o28; end // Create the 30th flip-flop of the 15 flip-flop pipeline buffer always @(posedge clock) begin if(reset) o30 = 1'd0; else o30 = o29; end // Create the 31st flip-flop of the 15 flip-flop pipeline buffer always @(posedge clock) begin if(reset) o31 = 1'd0; else o31 = o30; end // Create the 32nd flip-flop of the 15 flip-flop pipeline buffer always @(posedge clock) begin if(reset) out = 1'd0; else out = o31; end endmodule
/* * Copyright 2013, Homer Hsing <[email protected]> * * Licensed under the Apache License, Version 2.0 (the "License"); * you may not use this file except in compliance with the License. * You may obtain a copy of the License at * * http://www.apache.org/licenses/LICENSE-2.0 * * Unless required by applicable law or agreed to in writing, software * distributed under the License is distributed on an "AS IS" BASIS, * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. * See the License for the specific language governing permissions and * limitations under the License. */ `timescale 1ns / 1ps `define P 20 module test_padder; // Inputs reg clk; reg reset; reg [31:0] in; reg in_ready; reg is_last; reg [1:0] byte_num; reg f_ack; // Outputs wire buffer_full; wire [575:0] out; wire out_ready; // Var integer i; // Instantiate the Unit Under Test (UUT) padder uut ( .clk(clk), .reset(reset), .in(in), .in_ready(in_ready), .is_last(is_last), .byte_num(byte_num), .buffer_full(buffer_full), .out(out), .out_ready(out_ready), .f_ack(f_ack) ); initial begin // Initialize Inputs clk = 0; reset = 1; in = 0; in_ready = 0; is_last = 0; byte_num = 0; f_ack = 0; // Wait 100 ns for global reset to finish #100; // Add stimulus here @ (negedge clk); // pad an empty string, should not eat next input reset = 1; #(`P); reset = 0; #(7*`P); // wait some cycles if (buffer_full !== 0) error; in_ready = 1; is_last = 1; #(`P); in_ready = 1; // next input is_last = 1; #(`P); in_ready = 0; is_last = 0; while (out_ready !== 1) #(`P); check({8'h1, 560'h0, 8'h80}); f_ack = 1; #(`P); f_ack = 0; for(i=0; i<5; i=i+1) begin #(`P); if (buffer_full !== 0) error; // should be 0 end // pad an (576-8) bit string reset = 1; #(`P); reset = 0; #(4*`P); // wait some cycles in_ready = 1; is_last = 0; byte_num = 3; /* should have no effect */ for (i=0; i<8; i=i+1) begin in = 32'h12345678; #(`P); in = 32'h90ABCDEF; #(`P); end in = 32'h12345678; #(`P); in = 32'h90ABCDEF; is_last = 1; #(`P); in_ready = 0; is_last = 0; check({ {8{64'h1234567890ABCDEF}}, 64'h1234567890ABCD81 }); // pad an (576-64) bit string reset = 1; #(`P); reset = 0; // don't wait any cycle in_ready = 1; is_last = 0; byte_num = 1; /* should have no effect */ for (i=0; i<8; i=i+1) begin in = 32'h12345678; #(`P); in = 32'h90ABCDEF; #(`P); end is_last = 1; byte_num = 0; #(`P); in_ready = 0; is_last = 0; #(`P); check({ {8{64'h1234567890ABCDEF}}, 64'h0100000000000080 }); // pad an (576*2-16) bit string reset = 1; #(`P); reset = 0; in_ready = 1; byte_num = 7; /* should have no effect */ is_last = 0; for (i=0; i<9; i=i+1) begin in = 32'h12345678; #(`P); in = 32'h90ABCDEF; #(`P); end if (out_ready !== 1) error; check({9{64'h1234567890ABCDEF}}); #(`P/2); if (buffer_full !== 1) error; // should not eat #(`P/2); in = 64'h999; // should not eat this #(`P/2); if (buffer_full !== 1) error; // should not eat #(`P/2); f_ack = 1; #(`P); f_ack = 0; if (out_ready !== 0) error; // feed next (576-16) bit for (i=0; i<8; i=i+1) begin in = 32'h12345678; #(`P); in = 32'h90ABCDEF; #(`P); end in = 32'h12345678; #(`P); byte_num = 2; is_last = 1; in = 32'h90ABCDEF; #(`P); if (out_ready !== 1) error; check({ {8{64'h1234567890ABCDEF}}, 64'h1234567890AB0180 }); is_last = 0; // eat these bits f_ack = 1; #(`P); f_ack = 0; // should not provide any more bits, if user provides nothing in_ready = 0; is_last = 0; for (i=0; i<10; i=i+1) begin if (out_ready === 1) error; #(`P); end in_ready = 0; $display("Good!"); $finish; end always #(`P/2) clk = ~ clk; task error; begin $display("E"); $finish; end endtask task check; input [575:0] wish; begin if (out !== wish) begin $display("out:%h wish:%h", out, wish); error; end end endtask endmodule `undef P
// +---------------------------------------------------------------------------- // GNU General Public License // ----------------------------------------------------------------------------- // This file is part of uDLX (micro-DeLuX) soft IP-core. // // uDLX is free soft IP-core: you can redistribute it and/or modify // it under the terms of the GNU General Public License as published by // the Free Software Foundation, either version 3 of the License, or // (at your option) any later version. // // uDLX soft core is distributed in the hope that it will be useful, // but WITHOUT ANY WARRANTY; without even the implied warranty of // MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the // GNU General Public License for more details. // // You should have received a copy of the GNU General Public License // along with uDLX. If not, see <http://www.gnu.org/licenses/>. // +---------------------------------------------------------------------------- // PROJECT: uDLX core Processor // ------------------------------------------------------------------------------ // FILE NAME : forward_unit.v // KEYWORDS : dlx, forwarding, hazzard // ----------------------------------------------------------------------------- // PURPOSE : Provide forwarding functionality to uDLX core // ----------------------------------------------------------------------------- module forward_unit #( parameter DATA_WIDTH = 32, parameter REG_ADDR_WIDTH = 5 ) ( input [DATA_WIDTH-1:0] data_alu_a_in, input [DATA_WIDTH-1:0] data_alu_b_in, input [REG_ADDR_WIDTH-1:0] addr_alu_a_in, input [REG_ADDR_WIDTH-1:0] addr_alu_b_in, input [DATA_WIDTH-1:0] ex_mem_reg_a_data_in, input [DATA_WIDTH-1:0] ex_mem_reg_b_data_in, input [REG_ADDR_WIDTH-1:0] ex_mem_reg_a_addr_in, input [REG_ADDR_WIDTH-1:0] ex_mem_reg_b_addr_in, input ex_mem_reg_a_wr_ena_in, input ex_mem_reg_b_wr_ena_in, input [DATA_WIDTH-1:0] wb_reg_a_data_in, input [DATA_WIDTH-1:0] wb_reg_b_data_in, input [REG_ADDR_WIDTH-1:0] wb_reg_a_addr_in, input [REG_ADDR_WIDTH-1:0] wb_reg_b_addr_in, input wb_reg_a_wr_ena_in, input wb_reg_b_wr_ena_in, output reg [DATA_WIDTH-1:0] alu_a_mux_sel_out, output reg [DATA_WIDTH-1:0] alu_b_mux_sel_out ); // Port-A ALU input always@(*)begin // Forwarding data from MEM -> EXE if((addr_alu_a_in == ex_mem_reg_a_addr_in) & ex_mem_reg_a_wr_ena_in)begin alu_a_mux_sel_out <= ex_mem_reg_a_data_in; end else if((addr_alu_a_in == ex_mem_reg_b_addr_in) & ex_mem_reg_b_wr_ena_in)begin alu_a_mux_sel_out <= ex_mem_reg_b_data_in; end // Forwarding data from WB -> EXE else if((addr_alu_a_in == wb_reg_a_addr_in) & wb_reg_a_wr_ena_in)begin alu_a_mux_sel_out <= wb_reg_a_data_in; end else if((addr_alu_a_in == wb_reg_b_addr_in) & wb_reg_b_wr_ena_in)begin alu_a_mux_sel_out <= wb_reg_b_data_in; end // No forwarding else begin alu_a_mux_sel_out <= data_alu_a_in; end end // Port-B ALU input always@(*)begin // Forwarding data from MEM -> EXE if((addr_alu_b_in == ex_mem_reg_a_addr_in) & ex_mem_reg_a_wr_ena_in)begin alu_b_mux_sel_out <= ex_mem_reg_a_data_in; end else if((addr_alu_b_in == ex_mem_reg_b_addr_in) & ex_mem_reg_b_wr_ena_in)begin alu_b_mux_sel_out <= ex_mem_reg_b_data_in; end // Forwarding data from WB -> EXE else if((addr_alu_b_in == wb_reg_a_addr_in) & wb_reg_a_wr_ena_in)begin alu_b_mux_sel_out <= wb_reg_a_data_in; end else if((addr_alu_b_in == wb_reg_b_addr_in) & wb_reg_b_wr_ena_in)begin alu_b_mux_sel_out <= wb_reg_b_data_in; end // No forwarding else begin alu_b_mux_sel_out <= data_alu_b_in; end end endmodule
/** * Copyright 2020 The SkyWater PDK Authors * * Licensed under the Apache License, Version 2.0 (the "License"); * you may not use this file except in compliance with the License. * You may obtain a copy of the License at * * https://www.apache.org/licenses/LICENSE-2.0 * * Unless required by applicable law or agreed to in writing, software * distributed under the License is distributed on an "AS IS" BASIS, * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. * See the License for the specific language governing permissions and * limitations under the License. * * SPDX-License-Identifier: Apache-2.0 */ `ifndef SKY130_FD_SC_HD__A311OI_PP_SYMBOL_V `define SKY130_FD_SC_HD__A311OI_PP_SYMBOL_V /** * a311oi: 3-input AND into first input of 3-input NOR. * * Y = !((A1 & A2 & A3) | B1 | C1) * * Verilog stub (with power pins) for graphical symbol definition * generation. * * WARNING: This file is autogenerated, do not modify directly! */ `timescale 1ns / 1ps `default_nettype none (* blackbox *) module sky130_fd_sc_hd__a311oi ( //# {{data|Data Signals}} input A1 , input A2 , input A3 , input B1 , input C1 , output Y , //# {{power|Power}} input VPB , input VPWR, input VGND, input VNB ); endmodule `default_nettype wire `endif // SKY130_FD_SC_HD__A311OI_PP_SYMBOL_V
(** * UseAuto: Theory and Practice of Automation in Coq Proofs *) (* $Date: 2011-04-20 14:26:52 -0400 (Wed, 20 Apr 2011) $ *) (* Chapter maintained by Arthur Chargueraud *) (** In a machine-checked proof, every single detail has to be justified. This can result in huge proof scripts. Fortunately, Coq comes with a proof-search mechanism and decision procedures that enable the system to automatically synthetizes simple pieces of proof. Automation is very powerful when set up appropriately. The purpose of this chapter is to explain the basics of working of automation. The chapter is organized in two parts. The first part focuses on a general mechanism called "proof search." In short, proof search consists in naively trying to apply lemmas and assumptions in all possible ways until proving the goal. The second part describes "decision procedures," which are tactics that are very good at solving proof obligations that fall in some particular fragment of the logic of Coq. The examples from this chapter include small lemmas made up to illustrate particular aspects of automation as well as larger examples taken from the rest of the Software Foundations development. For the larger examples, tactics from the library [LibTactics.v] are used. Those tactics are described in the chapter [UseTactics.v]. (You will need to read that chapter to understand the later parts of this one, but the earlier parts can be read on their own.) *) Require Import LibTactics. (* ####################################################### *) (** * Basic Features of Proof Search *) (** The idea of proof search is to replace a sequence of tactics applying lemmas and assumptions with a call to a single tactic, for example [auto]. This form of proof automation saves a lot of effort. It typically leads to much shorter proof scripts, and to scripts that are typically more robust to change. If one makes a little change to a definition, a proof that exploits automation probably won't need to be modified at all. Of course, using too much automation is a bad idea. When a proof script no longer records the main arguments of a proof, it becomes difficult to fix it when it gets broken after a change in a definition. Overall, a reasonable use of automation is generally a big win, as it saves a lot of time both in building proof scripts and in subsequently maintaining those proof scripts. *) (* ####################################################### *) (** ** Strength of Proof Search *) (** We are going to study four proof-search tactics: [auto], [eauto], [iauto] and [jauto]. The tactics [auto] and [eauto] are builtin in Coq. The tactic [iauto] is a shorthand for the builtin tactic [try solve [intuition eauto]]. The tactic [jauto] is defined in the library [LibTactics], and simply performs some preprocessing of the goal before calling [eauto]. The goal of this chapter is to explain the general principles of proof search and to give rule of thumbs for guessing which of the four tactics mentioned above is best suited for solving a given goal. Proof search is a compromise between efficiency and expressiveness, that is, a tradeoff between how complex goals the tactic can solve and how much time the tactic requires for terminating. The tactic [auto] builds proofs only by using the basic tactics [reflexivity], [assumption], and [apply]. The tactic [eauto] can also exploit [eapply]. The tactic [jauto] extends [eauto] by being able to open conjunctions and existentials that occur in the context. The tactic [iauto] is able to deal with conjunctions, disjunctions, and negation in a quite clever way; however it is not able to open existentials from the context. Also, [iauto] usually gets very slow when the goal involves several disjunctions. Note that proof search tactics never perform any rewriting step (tactics [rewrite], [subst]), nor any case analysis on an arbitrary data structure or predicate (tactics [destruct] and [inversion]), nor any proof by induction (tactic [induction]). So, proof search is really intended to automate the final steps from the various branches of a proof. It is not able to discover the overall structure of a proof. *) (* ####################################################### *) (** ** Basics *) (** The tactic [auto] is able to solve a goal that can be proved using a sequence of [intros], [apply], [assumption], and [reflexivity]. Two examples follow. The first one shows the ability for [auto] to call [reflexivity] at any time. In fact, calling [reflexivity] is always the first thing that [auto] tries to do. *) Lemma solving_by_reflexivity : 2 + 3 = 5. Proof. auto. Qed. (** The second example illustrates a proof where a sequence of two calls to [apply] are needed. The goal is to prove that if [Q n] implies [P n] for any [n] and if [Q n] holds for any [n], then [P 2] holds. *) Lemma solving_by_apply : forall (P Q : nat->Prop), (forall n, Q n -> P n) -> (forall n, Q n) -> P 2. Proof. auto. Qed. (** We can ask [auto] to tell us what proof it came up with, by invoking [info auto] in place of [auto]. *) Lemma solving_by_apply' : forall (P Q : nat->Prop), (forall n, Q n -> P n) -> (forall n, Q n) -> P 2. Proof. info auto. Qed. (* The output is: *) (* [intro P; intro Q; intro H; intro H0; simple apply H; simple apply H0]. *) (* which can be reformulated as [intros P Q H H0; apply H; apply H0]. *) (** The tactic [auto] can invoke [apply] but not [eapply]. So, [auto] cannot exploit lemmas whose instantiation cannot be directly deduced from the proof goal. To exploit such lemmas, one needs to invoke the tactic [eauto], which is able to call [eapply]. In the following example, the first hypothesis asserts that [P n] is true when [Q m] is true for some [m], and the goal is to prove that [Q 1] implies [P 2]. This implication follows direction from the hypothesis by instantiating [m] as the value [1]. The following proof script shows that [eauto] successfully solves the goal, whereas [auto] is not able to do so. *) Lemma solving_by_eapply : forall (P Q : nat->Prop), (forall n m, Q m -> P n) -> Q 1 -> P 2. Proof. auto. eauto. Qed. (** Remark: Again, we can use [info eauto] to see what proof [eauto] comes up with. *) (* ####################################################### *) (** ** Conjunctions *) (** So far, we've seen that [eauto] is stronger than [auto] in the sense that it can deal with [eapply]. In the same way, we are going to see how [jauto] and [iauto] are stronger than [auto] and [eauto] in the sense that they provide better support for conjunctions. *) (** The tactics [auto] and [eauto] can prove a goal of the form [F /\ F'], where [F] and [F'] are two propositions, as soon as both [F] and [F'] can be proved in the current context. An example follows. *) Lemma solving_conj_goal : forall (P : nat->Prop) (F : Prop), (forall n, P n) -> F -> F /\ P 2. Proof. auto. Qed. (** However, when an assumption is a conjunction, [auto] and [eauto] are not able to exploit this conjunction. It can be quite surprising at first that [eauto] can prove very complex goals but that it fails to prove that [F /\ F'] implies [F]. The tactics [iauto] and [jauto] are able to decompose conjunctions from the context. Here is an example. *) Lemma solving_conj_hyp : forall (F F' : Prop), F /\ F' -> F. Proof. auto. eauto. jauto. (* or [iauto] *) Qed. (** The tactic [jauto] is implemented by first calling a pre-processing tactic called [jauto_set], and then calling [eauto]. So, to understand how [jauto] works, one can directly call the tactic [jauto_set]. *) Lemma solving_conj_hyp' : forall (F F' : Prop), F /\ F' -> F. Proof. intros. jauto_set. eauto. Qed. (** Next is a more involved goal that can be solved by [iauto] and [jauto]. *) Lemma solving_conj_more : forall (P Q R : nat->Prop) (F : Prop), (F /\ (forall n m, (Q m /\ R n) -> P n)) -> (F -> R 2) -> Q 1 -> P 2 /\ F. Proof. jauto. (* or [iauto] *) Qed. (** The strategy of [iauto] and [jauto] is to run a global analysis of the top-level conjunctions, and then call [eauto]. For this reason, those tactics are not good at dealing with conjunctions that occur as the conclusion of some universally quantified hypothesis. The following example illustrates a general weakness of Coq proof search mechanisms. *) Lemma solving_conj_hyp_forall : forall (P Q : nat->Prop), (forall n, P n /\ Q n) -> P 2. Proof. auto. eauto. iauto. jauto. (* Nothing works, so we have to do some of the work by hand *) intros. destruct (H 2). auto. Qed. (** This situation is slightly disappointing, since automation is able to prove the following goal, which is very similar. The only difference is that the universal quantification has been distributed over the conjunction. *) Lemma solved_by_jauto : forall (P Q : nat->Prop) (F : Prop), (forall n, P n) /\ (forall n, Q n) -> P 2. Proof. jauto. (* or [iauto] *) Qed. (* ####################################################### *) (** ** Disjunctions *) (** The tactics [auto] and [eauto] can handle disjunctions that occur in the goal. *) Lemma solving_disj_goal : forall (F F' : Prop), F -> F \/ F'. Proof. auto. Qed. (** However, only [iauto] is able to automate reasoning on the disjunctions that appear in the context. For example, [iauto] can prove that [F \/ F'] entails [F' \/ F]. *) Lemma solving_disj_hyp : forall (F F' : Prop), F \/ F' -> F' \/ F. Proof. auto. eauto. jauto. iauto. Qed. (** More generally, [iauto] can deal with complex combinations of conjunctions, disjunctions, and negations. Here is an example. *) Lemma solving_tauto : forall (F1 F2 F3 : Prop), ((~F1 /\ F3) \/ (F2 /\ ~F3)) -> (F2 -> F1) -> (F2 -> F3) -> ~F2. Proof. iauto. Qed. (** However, the ability of [iauto] to automatically perform a case analysis on disjunctions comes with a downside: [iauto] can get very slow. If the context involves several hypotheses with disjunctions, [iauto] typically generates an exponential number of subgoals on which [eauto] is called. One advantage of [jauto] compared with [iauto] is that it never spends time performing this kind of case analyses. *) (* ####################################################### *) (** ** Existentials *) (** The tactics [eauto], [iauto], and [jauto] can prove goals whose conclusion is an existential. For example, if the goal is [exists x, f x], the tactic [eauto] introduces an existential variable, say [?25], in place of [x]. The remaining goal is [f ?25], and [eauto] tries to solve this goal, allowing itself to instantiate [?25] with any appropriate value. For example, if an assumption [f 2] is available, then the variable [?25] gets instantiated with [2] and the goal is solved, as shown below. *) Lemma solving_exists_goal : forall (f : nat->Prop), f 2 -> exists x, f x. Proof. auto. (* [auto] does not deal with existentials *) eauto. (* [eauto], [iauto] and [jauto] solve the goal *) Qed. (** A major strength of [jauto] over the other proof search tactics is that it is able to exploit the existentially quantified _hypotheses_, i.e., those of the form [exists x, P]. *) Lemma solving_exists_hyp : forall (f g : nat->Prop), (forall x, f x -> g x) -> (exists a, f a) -> (exists a, g a). Proof. auto. eauto. iauto. (* All of these tactics fail, *) jauto. (* whereas [jauto] succeeds. *) (* For the details, run [intros. jauto_set. eauto] *) Qed. (* ####################################################### *) (** ** Negation *) (** The tactics [auto] and [eauto] suffer from some limitations with respect to the manipulation of negations, mostly related to the fact that negation, written [~ P], is defined as [P -> False] but that the unfolding of this definition is not performed automatically. Consider the following example. *) Lemma negation_study_1 : forall (P : nat->Prop), P 0 -> (forall x, ~ P x) -> False. Proof. intros P H0 HX. eauto. (* It fails to see that [HX] applies, *) unfold not in *. eauto. (* unless the negation is unfolded *) Qed. (** For this reason, the tactics [iauto] and [jauto] systematically invoke [unfold not in *] as part of their pre-processing. So, they are able to solve the previous goal right away. *) Lemma negation_study_2 : forall (P : nat->Prop), P 0 -> (forall x, ~ P x) -> False. Proof. jauto. (* or [iauto] *) Qed. (** (We will come back later to the behavior of proof search with respect to the unfolding of definitions.) *) (* ####################################################### *) (** ** Equalities *) (** Coq's proof-search feature is not good at exploiting equalities. It can do very basic operations, like exploiting reflexivity and symmetry, but that's about it. Here is a simple example that [auto] can solve, by first calling [symmetry] and then applying the hypothesis. *) Lemma equality_by_auto : forall (f g : nat->Prop), (forall x, f x = g x) -> g 2 = f 2. Proof. auto. Qed. (** To automate more advanced reasoning on equalities, one should rather try to use the tactic [congruence], which is presented at the end of this chapter in the "Decision Procedures" section. *) (* ####################################################### *) (** * How Proof Search Works *) (* ####################################################### *) (** ** Search Depth *) (** The tactic [auto] works as follows. It first tries to call [reflexivity] and [assumption]. If one of these calls solves the goal, the job is done. Otherwise [auto] tries to apply the most recently introduced assumption that can be applied to the goal without producing and error. This application produces subgoals. There are two possible cases. If the sugboals produced can be solved by a recursive call to [auto], then the job is done. Otherwise, if this application produces at least one subgoal that [auto] cannot solve, then [auto] starts over by trying to apply the second most recently introduced assumption. It continues in a similar fashion until it finds a proof or until no assumption remains to be tried. It is very important to have a clear idea of the backtracking process involved in the execution of the [auto] tactic; otherwise its behavior can be quite puzzling. For example, [auto] is not able to solve the following triviality. *) Lemma search_depth_0 : True /\ True /\ True /\ True /\ True /\ True. Proof. auto. Admitted. (** The reason [auto] fails to solve the goal is because there are too many conjunctions. If there had been only five of them, [auto] would have successfully solved the proof, but six is too many. The tactic [auto] limits the number of lemmas and hypotheses that can be applied in a proof, so as to ensure that the proof search eventually terminates. By default, the maximal number of steps is five. One can specify a different bound, writing for example [auto 6] to search for a proof involving at most six steps. For example, [auto 6] would solve the previous lemma. (Similarly, one can invoke [eauto 6] or [intuition eauto 6].) The argument [n] of [auto n] is called the "search depth." The tactic [auto] is simply defined as a shorthand for [auto 5]. The behavior of [auto n] can be summarized as follows. It first tries to solve the goal using [reflexivity] and [assumption]. If this fails, it tries to apply a hypothesis (or a lemma that has been registered in the hint database), and this application produces a number of sugoals. The tactic [auto (n-1)] is then called on each of those subgoals. If all the subgoals are solved, the job is completed, otherwise [auto n] tries to apply a different hypothesis. During the process, [auto n] calls [auto (n-1)], which in turn might call [auto (n-2)], and so on. The tactic [auto 0] only tries [reflexivity] and [assumption], and does not try to apply any lemma. Overall, this means that when the maximal number of steps allowed has been exceeded, the [auto] tactic stops searching and backtracks to try and investigate other paths. *) (** The following lemma admits a unique proof that involves exactly three steps. So, [auto n] proves this goal iff [n] is greater than three. *) Lemma search_depth_1 : forall (P : nat->Prop), P 0 -> (P 0 -> P 1) -> (P 1 -> P 2) -> (P 2). Proof. auto 0. (* does not find the proof *) auto 1. (* does not find the proof *) auto 2. (* does not find the proof *) auto 3. (* finds the proof *) (* more generally, [auto n] solves the goal if [n >= 3] *) Qed. (** We can generalize the example by introducing an assumption asserting that [P k] is derivable from [P (k-1)] for all [k], and keep the assumption [P 0]. The tactic [auto], which is the same as [auto 5], is able to derive [P k] for all values of [k] less than 5. For example, it can prove [P 4]. *) Lemma search_depth_3 : forall (P : nat->Prop), (* Hypothesis H1: *) (P 0) -> (* Hypothesis H2: *) (forall k, P (k-1) -> P k) -> (* Goal: *) (P 4). Proof. auto. Qed. (** However, to prove [P 5], one needs to call at least [auto 6]. *) Lemma search_depth_4 : forall (P : nat->Prop), (* Hypothesis H1: *) (P 0) -> (* Hypothesis H2: *) (forall k, P (k-1) -> P k) -> (* Goal: *) (P 5). Proof. auto. auto 6. Qed. (** Because [auto] looks for proofs at a limited depth, there are cases where [auto] can prove a goal [F] and can prove a goal [F'] but cannot prove [F /\ F']. In the following example, [auto] can prove [P 4] but it is not able to prove [P 4 /\ P 4], because the splitting of the conjunction consumes one proof step. To prove the conjunction, one needs to increase the search depth, using at least [auto 6]. *) Lemma search_depth_5 : forall (P : nat->Prop), (* Hypothesis H1: *) (P 0) -> (* Hypothesis H2: *) (forall k, P (k-1) -> P k) -> (* Goal: *) (P 4 /\ P 4). Proof. auto. auto 6. Qed. (* ####################################################### *) (** ** Backtracking *) (** In the previous section, we have considered proofs where at each step there was a unique assumption that [auto] could apply. In general, [auto] can have several choices at every step. The strategy of [auto] consists of trying all of the possibilities (using a depth-first search exploration). To illustrate how automation works, we are going to extend the previous example with an additional assumption asserting that [P k] is also derivable from [P (k+1)]. Adding this hypothesis offers a new possibility that [auto] could consider at every step. There exists a special command that one can use for tracing all the steps that proof-search considers. To view such a trace, one should write [debug eauto]. (For some reason, the command [debug auto] does not exist, so we have to use the command [debug eauto] instead.) *) Lemma working_of_auto_1 : forall (P : nat->Prop), (* Hypothesis H1: *) (P 0) -> (* Hypothesis H2: *) (forall k, P (k+1) -> P k) -> (* Hypothesis H3: *) (forall k, P (k-1) -> P k) -> (* Goal: *) (P 2). (* Uncomment "debug" in the following line to see the debug trace: *) Proof. intros P H1 H2 H3. (* debug *) eauto. Qed. (** The output message produced by [debug eauto] is as follows. << depth=5 depth=4 apply H3 depth=3 apply H3 depth=3 exact H1 >> The depth indicates the value of [n] with which [eauto n] is called. The tactics shown in the message indicate that the first thing that [eauto] has tried to do is to apply [H3]. The effect of applying [H3] is to replace the goal [P 2] with the goal [P 1]. Then, again, [H3] has been applied, changing the goal [P 1] into [P 0]. At that point, the goal was exactly the hypothesis [H1]. It seems that [eauto] was quite lucky there, as it never even tried to use the hypothesis [H2] at any time. The reason is that [auto] always tries to use the most recently introduced hypothesis first, and [H3] is a more recent hypothesis than [H2] in the goal. So, let's permute the hypotheses [H2] and [H3] and see what happens. *) Lemma working_of_auto_2 : forall (P : nat->Prop), (* Hypothesis H1: *) (P 0) -> (* Hypothesis H3: *) (forall k, P (k-1) -> P k) -> (* Hypothesis H2: *) (forall k, P (k+1) -> P k) -> (* Goal: *) (P 2). Proof. intros P H1 H3 H2. (* debug *) eauto. Qed. (** This time, the output message suggests that the proof search investigates many possibilities. Replacing [debug eauto] with [info eauto], we observe that the proof that [eauto] comes up with is actually not the simplest one. [apply H2; apply H3; apply H3; apply H3; exact H1] This proof goes through the proof obligation [P 3], even though it is not any useful. The following tree drawing describes all the goals that automation has been through. << |5||4||3||2||1||0| -- below, tabulation indicates the depth [P 2] -> [P 3] -> [P 4] -> [P 5] -> [P 6] -> [P 7] -> [P 5] -> [P 4] -> [P 5] -> [P 3] --> [P 3] -> [P 4] -> [P 5] -> [P 3] -> [P 2] -> [P 3] -> [P 1] -> [P 2] -> [P 3] -> [P 4] -> [P 5] -> [P 3] -> [P 2] -> [P 3] -> [P 1] -> [P 1] -> [P 2] -> [P 3] -> [P 1] -> [P 0] -> !! Done !! >> The first few lines read as follows. To prove [P 2], [eauto 5] has first tried to apply [H2], producing the subgoal [P 3]. To solve it, [eauto 4] has tried again to apply [H2], producing the goal [P 4]. Similarly, the search goes through [P 5], [P 6] and [P 7]. When reaching [P 7], the tactic [eauto 0] is called but as it is not allowed to try and apply any lemma, it fails. So, we come back to the goal [P 6], and try this time to apply hypothesis [H3], producing the subgoal [P 5]. Here again, [eauto 0] fails to solve this goal. The process goes on and on, until backtracking to [P 3] and trying to apply [H2] three times in a row, going through [P 2] and [P 1] and [P 0]. This search tree explains why [eauto] came up with a proof starting with [apply H2]. *) (* ####################################################### *) (** ** Adding Hints *) (** By default, [auto] (and [eauto]) only tries to apply the hypotheses that appear in the proof context. There are two possibilities for telling [auto] to exploit a lemma that have been proved previously: either adding the lemma as an assumption just before calling [auto], or adding the lemma as a hint, so that it can be used by every calls to [auto]. The first possibility is useful to have [auto] exploit a lemma that only serves at this particular point. To add the lemma as hypothesis, one can type [generalize mylemma; intros], or simply [lets: mylemma] (the latter requires [LibTactics.v]). The second possibility is useful for lemmas that needs to be exploited several times. The syntax for adding a lemma as a hint is [Hint Resolve mylemma]. For example, the lemma asserting than any number is less than or equal to itself, [forall x, x <= x], called [Le.le_refl] in the Coq standard library, can be added as a hint as follows. *) Hint Resolve Le.le_refl. (** A convenient shorthand for adding all the constructors of an inductive datatype as hints is the command [Hint Constructors mydatatype]. Warning: some lemmas, such as transitivity results, should not be added as hints as they would very badly affect the performance of proof search. The description of this problem and the presentation of a general work-around for transitivity lemmas appear further on. *) (* ####################################################### *) (** ** Integration of Automation in Tactics *) (** The library "LibTactics" introduces a convenient feature for invoking automation after calling a tactic. In short, it suffices to add the symbol star ([*]) to the name of a tactic. For example, [apply* H] is equivalent to [apply H; auto_star], where [auto_star] is a tactic that can be defined as needed. By default, [auto_star] first tries to solve the goal using [auto], and if this does not succeed then it tries to call [jauto]. Even though [jauto] is strictly stronger than [auto], it makes sense to call [auto] first: when [auto] succeeds it may save a lot of time, and when [auto] fails to prove the goal, it fails very quickly. The definition of [auto_star], which determines the meaning of the star symbol, can be modified whenever needed. Simply write: [[ Ltac auto_star ::= a_new_definition. ]] Observe the use of [::=] instead of [:=], which indicates that the tactic is being rebound to a new definition. So, the default definition is as follows. *) Ltac auto_star ::= try solve [ auto | jauto ]. (** Nearly all standard Coq tactics and all the tactics from "LibTactics" can be called with a star symbol. For example, one can invoke [subst*], [destruct* H], [inverts* H], [lets* I: H x], [specializes* H x], and so on... There are two notable exceptions. The tactic [auto*] is just another name for the tactic [auto_star]. And the tactic [apply* H] calls [eapply H] (or the more powerful [applys H] if needed), and then calls [auto_star]. Note that there is no [eapply* H] tactic, use [apply* H] instead. *) (** In large developments, it can be convenient to use two degrees of automation. Typically, one would use a fast tactic, like [auto], and a slower but more powerful tactic, like [jauto]. To allow for a smooth coexistence of the two form of automation, [LibTactics.v[ also defines a "tilde" version of tactics, like [apply~ H], [destruct~ H], [subst~], [auto~] and so on. The meaning of the tilde symbol is described by the [auto_tilde] tactic, whose default implementation is [auto]. *) Ltac auto_tilde ::= auto. (** In the examples that follow, only [auto_star] is needed. *) (* ####################################################### *) (** * Examples of Use of Automation *) (** Let's see how to use proof search in practice on the main theorems of the "Software Foundations" course, proving in particular results such as determinacy, preservation and progress... *) (* ####################################################### *) (** ** Determinacy *) Module DeterministicImp. Require Import Imp. (** Recall the original proof of the determinacy lemma for the IMP language, shown below. *) Theorem ceval_deterministic: forall c st st1 st2, c / st || st1 -> c / st || st2 -> st1 = st2. Proof. intros c st st1 st2 E1 E2. generalize dependent st2. (ceval_cases (induction E1) Case); intros st2 E2; inversion E2; subst. Case "E_Skip". reflexivity. Case "E_Ass". reflexivity. Case "E_Seq". assert (st' = st'0) as EQ1. SCase "Proof of assertion". apply IHE1_1; assumption. subst st'0. apply IHE1_2. assumption. Case "E_IfTrue". SCase "b1 evaluates to true". apply IHE1. assumption. SCase "b1 evaluates to false (contradiction)". rewrite H in H5. inversion H5. Case "E_IfFalse". SCase "b1 evaluates to true (contradiction)". rewrite H in H5. inversion H5. SCase "b1 evaluates to false". apply IHE1. assumption. Case "E_WhileEnd". SCase "b1 evaluates to true". reflexivity. SCase "b1 evaluates to false (contradiction)". rewrite H in H2. inversion H2. Case "E_WhileLoop". SCase "b1 evaluates to true (contradiction)". rewrite H in H4. inversion H4. SCase "b1 evaluates to false". assert (st' = st'0) as EQ1. SSCase "Proof of assertion". apply IHE1_1; assumption. subst st'0. apply IHE1_2. assumption. Qed. (** Exercise: rewrite this proof using [auto] whenever possible. *) Theorem ceval_deterministic': forall c st st1 st2, c / st || st1 -> c / st || st2 -> st1 = st2. Proof. (* FILL IN HERE *) admit. Qed. (** In fact, using automation is not just a matter of calling [auto] in place of one or two other tactics. Using automation is about rethinking the organization of sequences of tactics so as to minimize the effort involved in writing and maintaining the proof. This process is eased by the use of the tactics from [LibTactics.v]. So, before trying to optimize the way automation is used, let's first rewrite the proof of determinacy: - use [introv H] instead of [intros x H], - use [gen x] instead of [generalize dependent x], - use [inverts H] instead of [inversion H; subst], - use [tryfalse] to handle contradictions, and get rid of the cases where [beval st b1 = true] and [beval st b1 = false] both appear in the context, - stop using [ceval_cases] to label subcases. *) Theorem ceval_deterministic'': forall c st st1 st2, c / st || st1 -> c / st || st2 -> st1 = st2. Proof. introv E1 E2. gen st2. induction E1; intros; inverts E2; tryfalse. auto. auto. assert (st' = st'0). auto. subst. auto. auto. auto. auto. assert (st' = st'0). auto. subst. auto. Qed. (** To obtain a nice clean proof script, we have to remove the calls [assert (st' = st'0)]. Such a tactic invokation is not nice because it refers to some variables whose name has been automatically generated. This kind of tactics tend to be very brittle. The tactic [assert (st' = st'0)] is used to assert the conclusion that we want to derive from the induction hypothesis. So, rather than stating this conclusion explicitly, we are going to ask Coq to instantiate the induction hypothesis, using automation to figure out how to instantiate it. The tactic [forwards], described in [LibTactics.v] precisely helps with instantiating a fact. So, let's see how it works out on our example. *) Theorem ceval_deterministic''': forall c st st1 st2, c / st || st1 -> c / st || st2 -> st1 = st2. Proof. (* Let's replay the proof up to the [assert] tactic. *) introv E1 E2. gen st2. induction E1; intros; inverts E2; tryfalse. auto. auto. (* Let's duplicate the goal to compare the old proof with the new one *) dup 4. (* The old proof: *) assert (st' = st'0). apply IHE1_1. apply H1. (* produces [H: st' = st'0]. *) skip. (* The new proof, without automation: *) forwards: IHE1_1. apply H1. (* produces [H: st' = st'0]. *) skip. (* The new proof, with automation: *) forwards: IHE1_1. eauto. (* produces [H: st' = st'0]. *) skip. (* The new proof, with integrated automation: *) forwards*: IHE1_1. (* produces [H: st' = st'0]. *) skip. Admitted. (** To polish the proof script, it remains to factorize the calls to [auto], using the star symbol. The proof of determinacy can then be rewritten in only four lines, including no more than 10 tactics. *) Theorem ceval_deterministic'''': forall c st st1 st2, c / st || st1 -> c / st || st2 -> st1 = st2. Proof. introv E1 E2. gen st2. induction E1; intros; inverts* E2; tryfalse. forwards*: IHE1_1. subst*. forwards*: IHE1_1. subst*. Qed. End DeterministicImp. (* ####################################################### *) (** ** Preservation for STLC *) Module PreservationProgressStlc. Require Import Stlc. Import STLC. (** Recall the proof of perservation of STLC, shown next. This proof already uses [eauto] through the triple-dot mechanism. *) Theorem preservation : forall t t' T, has_type empty t T -> t ==> t' -> has_type empty t' T. Proof with eauto. remember (@empty ty) as Gamma. intros t t' T HT. generalize dependent t'. (has_type_cases (induction HT) Case); intros t' HE; subst Gamma. Case "T_Var". inversion HE. Case "T_Abs". inversion HE. Case "T_App". inversion HE; subst... (* (step_cases (inversion HE) SCase); subst...*) (* The ST_App1 and ST_App2 cases are immediate by induction, and auto takes care of them *) SCase "ST_AppAbs". apply substitution_preserves_typing with T11... inversion HT1... Case "T_True". inversion HE. Case "T_False". inversion HE. Case "T_If". inversion HE; subst... Qed. (** Exercise: rewrite this proof using tactics from [LibTactics] and calling automation using the star symbol rather than the triple-dot notation. More precisely, make use of the tactics [inverts*] and [applys*] to call [auto*] after a call to [inverts] or to [applys]. The solution is three lines long.*) Theorem preservation' : forall t t' T, has_type empty t T -> t ==> t' -> has_type empty t' T. Proof. (* FILL IN HERE *) admit. Qed. (* ####################################################### *) (** ** Progress for STLC *) (** Recall the proof of the progress theorem. *) Theorem progress : forall t T, has_type empty t T -> value t \/ exists t', t ==> t'. Proof with eauto. intros t T Ht. remember (@empty ty) as Gamma. (has_type_cases (induction Ht) Case); subst Gamma... Case "T_Var". inversion H. Case "T_App". right. destruct IHHt1... SCase "t1 is a value". destruct IHHt2... SSCase "t2 is a value". inversion H; subst; try solve by inversion. exists (subst t2 x t)... SSCase "t2 steps". destruct H0 as [t2' Hstp]. exists (tm_app t1 t2')... SCase "t1 steps". destruct H as [t1' Hstp]. exists (tm_app t1' t2)... Case "T_If". right. destruct IHHt1... destruct t1; try solve by inversion... inversion H. exists (tm_if x t2 t3)... Qed. (** Exercise: optimize the proof of the progress theorem. Hint: make use of [destruct*] and [inverts*]. The solution is 10 lines long (short lines). *) Theorem progress' : forall t T, has_type empty t T -> value t \/ exists t', t ==> t'. Proof. (* FILL IN HERE *) admit. Qed. End PreservationProgressStlc. (* ####################################################### *) (** ** BigStep and SmallStep *) Module Semantics. Require Import Smallstep. (** Recall the proof relating a small-step reduction judgment to a big-step reduction judgment. *) Theorem stepmany__eval : forall t v, normal_form_of t v -> t || v. Proof. intros t v Hnorm. unfold normal_form_of in Hnorm. inversion Hnorm as [Hs Hnf]; clear Hnorm. apply nf_is_value in Hnf. inversion Hnf. clear Hnf. (rsc_cases (induction Hs) Case); subst. Case "rsc_refl". apply E_Const. Case "rsc_step". eapply step__eval. eassumption. apply IHHs. reflexivity. Qed. (** Exercise: optimize the above proof, using [introv], [invert], and [applys*]. The solution is 4 lines long. *) Theorem stepmany__eval' : forall t v, normal_form_of t v -> t || v. Proof. (* FILL IN HERE *) admit. Qed. End Semantics. (* ####################################################### *) (** ** Preservation for STLCRef *) Module PreservationProgressReferences. Require Import References. Import STLCRef. Hint Resolve store_weakening extends_refl. (** The proof of preservation for [STLCRef] can be found in the file [References.v]. It contains 58 lines (not counting the labelling of cases). The optimized proof script is more than twice shorter. The following material explains how to build the optimized proof script. The resulting optimized proof script for the preservation theorem appears afterwards. *) Theorem preservation : forall ST t t' T st st', has_type empty ST t T -> store_well_typed ST st -> t / st ==> t' / st' -> exists ST', (extends ST' ST /\ has_type empty ST' t' T /\ store_well_typed ST' st'). Proof. (* old: [Proof. with eauto using store_weakening, extends_refl.] new: [Proof.], and the two lemmas are registered as hints before the proof of the lemma, possibly inside a section in order to restrict the scope of the hints. *) remember (@empty ty) as Gamma. introv Ht. gen t'. (has_type_cases (induction Ht) Case); introv HST Hstep; (* old: [subst; try (solve by inversion); inversion Hstep; subst; try (eauto using store_weakening, extends_refl)] new: [subst Gamma; inverts Hstep; eauto.] We want to be more precise on what exactly we substitute, and we do not want to call [try (solve by inversion)] which is way to slow. *) subst Gamma; inverts Hstep; eauto. Case "T_App". SCase "ST_AppAbs". (* old: exists ST. inversion Ht1; subst. split; try split... eapply substitution_preserves_typing... *) (* new: we use [inverts] in place of [inversion] and [splits] to split the conjunction, and [applys*] in place of [eapply...] *) exists ST. inverts Ht1. splits*. applys* substitution_preserves_typing. SCase "ST_App1". (* old: eapply IHHt1 in H0... inversion H0 as [ST' [Hext [Hty Hsty]]]. exists ST'... *) (* new: The tactic [eapply IHHt1 in H0...] applies [IHHt1] to [H0]. But [H0] is only thing that [IHHt1] could be applied to, so there [eauto] can figure this out on its own. The tactic [forwards] is used to instantiate all the arguments of [IHHt1], creating existential variables and producing subgoals when needed. *) forwards: IHHt1. eauto. eauto. eauto. (* At this point, we need to decompose the hypothesis [H] that has just been created by [forwards]. This is done by the first part of the preprocessing phase of [jauto]. *) jauto_set_hyps; intros. (* It remains to decompose the goal, which is done by the second part of the preprocessing phase of [jauto]. *) jauto_set_goal; intros. (* All the subgoals produced can then be solved by [eauto]. *) eauto. eauto. eauto. SCase "ST_App2". (* old: eapply IHHt2 in H5... inversion H5 as [ST' [Hext [Hty Hsty]]]. exists ST'... *) (* new: this time, we need to call [forwards] on [IHHt2], and we call [jauto] right away, by writing [forwards*], proving the goal in a single tactic! *) forwards*: IHHt2. (* The same trick works for many of the other subgoals. *) forwards*: IHHt. forwards*: IHHt. forwards*: IHHt1. forwards*: IHHt2. forwards*: IHHt1. Case "T_Ref". SCase "ST_RefValue". (* old: exists (snoc ST T1). inversion HST; subst. split. apply extends_snoc. split. replace (ty_Ref T1) with (ty_Ref (store_ty_lookup (length st) (snoc ST T1))). apply T_Loc. rewrite <- H. rewrite length_snoc. omega. unfold store_ty_lookup. rewrite <- H. rewrite nth_eq_snoc... apply store_well_typed_snoc; assumption. *) (* new: in this proof case, we need to perform an inversion without removing the hypothesis. The tactic [inverts keep] serves that purpose. *) exists (snoc ST T1). inverts keep HST. splits. (* The proof of the first subgoal needs not be changed *) apply extends_snoc. (* For the second subgoal, we use the tactic [applys_eq] to avoid a manual [replace] before [T_loc] can be applied. *) applys_eq T_Loc 1. (* To justify the inequality, there is no need to call [rewrite <- H], because the tactic [omega] is able to exploit [H] on its own. So, only the rewriting of [lenght_snoc] and the call to [omega] remain. *) rewrite length_snoc. omega. (* The next proof case is hard to polish because it relies on the lemma [nth_eq_snoc] whose statement is not automation-friendly. We'll come back to this proof case further on. *) unfold store_ty_lookup. rewrite <- H. rewrite* nth_eq_snoc. (* Last, we replace [apply ..; assumption] with [apply* ..] *) apply* store_well_typed_snoc. forwards*: IHHt. Case "T_Deref". SCase "ST_DerefLoc". (* old: exists ST. split; try split... destruct HST as [_ Hsty]. replace T11 with (store_ty_lookup l ST). apply Hsty... inversion Ht; subst... *) (* new: we start by calling [exists ST] and [splits*]. *) exists ST. splits*. (* new: we replace [destruct HST as [_ Hsty]] by the following *) lets [_ Hsty]: HST. (* new: then we use the tactic [applys_eq] to avoid the need to perform a manual [replace] before applying [Hsty]. *) applys_eq* Hsty 1. (* new: finally, we can call [inverts] in place of [inversion;subst] *) inverts* Ht. forwards*: IHHt. Case "T_Assign". SCase "ST_Assign". (* old: exists ST. split; try split... eapply assign_pres_store_typing... inversion Ht1; subst... *) (* new: simply using nicer tactics *) exists ST. splits*. applys* assign_pres_store_typing. inverts* Ht1. forwards*: IHHt1. forwards*: IHHt2. Qed. (** Let's come back to the proof case that was hard to optimize. The difficulty comes from the statement of [nth_eq_snoc], which takes the form [nth (length l) (snoc l x) d = x]. This lemma is hard to exploit because its first argument, [length l], mentions a list [l] that has to be exactly the same as the [l] occuring in [snoc l x]. In practice, the first argument is often a natural number [n] that is provably equal to [length l] yet that is not syntactically equal to [length l]. There is a simple fix for making [nth_eq_snoc] easy to apply: introduce the intermediate variable [n] explicitly, so that the goal becomes [nth n (snoc l x) d = x], with a premise asserting [n = length l]. *) Lemma nth_eq_snoc' : forall (A : Type) (l : list A) (x d : A) (n : nat), n = length l -> nth n (snoc l x) d = x. Proof. intros. subst. apply nth_eq_snoc. Qed. (** The proof case for [ref] from the preservation theorem then becomes much easier to prove, because [rewrite nth_eq_snoc'] now succeeds. *) Lemma preservation_ref : forall (st:store) (ST : store_ty) T1, length ST = length st -> ty_Ref T1 = ty_Ref (store_ty_lookup (length st) (snoc ST T1)). Proof. intros. dup. (* A first proof, with an explicit [unfold] *) unfold store_ty_lookup. rewrite* nth_eq_snoc'. (* A second proof, with a call to [fequal] *) fequal. symmetry. apply* nth_eq_snoc'. Qed. (** The optimized proof of preservation is summarized next. *) Theorem preservation' : forall ST t t' T st st', has_type empty ST t T -> store_well_typed ST st -> t / st ==> t' / st' -> exists ST', (extends ST' ST /\ has_type empty ST' t' T /\ store_well_typed ST' st'). Proof. remember (@empty ty) as Gamma. introv Ht. gen t'. induction Ht; introv HST Hstep; subst Gamma; inverts Hstep; eauto. exists ST. inverts Ht1. splits*. applys* substitution_preserves_typing. forwards*: IHHt1. forwards*: IHHt2. forwards*: IHHt. forwards*: IHHt. forwards*: IHHt1. forwards*: IHHt2. forwards*: IHHt1. exists (snoc ST T1). inverts keep HST. splits. apply extends_snoc. applys_eq T_Loc 1. rewrite length_snoc. omega. unfold store_ty_lookup. rewrite* nth_eq_snoc'. apply* store_well_typed_snoc. forwards*: IHHt. exists ST. splits*. lets [_ Hsty]: HST. applys_eq* Hsty 1. inverts* Ht. forwards*: IHHt. exists ST. splits*. applys* assign_pres_store_typing. inverts* Ht1. forwards*: IHHt1. forwards*: IHHt2. Qed. (* ####################################################### *) (** ** Progress for STLCRef *) (** The proof of progress for [STLCRef] can be found in the file [References.v]. It contains 53 lines and the optimized proof script is, here again, twice shorter. *) Theorem progress : forall ST t T st, has_type empty ST t T -> store_well_typed ST st -> (value t \/ exists t', exists st', t / st ==> t' / st'). Proof. introv Ht HST. remember (@empty ty) as Gamma. induction Ht; subst Gamma; tryfalse; try solve [left*]. right. destruct* IHHt1 as [K|]. inverts K; inverts Ht1. destruct* IHHt2. right. destruct* IHHt as [K|]. inverts K; try solve [inverts Ht]. eauto. right. destruct* IHHt as [K|]. inverts K; try solve [inverts Ht]. eauto. right. destruct* IHHt1 as [K|]. inverts K; try solve [inverts Ht1]. destruct* IHHt2 as [M|]. inverts M; try solve [inverts Ht2]. eauto. right. destruct* IHHt1 as [K|]. inverts K; try solve [inverts Ht1]. destruct* n. right. destruct* IHHt. right. destruct* IHHt as [K|]. inverts K; inverts Ht as M. inverts HST as N. rewrite* N in M. right. destruct* IHHt1 as [K|]. destruct* IHHt2. inverts K; inverts Ht1 as M. inverts HST as N. rewrite* N in M. Qed. End PreservationProgressReferences. (* ####################################################### *) (** ** Subtyping *) Module SubtypingInversion. Require Import Subtyping. (** Recall the inversion lemma for typing judgment of abstractions in a type system with subtyping. *) Lemma abs_arrow : forall x S1 s2 T1 T2, has_type empty (tm_abs x S1 s2) (ty_arrow T1 T2) -> subtype T1 S1 /\ has_type (extend empty x S1) s2 T2. Proof with eauto. intros x S1 s2 T1 T2 Hty. apply typing_inversion_abs in Hty. destruct Hty as [S2 [Hsub Hty]]. apply sub_inversion_arrow in Hsub. destruct Hsub as [U1 [U2 [Heq [Hsub1 Hsub2]]]]. inversion Heq; subst... Qed. (** Exercise: optimize the proof script, using [introv], [lets] and [inverts*]. In particular, you will find it useful to replace the pattern [apply K in H. destruct H as I] with [lets I: K H]. The solution is 4 lines. *) Lemma abs_arrow' : forall x S1 s2 T1 T2, has_type empty (tm_abs x S1 s2) (ty_arrow T1 T2) -> subtype T1 S1 /\ has_type (extend empty x S1) s2 T2. Proof. (* FILL IN HERE *) admit. Qed. (** The lemma [substitution_preserves_typing] has already been used to illustrate the working of [lets] and [applys] in the file [UseTactics.v]. Optimize further this proof using automation (with the star symbol), and using the tactic [cases_if']. The solution is 33 lines, including the [Case] instructions. *) Lemma substitution_preserves_typing : forall Gamma x U v t S, has_type (extend Gamma x U) t S -> has_type empty v U -> has_type Gamma (subst v x t) S. Proof. (* FILL IN HERE *) admit. Qed. End SubtypingInversion. (* ####################################################### *) (** * Advanced Topics in Proof Search *) (* ####################################################### *) (** ** Stating Lemmas in the Right Way *) (** Due to its depth-first strategy, [eauto] can get exponentially slower as the depth search increases, even when a short proof exists. In general, to make proof search run reasonably fast, one should avoid using a depth search greater than 5 or 6. Moreover, one should try to minimize the number of applicable lemmas, and usually put first the hypotheses whose proof usefully instantiates the existential variables. In fact, the ability for [eauto] to solve certain goals actually depends on the order in which the hypotheses are stated. This point is illustrated through the following example, in which [P] is a predicate on natural numbers. This predicate is such that [P n] holds for any [n] as soon as [P m] holds for at least one [m] different from zero. The goal is to prove that [P 2] implies [P 1]. When the hypothesis about [P] is stated in the form [forall n m, P m -> m <> 0 -> P n], then [eauto] works. However, with [forall n m, m <> 0 -> P m -> P n], the tactic [eauto] fails. *) Lemma order_matters_1 : forall (P : nat->Prop), (forall n m, P m -> m <> 0 -> P n) -> P 2 -> P 1. Proof. eauto. (* Success *) (* The proof: [intros P H K. eapply H. apply K. auto.] *) Qed. Lemma order_matters_2 : forall (P : nat->Prop), (forall n m, m <> 0 -> P m -> P n) -> P 5 -> P 1. Proof. eauto. (* Failure *) (* To understand why, let us replay the previous proof *) intros P H K. eapply H. (* The application of [eapply] has left two subgoals, [?X <> 0] and [P ?X], where [?X] is an existential variable. *) (* Solving the first subgoal is easy for [eauto]: it suffices to instantiate [?X] as the value [1], which is the simplest value that satisfies [?X <> 0]. *) eauto. (* But then the second goal becomes [P 1], which is where we started from. So, [eauto] gets stuck at this point. *) Admitted. (** What is important to understand is that the hypothesis [forall n m, P m -> m <> 0 -> P n] is eauto-friendly, whereas [forall n m, m <> 0 -> P m -> P n] really isn't. Guessing a value of [m] for which [P m] holds and then checking that [m <> 0] holds works well because there are few values of [m] for which [P m] holds. So, it is likely that [eauto] comes up with the right one. On the other hand, guessing a value of [m] for which [m <> 0] and then checking that [P m] holds does not work well, because there are many values of [m] that satisfy [m <> 0] but not [P m]. *) (* ####################################################### *) (** ** Unfolding of Definitions During Proof-Search *) (** The use of intermediate definitions is generally encouraged in a formal development as it usually leads to more concise and more readable statements. Yet, definitions can make it a little harder to automate proofs. The problem is that it is not obvious for a proof search mechanism to know when definitions need to be unfolded. Note that a naive strategy that consists of unfolding all definitions before calling proof search does not scale up to large proofs, so we avoid it. This section introduces a few techniques for avoiding to manually unfold definitions before calling proof search. *) (** To illustrate the treatment of definitions, let [P] be an abstract predicate on natural numbers, and let [myFact] be a definition denoting the proposition [P x] holds for any [x] less than or equal to 3. *) Axiom P : nat -> Prop. Definition myFact := forall x, x <= 3 -> P x. (** Proving that [myFact] under the assumption that [P x] holds for any [x] should be trivial. Yet, [auto] fails to prove it unless we unfold the definition of [myFact] explicitly. *) Lemma demo_hint_unfold_goal_1 : (forall x, P x) -> myFact. Proof. auto. (* Proof search doesn't know what to do, *) unfold myFact. auto. (* unless we unfold the definition. *) Qed. (** To automate the unfolding of definitions that appear as proof obligation, one can use the command [Hint Unfold myFact] to tell Coq that it should always try to unfold [myFact] when [myFact] appears in the goal. *) Hint Unfold myFact. (** This time, automation is able to see through the definition of [myFact]. *) Lemma demo_hint_unfold_goal_2 : (forall x, P x) -> myFact. Proof. auto. Qed. (** However, the [Hint Unfold] mechanism only works for unfolding definitions that appear in the goal. In general, proof search does not unfold definitions from the context. For example, assume we want to prove that [P 3] holds under the assumption that [True -> myFact]. *) Lemma demo_hint_unfold_context_1 : (True -> myFact) -> P 3. Proof. intros. auto. (* fails *) unfold myFact in *. auto. (* succeeds *) Qed. (** Note: there is one exception to the previous rule: a constant from the context is automatically unfolded when it directly applies to the goal. For example, if the assumption is [myFact] instead of [True -> myFact], then [auto] solves the proof. *) (* ####################################################### *) (** ** Automation for Proving Absurd Goals *) (** In this section, we'll see that lemmas concluding on a negation are generally not useful as hints, and that lemmas whose conclusion is [False] can be useful hints but having too many of them makes proof search inefficient. We'll also see a practical work-around to the efficiency issue. *) (** Consider the following lemma, which asserts that a number less than or equal to 3 is not greater than 3. *) Parameter le_not_gt : forall x, (x <= 3) -> ~ (x > 3). (** Equivalently, one could state that a number greater than three is not less than or equal to 3. *) Parameter gt_not_le : forall x, (x > 3) -> ~ (x <= 3). (** In fact, both statements are equivalent to a third one stating that [x <= 3] and [x > 3] are contradictory, in the sense that they imply [False]. *) Parameter le_gt_false : forall x, (x <= 3) -> (x > 3) -> False. (** The following investigation aim at figuring out which of the three statments is the most convenient with respect to proof automation. The following material is enclosed inside a [Section], so as to restrict the scope of the hints that we are adding. In other words, after the end of the section, the hints added within the section will no longer be active.*) Section DemoAbsurd1. (** Let's try to add the first lemma, [le_not_gt], as hint, and see whether we can prove that the proposition [exists x, x <= 3 /\ x > 3] is absurd. *) Hint Resolve le_not_gt. Lemma demo_auto_absurd_1 : (exists x, x <= 3 /\ x > 3) -> False. Proof. intros. jauto_set. (* decomposes the assumption *) (* debug *) eauto. (* does not see that [le_not_gt] could apply *) eapply le_not_gt. eauto. eauto. Qed. (** The lemma [gt_not_le] is symmetric to [le_not_gt], so it will not be any better. The third lemma, [le_gt_false], is a more useful hint, because it concludes on [False], so proof search will try to apply it when the current goal is [False]. *) Hint Resolve le_gt_false. Lemma demo_auto_absurd_2 : (exists x, x <= 3 /\ x > 3) -> False. Proof. dup. (* detailed version: *) intros. jauto_set. (* debug *) eauto. (* short version: *) jauto. Qed. (** In summary, a lemma of the form [H1 -> H2 -> False] is a much more effective hint than [H1 -> ~ H2], even though the two statments are equivalent up to the definition of the negation symbol [~]. *) (** That said, one should be careful with adding lemmas whose conclusion is [False] as hint. The reason is that whenever reaching the goal [False], the proof search mechanism will potentially try to apply all the hints whose conclusion is [False] before applying the appropriate one. *) End DemoAbsurd1. (** Adding lemmas whose conclusion is [False] as hint can be, locally, a very effective solution. However, this approach does not scale up for global hints. For most practical applications, it is reasonable to give the name of the lemmas to be exploited for deriving a contradiction. The tactic [false H] is useful for that purpose: it replaces the goal with [False] and calls [eapply H]. Its behavior is described next. Observe that any of the three statements [le_not_gt], [gt_not_le] or [le_gt_false] can be used. *) Lemma demo_false : forall x, (x <= 3) -> (x > 3) -> 4 = 5. Proof. intros. dup 4. (* A failed proof: *) false. eapply le_gt_false. auto. (* [auto] does not prove [?x <= 3] using [H], but instead using the lemma [le_refl : forall x, x <= x]. *) (* The second subgoal becomes [3 > 3], which is not provable. *) skip. (* A correct proof: *) false. eapply le_gt_false. eauto. (* [eauto] uses [H], as expected, to prove [?x <= 3] *) eauto. (* so the second subgoal becomes [x > 3] *) (* The same proof using [false]: *) false le_gt_false. eauto. eauto. (* The lemmas [le_not_gt] and [gt_not_le] work as well *) false le_not_gt. eauto. eauto. Qed. (** In the above example, [false le_gt_false; eauto] proves the goal, but [false le_gt_false; auto] does not, because [auto] does not correctly instantiate the existential variable. Note that [false* le_gt_false] would not work either, because the [*] symbol tries to call [auto] first. So, there are two possibilities for completing the proof: either call [false le_gt_false; eauto], or call [false* (le_gt_false 3)]. *) (* ####################################################### *) (** ** Automation for Transitivity Lemmas *) (** Some lemmas should never be added as hints, because they would very badly slow down proof search. The typical example is that of transitivity results. This section describes the problem and presents a general workaround. Consider a subtyping relation, written [subtype S T], that relates two object [S] and [T] of type [typ]. Assume that this relation has been proved reflexive and transitive. The corresponding lemmas are named [subtype_refl] and [subtype_trans]. *) Parameter typ : Type. Parameter subtype : typ -> typ -> Prop. Parameter subtype_refl : forall T, subtype T T. Parameter subtype_trans : forall S T U, subtype S T -> subtype T U -> subtype S U. (** Adding reflexivity as hint is generally a good idea, so let's add reflexivity of subtyping as hint. *) Hint Resolve subtype_refl. (** Adding transitivity as hint is generally a bad idea. To understand why, let's add it as hint and see what happens. Because we cannot remove hints once we've added them, we are going to open a "Section," so as to restrict the scope of the transitivity hint to that section. *) Section HintsTransitivity. Hint Resolve subtype_trans. (** Now, consider the goal [forall S T, subtype S T], which clearly has no hope of being solved. Let's call [eauto] on this goal. *) Lemma transitivity_bad_hint_1 : forall S T, subtype S T. Proof. intros. (* debug *) eauto. (* Investigates 106 applications... *) Admitted. (** Note that after closing the section, the hint [subtype_trans] is no longer active. *) End HintsTransitivity. (** In the previous example, the proof search has spent a lot of time trying to apply transitivity and reflexivity in every possible way. Its process can be summarized as follows. The first goal is [subtype S T]. Since reflexivity does not apply, [eauto] invokes transitivity, which produces two subgoals, [subtype S ?X] and [subtype ?X T]. Solving the first subgoal, [subtype S ?X], is straightforward, it suffices to apply reflexivity. This unifies [?X] with [S]. So, the second sugoal, [subtype ?X T], becomes becomes [subtype S T], which is exactly what we started from... The problem with the transitivity lemma is that it is applicable to any goal concluding on a subtyping relation. Because of this, [eauto] keeps trying to apply it even though it most often doesn't help to solve the goal. So, one should never add a transitivity lemma as a hint for proof search. *) (** There is a general workaround for having automation to exploit transitivity lemmas without giving up on efficiency. This workaround relies on a powerful mechanism called "external hint." This mechanism allows to manually describe the condition under which a particular lemma should be tried out during proof search. For the case of transitivity of subtyping, we are going to tell Coq to try and apply the transitivity lemma on a goal of the form [subtype S U] only when the proof context already contains an assumption either of the form [subtype S T] or of the form [subtype T U]. In other words, we only apply the transitivity lemma when there is some evidence that this application might help. To set up this "external hint," one has to write the following. *) Hint Extern 1 (subtype ?S ?U) => match goal with | H: subtype S ?T |- _ => apply (@subtype_trans S T U) | H: subtype ?T U |- _ => apply (@subtype_trans S T U) end. (** This hint declaration can be understood as follows. - "Hint Extern" introduces the hint. - The number "1" corresponds to a priority for proof search. It doesn't matter so much what priority is used in practice. - The pattern [subtype ?S ?U] describes the kind of goal on which the pattern should apply. The question marks are used to indicate that the variables [?S] and [?U] should be bound to some value in the rest of the hint description. - The construction [match goal with ... end] tries to recognize patterns in the goal, or in the proof context, or both. - The first pattern is [H: subtype S ?T |- _]. It indices that the context should contain an hypothesis [H] of type [subtype S ?T], where [S] has to be the same as in the goal, and where [?T] can have any value. - The symbol [|- _] at the end of [H: subtype S ?T |- _] indicates that we do not impose further condition on how the proof obligation has to look like. - The branch [=> apply subtype_trans with (T:=T)] that follows indicate that if the goal has the form [subtype S U] and if there exists an hypothesis of the form [subtype S T], then we should try and apply transitivity lemma instantiated on the arguments [S], [T] and [U]. (Note: the symbol [@] in front of [subtype_trans] is only actually needed when the "Implicit Arguments" feature is activated.) - The other branch, which corresponds to an hypothesis of the form [H: subtype ?T U] is symmetrical. Note: the same external hint can be reused for any other transitive relation, simply by renaming [subtype] into the name of that relation. *) (** Let us see an example illustrating how the hint works. *) Lemma transitivity_workaround_1 : forall T1 T2 T3 T4, subtype T1 T2 -> subtype T2 T3 -> subtype T3 T4 -> subtype T1 T4. Proof. intros. (* debug *) eauto. (* The trace shows the external hint being used *) Qed. (** We may also check that the new external hint does not suffer from the complexity blow up. *) Lemma transitivity_workaround_2 : forall S T, subtype S T. Proof. intros. (* debug *) eauto. (* Investigates 0 applications *) Admitted. (* ####################################################### *) (** * Decision Procedures *) (** A decision procedure is able to solve proof obligations whose statement admits a particular form. This section describes three useful decision procedures. The tactic [omega] handles goals involving arithmetic and inequalities, but not general multiplications. The tactic [ring] handles goals involving arithmetic, including multiplications, but does not support inequalities. The tactic [congruence] is able to prove equalities and inequalities by exploiting equalities available in the proof context. *) (* ####################################################### *) (** ** Omega *) (** The tactic [omega] supports natural numbers (type [nat]) as well as integers (type [Z], available by including the module [ZArith]). It supports addition, substraction, equalities and inequalities. Before using [omega], one needs to import the module [Omega], as follows. *) Require Import Omega. (** Here is an example. Let [x] and [y] be two natural numbers (they cannot be negative). Assume [y] is less than 4, assume [x+x+1] is less than [y], and assume [x] is not zero. Then, it must be the case that [x] is equal to one. *) Lemma omega_demo_1 : forall (x y : nat), (y <= 4) -> (x + x + 1 <= y) -> (x <> 0) -> (x = 1). Proof. intros. omega. Qed. (** Another example: if [z] is the mean of [x] and [y], and if the difference between [x] and [y] is at most [4], then the difference between [x] and [z] is at most 2. *) Lemma omega_demo_2 : forall (x y z : nat), (x + y = z + z) -> (x - y <= 4) -> (x - z <= 2). Proof. intros. omega. Qed. (** One can proof [False] using [omega] if the mathematical facts from the context are contradictory. In the following example, the constraints on the values [x] and [y] cannot be all satisfied in the same time. *) Lemma omega_demo_3 : forall (x y : nat), (x + 5 <= y) -> (y - x < 3) -> False. Proof. intros. omega. Qed. (** Note: [omega] can prove a goal by contradiction only if its conclusion is reduced [False]. The tactic [omega] always fails when the conclusion is an arbitrary proposition [P], even though [False] implies any proposition [P] (by [ex_falso_quodlibet]). *) Lemma omega_demo_4 : forall (x y : nat) (P : Prop), (x + 5 <= y) -> (y - x < 3) -> P. Proof. intros. (* Calling [omega] at this point fails with the message: "Omega: Can't solve a goal with proposition variables" *) (* So, one needs to replace the goal by [False] first. *) false. omega. Qed. (* ####################################################### *) (** ** Ring *) (** Compared with [omega], the tactic [ring] adds support for multiplications, however it gives up the ability to reason on inequations. Moreover, it supports only integers (type [Z]) and not natural numbers (type [Z]). Here is an example showing how to use [ring]. *) Module RingDemo. Require Import ZArith. Open Scope Z_scope. (* "+" and "-" and "*" should be interpreted in [Z] *) Lemma ring_demo : forall (x y z : Z), x * (y + z) - z * 3 * x = x * y - 2 * x * z. Proof. intros. ring. Qed. End RingDemo. (* ####################################################### *) (** ** Congruence *) (** The tactic [congruence] is able to exploit equalities from the proof context in order to automatically perform the rewriting operations necessary to establish a goal. It is slightly more powerful than the tactic [subst], which can only handle equalities of the form [x = e] where [x] is a variable and [e] an expression. *) Lemma congruence_demo_1 : forall (f : nat->nat->nat) (g h : nat->nat) (x y z : nat), f (g x) (g y) = z -> 2 = g x -> g y = h z -> f 2 (h z) = z. Proof. intros. congruence. Qed. (** Moreover, [congruence] is able to exploit universally quantified equalities, for example [forall a, g a = h a]. *) Lemma congruence_demo_2 : forall (f : nat->nat->nat) (g h : nat->nat) (x y z : nat), (forall a, g a = h a) -> f (g x) (g y) = z -> g x = 2 -> f 2 (h y) = z. Proof. congruence. Qed. (** Next is an example where [congruence] is very useful. *) Lemma congruence_demo_4 : forall (f g : nat->nat), (forall a, f a = g a) -> f (g (g 2)) = g (f (f 2)). Proof. congruence. Qed. (** The tactic [congruence] is able to prove a contradiction if the goal entails an equality that contradicts an inequality available in the proof context. *) Lemma congruence_demo_3 : forall (f g h : nat->nat) (x : nat), (forall a, f a = h a) -> g x = f x -> g x <> h x -> False. Proof. congruence. Qed. (** One of the strengths of [congruence] is that it is a very fast tactic. So, one should not hesitate to invoke it wherever it might help. *)
////////////////////////////////////////////////////////////////////// //// //// //// fifoRTL.v //// //// //// //// This file is part of the usbhostslave opencores effort. //// <http://www.opencores.org/cores//> //// //// //// //// Module Description: //// //// parameterized dual clock domain fifo. //// fifo depth is restricted to 2^ADDR_WIDTH //// No protection against over runs and under runs. //// //// //// //// To Do: //// //// //// //// //// Author(s): //// //// - Steve Fielding, [email protected] //// //// //// ////////////////////////////////////////////////////////////////////// //// //// //// Copyright (C) 2004 Steve Fielding and OPENCORES.ORG //// //// //// //// This source file may be used and distributed without //// //// restriction provided that this copyright statement is not //// //// removed from the file and that any derivative work contains //// //// the original copyright notice and the associated disclaimer. //// //// //// //// This source file is free software; you can redistribute it //// //// and/or modify it under the terms of the GNU Lesser General //// //// Public License as published by the Free Software Foundation; //// //// either version 2.1 of the License, or (at your option) any //// //// later version. //// //// //// //// This source is distributed in the hope that it will be //// //// useful, but WITHOUT ANY WARRANTY; without even the implied //// //// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR //// //// PURPOSE. See the GNU Lesser General Public License for more //// //// details. //// //// //// //// You should have received a copy of the GNU Lesser General //// //// Public License along with this source; if not, download it //// //// from <http://www.opencores.org/lgpl.shtml> //// //// //// ////////////////////////////////////////////////////////////////////// // //`include "timescale.v" module fifoRTL(wrClk, rdClk, rstSyncToWrClk, rstSyncToRdClk, dataIn, dataOut, fifoWEn, fifoREn, fifoFull, fifoEmpty, forceEmptySyncToWrClk, forceEmptySyncToRdClk, numElementsInFifo); //FIFO_DEPTH = ADDR_WIDTH^2. Min = 2, Max = 66536 parameter FIFO_WIDTH = 8; parameter FIFO_DEPTH = 64; parameter ADDR_WIDTH = 6; // Two clock domains within this module // These ports are within 'wrClk' domain input wrClk; input rstSyncToWrClk; input [FIFO_WIDTH-1:0] dataIn; input fifoWEn; input forceEmptySyncToWrClk; output fifoFull; // These ports are within 'rdClk' domain input rdClk; input rstSyncToRdClk; output [FIFO_WIDTH-1:0] dataOut; input fifoREn; input forceEmptySyncToRdClk; output fifoEmpty; output [15:0]numElementsInFifo; //note that this implies a max fifo depth of 65536 wire wrClk; wire rdClk; wire rstSyncToWrClk; wire rstSyncToRdClk; wire [FIFO_WIDTH-1:0] dataIn; reg [FIFO_WIDTH-1:0] dataOut; wire fifoWEn; wire fifoREn; reg fifoFull; reg fifoEmpty; wire forceEmpty; reg [15:0]numElementsInFifo; // local registers reg [ADDR_WIDTH:0]bufferInIndex; reg [ADDR_WIDTH:0]bufferInIndexSyncToRdClk; reg [ADDR_WIDTH:0]bufferOutIndex; reg [ADDR_WIDTH:0]bufferOutIndexSyncToWrClk; reg [ADDR_WIDTH-1:0]bufferInIndexToMem; reg [ADDR_WIDTH-1:0]bufferOutIndexToMem; reg [ADDR_WIDTH:0]bufferCnt; reg fifoREnDelayed; wire [FIFO_WIDTH-1:0] dataFromMem; always @(posedge wrClk) begin bufferOutIndexSyncToWrClk <= bufferOutIndex; if (rstSyncToWrClk == 1'b1 || forceEmptySyncToWrClk == 1'b1) begin fifoFull <= 1'b0; bufferInIndex <= 0; end else begin if (fifoWEn == 1'b1) begin bufferInIndex <= bufferInIndex + 1'b1; end if ((bufferOutIndexSyncToWrClk[ADDR_WIDTH-1:0] == bufferInIndex[ADDR_WIDTH-1:0]) && (bufferOutIndexSyncToWrClk[ADDR_WIDTH] != bufferInIndex[ADDR_WIDTH]) ) fifoFull <= 1'b1; else fifoFull <= 1'b0; end end always @(bufferInIndexSyncToRdClk or bufferOutIndex) bufferCnt <= bufferInIndexSyncToRdClk - bufferOutIndex; always @(posedge rdClk) begin numElementsInFifo <= { {16-ADDR_WIDTH+1{1'b0}}, bufferCnt }; //pad bufferCnt with leading zeroes bufferInIndexSyncToRdClk <= bufferInIndex; if (rstSyncToRdClk == 1'b1 || forceEmptySyncToRdClk == 1'b1) begin fifoEmpty <= 1'b1; bufferOutIndex <= 0; fifoREnDelayed <= 1'b0; end else begin fifoREnDelayed <= fifoREn; if (fifoREn == 1'b1 && fifoREnDelayed == 1'b0) begin dataOut <= dataFromMem; bufferOutIndex <= bufferOutIndex + 1'b1; end if (bufferInIndexSyncToRdClk == bufferOutIndex) fifoEmpty <= 1'b1; else fifoEmpty <= 1'b0; end end always @(bufferInIndex or bufferOutIndex) begin bufferInIndexToMem <= bufferInIndex[ADDR_WIDTH-1:0]; bufferOutIndexToMem <= bufferOutIndex[ADDR_WIDTH-1:0]; end dpMem_dc #(FIFO_WIDTH, FIFO_DEPTH, ADDR_WIDTH) u_dpMem_dc ( .addrIn(bufferInIndexToMem), .addrOut(bufferOutIndexToMem), .wrClk(wrClk), .rdClk(rdClk), .dataIn(dataIn), .writeEn(fifoWEn), .readEn(fifoREn), .dataOut(dataFromMem)); endmodule
//altera_mult_add ADDNSUB_MULTIPLIER_PIPELINE_ACLR1="ACLR0" ADDNSUB_MULTIPLIER_PIPELINE_REGISTER1="CLOCK0" ADDNSUB_MULTIPLIER_REGISTER1="UNREGISTERED" CBX_DECLARE_ALL_CONNECTED_PORTS="OFF" DEDICATED_MULTIPLIER_CIRCUITRY="YES" DEVICE_FAMILY="Cyclone II" DSP_BLOCK_BALANCING="Auto" INPUT_REGISTER_A0="UNREGISTERED" INPUT_REGISTER_B0="UNREGISTERED" INPUT_SOURCE_A0="DATAA" INPUT_SOURCE_B0="DATAB" MULTIPLIER1_DIRECTION="ADD" MULTIPLIER_ACLR0="ACLR0" MULTIPLIER_REGISTER0="CLOCK0" NUMBER_OF_MULTIPLIERS=1 OUTPUT_REGISTER="UNREGISTERED" port_addnsub1="PORT_UNUSED" port_addnsub3="PORT_UNUSED" port_signa="PORT_UNUSED" port_signb="PORT_UNUSED" REPRESENTATION_A="UNSIGNED" REPRESENTATION_B="UNSIGNED" SELECTED_DEVICE_FAMILY="CYCLONEII" SIGNED_PIPELINE_ACLR_A="ACLR0" SIGNED_PIPELINE_ACLR_B="ACLR0" SIGNED_PIPELINE_REGISTER_A="CLOCK0" SIGNED_PIPELINE_REGISTER_B="CLOCK0" SIGNED_REGISTER_A="UNREGISTERED" SIGNED_REGISTER_B="UNREGISTERED" WIDTH_A=16 WIDTH_B=16 WIDTH_RESULT=32 aclr0 clock0 dataa datab result //VERSION_BEGIN 13.0 cbx_altera_mult_add 2013:06:12:18:03:43:SJ cbx_altera_mult_add_rtl 2013:06:12:18:03:43:SJ cbx_mgl 2013:06:12:18:05:10:SJ VERSION_END // synthesis VERILOG_INPUT_VERSION VERILOG_2001 // altera message_off 10463 // Copyright (C) 1991-2013 Altera Corporation // Your use of Altera Corporation's design tools, logic functions // and other software and tools, and its AMPP partner logic // functions, and any output files from any of the foregoing // (including device programming or simulation files), and any // associated documentation or information are expressly subject // to the terms and conditions of the Altera Program License // Subscription Agreement, Altera MegaCore Function License // Agreement, or other applicable license agreement, including, // without limitation, that your use is for the sole purpose of // programming logic devices manufactured by Altera and sold by // Altera or its authorized distributors. Please refer to the // applicable agreement for further details. //synthesis_resources = altera_mult_add_rtl 1 //synopsys translate_off `timescale 1 ps / 1 ps //synopsys translate_on module altera_mult_add_mpt2 ( aclr0, clock0, dataa, datab, result) /* synthesis synthesis_clearbox=1 */; input aclr0; input clock0; input [15:0] dataa; input [15:0] datab; output [31:0] result; `ifndef ALTERA_RESERVED_QIS // synopsys translate_off `endif tri0 aclr0; tri1 clock0; tri0 [15:0] dataa; tri0 [15:0] datab; `ifndef ALTERA_RESERVED_QIS // synopsys translate_on `endif wire [31:0] wire_altera_mult_add_rtl1_result; altera_mult_add_rtl altera_mult_add_rtl1 ( .aclr0(aclr0), .chainout_sat_overflow(), .clock0(clock0), .dataa(dataa), .datab(datab), .mult0_is_saturated(), .mult1_is_saturated(), .mult2_is_saturated(), .mult3_is_saturated(), .overflow(), .result(wire_altera_mult_add_rtl1_result), .scanouta(), .scanoutb(), .accum_sload(1'b0), .aclr1(1'b0), .aclr2(1'b0), .aclr3(1'b0), .addnsub1(1'b1), .addnsub1_round(1'b0), .addnsub3(1'b1), .addnsub3_round(1'b0), .chainin({1{1'b0}}), .chainout_round(1'b0), .chainout_saturate(1'b0), .clock1(1'b1), .clock2(1'b1), .clock3(1'b1), .coefsel0({3{1'b0}}), .coefsel1({3{1'b0}}), .coefsel2({3{1'b0}}), .coefsel3({3{1'b0}}), .datac({22{1'b0}}), .ena0(1'b1), .ena1(1'b1), .ena2(1'b1), .ena3(1'b1), .mult01_round(1'b0), .mult01_saturation(1'b0), .mult23_round(1'b0), .mult23_saturation(1'b0), .output_round(1'b0), .output_saturate(1'b0), .rotate(1'b0), .scanina({16{1'b0}}), .scaninb({16{1'b0}}), .shift_right(1'b0), .signa(1'b0), .signb(1'b0), .sload_accum(1'b0), .sourcea({1{1'b0}}), .sourceb({1{1'b0}}), .zero_chainout(1'b0), .zero_loopback(1'b0) ); defparam altera_mult_add_rtl1.accum_direction = "ADD", altera_mult_add_rtl1.accum_sload_aclr = "NONE", altera_mult_add_rtl1.accum_sload_pipeline_aclr = "NONE", altera_mult_add_rtl1.accum_sload_pipeline_register = "UNREGISTERED", altera_mult_add_rtl1.accum_sload_register = "UNREGISTERED", altera_mult_add_rtl1.accumulator = "NO", altera_mult_add_rtl1.adder1_rounding = "NO", altera_mult_add_rtl1.adder3_rounding = "NO", altera_mult_add_rtl1.addnsub1_round_aclr = "NONE", altera_mult_add_rtl1.addnsub1_round_pipeline_aclr = "NONE", altera_mult_add_rtl1.addnsub1_round_pipeline_register = "UNREGISTERED", altera_mult_add_rtl1.addnsub1_round_register = "UNREGISTERED", altera_mult_add_rtl1.addnsub3_round_aclr = "NONE", altera_mult_add_rtl1.addnsub3_round_pipeline_aclr = "NONE", altera_mult_add_rtl1.addnsub3_round_pipeline_register = "UNREGISTERED", altera_mult_add_rtl1.addnsub3_round_register = "UNREGISTERED", altera_mult_add_rtl1.addnsub_multiplier_aclr1 = "NONE", altera_mult_add_rtl1.addnsub_multiplier_aclr3 = "NONE", altera_mult_add_rtl1.addnsub_multiplier_pipeline_aclr1 = "ACLR0", altera_mult_add_rtl1.addnsub_multiplier_pipeline_aclr3 = "NONE", altera_mult_add_rtl1.addnsub_multiplier_pipeline_register1 = "CLOCK0", altera_mult_add_rtl1.addnsub_multiplier_pipeline_register3 = "UNREGISTERED", altera_mult_add_rtl1.addnsub_multiplier_register1 = "UNREGISTERED", altera_mult_add_rtl1.addnsub_multiplier_register3 = "UNREGISTERED", altera_mult_add_rtl1.chainout_aclr = "NONE", altera_mult_add_rtl1.chainout_adder = "NO", altera_mult_add_rtl1.chainout_register = "UNREGISTERED", altera_mult_add_rtl1.chainout_round_aclr = "NONE", altera_mult_add_rtl1.chainout_round_output_aclr = "NONE", altera_mult_add_rtl1.chainout_round_output_register = "UNREGISTERED", altera_mult_add_rtl1.chainout_round_pipeline_aclr = "NONE", altera_mult_add_rtl1.chainout_round_pipeline_register = "UNREGISTERED", altera_mult_add_rtl1.chainout_round_register = "UNREGISTERED", altera_mult_add_rtl1.chainout_rounding = "NO", altera_mult_add_rtl1.chainout_saturate_aclr = "NONE", altera_mult_add_rtl1.chainout_saturate_output_aclr = "NONE", altera_mult_add_rtl1.chainout_saturate_output_register = "UNREGISTERED", altera_mult_add_rtl1.chainout_saturate_pipeline_aclr = "NONE", altera_mult_add_rtl1.chainout_saturate_pipeline_register = "UNREGISTERED", altera_mult_add_rtl1.chainout_saturate_register = "UNREGISTERED", altera_mult_add_rtl1.chainout_saturation = "NO", altera_mult_add_rtl1.coef0_0 = 0, altera_mult_add_rtl1.coef0_1 = 0, altera_mult_add_rtl1.coef0_2 = 0, altera_mult_add_rtl1.coef0_3 = 0, altera_mult_add_rtl1.coef0_4 = 0, altera_mult_add_rtl1.coef0_5 = 0, altera_mult_add_rtl1.coef0_6 = 0, altera_mult_add_rtl1.coef0_7 = 0, altera_mult_add_rtl1.coef1_0 = 0, altera_mult_add_rtl1.coef1_1 = 0, altera_mult_add_rtl1.coef1_2 = 0, altera_mult_add_rtl1.coef1_3 = 0, altera_mult_add_rtl1.coef1_4 = 0, altera_mult_add_rtl1.coef1_5 = 0, altera_mult_add_rtl1.coef1_6 = 0, altera_mult_add_rtl1.coef1_7 = 0, altera_mult_add_rtl1.coef2_0 = 0, altera_mult_add_rtl1.coef2_1 = 0, altera_mult_add_rtl1.coef2_2 = 0, altera_mult_add_rtl1.coef2_3 = 0, altera_mult_add_rtl1.coef2_4 = 0, altera_mult_add_rtl1.coef2_5 = 0, altera_mult_add_rtl1.coef2_6 = 0, altera_mult_add_rtl1.coef2_7 = 0, altera_mult_add_rtl1.coef3_0 = 0, altera_mult_add_rtl1.coef3_1 = 0, altera_mult_add_rtl1.coef3_2 = 0, altera_mult_add_rtl1.coef3_3 = 0, altera_mult_add_rtl1.coef3_4 = 0, altera_mult_add_rtl1.coef3_5 = 0, altera_mult_add_rtl1.coef3_6 = 0, altera_mult_add_rtl1.coef3_7 = 0, altera_mult_add_rtl1.coefsel0_aclr = "NONE", altera_mult_add_rtl1.coefsel0_register = "UNREGISTERED", altera_mult_add_rtl1.coefsel1_aclr = "NONE", altera_mult_add_rtl1.coefsel1_register = "UNREGISTERED", altera_mult_add_rtl1.coefsel2_aclr = "NONE", altera_mult_add_rtl1.coefsel2_register = "UNREGISTERED", altera_mult_add_rtl1.coefsel3_aclr = "NONE", altera_mult_add_rtl1.coefsel3_register = "UNREGISTERED", altera_mult_add_rtl1.dedicated_multiplier_circuitry = "YES", altera_mult_add_rtl1.double_accum = "NO", altera_mult_add_rtl1.dsp_block_balancing = "Auto", altera_mult_add_rtl1.extra_latency = 0, altera_mult_add_rtl1.input_aclr_a0 = "NONE", altera_mult_add_rtl1.input_aclr_a1 = "NONE", altera_mult_add_rtl1.input_aclr_a2 = "NONE", altera_mult_add_rtl1.input_aclr_a3 = "NONE", altera_mult_add_rtl1.input_aclr_b0 = "NONE", altera_mult_add_rtl1.input_aclr_b1 = "NONE", altera_mult_add_rtl1.input_aclr_b2 = "NONE", altera_mult_add_rtl1.input_aclr_b3 = "NONE", altera_mult_add_rtl1.input_aclr_c0 = "NONE", altera_mult_add_rtl1.input_aclr_c1 = "NONE", altera_mult_add_rtl1.input_aclr_c2 = "NONE", altera_mult_add_rtl1.input_aclr_c3 = "NONE", altera_mult_add_rtl1.input_register_a0 = "UNREGISTERED", altera_mult_add_rtl1.input_register_a1 = "UNREGISTERED", altera_mult_add_rtl1.input_register_a2 = "UNREGISTERED", altera_mult_add_rtl1.input_register_a3 = "UNREGISTERED", altera_mult_add_rtl1.input_register_b0 = "UNREGISTERED", altera_mult_add_rtl1.input_register_b1 = "UNREGISTERED", altera_mult_add_rtl1.input_register_b2 = "UNREGISTERED", altera_mult_add_rtl1.input_register_b3 = "UNREGISTERED", altera_mult_add_rtl1.input_register_c0 = "UNREGISTERED", altera_mult_add_rtl1.input_register_c1 = "UNREGISTERED", altera_mult_add_rtl1.input_register_c2 = "UNREGISTERED", altera_mult_add_rtl1.input_register_c3 = "UNREGISTERED", altera_mult_add_rtl1.input_source_a0 = "DATAA", altera_mult_add_rtl1.input_source_a1 = "DATAA", altera_mult_add_rtl1.input_source_a2 = "DATAA", altera_mult_add_rtl1.input_source_a3 = "DATAA", altera_mult_add_rtl1.input_source_b0 = "DATAB", altera_mult_add_rtl1.input_source_b1 = "DATAB", altera_mult_add_rtl1.input_source_b2 = "DATAB", altera_mult_add_rtl1.input_source_b3 = "DATAB", altera_mult_add_rtl1.loadconst_control_aclr = "NONE", altera_mult_add_rtl1.loadconst_control_register = "UNREGISTERED", altera_mult_add_rtl1.loadconst_value = 64, altera_mult_add_rtl1.mult01_round_aclr = "NONE", altera_mult_add_rtl1.mult01_round_register = "UNREGISTERED", altera_mult_add_rtl1.mult01_saturation_aclr = "ACLR0", altera_mult_add_rtl1.mult01_saturation_register = "UNREGISTERED", altera_mult_add_rtl1.mult23_round_aclr = "NONE", altera_mult_add_rtl1.mult23_round_register = "UNREGISTERED", altera_mult_add_rtl1.mult23_saturation_aclr = "NONE", altera_mult_add_rtl1.mult23_saturation_register = "UNREGISTERED", altera_mult_add_rtl1.multiplier01_rounding = "NO", altera_mult_add_rtl1.multiplier01_saturation = "NO", altera_mult_add_rtl1.multiplier1_direction = "ADD", altera_mult_add_rtl1.multiplier23_rounding = "NO", altera_mult_add_rtl1.multiplier23_saturation = "NO", altera_mult_add_rtl1.multiplier3_direction = "ADD", altera_mult_add_rtl1.multiplier_aclr0 = "ACLR0", altera_mult_add_rtl1.multiplier_aclr1 = "NONE", altera_mult_add_rtl1.multiplier_aclr2 = "NONE", altera_mult_add_rtl1.multiplier_aclr3 = "NONE", altera_mult_add_rtl1.multiplier_register0 = "CLOCK0", altera_mult_add_rtl1.multiplier_register1 = "UNREGISTERED", altera_mult_add_rtl1.multiplier_register2 = "UNREGISTERED", altera_mult_add_rtl1.multiplier_register3 = "UNREGISTERED", altera_mult_add_rtl1.number_of_multipliers = 1, altera_mult_add_rtl1.output_aclr = "NONE", altera_mult_add_rtl1.output_register = "UNREGISTERED", altera_mult_add_rtl1.output_round_aclr = "NONE", altera_mult_add_rtl1.output_round_pipeline_aclr = "NONE", altera_mult_add_rtl1.output_round_pipeline_register = "UNREGISTERED", altera_mult_add_rtl1.output_round_register = "UNREGISTERED", altera_mult_add_rtl1.output_round_type = "NEAREST_INTEGER", altera_mult_add_rtl1.output_rounding = "NO", altera_mult_add_rtl1.output_saturate_aclr = "NONE", altera_mult_add_rtl1.output_saturate_pipeline_aclr = "NONE", altera_mult_add_rtl1.output_saturate_pipeline_register = "UNREGISTERED", altera_mult_add_rtl1.output_saturate_register = "UNREGISTERED", altera_mult_add_rtl1.output_saturate_type = "ASYMMETRIC", altera_mult_add_rtl1.output_saturation = "NO", altera_mult_add_rtl1.port_addnsub1 = "PORT_UNUSED", altera_mult_add_rtl1.port_addnsub3 = "PORT_UNUSED", altera_mult_add_rtl1.port_chainout_sat_is_overflow = "PORT_UNUSED", altera_mult_add_rtl1.port_output_is_overflow = "PORT_UNUSED", altera_mult_add_rtl1.port_signa = "PORT_UNUSED", altera_mult_add_rtl1.port_signb = "PORT_UNUSED", altera_mult_add_rtl1.preadder_direction_0 = "ADD", altera_mult_add_rtl1.preadder_direction_1 = "ADD", altera_mult_add_rtl1.preadder_direction_2 = "ADD", altera_mult_add_rtl1.preadder_direction_3 = "ADD", altera_mult_add_rtl1.preadder_mode = "SIMPLE", altera_mult_add_rtl1.representation_a = "UNSIGNED", altera_mult_add_rtl1.representation_b = "UNSIGNED", altera_mult_add_rtl1.rotate_aclr = "NONE", altera_mult_add_rtl1.rotate_output_aclr = "NONE", altera_mult_add_rtl1.rotate_output_register = "UNREGISTERED", altera_mult_add_rtl1.rotate_pipeline_aclr = "NONE", altera_mult_add_rtl1.rotate_pipeline_register = "UNREGISTERED", altera_mult_add_rtl1.rotate_register = "UNREGISTERED", altera_mult_add_rtl1.scanouta_aclr = "NONE", altera_mult_add_rtl1.scanouta_register = "UNREGISTERED", altera_mult_add_rtl1.selected_device_family = "Cyclone II", altera_mult_add_rtl1.shift_mode = "NO", altera_mult_add_rtl1.shift_right_aclr = "NONE", altera_mult_add_rtl1.shift_right_output_aclr = "NONE", altera_mult_add_rtl1.shift_right_output_register = "UNREGISTERED", altera_mult_add_rtl1.shift_right_pipeline_aclr = "NONE", altera_mult_add_rtl1.shift_right_pipeline_register = "UNREGISTERED", altera_mult_add_rtl1.shift_right_register = "UNREGISTERED", altera_mult_add_rtl1.signed_aclr_a = "NONE", altera_mult_add_rtl1.signed_aclr_b = "NONE", altera_mult_add_rtl1.signed_pipeline_aclr_a = "ACLR0", altera_mult_add_rtl1.signed_pipeline_aclr_b = "ACLR0", altera_mult_add_rtl1.signed_pipeline_register_a = "CLOCK0", altera_mult_add_rtl1.signed_pipeline_register_b = "CLOCK0", altera_mult_add_rtl1.signed_register_a = "UNREGISTERED", altera_mult_add_rtl1.signed_register_b = "UNREGISTERED", altera_mult_add_rtl1.systolic_aclr1 = "NONE", altera_mult_add_rtl1.systolic_aclr3 = "NONE", altera_mult_add_rtl1.systolic_delay1 = "UNREGISTERED", altera_mult_add_rtl1.systolic_delay3 = "UNREGISTERED", altera_mult_add_rtl1.use_sload_accum_port = "NO", altera_mult_add_rtl1.width_a = 16, altera_mult_add_rtl1.width_b = 16, altera_mult_add_rtl1.width_c = 22, altera_mult_add_rtl1.width_chainin = 1, altera_mult_add_rtl1.width_coef = 18, altera_mult_add_rtl1.width_msb = 17, altera_mult_add_rtl1.width_result = 32, altera_mult_add_rtl1.width_saturate_sign = 1, altera_mult_add_rtl1.zero_chainout_output_aclr = "NONE", altera_mult_add_rtl1.zero_chainout_output_register = "UNREGISTERED", altera_mult_add_rtl1.zero_loopback_aclr = "NONE", altera_mult_add_rtl1.zero_loopback_output_aclr = "NONE", altera_mult_add_rtl1.zero_loopback_output_register = "UNREGISTERED", altera_mult_add_rtl1.zero_loopback_pipeline_aclr = "NONE", altera_mult_add_rtl1.zero_loopback_pipeline_register = "UNREGISTERED", altera_mult_add_rtl1.zero_loopback_register = "UNREGISTERED", altera_mult_add_rtl1.lpm_type = "altera_mult_add_rtl"; assign result = wire_altera_mult_add_rtl1_result; endmodule //altera_mult_add_mpt2 //VALID FILE
`default_netype none module memory_resource_controller #( parameter P_MEM_ADDR_N = 22 )( input wire iCLOCK, input wire inRESET, input wire iRESET_SYNC, //IF0 input wire iIF0_ARBIT_REQ, output wire oIF0_ARBIT_ACK, input wire iIF0_ARBIT_FINISH, input wire iIF0_ENA, output wire oIF0_BUSY, input wire iIF0_RW, input wire [P_MEM_ADDR_N-1:0] iIF0_ADDR, input wire [31:0] iIF0_DATA, output wire oIF0_VALID, input wire iIF0_BUSY, output wire [31:0] oIF0_DATA, //IF1 input wire iIF1_ARBIT_REQ, output wire oIF1_ARBIT_ACK, input wire iIF1_ARBIT_FINISH, input wire iIF1_ENA, output wire oIF1_BUSY, input wire iIF1_RW, input wire [P_MEM_ADDR_N-1:0] iIF1_ADDR, input wire [31:0] iIF1_DATA, output wire oIF1_VALID, input wire iIF1_BUSY, output wire [31:0] oIF1_DATA, //Memory Controller output wire oMEM_ENA, input wire iMEM_BUSY, output wire oMEM_RW, output wire [P_MEM_ADDR_N-1:0] oMEM_ADDR, output wire [31:0] oMEM_DATA, input wire iMEM_VALID, output wire oMEM_BUSY, input wire [31:0] iMEM_DATA ); localparam L_PARAM_STT_IDLE = 2'h0; localparam L_PARAM_STT_ACK = 2'h1; localparam L_PARAM_STT_WORK = 2'h2; reg [1:0] b_state; reg b_authority; always@(posedge iCLOCK or negedge inRESET)begin if(!inRESET)begin b_state <= L_PARAM_STT_IDLE; end else if(iRESET_SYNC)begin b_state <= L_PARAM_STT_IDLE; end else begin case(b_state) L_PARAM_STT_IDLE: begin if(iIF0_ARBIT_REQ || iIF1_ARBIT_REQ)begin b_state <= L_PARAM_STT_ACK; end end L_PARAM_STT_ACK: begin b_state <= L_PARAM_STT_WORK; end L_PARAM_STT_WORK: begin if(func_if_finish_check(b_authority, iIF0_ARBIT_FINISH, iIF1_ARBIT_FINISH))begin b_state <= L_PARAM_STT_IDLE; end end default: begin b_state <= L_PARAM_STT_IDLE; end endcase end end always@(posedge iCLOCK or negedge inRESET)begin if(!inRESET)begin b_authority <= 1'b0; end else if(iRESET_SYNC)begin b_authority <= 1'b0; end else begin if(b_state == L_PARAM_STT_IDLE)begin b_authority <= func_priority_encoder(b_authority, iIF0_ARBIT_REQ, iIF1_ARBIT_REQ); end end end function func_if_finish_check; input func_now; input func_if0_finish; input func_if1_finish; begin if(!func_now && func_if0_finish)begin func_if_finish_check = 1'b1; end else if(func_now && func_if1_finish)begin func_if_finish_check = 1'b1; end else begin func_if_finish_check = 1'b0; end end endfunction //Interface function func_priority_encoder; input func_now; input func_if0_req; input func_if1_req; begin case(func_now) 1'b0: begin if(func_if1_req)begin func_priority_encoder = 1'b1; end else if(func_if0_req)begin func_priority_encoder = 1'b0; end else begin func_priority_encoder = 1'b0; end end 1'b1: begin if(func_if0_req)begin func_priority_encoder = 1'b0; end else if(func_if1_req)begin func_priority_encoder = 1'b1; end else begin func_priority_encoder = 1'b0; end end endcase end endfunction reg b_if2mem_ena; reg b_if2mem_rw; reg [P_MEM_ADDR_N-1:0] b_if2mem_addr; reg [31:0] b_if2mem_data; reg b_mem2if0_valid; reg [31:0] b_mem2if0_data; reg b_mem2if1_valid; reg [31:0] b_mem2if1_data; always@(posedge iCLOCK or negedge inRESET)begin if(!inRESET)begin b_if2mem_ena <= 1'b0; b_if2mem_rw <= 1'b0; b_if2mem_addr <= {P_MEM_ADDR_N{1'b0}}; b_if2mem_data <= 32'h0; b_mem2if0_valid <= 1'b0; b_mem2if0_data <= 32'h0; b_mem2if1_valid <= 1'b0; b_mem2if1_data <= 32'h0; end else if(b_state != L_PARAM_STT_WORK || iRESET_SYNC)begin b_if2mem_ena <= 1'b0; b_if2mem_rw <= 1'b0; b_if2mem_addr <= {P_MEM_ADDR_N{1'b0}}; b_if2mem_data <= 32'h0; b_mem2if0_valid <= 1'b0; b_mem2if0_data <= 32'h0; b_mem2if1_valid <= 1'b0; b_mem2if1_data <= 32'h0; end else begin case(b_authority) 1'b0: begin b_if2mem_ena <= iIF0_ENA; b_if2mem_rw <= iIF0_RW; b_if2mem_addr <= iIF0_ADDR; b_if2mem_data <= iIF0_DATA; b_mem2if0_valid <= iMEM_VALID; b_mem2if0_data <= iMEM_DATA; b_mem2if1_valid <= 1'b0; b_mem2if1_data <= 32'h0; end 1'b1: begin b_if2mem_ena <= iIF1_ENA; b_if2mem_rw <= iIF1_RW; b_if2mem_addr <= iIF1_ADDR; b_if2mem_data <= iIF1_DATA; b_mem2if0_valid <= 1'b0; b_mem2if0_data <= 32'h0; b_mem2if1_valid <= iMEM_VALID; b_mem2if1_data <= iMEM_DATA; end endcase end end assign oIF0_ARBIT_ACK = (b_state == L_PARAM_STT_ACK) && !b_authority; assign oIF1_ARBIT_ACK = (b_state == L_PARAM_STT_ACK) && b_authority; assign oIF0_VALID = b_mem2if0_valid; assign oIF0_DATA = b_mem2if0_data; assign oIF1_VALID = b_mem2if1_valid; assign oIF1_DATA = b_mem2if1_data; assign oMEM_ENA = b_if2mem_ena; assign oMEM_RW = b_if2mem_rw; assign oMEM_ADDR = b_if2mem_addr; assign oMEM_DATA = b_if2mem_data; endmodule `default_nettype wire
/* * Copyright 2020 The SkyWater PDK Authors * * Licensed under the Apache License, Version 2.0 (the "License"); * you may not use this file except in compliance with the License. * You may obtain a copy of the License at * * https://www.apache.org/licenses/LICENSE-2.0 * * Unless required by applicable law or agreed to in writing, software * distributed under the License is distributed on an "AS IS" BASIS, * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. * See the License for the specific language governing permissions and * limitations under the License. * * SPDX-License-Identifier: Apache-2.0 */ `ifndef SKY130_FD_SC_MS__SDFSTP_FUNCTIONAL_PP_V `define SKY130_FD_SC_MS__SDFSTP_FUNCTIONAL_PP_V /** * sdfstp: Scan delay flop, inverted set, non-inverted clock, * single output. * * Verilog simulation functional model. */ `timescale 1ns / 1ps `default_nettype none // Import user defined primitives. `include "../../models/udp_mux_2to1/sky130_fd_sc_ms__udp_mux_2to1.v" `include "../../models/udp_dff_ps_pp_pg_n/sky130_fd_sc_ms__udp_dff_ps_pp_pg_n.v" `celldefine module sky130_fd_sc_ms__sdfstp ( Q , CLK , D , SCD , SCE , SET_B, VPWR , VGND , VPB , VNB ); // Module ports output Q ; input CLK ; input D ; input SCD ; input SCE ; input SET_B; input VPWR ; input VGND ; input VPB ; input VNB ; // Local signals wire buf_Q ; wire SET ; wire mux_out; // Delay Name Output Other arguments not not0 (SET , SET_B ); sky130_fd_sc_ms__udp_mux_2to1 mux_2to10 (mux_out, D, SCD, SCE ); sky130_fd_sc_ms__udp_dff$PS_pp$PG$N `UNIT_DELAY dff0 (buf_Q , mux_out, CLK, SET, , VPWR, VGND); buf buf0 (Q , buf_Q ); endmodule `endcelldefine `default_nettype wire `endif // SKY130_FD_SC_MS__SDFSTP_FUNCTIONAL_PP_V
`timescale 1ns / 1ps ////////////////////////////////////////////////////////////////////////////////// // Company: // Engineer: // // Create Date: 01:55:33 09/09/2014 // Design Name: // Module Name: sevensegdecoder // Project Name: // Target Devices: // Tool versions: // Description: // // Dependencies: // // Revision: // Revision 0.01 - File Created // Additional Comments: // ////////////////////////////////////////////////////////////////////////////////// module sevensegdecoder( input [3:0] nIn, output reg [6:0] ssOut ); always @(nIn) case (nIn) 4'h0: ssOut = 7'b1000000; 4'h1: ssOut = 7'b1111001; 4'h2: ssOut = 7'b0100100; 4'h3: ssOut = 7'b0110000; 4'h4: ssOut = 7'b0011001; 4'h5: ssOut = 7'b0010010; 4'h6: ssOut = 7'b0000010; 4'h7: ssOut = 7'b1111000; 4'h8: ssOut = 7'b0000000; 4'h9: ssOut = 7'b0011000; 4'hA: ssOut = 7'b0001000; 4'hB: ssOut = 7'b0000011; 4'hC: ssOut = 7'b1000110; 4'hD: ssOut = 7'b0100001; 4'hE: ssOut = 7'b0000110; 4'hF: ssOut = 7'b0001110; default: ssOut = 7'b1001001; endcase endmodule
/** * Copyright 2020 The SkyWater PDK Authors * * Licensed under the Apache License, Version 2.0 (the "License"); * you may not use this file except in compliance with the License. * You may obtain a copy of the License at * * https://www.apache.org/licenses/LICENSE-2.0 * * Unless required by applicable law or agreed to in writing, software * distributed under the License is distributed on an "AS IS" BASIS, * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. * See the License for the specific language governing permissions and * limitations under the License. * * SPDX-License-Identifier: Apache-2.0 */ `ifndef SKY130_FD_SC_LP__UDP_DFF_PS_PP_PKG_SN_SYMBOL_V `define SKY130_FD_SC_LP__UDP_DFF_PS_PP_PKG_SN_SYMBOL_V /** * udp_dff$PS_pp$PKG$sN: Positive edge triggered D flip-flop with * active high * * Verilog stub (with power pins) for graphical symbol definition * generation. * * WARNING: This file is autogenerated, do not modify directly! */ `timescale 1ns / 1ps `default_nettype none (* blackbox *) module sky130_fd_sc_lp__udp_dff$PS_pp$PKG$sN ( //# {{data|Data Signals}} input D , output Q , //# {{control|Control Signals}} input SET , //# {{clocks|Clocking}} input CLK , //# {{power|Power}} input SLEEP_B , input KAPWR , input NOTIFIER, input VPWR , input VGND ); endmodule `default_nettype wire `endif // SKY130_FD_SC_LP__UDP_DFF_PS_PP_PKG_SN_SYMBOL_V
/** * Copyright 2020 The SkyWater PDK Authors * * Licensed under the Apache License, Version 2.0 (the "License"); * you may not use this file except in compliance with the License. * You may obtain a copy of the License at * * https://www.apache.org/licenses/LICENSE-2.0 * * Unless required by applicable law or agreed to in writing, software * distributed under the License is distributed on an "AS IS" BASIS, * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. * See the License for the specific language governing permissions and * limitations under the License. * * SPDX-License-Identifier: Apache-2.0 */ `ifndef SKY130_FD_SC_LP__EINVN_SYMBOL_V `define SKY130_FD_SC_LP__EINVN_SYMBOL_V /** * einvn: Tri-state inverter, negative enable. * * Verilog stub (without power pins) for graphical symbol definition * generation. * * WARNING: This file is autogenerated, do not modify directly! */ `timescale 1ns / 1ps `default_nettype none (* blackbox *) module sky130_fd_sc_lp__einvn ( //# {{data|Data Signals}} input A , output Z , //# {{control|Control Signals}} input TE_B ); // Voltage supply signals supply1 VPWR; supply0 VGND; supply1 VPB ; supply0 VNB ; endmodule `default_nettype wire `endif // SKY130_FD_SC_LP__EINVN_SYMBOL_V
/** * Copyright 2020 The SkyWater PDK Authors * * Licensed under the Apache License, Version 2.0 (the "License"); * you may not use this file except in compliance with the License. * You may obtain a copy of the License at * * https://www.apache.org/licenses/LICENSE-2.0 * * Unless required by applicable law or agreed to in writing, software * distributed under the License is distributed on an "AS IS" BASIS, * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. * See the License for the specific language governing permissions and * limitations under the License. * * SPDX-License-Identifier: Apache-2.0 */ `ifndef SKY130_FD_SC_HS__SDLCLKP_BLACKBOX_V `define SKY130_FD_SC_HS__SDLCLKP_BLACKBOX_V /** * sdlclkp: Scan gated clock. * * Verilog stub definition (black box without power pins). * * WARNING: This file is autogenerated, do not modify directly! */ `timescale 1ns / 1ps `default_nettype none (* blackbox *) module sky130_fd_sc_hs__sdlclkp ( GCLK, GATE, CLK , SCE ); output GCLK; input GATE; input CLK ; input SCE ; // Voltage supply signals supply1 VPWR; supply0 VGND; endmodule `default_nettype wire `endif // SKY130_FD_SC_HS__SDLCLKP_BLACKBOX_V
module RegisterFileTestBench_nogenerate; parameter sim_time = 750*2; // Num of Cycles * 2 reg [31:0] Rd,Mem,Pcin; reg [19:0] RSLCT; reg Clk, RESET, LOADPC, LOAD,IR_CU; wire [31:0] Rn,Rm,Rs,PCout; RegisterFile_nogenerate RF(Rd,Mem,Pcin,RSLCT,Clk, RESET, LOADPC, LOAD,IR_CU, Rn,Rm,Rs,PCout); initial fork //Clk 0 Clk = 0 ; RESET = 0 ; Pcin = 32'bz ; Rd = 32'bz ; Mem = 32'bz ; LOADPC = 0 ; LOAD = 0 ; IR_CU = 0 ; RSLCT = 0 ; //Clk 1 (Rising Edge) #1 Pcin = 32'bz ; #1 Rd = 1 ; #1 Mem = 32'bz ; #1 LOADPC = 0 ; #1 LOAD = 1 ; #1 IR_CU = 0 ; #1 RSLCT = 0 ; //Clk 0 (Falling Edge) #2 Pcin = 32'bz ; #2 Rd = 1 ; #2 Mem = 32'bz ; #2 LOADPC = 0 ; #2 LOAD = 1 ; #2 IR_CU = 0 ; #2 RSLCT = 0 ; //Clk 1 (Rising Edge) #3 Pcin = 32'bz ; #3 Rd = 1 ; #3 Mem = 32'bz ; #3 LOADPC = 0 ; #3 LOAD = 1 ; #3 IR_CU = 0 ; #3 RSLCT = 2 ; //Clk 0 (Falling Edge) #4 Pcin = 32'bz ; #4 Rd = 1 ; #4 Mem = 32'bz ; #4 LOADPC = 0 ; #4 LOAD = 1 ; #4 IR_CU = 0 ; #4 RSLCT = 2 ; //Clk 1 (Rising Edge) #5 Pcin = 32'bz ; #5 Rd = 1 ; #5 Mem = 32'bz ; #5 LOADPC = 0 ; #5 LOAD = 1 ; #5 IR_CU = 0 ; #5 RSLCT = 2 ; //Clk 0 (Falling Edge) #6 Pcin = 32'bz ; #6 Rd = 1 ; #6 Mem = 32'bz ; #6 LOADPC = 0 ; #6 LOAD = 1 ; #6 IR_CU = 0 ; #6 RSLCT = 2 ; join always #1 Clk = ~Clk; initial #sim_time $finish; initial begin $dumpfile("RegisterFileTestBench_nogenerate.vcd"); $dumpvars(0,RegisterFileTestBench_nogenerate); $display(" Test Results" ); $monitor("time = %3d ,Pcin = %3d , Rd = %3d , Mem = %3d , LOADPC = %3d , LOAD = %3d , IR_CU = %3d , RSLCT = %3d , Rn = %3d ,Rm = %3d ,Rs = %3d ,PCout = %3d",$time,Pcin, Rd, Mem, LOADPC, LOAD, IR_CU, RSLCT,Rn,Rm,Rs,PCout); end endmodule //iverilog Buffer32_32.v Decoder4x16.v Multiplexer2x1_32b.v Register.v RegisterFile_nogenerate.v RegisterFileTestBench_nogenerate.v
// -------------------------------------------------------------------- // ng_ALU - Arithmetic Logic Unit Module // -------------------------------------------------------------------- `include "ControlPulses.h" // -------------------------------------------------------------------- module ng_ALU( input CLK2, // Clock Pulse 2 input [100:0] CP, // Control Pulse input [ 15:0] WRITE_BUS, // Write input bus output [ 15:0] ALU_OUT // ALU output ); // -------------------------------------------------------------------- // Control signal definitions // -------------------------------------------------------------------- wire WB = CP[`CPX(`WB)]; // Write B wire WX = CP[`CPX(`WX)]; // Write X wire WY = CP[`CPX(`WY)]; // Write Y wire WYX = CP[`CPX(`WYX)]; // Write Y (do not reset) wire CI = CP[`CPX(`CI)]; // Carry in wire RB = CP[`CPX(`RB)]; // Read B wire RC = CP[`CPX(`RC)]; // Read C wire RU = CP[`CPX(`RU)]; // Read SUM // -------------------------------------------------------------------- // Register Storage // -------------------------------------------------------------------- reg [15:0] B; // A Register reg [15:0] X; // Q Register reg [15:0] Y; // Z Register reg C; // C Register // -------------------------------------------------------------------- // Instantiate Registers: B, X, Y and CI // -------------------------------------------------------------------- always @(posedge CLK2) if(!WB) B <= WRITE_BUS; // Load reg B on WB assertion always @(posedge CLK2) if(!WY | !WYX) Y <= WRITE_BUS; // Load reg Y on either assertion always @(posedge CLK2) if(!WY) X <= 16'h0000; // Clear reg X on WY assertion else if(!WX) X <= WRITE_BUS; // Load reg X on WX assertion always @(posedge CLK2) if(CI & !WY) C <= 1'b0; // Clear CI register else if(!CI) C <= 1'b1; // Set CI register // -------------------------------------------------------------------- // 16 bit adder function // // 111 1100 0000 0000 // 432 1098 7654 3210 // 111 1111 1111 1111 // -------------------------------------------------------------------- wire C_In = EOC | C; // Carry in is from last time wire C_Out = SUM[16]; // Carry bit is the 17th bit wire [16:0] SUM = {1'b0,X} + {1'b0,Y} + {16'h0,C_In}; // B side of ALU // -------------------------------------------------------------------- // Carry out register // NOTE: A JK FF instantiated as equivalent D Reg // always@(negedge CLK) Q <= ~Q & D | Q & D; // -------------------------------------------------------------------- reg EOC; // Register reg EOC_Q; // Register always@(posedge CLK2) EOC_Q <= C_Out; always@(negedge CLK2) EOC <= EOC_Q; // -------------------------------------------------------------------- // ALU Function generator: // A and B are 16 bit inputs. Result in F. // Slection is as follows: // // RB RC RU Func // 0 0 0 A // 0 0 1 A // 0 1 0 A // 0 1 1 A // 1 0 0 !A + B // 1 0 1 !A // 1 1 0 B // 1 1 1 Logic 0 // -------------------------------------------------------------------- wire [15:0] A_in = B; // A side of ALU is B reg wire [15:0] B_in = SUM[15:0]; // B side of ALU is sum reg [15:0] Func; // Function Output wire [2:0] sel_cntl = {RB, RC, RU}; // Function selection bits always @(A_in or B_in or sel_cntl) begin case(sel_cntl) 3'b000: Func = A_in; // 0 - Identity A, F outputs whatever is on A 3'b001: Func = A_in; // 1 - Identity A 3'b010: Func = A_in; // 2 - Identity A 3'b011: Func = A_in; // 3 - Identity A 3'b100: Func = ~A_in | B_in; // 4 - Compliment A and Add to B, no carry out 3'b101: Func = ~A_in; // 5 - Bitwise complinebt if A 3'b110: Func = B_in; // 6 - Identity B, F outputs whatever is on B 3'b111: Func = 16'h0000; // 7 - Output is zero endcase end assign ALU_OUT = Func; // Make output assignment // -------------------------------------------------------------------- endmodule // --------------------------------------------------------------------
/****************************************************************************** -- (c) Copyright 2006 - 2013 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- ***************************************************************************** * * Filename: BLK_MEM_GEN_v8_1.v * * Description: * This file is the Verilog behvarial model for the * Block Memory Generator Core. * ***************************************************************************** * Author: Xilinx * * History: Jan 11, 2006 Initial revision * Jun 11, 2007 Added independent register stages for * Port A and Port B (IP1_Jm/v2.5) * Aug 28, 2007 Added mux pipeline stages feature (IP2_Jm/v2.6) * Mar 13, 2008 Behavioral model optimizations * April 07, 2009 : Added support for Spartan-6 and Virtex-6 * features, including the following: * (i) error injection, detection and/or correction * (ii) reset priority * (iii) special reset behavior * *****************************************************************************/ `timescale 1ps/1ps module STATE_LOGIC_v8_1 (O, I0, I1, I2, I3, I4, I5); parameter INIT = 64'h0000000000000000; input I0, I1, I2, I3, I4, I5; output O; reg O; reg tmp; always @( I5 or I4 or I3 or I2 or I1 or I0 ) begin tmp = I0 ^ I1 ^ I2 ^ I3 ^ I4 ^ I5; if ( tmp == 0 || tmp == 1) O = INIT[{I5, I4, I3, I2, I1, I0}]; end endmodule module beh_vlog_muxf7_v8_1 (O, I0, I1, S); output O; reg O; input I0, I1, S; always @(I0 or I1 or S) if (S) O = I1; else O = I0; endmodule module beh_vlog_ff_clr_v8_1 (Q, C, CLR, D); parameter INIT = 0; localparam FLOP_DELAY = 100; output Q; input C, CLR, D; reg Q; initial Q= 1'b0; always @(posedge C ) if (CLR) Q<= 1'b0; else Q<= #FLOP_DELAY D; endmodule module beh_vlog_ff_pre_v8_1 (Q, C, D, PRE); parameter INIT = 0; localparam FLOP_DELAY = 100; output Q; input C, D, PRE; reg Q; initial Q= 1'b0; always @(posedge C ) if (PRE) Q <= 1'b1; else Q <= #FLOP_DELAY D; endmodule module beh_vlog_ff_ce_clr_v8_1 (Q, C, CE, CLR, D); parameter INIT = 0; localparam FLOP_DELAY = 100; output Q; input C, CE, CLR, D; reg Q; initial Q= 1'b0; always @(posedge C ) if (CLR) Q <= 1'b0; else if (CE) Q <= #FLOP_DELAY D; endmodule module write_netlist_v8_1 #( parameter C_AXI_TYPE = 0 ) ( S_ACLK, S_ARESETN, S_AXI_AWVALID, S_AXI_WVALID, S_AXI_BREADY, w_last_c, bready_timeout_c, aw_ready_r, S_AXI_WREADY, S_AXI_BVALID, S_AXI_WR_EN, addr_en_c, incr_addr_c, bvalid_c ); input S_ACLK; input S_ARESETN; input S_AXI_AWVALID; input S_AXI_WVALID; input S_AXI_BREADY; input w_last_c; input bready_timeout_c; output aw_ready_r; output S_AXI_WREADY; output S_AXI_BVALID; output S_AXI_WR_EN; output addr_en_c; output incr_addr_c; output bvalid_c; //------------------------------------------------------------------------- //AXI LITE //------------------------------------------------------------------------- generate if (C_AXI_TYPE == 0 ) begin : gbeh_axi_lite_sm wire w_ready_r_7; wire w_ready_c; wire aw_ready_c; wire NlwRenamedSignal_bvalid_c; wire NlwRenamedSignal_incr_addr_c; wire present_state_FSM_FFd3_13; wire present_state_FSM_FFd2_14; wire present_state_FSM_FFd1_15; wire present_state_FSM_FFd4_16; wire present_state_FSM_FFd4_In; wire present_state_FSM_FFd3_In; wire present_state_FSM_FFd2_In; wire present_state_FSM_FFd1_In; wire present_state_FSM_FFd4_In1_21; wire [0:0] Mmux_aw_ready_c ; begin assign S_AXI_WREADY = w_ready_r_7, S_AXI_BVALID = NlwRenamedSignal_incr_addr_c, S_AXI_WR_EN = NlwRenamedSignal_bvalid_c, incr_addr_c = NlwRenamedSignal_incr_addr_c, bvalid_c = NlwRenamedSignal_bvalid_c; assign NlwRenamedSignal_incr_addr_c = 1'b0; beh_vlog_ff_clr_v8_1 #( .INIT (1'b0)) aw_ready_r_2 ( .C ( S_ACLK), .CLR ( S_ARESETN), .D ( aw_ready_c), .Q ( aw_ready_r) ); beh_vlog_ff_clr_v8_1 #( .INIT (1'b0)) w_ready_r ( .C ( S_ACLK), .CLR ( S_ARESETN), .D ( w_ready_c), .Q ( w_ready_r_7) ); beh_vlog_ff_pre_v8_1 #( .INIT (1'b1)) present_state_FSM_FFd4 ( .C ( S_ACLK), .D ( present_state_FSM_FFd4_In), .PRE ( S_ARESETN), .Q ( present_state_FSM_FFd4_16) ); beh_vlog_ff_clr_v8_1 #( .INIT (1'b0)) present_state_FSM_FFd3 ( .C ( S_ACLK), .CLR ( S_ARESETN), .D ( present_state_FSM_FFd3_In), .Q ( present_state_FSM_FFd3_13) ); beh_vlog_ff_clr_v8_1 #( .INIT (1'b0)) present_state_FSM_FFd2 ( .C ( S_ACLK), .CLR ( S_ARESETN), .D ( present_state_FSM_FFd2_In), .Q ( present_state_FSM_FFd2_14) ); beh_vlog_ff_clr_v8_1 #( .INIT (1'b0)) present_state_FSM_FFd1 ( .C ( S_ACLK), .CLR ( S_ARESETN), .D ( present_state_FSM_FFd1_In), .Q ( present_state_FSM_FFd1_15) ); STATE_LOGIC_v8_1 #( .INIT (64'h0000000055554440)) present_state_FSM_FFd3_In1 ( .I0 ( S_AXI_WVALID), .I1 ( S_AXI_AWVALID), .I2 ( present_state_FSM_FFd2_14), .I3 ( present_state_FSM_FFd4_16), .I4 ( present_state_FSM_FFd3_13), .I5 (1'b0), .O ( present_state_FSM_FFd3_In) ); STATE_LOGIC_v8_1 #( .INIT (64'h0000000088880800)) present_state_FSM_FFd2_In1 ( .I0 ( S_AXI_AWVALID), .I1 ( S_AXI_WVALID), .I2 ( bready_timeout_c), .I3 ( present_state_FSM_FFd2_14), .I4 ( present_state_FSM_FFd4_16), .I5 (1'b0), .O ( present_state_FSM_FFd2_In) ); STATE_LOGIC_v8_1 #( .INIT (64'h00000000AAAA2000)) Mmux_addr_en_c_0_1 ( .I0 ( S_AXI_AWVALID), .I1 ( bready_timeout_c), .I2 ( present_state_FSM_FFd2_14), .I3 ( S_AXI_WVALID), .I4 ( present_state_FSM_FFd4_16), .I5 (1'b0), .O ( addr_en_c) ); STATE_LOGIC_v8_1 #( .INIT (64'hF5F07570F5F05500)) Mmux_w_ready_c_0_1 ( .I0 ( S_AXI_WVALID), .I1 ( bready_timeout_c), .I2 ( S_AXI_AWVALID), .I3 ( present_state_FSM_FFd3_13), .I4 ( present_state_FSM_FFd4_16), .I5 ( present_state_FSM_FFd2_14), .O ( w_ready_c) ); STATE_LOGIC_v8_1 #( .INIT (64'h88808880FFFF8880)) present_state_FSM_FFd1_In1 ( .I0 ( S_AXI_WVALID), .I1 ( bready_timeout_c), .I2 ( present_state_FSM_FFd3_13), .I3 ( present_state_FSM_FFd2_14), .I4 ( present_state_FSM_FFd1_15), .I5 ( S_AXI_BREADY), .O ( present_state_FSM_FFd1_In) ); STATE_LOGIC_v8_1 #( .INIT (64'h00000000000000A8)) Mmux_S_AXI_WR_EN_0_1 ( .I0 ( S_AXI_WVALID), .I1 ( present_state_FSM_FFd2_14), .I2 ( present_state_FSM_FFd3_13), .I3 (1'b0), .I4 (1'b0), .I5 (1'b0), .O ( NlwRenamedSignal_bvalid_c) ); STATE_LOGIC_v8_1 #( .INIT (64'h2F0F27072F0F2200)) present_state_FSM_FFd4_In1 ( .I0 ( S_AXI_WVALID), .I1 ( bready_timeout_c), .I2 ( S_AXI_AWVALID), .I3 ( present_state_FSM_FFd3_13), .I4 ( present_state_FSM_FFd4_16), .I5 ( present_state_FSM_FFd2_14), .O ( present_state_FSM_FFd4_In1_21) ); STATE_LOGIC_v8_1 #( .INIT (64'h00000000000000F8)) present_state_FSM_FFd4_In2 ( .I0 ( present_state_FSM_FFd1_15), .I1 ( S_AXI_BREADY), .I2 ( present_state_FSM_FFd4_In1_21), .I3 (1'b0), .I4 (1'b0), .I5 (1'b0), .O ( present_state_FSM_FFd4_In) ); STATE_LOGIC_v8_1 #( .INIT (64'h7535753575305500)) Mmux_aw_ready_c_0_1 ( .I0 ( S_AXI_AWVALID), .I1 ( bready_timeout_c), .I2 ( S_AXI_WVALID), .I3 ( present_state_FSM_FFd4_16), .I4 ( present_state_FSM_FFd3_13), .I5 ( present_state_FSM_FFd2_14), .O ( Mmux_aw_ready_c[0]) ); STATE_LOGIC_v8_1 #( .INIT (64'h00000000000000F8)) Mmux_aw_ready_c_0_2 ( .I0 ( present_state_FSM_FFd1_15), .I1 ( S_AXI_BREADY), .I2 ( Mmux_aw_ready_c[0]), .I3 (1'b0), .I4 (1'b0), .I5 (1'b0), .O ( aw_ready_c) ); end end endgenerate //--------------------------------------------------------------------- // AXI FULL //--------------------------------------------------------------------- generate if (C_AXI_TYPE == 1 ) begin : gbeh_axi_full_sm wire w_ready_r_8; wire w_ready_c; wire aw_ready_c; wire NlwRenamedSig_OI_bvalid_c; wire present_state_FSM_FFd1_16; wire present_state_FSM_FFd4_17; wire present_state_FSM_FFd3_18; wire present_state_FSM_FFd2_19; wire present_state_FSM_FFd4_In; wire present_state_FSM_FFd3_In; wire present_state_FSM_FFd2_In; wire present_state_FSM_FFd1_In; wire present_state_FSM_FFd2_In1_24; wire present_state_FSM_FFd4_In1_25; wire N2; wire N4; begin assign S_AXI_WREADY = w_ready_r_8, bvalid_c = NlwRenamedSig_OI_bvalid_c, S_AXI_BVALID = 1'b0; beh_vlog_ff_clr_v8_1 #( .INIT (1'b0)) aw_ready_r_2 ( .C ( S_ACLK), .CLR ( S_ARESETN), .D ( aw_ready_c), .Q ( aw_ready_r) ); beh_vlog_ff_clr_v8_1 #( .INIT (1'b0)) w_ready_r ( .C ( S_ACLK), .CLR ( S_ARESETN), .D ( w_ready_c), .Q ( w_ready_r_8) ); beh_vlog_ff_pre_v8_1 #( .INIT (1'b1)) present_state_FSM_FFd4 ( .C ( S_ACLK), .D ( present_state_FSM_FFd4_In), .PRE ( S_ARESETN), .Q ( present_state_FSM_FFd4_17) ); beh_vlog_ff_clr_v8_1 #( .INIT (1'b0)) present_state_FSM_FFd3 ( .C ( S_ACLK), .CLR ( S_ARESETN), .D ( present_state_FSM_FFd3_In), .Q ( present_state_FSM_FFd3_18) ); beh_vlog_ff_clr_v8_1 #( .INIT (1'b0)) present_state_FSM_FFd2 ( .C ( S_ACLK), .CLR ( S_ARESETN), .D ( present_state_FSM_FFd2_In), .Q ( present_state_FSM_FFd2_19) ); beh_vlog_ff_clr_v8_1 #( .INIT (1'b0)) present_state_FSM_FFd1 ( .C ( S_ACLK), .CLR ( S_ARESETN), .D ( present_state_FSM_FFd1_In), .Q ( present_state_FSM_FFd1_16) ); STATE_LOGIC_v8_1 #( .INIT (64'h0000000000005540)) present_state_FSM_FFd3_In1 ( .I0 ( S_AXI_WVALID), .I1 ( present_state_FSM_FFd4_17), .I2 ( S_AXI_AWVALID), .I3 ( present_state_FSM_FFd3_18), .I4 (1'b0), .I5 (1'b0), .O ( present_state_FSM_FFd3_In) ); STATE_LOGIC_v8_1 #( .INIT (64'hBF3FBB33AF0FAA00)) Mmux_aw_ready_c_0_2 ( .I0 ( S_AXI_BREADY), .I1 ( bready_timeout_c), .I2 ( S_AXI_AWVALID), .I3 ( present_state_FSM_FFd1_16), .I4 ( present_state_FSM_FFd4_17), .I5 ( NlwRenamedSig_OI_bvalid_c), .O ( aw_ready_c) ); STATE_LOGIC_v8_1 #( .INIT (64'hAAAAAAAA20000000)) Mmux_addr_en_c_0_1 ( .I0 ( S_AXI_AWVALID), .I1 ( bready_timeout_c), .I2 ( present_state_FSM_FFd2_19), .I3 ( S_AXI_WVALID), .I4 ( w_last_c), .I5 ( present_state_FSM_FFd4_17), .O ( addr_en_c) ); STATE_LOGIC_v8_1 #( .INIT (64'h00000000000000A8)) Mmux_S_AXI_WR_EN_0_1 ( .I0 ( S_AXI_WVALID), .I1 ( present_state_FSM_FFd2_19), .I2 ( present_state_FSM_FFd3_18), .I3 (1'b0), .I4 (1'b0), .I5 (1'b0), .O ( S_AXI_WR_EN) ); STATE_LOGIC_v8_1 #( .INIT (64'h0000000000002220)) Mmux_incr_addr_c_0_1 ( .I0 ( S_AXI_WVALID), .I1 ( w_last_c), .I2 ( present_state_FSM_FFd2_19), .I3 ( present_state_FSM_FFd3_18), .I4 (1'b0), .I5 (1'b0), .O ( incr_addr_c) ); STATE_LOGIC_v8_1 #( .INIT (64'h0000000000008880)) Mmux_aw_ready_c_0_11 ( .I0 ( S_AXI_WVALID), .I1 ( w_last_c), .I2 ( present_state_FSM_FFd2_19), .I3 ( present_state_FSM_FFd3_18), .I4 (1'b0), .I5 (1'b0), .O ( NlwRenamedSig_OI_bvalid_c) ); STATE_LOGIC_v8_1 #( .INIT (64'h000000000000D5C0)) present_state_FSM_FFd2_In1 ( .I0 ( w_last_c), .I1 ( S_AXI_AWVALID), .I2 ( present_state_FSM_FFd4_17), .I3 ( present_state_FSM_FFd3_18), .I4 (1'b0), .I5 (1'b0), .O ( present_state_FSM_FFd2_In1_24) ); STATE_LOGIC_v8_1 #( .INIT (64'hFFFFAAAA08AAAAAA)) present_state_FSM_FFd2_In2 ( .I0 ( present_state_FSM_FFd2_19), .I1 ( S_AXI_AWVALID), .I2 ( bready_timeout_c), .I3 ( w_last_c), .I4 ( S_AXI_WVALID), .I5 ( present_state_FSM_FFd2_In1_24), .O ( present_state_FSM_FFd2_In) ); STATE_LOGIC_v8_1 #( .INIT (64'h00C0004000C00000)) present_state_FSM_FFd4_In1 ( .I0 ( S_AXI_AWVALID), .I1 ( w_last_c), .I2 ( S_AXI_WVALID), .I3 ( bready_timeout_c), .I4 ( present_state_FSM_FFd3_18), .I5 ( present_state_FSM_FFd2_19), .O ( present_state_FSM_FFd4_In1_25) ); STATE_LOGIC_v8_1 #( .INIT (64'h00000000FFFF88F8)) present_state_FSM_FFd4_In2 ( .I0 ( present_state_FSM_FFd1_16), .I1 ( S_AXI_BREADY), .I2 ( present_state_FSM_FFd4_17), .I3 ( S_AXI_AWVALID), .I4 ( present_state_FSM_FFd4_In1_25), .I5 (1'b0), .O ( present_state_FSM_FFd4_In) ); STATE_LOGIC_v8_1 #( .INIT (64'h0000000000000007)) Mmux_w_ready_c_0_SW0 ( .I0 ( w_last_c), .I1 ( S_AXI_WVALID), .I2 (1'b0), .I3 (1'b0), .I4 (1'b0), .I5 (1'b0), .O ( N2) ); STATE_LOGIC_v8_1 #( .INIT (64'hFABAFABAFAAAF000)) Mmux_w_ready_c_0_Q ( .I0 ( N2), .I1 ( bready_timeout_c), .I2 ( S_AXI_AWVALID), .I3 ( present_state_FSM_FFd4_17), .I4 ( present_state_FSM_FFd3_18), .I5 ( present_state_FSM_FFd2_19), .O ( w_ready_c) ); STATE_LOGIC_v8_1 #( .INIT (64'h0000000000000008)) Mmux_aw_ready_c_0_11_SW0 ( .I0 ( bready_timeout_c), .I1 ( S_AXI_WVALID), .I2 (1'b0), .I3 (1'b0), .I4 (1'b0), .I5 (1'b0), .O ( N4) ); STATE_LOGIC_v8_1 #( .INIT (64'h88808880FFFF8880)) present_state_FSM_FFd1_In1 ( .I0 ( w_last_c), .I1 ( N4), .I2 ( present_state_FSM_FFd2_19), .I3 ( present_state_FSM_FFd3_18), .I4 ( present_state_FSM_FFd1_16), .I5 ( S_AXI_BREADY), .O ( present_state_FSM_FFd1_In) ); end end endgenerate endmodule module read_netlist_v8_1 #( parameter C_AXI_TYPE = 1, parameter C_ADDRB_WIDTH = 12 ) ( S_AXI_R_LAST_INT, S_ACLK, S_ARESETN, S_AXI_ARVALID, S_AXI_RREADY,S_AXI_INCR_ADDR,S_AXI_ADDR_EN, S_AXI_SINGLE_TRANS,S_AXI_MUX_SEL, S_AXI_R_LAST, S_AXI_ARREADY, S_AXI_RLAST, S_AXI_RVALID, S_AXI_RD_EN, S_AXI_ARLEN); input S_AXI_R_LAST_INT; input S_ACLK; input S_ARESETN; input S_AXI_ARVALID; input S_AXI_RREADY; output S_AXI_INCR_ADDR; output S_AXI_ADDR_EN; output S_AXI_SINGLE_TRANS; output S_AXI_MUX_SEL; output S_AXI_R_LAST; output S_AXI_ARREADY; output S_AXI_RLAST; output S_AXI_RVALID; output S_AXI_RD_EN; input [7:0] S_AXI_ARLEN; wire present_state_FSM_FFd1_13 ; wire present_state_FSM_FFd2_14 ; wire gaxi_full_sm_outstanding_read_r_15 ; wire gaxi_full_sm_ar_ready_r_16 ; wire gaxi_full_sm_r_last_r_17 ; wire NlwRenamedSig_OI_gaxi_full_sm_r_valid_r ; wire gaxi_full_sm_r_valid_c ; wire S_AXI_RREADY_gaxi_full_sm_r_valid_r_OR_9_o ; wire gaxi_full_sm_ar_ready_c ; wire gaxi_full_sm_outstanding_read_c ; wire NlwRenamedSig_OI_S_AXI_R_LAST ; wire S_AXI_ARLEN_7_GND_8_o_equal_1_o ; wire present_state_FSM_FFd2_In ; wire present_state_FSM_FFd1_In ; wire Mmux_S_AXI_R_LAST13 ; wire N01 ; wire N2 ; wire Mmux_gaxi_full_sm_ar_ready_c11 ; wire N4 ; wire N8 ; wire N9 ; wire N10 ; wire N11 ; wire N12 ; wire N13 ; assign S_AXI_R_LAST = NlwRenamedSig_OI_S_AXI_R_LAST, S_AXI_ARREADY = gaxi_full_sm_ar_ready_r_16, S_AXI_RLAST = gaxi_full_sm_r_last_r_17, S_AXI_RVALID = NlwRenamedSig_OI_gaxi_full_sm_r_valid_r; beh_vlog_ff_clr_v8_1 #( .INIT (1'b0)) gaxi_full_sm_outstanding_read_r ( .C (S_ACLK), .CLR(S_ARESETN), .D(gaxi_full_sm_outstanding_read_c), .Q(gaxi_full_sm_outstanding_read_r_15) ); beh_vlog_ff_ce_clr_v8_1 #( .INIT (1'b0)) gaxi_full_sm_r_valid_r ( .C (S_ACLK), .CE (S_AXI_RREADY_gaxi_full_sm_r_valid_r_OR_9_o), .CLR (S_ARESETN), .D (gaxi_full_sm_r_valid_c), .Q (NlwRenamedSig_OI_gaxi_full_sm_r_valid_r) ); beh_vlog_ff_clr_v8_1 #( .INIT (1'b0)) gaxi_full_sm_ar_ready_r ( .C (S_ACLK), .CLR (S_ARESETN), .D (gaxi_full_sm_ar_ready_c), .Q (gaxi_full_sm_ar_ready_r_16) ); beh_vlog_ff_ce_clr_v8_1 #( .INIT(1'b0)) gaxi_full_sm_r_last_r ( .C (S_ACLK), .CE (S_AXI_RREADY_gaxi_full_sm_r_valid_r_OR_9_o), .CLR (S_ARESETN), .D (NlwRenamedSig_OI_S_AXI_R_LAST), .Q (gaxi_full_sm_r_last_r_17) ); beh_vlog_ff_clr_v8_1 #( .INIT (1'b0)) present_state_FSM_FFd2 ( .C ( S_ACLK), .CLR ( S_ARESETN), .D ( present_state_FSM_FFd2_In), .Q ( present_state_FSM_FFd2_14) ); beh_vlog_ff_clr_v8_1 #( .INIT (1'b0)) present_state_FSM_FFd1 ( .C (S_ACLK), .CLR (S_ARESETN), .D (present_state_FSM_FFd1_In), .Q (present_state_FSM_FFd1_13) ); STATE_LOGIC_v8_1 #( .INIT (64'h000000000000000B)) S_AXI_RREADY_gaxi_full_sm_r_valid_r_OR_9_o1 ( .I0 ( S_AXI_RREADY), .I1 ( NlwRenamedSig_OI_gaxi_full_sm_r_valid_r), .I2 (1'b0), .I3 (1'b0), .I4 (1'b0), .I5 (1'b0), .O (S_AXI_RREADY_gaxi_full_sm_r_valid_r_OR_9_o) ); STATE_LOGIC_v8_1 #( .INIT (64'h0000000000000008)) Mmux_S_AXI_SINGLE_TRANS11 ( .I0 (S_AXI_ARVALID), .I1 (S_AXI_ARLEN_7_GND_8_o_equal_1_o), .I2 (1'b0), .I3 (1'b0), .I4 (1'b0), .I5 (1'b0), .O (S_AXI_SINGLE_TRANS) ); STATE_LOGIC_v8_1 #( .INIT (64'h0000000000000004)) Mmux_S_AXI_ADDR_EN11 ( .I0 (present_state_FSM_FFd1_13), .I1 (S_AXI_ARVALID), .I2 (1'b0), .I3 (1'b0), .I4 (1'b0), .I5 (1'b0), .O (S_AXI_ADDR_EN) ); STATE_LOGIC_v8_1 #( .INIT (64'hECEE2022EEEE2022)) present_state_FSM_FFd2_In1 ( .I0 ( S_AXI_ARVALID), .I1 ( present_state_FSM_FFd1_13), .I2 ( S_AXI_RREADY), .I3 ( S_AXI_ARLEN_7_GND_8_o_equal_1_o), .I4 ( present_state_FSM_FFd2_14), .I5 ( NlwRenamedSig_OI_gaxi_full_sm_r_valid_r), .O ( present_state_FSM_FFd2_In) ); STATE_LOGIC_v8_1 #( .INIT (64'h0000000044440444)) Mmux_S_AXI_R_LAST131 ( .I0 ( present_state_FSM_FFd1_13), .I1 ( S_AXI_ARVALID), .I2 ( present_state_FSM_FFd2_14), .I3 ( NlwRenamedSig_OI_gaxi_full_sm_r_valid_r), .I4 ( S_AXI_RREADY), .I5 (1'b0), .O ( Mmux_S_AXI_R_LAST13) ); STATE_LOGIC_v8_1 #( .INIT (64'h4000FFFF40004000)) Mmux_S_AXI_INCR_ADDR11 ( .I0 ( S_AXI_R_LAST_INT), .I1 ( S_AXI_RREADY_gaxi_full_sm_r_valid_r_OR_9_o), .I2 ( present_state_FSM_FFd2_14), .I3 ( present_state_FSM_FFd1_13), .I4 ( S_AXI_ARLEN_7_GND_8_o_equal_1_o), .I5 ( Mmux_S_AXI_R_LAST13), .O ( S_AXI_INCR_ADDR) ); STATE_LOGIC_v8_1 #( .INIT (64'h00000000000000FE)) S_AXI_ARLEN_7_GND_8_o_equal_1_o_7_SW0 ( .I0 ( S_AXI_ARLEN[2]), .I1 ( S_AXI_ARLEN[1]), .I2 ( S_AXI_ARLEN[0]), .I3 ( 1'b0), .I4 ( 1'b0), .I5 ( 1'b0), .O ( N01) ); STATE_LOGIC_v8_1 #( .INIT (64'h0000000000000001)) S_AXI_ARLEN_7_GND_8_o_equal_1_o_7_Q ( .I0 ( S_AXI_ARLEN[7]), .I1 ( S_AXI_ARLEN[6]), .I2 ( S_AXI_ARLEN[5]), .I3 ( S_AXI_ARLEN[4]), .I4 ( S_AXI_ARLEN[3]), .I5 ( N01), .O ( S_AXI_ARLEN_7_GND_8_o_equal_1_o) ); STATE_LOGIC_v8_1 #( .INIT (64'h0000000000000007)) Mmux_gaxi_full_sm_outstanding_read_c1_SW0 ( .I0 ( S_AXI_ARVALID), .I1 ( S_AXI_ARLEN_7_GND_8_o_equal_1_o), .I2 ( 1'b0), .I3 ( 1'b0), .I4 ( 1'b0), .I5 ( 1'b0), .O ( N2) ); STATE_LOGIC_v8_1 #( .INIT (64'h0020000002200200)) Mmux_gaxi_full_sm_outstanding_read_c1 ( .I0 ( NlwRenamedSig_OI_gaxi_full_sm_r_valid_r), .I1 ( S_AXI_RREADY), .I2 ( present_state_FSM_FFd1_13), .I3 ( present_state_FSM_FFd2_14), .I4 ( gaxi_full_sm_outstanding_read_r_15), .I5 ( N2), .O ( gaxi_full_sm_outstanding_read_c) ); STATE_LOGIC_v8_1 #( .INIT (64'h0000000000004555)) Mmux_gaxi_full_sm_ar_ready_c12 ( .I0 ( S_AXI_ARVALID), .I1 ( S_AXI_RREADY), .I2 ( present_state_FSM_FFd2_14), .I3 ( NlwRenamedSig_OI_gaxi_full_sm_r_valid_r), .I4 ( 1'b0), .I5 ( 1'b0), .O ( Mmux_gaxi_full_sm_ar_ready_c11) ); STATE_LOGIC_v8_1 #( .INIT (64'h00000000000000EF)) Mmux_S_AXI_R_LAST11_SW0 ( .I0 ( S_AXI_ARLEN_7_GND_8_o_equal_1_o), .I1 ( S_AXI_RREADY), .I2 ( NlwRenamedSig_OI_gaxi_full_sm_r_valid_r), .I3 ( 1'b0), .I4 ( 1'b0), .I5 ( 1'b0), .O ( N4) ); STATE_LOGIC_v8_1 #( .INIT (64'hFCAAFC0A00AA000A)) Mmux_S_AXI_R_LAST11 ( .I0 ( S_AXI_ARVALID), .I1 ( gaxi_full_sm_outstanding_read_r_15), .I2 ( present_state_FSM_FFd2_14), .I3 ( present_state_FSM_FFd1_13), .I4 ( N4), .I5 ( S_AXI_RREADY_gaxi_full_sm_r_valid_r_OR_9_o), .O ( gaxi_full_sm_r_valid_c) ); STATE_LOGIC_v8_1 #( .INIT (64'h00000000AAAAAA08)) S_AXI_MUX_SEL1 ( .I0 (present_state_FSM_FFd1_13), .I1 (NlwRenamedSig_OI_gaxi_full_sm_r_valid_r), .I2 (S_AXI_RREADY), .I3 (present_state_FSM_FFd2_14), .I4 (gaxi_full_sm_outstanding_read_r_15), .I5 (1'b0), .O (S_AXI_MUX_SEL) ); STATE_LOGIC_v8_1 #( .INIT (64'hF3F3F755A2A2A200)) Mmux_S_AXI_RD_EN11 ( .I0 ( present_state_FSM_FFd1_13), .I1 ( NlwRenamedSig_OI_gaxi_full_sm_r_valid_r), .I2 ( S_AXI_RREADY), .I3 ( gaxi_full_sm_outstanding_read_r_15), .I4 ( present_state_FSM_FFd2_14), .I5 ( S_AXI_ARVALID), .O ( S_AXI_RD_EN) ); beh_vlog_muxf7_v8_1 present_state_FSM_FFd1_In3 ( .I0 ( N8), .I1 ( N9), .S ( present_state_FSM_FFd1_13), .O ( present_state_FSM_FFd1_In) ); STATE_LOGIC_v8_1 #( .INIT (64'h000000005410F4F0)) present_state_FSM_FFd1_In3_F ( .I0 ( S_AXI_RREADY), .I1 ( present_state_FSM_FFd2_14), .I2 ( S_AXI_ARVALID), .I3 ( NlwRenamedSig_OI_gaxi_full_sm_r_valid_r), .I4 ( S_AXI_ARLEN_7_GND_8_o_equal_1_o), .I5 ( 1'b0), .O ( N8) ); STATE_LOGIC_v8_1 #( .INIT (64'h0000000072FF7272)) present_state_FSM_FFd1_In3_G ( .I0 ( present_state_FSM_FFd2_14), .I1 ( S_AXI_R_LAST_INT), .I2 ( gaxi_full_sm_outstanding_read_r_15), .I3 ( S_AXI_RREADY), .I4 ( NlwRenamedSig_OI_gaxi_full_sm_r_valid_r), .I5 ( 1'b0), .O ( N9) ); beh_vlog_muxf7_v8_1 Mmux_gaxi_full_sm_ar_ready_c14 ( .I0 ( N10), .I1 ( N11), .S ( present_state_FSM_FFd1_13), .O ( gaxi_full_sm_ar_ready_c) ); STATE_LOGIC_v8_1 #( .INIT (64'h00000000FFFF88A8)) Mmux_gaxi_full_sm_ar_ready_c14_F ( .I0 ( S_AXI_ARLEN_7_GND_8_o_equal_1_o), .I1 ( S_AXI_RREADY), .I2 ( present_state_FSM_FFd2_14), .I3 ( NlwRenamedSig_OI_gaxi_full_sm_r_valid_r), .I4 ( Mmux_gaxi_full_sm_ar_ready_c11), .I5 ( 1'b0), .O ( N10) ); STATE_LOGIC_v8_1 #( .INIT (64'h000000008D008D8D)) Mmux_gaxi_full_sm_ar_ready_c14_G ( .I0 ( present_state_FSM_FFd2_14), .I1 ( S_AXI_R_LAST_INT), .I2 ( gaxi_full_sm_outstanding_read_r_15), .I3 ( S_AXI_RREADY), .I4 ( NlwRenamedSig_OI_gaxi_full_sm_r_valid_r), .I5 ( 1'b0), .O ( N11) ); beh_vlog_muxf7_v8_1 Mmux_S_AXI_R_LAST1 ( .I0 ( N12), .I1 ( N13), .S ( present_state_FSM_FFd1_13), .O ( NlwRenamedSig_OI_S_AXI_R_LAST) ); STATE_LOGIC_v8_1 #( .INIT (64'h0000000088088888)) Mmux_S_AXI_R_LAST1_F ( .I0 ( S_AXI_ARLEN_7_GND_8_o_equal_1_o), .I1 ( S_AXI_ARVALID), .I2 ( present_state_FSM_FFd2_14), .I3 ( S_AXI_RREADY), .I4 ( NlwRenamedSig_OI_gaxi_full_sm_r_valid_r), .I5 ( 1'b0), .O ( N12) ); STATE_LOGIC_v8_1 #( .INIT (64'h00000000E400E4E4)) Mmux_S_AXI_R_LAST1_G ( .I0 ( present_state_FSM_FFd2_14), .I1 ( gaxi_full_sm_outstanding_read_r_15), .I2 ( S_AXI_R_LAST_INT), .I3 ( S_AXI_RREADY), .I4 ( NlwRenamedSig_OI_gaxi_full_sm_r_valid_r), .I5 ( 1'b0), .O ( N13) ); endmodule module blk_mem_axi_write_wrapper_beh_v8_1 # ( // AXI Interface related parameters start here parameter C_INTERFACE_TYPE = 0, // 0: Native Interface; 1: AXI Interface parameter C_AXI_TYPE = 0, // 0: AXI Lite; 1: AXI Full; parameter C_AXI_SLAVE_TYPE = 0, // 0: MEMORY SLAVE; 1: PERIPHERAL SLAVE; parameter C_MEMORY_TYPE = 0, // 0: SP-RAM, 1: SDP-RAM; 2: TDP-RAM; 3: DP-ROM; parameter C_WRITE_DEPTH_A = 0, parameter C_AXI_AWADDR_WIDTH = 32, parameter C_ADDRA_WIDTH = 12, parameter C_AXI_WDATA_WIDTH = 32, parameter C_HAS_AXI_ID = 0, parameter C_AXI_ID_WIDTH = 4, // AXI OUTSTANDING WRITES parameter C_AXI_OS_WR = 2 ) ( // AXI Global Signals input S_ACLK, input S_ARESETN, // AXI Full/Lite Slave Write Channel (write side) input [C_AXI_ID_WIDTH-1:0] S_AXI_AWID, input [C_AXI_AWADDR_WIDTH-1:0] S_AXI_AWADDR, input [8-1:0] S_AXI_AWLEN, input [2:0] S_AXI_AWSIZE, input [1:0] S_AXI_AWBURST, input S_AXI_AWVALID, output S_AXI_AWREADY, input S_AXI_WVALID, output S_AXI_WREADY, output reg [C_AXI_ID_WIDTH-1:0] S_AXI_BID = 0, output S_AXI_BVALID, input S_AXI_BREADY, // Signals for BMG interface output [C_ADDRA_WIDTH-1:0] S_AXI_AWADDR_OUT, output S_AXI_WR_EN ); localparam FLOP_DELAY = 100; // 100 ps localparam C_RANGE = ((C_AXI_WDATA_WIDTH == 8)?0: ((C_AXI_WDATA_WIDTH==16)?1: ((C_AXI_WDATA_WIDTH==32)?2: ((C_AXI_WDATA_WIDTH==64)?3: ((C_AXI_WDATA_WIDTH==128)?4: ((C_AXI_WDATA_WIDTH==256)?5:0)))))); wire bvalid_c ; reg bready_timeout_c = 0; wire [1:0] bvalid_rd_cnt_c; reg bvalid_r = 0; reg [2:0] bvalid_count_r = 0; reg [((C_AXI_TYPE == 1 && C_AXI_SLAVE_TYPE == 0)? C_AXI_AWADDR_WIDTH:C_ADDRA_WIDTH)-1:0] awaddr_reg = 0; reg [1:0] bvalid_wr_cnt_r = 0; reg [1:0] bvalid_rd_cnt_r = 0; wire w_last_c ; wire addr_en_c ; wire incr_addr_c ; wire aw_ready_r ; wire dec_alen_c ; reg bvalid_d1_c = 0; reg [7:0] awlen_cntr_r = 0; reg [7:0] awlen_int = 0; reg [1:0] awburst_int = 0; integer total_bytes = 0; integer wrap_boundary = 0; integer wrap_base_addr = 0; integer num_of_bytes_c = 0; integer num_of_bytes_r = 0; // Array to store BIDs reg [C_AXI_ID_WIDTH-1:0] axi_bid_array[3:0] ; wire S_AXI_BVALID_axi_wr_fsm; //------------------------------------- //AXI WRITE FSM COMPONENT INSTANTIATION //------------------------------------- write_netlist_v8_1 #(.C_AXI_TYPE(C_AXI_TYPE)) axi_wr_fsm ( .S_ACLK(S_ACLK), .S_ARESETN(S_ARESETN), .S_AXI_AWVALID(S_AXI_AWVALID), .aw_ready_r(aw_ready_r), .S_AXI_WVALID(S_AXI_WVALID), .S_AXI_WREADY(S_AXI_WREADY), .S_AXI_BREADY(S_AXI_BREADY), .S_AXI_WR_EN(S_AXI_WR_EN), .w_last_c(w_last_c), .bready_timeout_c(bready_timeout_c), .addr_en_c(addr_en_c), .incr_addr_c(incr_addr_c), .bvalid_c(bvalid_c), .S_AXI_BVALID (S_AXI_BVALID_axi_wr_fsm) ); //Wrap Address boundary calculation always@(*) begin num_of_bytes_c = 2**((C_AXI_TYPE == 1 && C_AXI_SLAVE_TYPE == 0)?S_AXI_AWSIZE:0); total_bytes = (num_of_bytes_r)*(awlen_int+1); wrap_base_addr = ((awaddr_reg)/((total_bytes==0)?1:total_bytes))*(total_bytes); wrap_boundary = wrap_base_addr+total_bytes; end //------------------------------------------------------------------------- // BMG address generation //------------------------------------------------------------------------- always @(posedge S_ACLK or S_ARESETN) begin if (S_ARESETN == 1'b1) begin awaddr_reg <= 0; num_of_bytes_r <= 0; awburst_int <= 0; end else begin if (addr_en_c == 1'b1) begin awaddr_reg <= #FLOP_DELAY S_AXI_AWADDR ; num_of_bytes_r <= num_of_bytes_c; awburst_int <= ((C_AXI_TYPE == 1 && C_AXI_SLAVE_TYPE == 0)?S_AXI_AWBURST:2'b01); end else if (incr_addr_c == 1'b1) begin if (awburst_int == 2'b10) begin if(awaddr_reg == (wrap_boundary-num_of_bytes_r)) begin awaddr_reg <= wrap_base_addr; end else begin awaddr_reg <= awaddr_reg + num_of_bytes_r; end end else if (awburst_int == 2'b01 || awburst_int == 2'b11) begin awaddr_reg <= awaddr_reg + num_of_bytes_r; end end end end assign S_AXI_AWADDR_OUT = ((C_AXI_TYPE == 1 && C_AXI_SLAVE_TYPE == 0)? awaddr_reg[C_AXI_AWADDR_WIDTH-1:C_RANGE]:awaddr_reg); //------------------------------------------------------------------------- // AXI wlast generation //------------------------------------------------------------------------- always @(posedge S_ACLK or S_ARESETN) begin if (S_ARESETN == 1'b1) begin awlen_cntr_r <= 0; awlen_int <= 0; end else begin if (addr_en_c == 1'b1) begin awlen_int <= #FLOP_DELAY (C_AXI_TYPE == 0?0:S_AXI_AWLEN) ; awlen_cntr_r <= #FLOP_DELAY (C_AXI_TYPE == 0?0:S_AXI_AWLEN) ; end else if (dec_alen_c == 1'b1) begin awlen_cntr_r <= #FLOP_DELAY awlen_cntr_r - 1 ; end end end assign w_last_c = (awlen_cntr_r == 0 && S_AXI_WVALID == 1'b1)?1'b1:1'b0; assign dec_alen_c = (incr_addr_c | w_last_c); //------------------------------------------------------------------------- // Generation of bvalid counter for outstanding transactions //------------------------------------------------------------------------- always @(posedge S_ACLK or S_ARESETN) begin if (S_ARESETN == 1'b1) begin bvalid_count_r <= 0; end else begin // bvalid_count_r generation if (bvalid_c == 1'b1 && bvalid_r == 1'b1 && S_AXI_BREADY == 1'b1) begin bvalid_count_r <= #FLOP_DELAY bvalid_count_r ; end else if (bvalid_c == 1'b1) begin bvalid_count_r <= #FLOP_DELAY bvalid_count_r + 1 ; end else if (bvalid_r == 1'b1 && S_AXI_BREADY == 1'b1 && bvalid_count_r != 0) begin bvalid_count_r <= #FLOP_DELAY bvalid_count_r - 1 ; end end end //------------------------------------------------------------------------- // Generation of bvalid when BID is used //------------------------------------------------------------------------- generate if (C_HAS_AXI_ID == 1) begin:gaxi_bvalid_id_r always @(posedge S_ACLK or S_ARESETN) begin if (S_ARESETN == 1'b1) begin bvalid_r <= 0; bvalid_d1_c <= 0; end else begin // Delay the generation o bvalid_r for generation for BID bvalid_d1_c <= bvalid_c; //external bvalid signal generation if (bvalid_d1_c == 1'b1) begin bvalid_r <= #FLOP_DELAY 1'b1 ; end else if (bvalid_count_r <= 1 && S_AXI_BREADY == 1'b1) begin bvalid_r <= #FLOP_DELAY 0 ; end end end end endgenerate //------------------------------------------------------------------------- // Generation of bvalid when BID is not used //------------------------------------------------------------------------- generate if(C_HAS_AXI_ID == 0) begin:gaxi_bvalid_noid_r always @(posedge S_ACLK or S_ARESETN) begin if (S_ARESETN == 1'b1) begin bvalid_r <= 0; end else begin //external bvalid signal generation if (bvalid_c == 1'b1) begin bvalid_r <= #FLOP_DELAY 1'b1 ; end else if (bvalid_count_r <= 1 && S_AXI_BREADY == 1'b1) begin bvalid_r <= #FLOP_DELAY 0 ; end end end end endgenerate //------------------------------------------------------------------------- // Generation of Bready timeout //------------------------------------------------------------------------- always @(bvalid_count_r) begin // bready_timeout_c generation if(bvalid_count_r == C_AXI_OS_WR-1) begin bready_timeout_c <= 1'b1; end else begin bready_timeout_c <= 1'b0; end end //------------------------------------------------------------------------- // Generation of BID //------------------------------------------------------------------------- generate if(C_HAS_AXI_ID == 1) begin:gaxi_bid_gen always @(posedge S_ACLK or S_ARESETN) begin if (S_ARESETN == 1'b1) begin bvalid_wr_cnt_r <= 0; bvalid_rd_cnt_r <= 0; end else begin // STORE AWID IN AN ARRAY if(bvalid_c == 1'b1) begin bvalid_wr_cnt_r <= bvalid_wr_cnt_r + 1; end // generate BID FROM AWID ARRAY bvalid_rd_cnt_r <= #FLOP_DELAY bvalid_rd_cnt_c ; S_AXI_BID <= axi_bid_array[bvalid_rd_cnt_c]; end end assign bvalid_rd_cnt_c = (bvalid_r == 1'b1 && S_AXI_BREADY == 1'b1)?bvalid_rd_cnt_r+1:bvalid_rd_cnt_r; //------------------------------------------------------------------------- // Storing AWID for generation of BID //------------------------------------------------------------------------- always @(posedge S_ACLK or S_ARESETN) begin if(S_ARESETN == 1'b1) begin axi_bid_array[0] = 0; axi_bid_array[1] = 0; axi_bid_array[2] = 0; axi_bid_array[3] = 0; end else if(aw_ready_r == 1'b1 && S_AXI_AWVALID == 1'b1) begin axi_bid_array[bvalid_wr_cnt_r] <= S_AXI_AWID; end end end endgenerate assign S_AXI_BVALID = bvalid_r; assign S_AXI_AWREADY = aw_ready_r; endmodule module blk_mem_axi_read_wrapper_beh_v8_1 # ( //// AXI Interface related parameters start here parameter C_INTERFACE_TYPE = 0, parameter C_AXI_TYPE = 0, parameter C_AXI_SLAVE_TYPE = 0, parameter C_MEMORY_TYPE = 0, parameter C_WRITE_WIDTH_A = 4, parameter C_WRITE_DEPTH_A = 32, parameter C_ADDRA_WIDTH = 12, parameter C_AXI_PIPELINE_STAGES = 0, parameter C_AXI_ARADDR_WIDTH = 12, parameter C_HAS_AXI_ID = 0, parameter C_AXI_ID_WIDTH = 4, parameter C_ADDRB_WIDTH = 12 ) ( //// AXI Global Signals input S_ACLK, input S_ARESETN, //// AXI Full/Lite Slave Read (Read side) input [C_AXI_ARADDR_WIDTH-1:0] S_AXI_ARADDR, input [7:0] S_AXI_ARLEN, input [2:0] S_AXI_ARSIZE, input [1:0] S_AXI_ARBURST, input S_AXI_ARVALID, output S_AXI_ARREADY, output S_AXI_RLAST, output S_AXI_RVALID, input S_AXI_RREADY, input [C_AXI_ID_WIDTH-1:0] S_AXI_ARID, output reg [C_AXI_ID_WIDTH-1:0] S_AXI_RID = 0, //// AXI Full/Lite Read Address Signals to BRAM output [C_ADDRB_WIDTH-1:0] S_AXI_ARADDR_OUT, output S_AXI_RD_EN ); localparam FLOP_DELAY = 100; // 100 ps localparam C_RANGE = ((C_WRITE_WIDTH_A == 8)?0: ((C_WRITE_WIDTH_A==16)?1: ((C_WRITE_WIDTH_A==32)?2: ((C_WRITE_WIDTH_A==64)?3: ((C_WRITE_WIDTH_A==128)?4: ((C_WRITE_WIDTH_A==256)?5:0)))))); reg [C_AXI_ID_WIDTH-1:0] ar_id_r=0; wire addr_en_c; wire rd_en_c; wire incr_addr_c; wire single_trans_c; wire dec_alen_c; wire mux_sel_c; wire r_last_c; wire r_last_int_c; wire [C_ADDRB_WIDTH-1 : 0] araddr_out; reg [7:0] arlen_int_r=0; reg [7:0] arlen_cntr=8'h01; reg [1:0] arburst_int_c=0; reg [1:0] arburst_int_r=0; reg [((C_AXI_TYPE == 1 && C_AXI_SLAVE_TYPE == 0)? C_AXI_ARADDR_WIDTH:C_ADDRA_WIDTH)-1:0] araddr_reg =0; integer num_of_bytes_c = 0; integer total_bytes = 0; integer num_of_bytes_r = 0; integer wrap_base_addr_r = 0; integer wrap_boundary_r = 0; reg [7:0] arlen_int_c=0; integer total_bytes_c = 0; integer wrap_base_addr_c = 0; integer wrap_boundary_c = 0; assign dec_alen_c = incr_addr_c | r_last_int_c; read_netlist_v8_1 #(.C_AXI_TYPE (1), .C_ADDRB_WIDTH (C_ADDRB_WIDTH)) axi_read_fsm ( .S_AXI_INCR_ADDR(incr_addr_c), .S_AXI_ADDR_EN(addr_en_c), .S_AXI_SINGLE_TRANS(single_trans_c), .S_AXI_MUX_SEL(mux_sel_c), .S_AXI_R_LAST(r_last_c), .S_AXI_R_LAST_INT(r_last_int_c), //// AXI Global Signals .S_ACLK(S_ACLK), .S_ARESETN(S_ARESETN), //// AXI Full/Lite Slave Read (Read side) .S_AXI_ARLEN(S_AXI_ARLEN), .S_AXI_ARVALID(S_AXI_ARVALID), .S_AXI_ARREADY(S_AXI_ARREADY), .S_AXI_RLAST(S_AXI_RLAST), .S_AXI_RVALID(S_AXI_RVALID), .S_AXI_RREADY(S_AXI_RREADY), //// AXI Full/Lite Read Address Signals to BRAM .S_AXI_RD_EN(rd_en_c) ); always@(*) begin num_of_bytes_c = 2**((C_AXI_TYPE == 1 && C_AXI_SLAVE_TYPE == 0)?S_AXI_ARSIZE:0); total_bytes = (num_of_bytes_r)*(arlen_int_r+1); wrap_base_addr_r = ((araddr_reg)/(total_bytes==0?1:total_bytes))*(total_bytes); wrap_boundary_r = wrap_base_addr_r+total_bytes; //////// combinatorial from interface arlen_int_c = (C_AXI_TYPE == 0?0:S_AXI_ARLEN); total_bytes_c = (num_of_bytes_c)*(arlen_int_c+1); wrap_base_addr_c = ((S_AXI_ARADDR)/(total_bytes_c==0?1:total_bytes_c))*(total_bytes_c); wrap_boundary_c = wrap_base_addr_c+total_bytes_c; arburst_int_c = ((C_AXI_TYPE == 1 && C_AXI_SLAVE_TYPE == 0)?S_AXI_ARBURST:1); end ////------------------------------------------------------------------------- //// BMG address generation ////------------------------------------------------------------------------- always @(posedge S_ACLK or S_ARESETN) begin if (S_ARESETN == 1'b1) begin araddr_reg <= 0; arburst_int_r <= 0; num_of_bytes_r <= 0; end else begin if (incr_addr_c == 1'b1 && addr_en_c == 1'b1 && single_trans_c == 1'b0) begin arburst_int_r <= arburst_int_c; num_of_bytes_r <= num_of_bytes_c; if (arburst_int_c == 2'b10) begin if(S_AXI_ARADDR == (wrap_boundary_c-num_of_bytes_c)) begin araddr_reg <= wrap_base_addr_c; end else begin araddr_reg <= S_AXI_ARADDR + num_of_bytes_c; end end else if (arburst_int_c == 2'b01 || arburst_int_c == 2'b11) begin araddr_reg <= S_AXI_ARADDR + num_of_bytes_c; end end else if (addr_en_c == 1'b1) begin araddr_reg <= S_AXI_ARADDR; num_of_bytes_r <= num_of_bytes_c; arburst_int_r <= arburst_int_c; end else if (incr_addr_c == 1'b1) begin if (arburst_int_r == 2'b10) begin if(araddr_reg == (wrap_boundary_r-num_of_bytes_r)) begin araddr_reg <= wrap_base_addr_r; end else begin araddr_reg <= araddr_reg + num_of_bytes_r; end end else if (arburst_int_r == 2'b01 || arburst_int_r == 2'b11) begin araddr_reg <= araddr_reg + num_of_bytes_r; end end end end assign araddr_out = ((C_AXI_TYPE == 1 && C_AXI_SLAVE_TYPE == 0)?araddr_reg[C_AXI_ARADDR_WIDTH-1:C_RANGE]:araddr_reg); ////----------------------------------------------------------------------- //// Counter to generate r_last_int_c from registered ARLEN - AXI FULL FSM ////----------------------------------------------------------------------- always @(posedge S_ACLK or S_ARESETN) begin if (S_ARESETN == 1'b1) begin arlen_cntr <= 8'h01; arlen_int_r <= 0; end else begin if (addr_en_c == 1'b1 && dec_alen_c == 1'b1 && single_trans_c == 1'b0) begin arlen_int_r <= (C_AXI_TYPE == 0?0:S_AXI_ARLEN) ; arlen_cntr <= S_AXI_ARLEN - 1'b1; end else if (addr_en_c == 1'b1) begin arlen_int_r <= (C_AXI_TYPE == 0?0:S_AXI_ARLEN) ; arlen_cntr <= (C_AXI_TYPE == 0?0:S_AXI_ARLEN) ; end else if (dec_alen_c == 1'b1) begin arlen_cntr <= arlen_cntr - 1'b1 ; end else begin arlen_cntr <= arlen_cntr; end end end assign r_last_int_c = (arlen_cntr == 0 && S_AXI_RREADY == 1'b1)?1'b1:1'b0; ////------------------------------------------------------------------------ //// AXI FULL FSM //// Mux Selection of ARADDR //// ARADDR is driven out from the read fsm based on the mux_sel_c //// Based on mux_sel either ARADDR is given out or the latched ARADDR is //// given out to BRAM ////------------------------------------------------------------------------ assign S_AXI_ARADDR_OUT = (mux_sel_c == 1'b0)?((C_AXI_TYPE == 1 && C_AXI_SLAVE_TYPE == 0)?S_AXI_ARADDR[C_AXI_ARADDR_WIDTH-1:C_RANGE]:S_AXI_ARADDR):araddr_out; ////------------------------------------------------------------------------ //// Assign output signals - AXI FULL FSM ////------------------------------------------------------------------------ assign S_AXI_RD_EN = rd_en_c; generate if (C_HAS_AXI_ID == 1) begin:gaxi_bvalid_id_r always @(posedge S_ACLK or S_ARESETN) begin if (S_ARESETN == 1'b1) begin S_AXI_RID <= 0; ar_id_r <= 0; end else begin if (addr_en_c == 1'b1 && rd_en_c == 1'b1) begin S_AXI_RID <= S_AXI_ARID; ar_id_r <= S_AXI_ARID; end else if (addr_en_c == 1'b1 && rd_en_c == 1'b0) begin ar_id_r <= S_AXI_ARID; end else if (rd_en_c == 1'b1) begin S_AXI_RID <= ar_id_r; end end end end endgenerate endmodule module blk_mem_axi_regs_fwd_v8_1 #(parameter C_DATA_WIDTH = 8 )( input ACLK, input ARESET, input S_VALID, output S_READY, input [C_DATA_WIDTH-1:0] S_PAYLOAD_DATA, output M_VALID, input M_READY, output reg [C_DATA_WIDTH-1:0] M_PAYLOAD_DATA ); reg [C_DATA_WIDTH-1:0] STORAGE_DATA; wire S_READY_I; reg M_VALID_I; reg [1:0] ARESET_D; //assign local signal to its output signal assign S_READY = S_READY_I; assign M_VALID = M_VALID_I; always @(posedge ACLK) begin ARESET_D <= {ARESET_D[0], ARESET}; end //Save payload data whenever we have a transaction on the slave side always @(posedge ACLK or ARESET) begin if (ARESET == 1'b1) begin STORAGE_DATA <= 0; end else begin if(S_VALID == 1'b1 && S_READY_I == 1'b1 ) begin STORAGE_DATA <= S_PAYLOAD_DATA; end end end always @(posedge ACLK) begin M_PAYLOAD_DATA = STORAGE_DATA; end //M_Valid set to high when we have a completed transfer on slave side //Is removed on a M_READY except if we have a new transfer on the slave side always @(posedge ACLK or ARESET_D) begin if (ARESET_D != 2'b00) begin M_VALID_I <= 1'b0; end else begin if (S_VALID == 1'b1) begin //Always set M_VALID_I when slave side is valid M_VALID_I <= 1'b1; end else if (M_READY == 1'b1 ) begin //Clear (or keep) when no slave side is valid but master side is ready M_VALID_I <= 1'b0; end end end //Slave Ready is either when Master side drives M_READY or we have space in our storage data assign S_READY_I = (M_READY || (!M_VALID_I)) && !(|(ARESET_D)); endmodule //***************************************************************************** // Output Register Stage module // // This module builds the output register stages of the memory. This module is // instantiated in the main memory module (BLK_MEM_GEN_v8_1) which is // declared/implemented further down in this file. //***************************************************************************** module BLK_MEM_GEN_v8_1_output_stage #(parameter C_FAMILY = "virtex7", parameter C_XDEVICEFAMILY = "virtex7", parameter C_RST_TYPE = "SYNC", parameter C_HAS_RST = 0, parameter C_RSTRAM = 0, parameter C_RST_PRIORITY = "CE", parameter C_INIT_VAL = "0", parameter C_HAS_EN = 0, parameter C_HAS_REGCE = 0, parameter C_DATA_WIDTH = 32, parameter C_ADDRB_WIDTH = 10, parameter C_HAS_MEM_OUTPUT_REGS = 0, parameter C_USE_SOFTECC = 0, parameter C_USE_ECC = 0, parameter NUM_STAGES = 1, parameter FLOP_DELAY = 100 ) ( input CLK, input RST, input EN, input REGCE, input [C_DATA_WIDTH-1:0] DIN, output reg [C_DATA_WIDTH-1:0] DOUT, input SBITERR_IN, input DBITERR_IN, output reg SBITERR, output reg DBITERR, input [C_ADDRB_WIDTH-1:0] RDADDRECC_IN, output reg [C_ADDRB_WIDTH-1:0] RDADDRECC ); //****************************** // Port and Generic Definitions //****************************** ////////////////////////////////////////////////////////////////////////// // Generic Definitions ////////////////////////////////////////////////////////////////////////// // C_FAMILY,C_XDEVICEFAMILY: Designates architecture targeted. The following // options are available - "spartan3", "spartan6", // "virtex4", "virtex5", "virtex6" and "virtex6l". // C_RST_TYPE : Type of reset - Synchronous or Asynchronous // C_HAS_RST : Determines the presence of the RST port // C_RSTRAM : Determines if special reset behavior is used // C_RST_PRIORITY : Determines the priority between CE and SR // C_INIT_VAL : Initialization value // C_HAS_EN : Determines the presence of the EN port // C_HAS_REGCE : Determines the presence of the REGCE port // C_DATA_WIDTH : Memory write/read width // C_ADDRB_WIDTH : Width of the ADDRB input port // C_HAS_MEM_OUTPUT_REGS : Designates the use of a register at the output // of the RAM primitive // C_USE_SOFTECC : Determines if the Soft ECC feature is used or // not. Only applicable Spartan-6 // C_USE_ECC : Determines if the ECC feature is used or // not. Only applicable for V5 and V6 // NUM_STAGES : Determines the number of output stages // FLOP_DELAY : Constant delay for register assignments ////////////////////////////////////////////////////////////////////////// // Port Definitions ////////////////////////////////////////////////////////////////////////// // CLK : Clock to synchronize all read and write operations // RST : Reset input to reset memory outputs to a user-defined // reset state // EN : Enable all read and write operations // REGCE : Register Clock Enable to control each pipeline output // register stages // DIN : Data input to the Output stage. // DOUT : Final Data output // SBITERR_IN : SBITERR input signal to the Output stage. // SBITERR : Final SBITERR Output signal. // DBITERR_IN : DBITERR input signal to the Output stage. // DBITERR : Final DBITERR Output signal. // RDADDRECC_IN : RDADDRECC input signal to the Output stage. // RDADDRECC : Final RDADDRECC Output signal. ////////////////////////////////////////////////////////////////////////// // Fix for CR-509792 localparam REG_STAGES = (NUM_STAGES < 2) ? 1 : NUM_STAGES-1; // Declare the pipeline registers // (includes mem output reg, mux pipeline stages, and mux output reg) reg [C_DATA_WIDTH*REG_STAGES-1:0] out_regs; reg [C_ADDRB_WIDTH*REG_STAGES-1:0] rdaddrecc_regs; reg [REG_STAGES-1:0] sbiterr_regs; reg [REG_STAGES-1:0] dbiterr_regs; reg [C_DATA_WIDTH*8-1:0] init_str = C_INIT_VAL; reg [C_DATA_WIDTH-1:0] init_val ; //********************************************* // Wire off optional inputs based on parameters //********************************************* wire en_i; wire regce_i; wire rst_i; // Internal enable for output registers is tied to user EN or '1' depending // on parameters assign en_i = (C_HAS_EN==0 || EN); // Internal register enable for output registers is tied to user REGCE, EN or // '1' depending on parameters // For V4 ECC, REGCE is always 1 // Virtex-4 ECC Not Yet Supported assign regce_i = ((C_HAS_REGCE==1) && REGCE) || ((C_HAS_REGCE==0) && (C_HAS_EN==0 || EN)); //Internal SRR is tied to user RST or '0' depending on parameters assign rst_i = (C_HAS_RST==1) && RST; //**************************************************** // Power on: load up the output registers and latches //**************************************************** initial begin if (!($sscanf(init_str, "%h", init_val))) begin init_val = 0; end DOUT = init_val; RDADDRECC = 0; SBITERR = 1'b0; DBITERR = 1'b0; // This will be one wider than need, but 0 is an error out_regs = {(REG_STAGES+1){init_val}}; rdaddrecc_regs = 0; sbiterr_regs = {(REG_STAGES+1){1'b0}}; dbiterr_regs = {(REG_STAGES+1){1'b0}}; end //*********************************************** // NUM_STAGES = 0 (No output registers. RAM only) //*********************************************** generate if (NUM_STAGES == 0) begin : zero_stages always @* begin DOUT = DIN; RDADDRECC = RDADDRECC_IN; SBITERR = SBITERR_IN; DBITERR = DBITERR_IN; end end endgenerate //*********************************************** // NUM_STAGES = 1 // (Mem Output Reg only or Mux Output Reg only) //*********************************************** // Possible valid combinations: // Note: C_HAS_MUX_OUTPUT_REGS_*=0 when (C_RSTRAM_*=1) // +-----------------------------------------+ // | C_RSTRAM_* | Reset Behavior | // +----------------+------------------------+ // | 0 | Normal Behavior | // +----------------+------------------------+ // | 1 | Special Behavior | // +----------------+------------------------+ // // Normal = REGCE gates reset, as in the case of all families except S3ADSP. // Special = EN gates reset, as in the case of S3ADSP. generate if (NUM_STAGES == 1 && (C_RSTRAM == 0 || (C_RSTRAM == 1 && (C_XDEVICEFAMILY != "spartan3adsp" && C_XDEVICEFAMILY != "aspartan3adsp" )) || C_HAS_MEM_OUTPUT_REGS == 0 || C_HAS_RST == 0)) begin : one_stages_norm always @(posedge CLK) begin if (C_RST_PRIORITY == "CE") begin //REGCE has priority if (regce_i && rst_i) begin DOUT <= #FLOP_DELAY init_val; RDADDRECC <= #FLOP_DELAY 0; SBITERR <= #FLOP_DELAY 1'b0; DBITERR <= #FLOP_DELAY 1'b0; end else if (regce_i) begin DOUT <= #FLOP_DELAY DIN; RDADDRECC <= #FLOP_DELAY RDADDRECC_IN; SBITERR <= #FLOP_DELAY SBITERR_IN; DBITERR <= #FLOP_DELAY DBITERR_IN; end //Output signal assignments end else begin //RST has priority if (rst_i) begin DOUT <= #FLOP_DELAY init_val; RDADDRECC <= #FLOP_DELAY RDADDRECC_IN; SBITERR <= #FLOP_DELAY 1'b0; DBITERR <= #FLOP_DELAY 1'b0; end else if (regce_i) begin DOUT <= #FLOP_DELAY DIN; RDADDRECC <= #FLOP_DELAY RDADDRECC_IN; SBITERR <= #FLOP_DELAY SBITERR_IN; DBITERR <= #FLOP_DELAY DBITERR_IN; end //Output signal assignments end //end Priority conditions end //end RST Type conditions end //end one_stages_norm generate statement endgenerate // Special Reset Behavior for S3ADSP generate if (NUM_STAGES == 1 && C_RSTRAM == 1 && (C_XDEVICEFAMILY =="spartan3adsp" || C_XDEVICEFAMILY =="aspartan3adsp")) begin : one_stage_splbhv always @(posedge CLK) begin if (en_i && rst_i) begin DOUT <= #FLOP_DELAY init_val; end else if (regce_i && !rst_i) begin DOUT <= #FLOP_DELAY DIN; end //Output signal assignments end //end CLK end //end one_stage_splbhv generate statement endgenerate //************************************************************ // NUM_STAGES > 1 // Mem Output Reg + Mux Output Reg // or // Mem Output Reg + Mux Pipeline Stages (>0) + Mux Output Reg // or // Mux Pipeline Stages (>0) + Mux Output Reg //************************************************************* generate if (NUM_STAGES > 1) begin : multi_stage //Asynchronous Reset always @(posedge CLK) begin if (C_RST_PRIORITY == "CE") begin //REGCE has priority if (regce_i && rst_i) begin DOUT <= #FLOP_DELAY init_val; RDADDRECC <= #FLOP_DELAY 0; SBITERR <= #FLOP_DELAY 1'b0; DBITERR <= #FLOP_DELAY 1'b0; end else if (regce_i) begin DOUT <= #FLOP_DELAY out_regs[C_DATA_WIDTH*(NUM_STAGES-2)+:C_DATA_WIDTH]; RDADDRECC <= #FLOP_DELAY rdaddrecc_regs[C_ADDRB_WIDTH*(NUM_STAGES-2)+:C_ADDRB_WIDTH]; SBITERR <= #FLOP_DELAY sbiterr_regs[NUM_STAGES-2]; DBITERR <= #FLOP_DELAY dbiterr_regs[NUM_STAGES-2]; end //Output signal assignments end else begin //RST has priority if (rst_i) begin DOUT <= #FLOP_DELAY init_val; RDADDRECC <= #FLOP_DELAY 0; SBITERR <= #FLOP_DELAY 1'b0; DBITERR <= #FLOP_DELAY 1'b0; end else if (regce_i) begin DOUT <= #FLOP_DELAY out_regs[C_DATA_WIDTH*(NUM_STAGES-2)+:C_DATA_WIDTH]; RDADDRECC <= #FLOP_DELAY rdaddrecc_regs[C_ADDRB_WIDTH*(NUM_STAGES-2)+:C_ADDRB_WIDTH]; SBITERR <= #FLOP_DELAY sbiterr_regs[NUM_STAGES-2]; DBITERR <= #FLOP_DELAY dbiterr_regs[NUM_STAGES-2]; end //Output signal assignments end //end Priority conditions // Shift the data through the output stages if (en_i) begin out_regs <= #FLOP_DELAY (out_regs << C_DATA_WIDTH) | DIN; rdaddrecc_regs <= #FLOP_DELAY (rdaddrecc_regs << C_ADDRB_WIDTH) | RDADDRECC_IN; sbiterr_regs <= #FLOP_DELAY (sbiterr_regs << 1) | SBITERR_IN; dbiterr_regs <= #FLOP_DELAY (dbiterr_regs << 1) | DBITERR_IN; end end //end CLK end //end multi_stage generate statement endgenerate endmodule module BLK_MEM_GEN_v8_1_softecc_output_reg_stage #(parameter C_DATA_WIDTH = 32, parameter C_ADDRB_WIDTH = 10, parameter C_HAS_SOFTECC_OUTPUT_REGS_B= 0, parameter C_USE_SOFTECC = 0, parameter FLOP_DELAY = 100 ) ( input CLK, input [C_DATA_WIDTH-1:0] DIN, output reg [C_DATA_WIDTH-1:0] DOUT, input SBITERR_IN, input DBITERR_IN, output reg SBITERR, output reg DBITERR, input [C_ADDRB_WIDTH-1:0] RDADDRECC_IN, output reg [C_ADDRB_WIDTH-1:0] RDADDRECC ); //****************************** // Port and Generic Definitions //****************************** ////////////////////////////////////////////////////////////////////////// // Generic Definitions ////////////////////////////////////////////////////////////////////////// // C_DATA_WIDTH : Memory write/read width // C_ADDRB_WIDTH : Width of the ADDRB input port // C_HAS_SOFTECC_OUTPUT_REGS_B : Designates the use of a register at the output // of the RAM primitive // C_USE_SOFTECC : Determines if the Soft ECC feature is used or // not. Only applicable Spartan-6 // FLOP_DELAY : Constant delay for register assignments ////////////////////////////////////////////////////////////////////////// // Port Definitions ////////////////////////////////////////////////////////////////////////// // CLK : Clock to synchronize all read and write operations // DIN : Data input to the Output stage. // DOUT : Final Data output // SBITERR_IN : SBITERR input signal to the Output stage. // SBITERR : Final SBITERR Output signal. // DBITERR_IN : DBITERR input signal to the Output stage. // DBITERR : Final DBITERR Output signal. // RDADDRECC_IN : RDADDRECC input signal to the Output stage. // RDADDRECC : Final RDADDRECC Output signal. ////////////////////////////////////////////////////////////////////////// reg [C_DATA_WIDTH-1:0] dout_i = 0; reg sbiterr_i = 0; reg dbiterr_i = 0; reg [C_ADDRB_WIDTH-1:0] rdaddrecc_i = 0; //*********************************************** // NO OUTPUT REGISTERS. //*********************************************** generate if (C_HAS_SOFTECC_OUTPUT_REGS_B==0) begin : no_output_stage always @* begin DOUT = DIN; RDADDRECC = RDADDRECC_IN; SBITERR = SBITERR_IN; DBITERR = DBITERR_IN; end end endgenerate //*********************************************** // WITH OUTPUT REGISTERS. //*********************************************** generate if (C_HAS_SOFTECC_OUTPUT_REGS_B==1) begin : has_output_stage always @(posedge CLK) begin dout_i <= #FLOP_DELAY DIN; rdaddrecc_i <= #FLOP_DELAY RDADDRECC_IN; sbiterr_i <= #FLOP_DELAY SBITERR_IN; dbiterr_i <= #FLOP_DELAY DBITERR_IN; end always @* begin DOUT = dout_i; RDADDRECC = rdaddrecc_i; SBITERR = sbiterr_i; DBITERR = dbiterr_i; end //end always end //end in_or_out_stage generate statement endgenerate endmodule //***************************************************************************** // Main Memory module // // This module is the top-level behavioral model and this implements the RAM //***************************************************************************** module BLK_MEM_GEN_v8_1_mem_module #(parameter C_CORENAME = "blk_mem_gen_v8_1", parameter C_FAMILY = "virtex7", parameter C_XDEVICEFAMILY = "virtex7", parameter C_MEM_TYPE = 2, parameter C_BYTE_SIZE = 9, parameter C_USE_BRAM_BLOCK = 0, parameter C_ALGORITHM = 1, parameter C_PRIM_TYPE = 3, parameter C_LOAD_INIT_FILE = 0, parameter C_INIT_FILE_NAME = "", parameter C_INIT_FILE = "", parameter C_USE_DEFAULT_DATA = 0, parameter C_DEFAULT_DATA = "0", parameter C_RST_TYPE = "SYNC", parameter C_HAS_RSTA = 0, parameter C_RST_PRIORITY_A = "CE", parameter C_RSTRAM_A = 0, parameter C_INITA_VAL = "0", parameter C_HAS_ENA = 1, parameter C_HAS_REGCEA = 0, parameter C_USE_BYTE_WEA = 0, parameter C_WEA_WIDTH = 1, parameter C_WRITE_MODE_A = "WRITE_FIRST", parameter C_WRITE_WIDTH_A = 32, parameter C_READ_WIDTH_A = 32, parameter C_WRITE_DEPTH_A = 64, parameter C_READ_DEPTH_A = 64, parameter C_ADDRA_WIDTH = 5, parameter C_HAS_RSTB = 0, parameter C_RST_PRIORITY_B = "CE", parameter C_RSTRAM_B = 0, parameter C_INITB_VAL = "", parameter C_HAS_ENB = 1, parameter C_HAS_REGCEB = 0, parameter C_USE_BYTE_WEB = 0, parameter C_WEB_WIDTH = 1, parameter C_WRITE_MODE_B = "WRITE_FIRST", parameter C_WRITE_WIDTH_B = 32, parameter C_READ_WIDTH_B = 32, parameter C_WRITE_DEPTH_B = 64, parameter C_READ_DEPTH_B = 64, parameter C_ADDRB_WIDTH = 5, parameter C_HAS_MEM_OUTPUT_REGS_A = 0, parameter C_HAS_MEM_OUTPUT_REGS_B = 0, parameter C_HAS_MUX_OUTPUT_REGS_A = 0, parameter C_HAS_MUX_OUTPUT_REGS_B = 0, parameter C_HAS_SOFTECC_INPUT_REGS_A = 0, parameter C_HAS_SOFTECC_OUTPUT_REGS_B= 0, parameter C_MUX_PIPELINE_STAGES = 0, parameter C_USE_SOFTECC = 0, parameter C_USE_ECC = 0, parameter C_HAS_INJECTERR = 0, parameter C_SIM_COLLISION_CHECK = "NONE", parameter C_COMMON_CLK = 1, parameter FLOP_DELAY = 100, parameter C_DISABLE_WARN_BHV_COLL = 0, parameter C_DISABLE_WARN_BHV_RANGE = 0 ) (input CLKA, input RSTA, input ENA, input REGCEA, input [C_WEA_WIDTH-1:0] WEA, input [C_ADDRA_WIDTH-1:0] ADDRA, input [C_WRITE_WIDTH_A-1:0] DINA, output [C_READ_WIDTH_A-1:0] DOUTA, input CLKB, input RSTB, input ENB, input REGCEB, input [C_WEB_WIDTH-1:0] WEB, input [C_ADDRB_WIDTH-1:0] ADDRB, input [C_WRITE_WIDTH_B-1:0] DINB, output [C_READ_WIDTH_B-1:0] DOUTB, input INJECTSBITERR, input INJECTDBITERR, output SBITERR, output DBITERR, output [C_ADDRB_WIDTH-1:0] RDADDRECC ); //****************************** // Port and Generic Definitions //****************************** ////////////////////////////////////////////////////////////////////////// // Generic Definitions ////////////////////////////////////////////////////////////////////////// // C_CORENAME : Instance name of the Block Memory Generator core // C_FAMILY,C_XDEVICEFAMILY: Designates architecture targeted. The following // options are available - "spartan3", "spartan6", // "virtex4", "virtex5", "virtex6" and "virtex6l". // C_MEM_TYPE : Designates memory type. // It can be // 0 - Single Port Memory // 1 - Simple Dual Port Memory // 2 - True Dual Port Memory // 3 - Single Port Read Only Memory // 4 - Dual Port Read Only Memory // C_BYTE_SIZE : Size of a byte (8 or 9 bits) // C_ALGORITHM : Designates the algorithm method used // for constructing the memory. // It can be Fixed_Primitives, Minimum_Area or // Low_Power // C_PRIM_TYPE : Designates the user selected primitive used to // construct the memory. // // C_LOAD_INIT_FILE : Designates the use of an initialization file to // initialize memory contents. // C_INIT_FILE_NAME : Memory initialization file name. // C_USE_DEFAULT_DATA : Designates whether to fill remaining // initialization space with default data // C_DEFAULT_DATA : Default value of all memory locations // not initialized by the memory // initialization file. // C_RST_TYPE : Type of reset - Synchronous or Asynchronous // C_HAS_RSTA : Determines the presence of the RSTA port // C_RST_PRIORITY_A : Determines the priority between CE and SR for // Port A. // C_RSTRAM_A : Determines if special reset behavior is used for // Port A // C_INITA_VAL : The initialization value for Port A // C_HAS_ENA : Determines the presence of the ENA port // C_HAS_REGCEA : Determines the presence of the REGCEA port // C_USE_BYTE_WEA : Determines if the Byte Write is used or not. // C_WEA_WIDTH : The width of the WEA port // C_WRITE_MODE_A : Configurable write mode for Port A. It can be // WRITE_FIRST, READ_FIRST or NO_CHANGE. // C_WRITE_WIDTH_A : Memory write width for Port A. // C_READ_WIDTH_A : Memory read width for Port A. // C_WRITE_DEPTH_A : Memory write depth for Port A. // C_READ_DEPTH_A : Memory read depth for Port A. // C_ADDRA_WIDTH : Width of the ADDRA input port // C_HAS_RSTB : Determines the presence of the RSTB port // C_RST_PRIORITY_B : Determines the priority between CE and SR for // Port B. // C_RSTRAM_B : Determines if special reset behavior is used for // Port B // C_INITB_VAL : The initialization value for Port B // C_HAS_ENB : Determines the presence of the ENB port // C_HAS_REGCEB : Determines the presence of the REGCEB port // C_USE_BYTE_WEB : Determines if the Byte Write is used or not. // C_WEB_WIDTH : The width of the WEB port // C_WRITE_MODE_B : Configurable write mode for Port B. It can be // WRITE_FIRST, READ_FIRST or NO_CHANGE. // C_WRITE_WIDTH_B : Memory write width for Port B. // C_READ_WIDTH_B : Memory read width for Port B. // C_WRITE_DEPTH_B : Memory write depth for Port B. // C_READ_DEPTH_B : Memory read depth for Port B. // C_ADDRB_WIDTH : Width of the ADDRB input port // C_HAS_MEM_OUTPUT_REGS_A : Designates the use of a register at the output // of the RAM primitive for Port A. // C_HAS_MEM_OUTPUT_REGS_B : Designates the use of a register at the output // of the RAM primitive for Port B. // C_HAS_MUX_OUTPUT_REGS_A : Designates the use of a register at the output // of the MUX for Port A. // C_HAS_MUX_OUTPUT_REGS_B : Designates the use of a register at the output // of the MUX for Port B. // C_MUX_PIPELINE_STAGES : Designates the number of pipeline stages in // between the muxes. // C_USE_SOFTECC : Determines if the Soft ECC feature is used or // not. Only applicable Spartan-6 // C_USE_ECC : Determines if the ECC feature is used or // not. Only applicable for V5 and V6 // C_HAS_INJECTERR : Determines if the error injection pins // are present or not. If the ECC feature // is not used, this value is defaulted to // 0, else the following are the allowed // values: // 0 : No INJECTSBITERR or INJECTDBITERR pins // 1 : Only INJECTSBITERR pin exists // 2 : Only INJECTDBITERR pin exists // 3 : Both INJECTSBITERR and INJECTDBITERR pins exist // C_SIM_COLLISION_CHECK : Controls the disabling of Unisim model collision // warnings. It can be "ALL", "NONE", // "Warnings_Only" or "Generate_X_Only". // C_COMMON_CLK : Determins if the core has a single CLK input. // C_DISABLE_WARN_BHV_COLL : Controls the Behavioral Model Collision warnings // C_DISABLE_WARN_BHV_RANGE: Controls the Behavioral Model Out of Range // warnings ////////////////////////////////////////////////////////////////////////// // Port Definitions ////////////////////////////////////////////////////////////////////////// // CLKA : Clock to synchronize all read and write operations of Port A. // RSTA : Reset input to reset memory outputs to a user-defined // reset state for Port A. // ENA : Enable all read and write operations of Port A. // REGCEA : Register Clock Enable to control each pipeline output // register stages for Port A. // WEA : Write Enable to enable all write operations of Port A. // ADDRA : Address of Port A. // DINA : Data input of Port A. // DOUTA : Data output of Port A. // CLKB : Clock to synchronize all read and write operations of Port B. // RSTB : Reset input to reset memory outputs to a user-defined // reset state for Port B. // ENB : Enable all read and write operations of Port B. // REGCEB : Register Clock Enable to control each pipeline output // register stages for Port B. // WEB : Write Enable to enable all write operations of Port B. // ADDRB : Address of Port B. // DINB : Data input of Port B. // DOUTB : Data output of Port B. // INJECTSBITERR : Single Bit ECC Error Injection Pin. // INJECTDBITERR : Double Bit ECC Error Injection Pin. // SBITERR : Output signal indicating that a Single Bit ECC Error has been // detected and corrected. // DBITERR : Output signal indicating that a Double Bit ECC Error has been // detected. // RDADDRECC : Read Address Output signal indicating address at which an // ECC error has occurred. ////////////////////////////////////////////////////////////////////////// // Note: C_CORENAME parameter is hard-coded to "blk_mem_gen_v8_1" and it is // only used by this module to print warning messages. It is neither passed // down from blk_mem_gen_v8_1_xst.v nor present in the instantiation template // coregen generates //*************************************************************************** // constants for the core behavior //*************************************************************************** // file handles for logging //-------------------------------------------------- localparam ADDRFILE = 32'h8000_0001; //stdout for addr out of range localparam COLLFILE = 32'h8000_0001; //stdout for coll detection localparam ERRFILE = 32'h8000_0001; //stdout for file I/O errors // other constants //-------------------------------------------------- localparam COLL_DELAY = 2000; // 2 ns // locally derived parameters to determine memory shape //----------------------------------------------------- localparam CHKBIT_WIDTH = (C_WRITE_WIDTH_A>57 ? 8 : (C_WRITE_WIDTH_A>26 ? 7 : (C_WRITE_WIDTH_A>11 ? 6 : (C_WRITE_WIDTH_A>4 ? 5 : (C_WRITE_WIDTH_A<5 ? 4 :0))))); localparam MIN_WIDTH_A = (C_WRITE_WIDTH_A < C_READ_WIDTH_A) ? C_WRITE_WIDTH_A : C_READ_WIDTH_A; localparam MIN_WIDTH_B = (C_WRITE_WIDTH_B < C_READ_WIDTH_B) ? C_WRITE_WIDTH_B : C_READ_WIDTH_B; localparam MIN_WIDTH = (MIN_WIDTH_A < MIN_WIDTH_B) ? MIN_WIDTH_A : MIN_WIDTH_B; localparam MAX_DEPTH_A = (C_WRITE_DEPTH_A > C_READ_DEPTH_A) ? C_WRITE_DEPTH_A : C_READ_DEPTH_A; localparam MAX_DEPTH_B = (C_WRITE_DEPTH_B > C_READ_DEPTH_B) ? C_WRITE_DEPTH_B : C_READ_DEPTH_B; localparam MAX_DEPTH = (MAX_DEPTH_A > MAX_DEPTH_B) ? MAX_DEPTH_A : MAX_DEPTH_B; // locally derived parameters to assist memory access //---------------------------------------------------- // Calculate the width ratios of each port with respect to the narrowest // port localparam WRITE_WIDTH_RATIO_A = C_WRITE_WIDTH_A/MIN_WIDTH; localparam READ_WIDTH_RATIO_A = C_READ_WIDTH_A/MIN_WIDTH; localparam WRITE_WIDTH_RATIO_B = C_WRITE_WIDTH_B/MIN_WIDTH; localparam READ_WIDTH_RATIO_B = C_READ_WIDTH_B/MIN_WIDTH; // To modify the LSBs of the 'wider' data to the actual // address value //---------------------------------------------------- localparam WRITE_ADDR_A_DIV = C_WRITE_WIDTH_A/MIN_WIDTH_A; localparam READ_ADDR_A_DIV = C_READ_WIDTH_A/MIN_WIDTH_A; localparam WRITE_ADDR_B_DIV = C_WRITE_WIDTH_B/MIN_WIDTH_B; localparam READ_ADDR_B_DIV = C_READ_WIDTH_B/MIN_WIDTH_B; // If byte writes aren't being used, make sure BYTE_SIZE is not // wider than the memory elements to avoid compilation warnings localparam BYTE_SIZE = (C_BYTE_SIZE < MIN_WIDTH) ? C_BYTE_SIZE : MIN_WIDTH; // The memory reg [MIN_WIDTH-1:0] memory [0:MAX_DEPTH-1]; reg [MIN_WIDTH-1:0] temp_mem_array [0:MAX_DEPTH-1]; reg [C_WRITE_WIDTH_A+CHKBIT_WIDTH-1:0] doublebit_error = 3; // ECC error arrays reg sbiterr_arr [0:MAX_DEPTH-1]; reg dbiterr_arr [0:MAX_DEPTH-1]; reg softecc_sbiterr_arr [0:MAX_DEPTH-1]; reg softecc_dbiterr_arr [0:MAX_DEPTH-1]; // Memory output 'latches' reg [C_READ_WIDTH_A-1:0] memory_out_a; reg [C_READ_WIDTH_B-1:0] memory_out_b; // ECC error inputs and outputs from output_stage module: reg sbiterr_in; wire sbiterr_sdp; reg dbiterr_in; wire dbiterr_sdp; wire [C_READ_WIDTH_B-1:0] dout_i; wire dbiterr_i; wire sbiterr_i; wire [C_ADDRB_WIDTH-1:0] rdaddrecc_i; reg [C_ADDRB_WIDTH-1:0] rdaddrecc_in; wire [C_ADDRB_WIDTH-1:0] rdaddrecc_sdp; // Reset values reg [C_READ_WIDTH_A-1:0] inita_val; reg [C_READ_WIDTH_B-1:0] initb_val; // Collision detect reg is_collision; reg is_collision_a, is_collision_delay_a; reg is_collision_b, is_collision_delay_b; // Temporary variables for initialization //--------------------------------------- integer status; integer initfile; integer meminitfile; // data input buffer reg [C_WRITE_WIDTH_A-1:0] mif_data; reg [C_WRITE_WIDTH_A-1:0] mem_data; // string values in hex reg [C_READ_WIDTH_A*8-1:0] inita_str = C_INITA_VAL; reg [C_READ_WIDTH_B*8-1:0] initb_str = C_INITB_VAL; reg [C_WRITE_WIDTH_A*8-1:0] default_data_str = C_DEFAULT_DATA; // initialization filename reg [1023*8-1:0] init_file_str = C_INIT_FILE_NAME; reg [1023*8-1:0] mem_init_file_str = C_INIT_FILE; //Constants used to calculate the effective address widths for each of the //four ports. integer cnt = 1; integer write_addr_a_width, read_addr_a_width; integer write_addr_b_width, read_addr_b_width; localparam C_FAMILY_LOCALPARAM = (C_FAMILY=="virtex8"?"virtex7":(C_FAMILY=="kintex8" ? "virtex7":(C_FAMILY=="virtex7" ? "virtex7" : (C_FAMILY=="virtex7l" ? "virtex7" : (C_FAMILY=="qvirtex7" ? "virtex7" : (C_FAMILY=="qvirtex7l" ? "virtex7" : (C_FAMILY=="kintex7" ? "virtex7" : (C_FAMILY=="kintex7l" ? "virtex7" : (C_FAMILY=="qkintex7" ? "virtex7" : (C_FAMILY=="qkintex7l" ? "virtex7" : (C_FAMILY=="artix7" ? "virtex7" : (C_FAMILY=="artix7l" ? "virtex7" : (C_FAMILY=="qartix7" ? "virtex7" : (C_FAMILY=="qartix7l" ? "virtex7" : (C_FAMILY=="aartix7" ? "virtex7" : (C_FAMILY=="zynq" ? "virtex7" : (C_FAMILY=="azynq" ? "virtex7" : (C_FAMILY=="qzynq" ? "virtex7" : C_FAMILY)))))))))))))))))); // Internal configuration parameters //--------------------------------------------- localparam SINGLE_PORT = (C_MEM_TYPE==0 || C_MEM_TYPE==3); localparam IS_ROM = (C_MEM_TYPE==3 || C_MEM_TYPE==4); localparam HAS_A_WRITE = (!IS_ROM); localparam HAS_B_WRITE = (C_MEM_TYPE==2); localparam HAS_A_READ = (C_MEM_TYPE!=1); localparam HAS_B_READ = (!SINGLE_PORT); localparam HAS_B_PORT = (HAS_B_READ || HAS_B_WRITE); // Calculate the mux pipeline register stages for Port A and Port B //------------------------------------------------------------------ localparam MUX_PIPELINE_STAGES_A = (C_HAS_MUX_OUTPUT_REGS_A) ? C_MUX_PIPELINE_STAGES : 0; localparam MUX_PIPELINE_STAGES_B = (C_HAS_MUX_OUTPUT_REGS_B) ? C_MUX_PIPELINE_STAGES : 0; // Calculate total number of register stages in the core // ----------------------------------------------------- localparam NUM_OUTPUT_STAGES_A = (C_HAS_MEM_OUTPUT_REGS_A+MUX_PIPELINE_STAGES_A+C_HAS_MUX_OUTPUT_REGS_A); localparam NUM_OUTPUT_STAGES_B = (C_HAS_MEM_OUTPUT_REGS_B+MUX_PIPELINE_STAGES_B+C_HAS_MUX_OUTPUT_REGS_B); wire ena_i; wire enb_i; wire reseta_i; wire resetb_i; wire [C_WEA_WIDTH-1:0] wea_i; wire [C_WEB_WIDTH-1:0] web_i; wire rea_i; wire reb_i; // ECC SBITERR/DBITERR Outputs // The ECC Behavior is modeled by the behavioral models only for Virtex-6. // For Virtex-5, these outputs will be tied to 0. assign SBITERR = ((C_MEM_TYPE == 1 && C_USE_ECC == 1) || C_USE_SOFTECC == 1)?sbiterr_sdp:0; assign DBITERR = ((C_MEM_TYPE == 1 && C_USE_ECC == 1) || C_USE_SOFTECC == 1)?dbiterr_sdp:0; assign RDADDRECC = (((C_FAMILY_LOCALPARAM == "virtex7") && C_MEM_TYPE == 1 && C_USE_ECC == 1) || C_USE_SOFTECC == 1)?rdaddrecc_sdp:0; // This effectively wires off optional inputs assign ena_i = (C_HAS_ENA==0) || ENA; assign enb_i = ((C_HAS_ENB==0) || ENB) && HAS_B_PORT; assign wea_i = (HAS_A_WRITE && ena_i) ? WEA : 'b0; assign web_i = (HAS_B_WRITE && enb_i) ? WEB : 'b0; assign rea_i = (HAS_A_READ) ? ena_i : 'b0; assign reb_i = (HAS_B_READ) ? enb_i : 'b0; // These signals reset the memory latches assign reseta_i = ((C_HAS_RSTA==1 && RSTA && NUM_OUTPUT_STAGES_A==0) || (C_HAS_RSTA==1 && RSTA && C_RSTRAM_A==1)); assign resetb_i = ((C_HAS_RSTB==1 && RSTB && NUM_OUTPUT_STAGES_B==0) || (C_HAS_RSTB==1 && RSTB && C_RSTRAM_B==1)); // Tasks to access the memory //--------------------------- //************** // write_a //************** task write_a (input reg [C_ADDRA_WIDTH-1:0] addr, input reg [C_WEA_WIDTH-1:0] byte_en, input reg [C_WRITE_WIDTH_A-1:0] data, input inj_sbiterr, input inj_dbiterr); reg [C_WRITE_WIDTH_A-1:0] current_contents; reg [C_ADDRA_WIDTH-1:0] address; integer i; begin // Shift the address by the ratio address = (addr/WRITE_ADDR_A_DIV); if (address >= C_WRITE_DEPTH_A) begin if (!C_DISABLE_WARN_BHV_RANGE) begin $fdisplay(ADDRFILE, "%0s WARNING: Address %0h is outside range for A Write", C_CORENAME, addr); end // valid address end else begin // Combine w/ byte writes if (C_USE_BYTE_WEA) begin // Get the current memory contents if (WRITE_WIDTH_RATIO_A == 1) begin // Workaround for IUS 5.5 part-select issue current_contents = memory[address]; end else begin for (i = 0; i < WRITE_WIDTH_RATIO_A; i = i + 1) begin current_contents[MIN_WIDTH*i+:MIN_WIDTH] = memory[address*WRITE_WIDTH_RATIO_A + i]; end end // Apply incoming bytes if (C_WEA_WIDTH == 1) begin // Workaround for IUS 5.5 part-select issue if (byte_en[0]) begin current_contents = data; end end else begin for (i = 0; i < C_WEA_WIDTH; i = i + 1) begin if (byte_en[i]) begin current_contents[BYTE_SIZE*i+:BYTE_SIZE] = data[BYTE_SIZE*i+:BYTE_SIZE]; end end end // No byte-writes, overwrite the whole word end else begin current_contents = data; end // Insert double bit errors: if (C_USE_ECC == 1) begin if ((C_HAS_INJECTERR == 2 || C_HAS_INJECTERR == 3) && inj_dbiterr == 1'b1) begin current_contents[0] = !(current_contents[0]); current_contents[1] = !(current_contents[1]); end end // Insert softecc double bit errors: if (C_USE_SOFTECC == 1) begin if ((C_HAS_INJECTERR == 2 || C_HAS_INJECTERR == 3) && inj_dbiterr == 1'b1) begin doublebit_error[C_WRITE_WIDTH_A+CHKBIT_WIDTH-1:2] = doublebit_error[C_WRITE_WIDTH_A+CHKBIT_WIDTH-3:0]; doublebit_error[0] = doublebit_error[C_WRITE_WIDTH_A+CHKBIT_WIDTH-1]; doublebit_error[1] = doublebit_error[C_WRITE_WIDTH_A+CHKBIT_WIDTH-2]; current_contents = current_contents ^ doublebit_error[C_WRITE_WIDTH_A-1:0]; end end // Write data to memory if (WRITE_WIDTH_RATIO_A == 1) begin // Workaround for IUS 5.5 part-select issue memory[address*WRITE_WIDTH_RATIO_A] = current_contents; end else begin for (i = 0; i < WRITE_WIDTH_RATIO_A; i = i + 1) begin memory[address*WRITE_WIDTH_RATIO_A + i] = current_contents[MIN_WIDTH*i+:MIN_WIDTH]; end end // Store the address at which error is injected: if ((C_FAMILY_LOCALPARAM == "virtex7") && C_USE_ECC == 1) begin if ((C_HAS_INJECTERR == 1 && inj_sbiterr == 1'b1) || (C_HAS_INJECTERR == 3 && inj_sbiterr == 1'b1 && inj_dbiterr != 1'b1)) begin sbiterr_arr[addr] = 1; end else begin sbiterr_arr[addr] = 0; end if ((C_HAS_INJECTERR == 2 || C_HAS_INJECTERR == 3) && inj_dbiterr == 1'b1) begin dbiterr_arr[addr] = 1; end else begin dbiterr_arr[addr] = 0; end end // Store the address at which softecc error is injected: if (C_USE_SOFTECC == 1) begin if ((C_HAS_INJECTERR == 1 && inj_sbiterr == 1'b1) || (C_HAS_INJECTERR == 3 && inj_sbiterr == 1'b1 && inj_dbiterr != 1'b1)) begin softecc_sbiterr_arr[addr] = 1; end else begin softecc_sbiterr_arr[addr] = 0; end if ((C_HAS_INJECTERR == 2 || C_HAS_INJECTERR == 3) && inj_dbiterr == 1'b1) begin softecc_dbiterr_arr[addr] = 1; end else begin softecc_dbiterr_arr[addr] = 0; end end end end endtask //************** // write_b //************** task write_b (input reg [C_ADDRB_WIDTH-1:0] addr, input reg [C_WEB_WIDTH-1:0] byte_en, input reg [C_WRITE_WIDTH_B-1:0] data); reg [C_WRITE_WIDTH_B-1:0] current_contents; reg [C_ADDRB_WIDTH-1:0] address; integer i; begin // Shift the address by the ratio address = (addr/WRITE_ADDR_B_DIV); if (address >= C_WRITE_DEPTH_B) begin if (!C_DISABLE_WARN_BHV_RANGE) begin $fdisplay(ADDRFILE, "%0s WARNING: Address %0h is outside range for B Write", C_CORENAME, addr); end // valid address end else begin // Combine w/ byte writes if (C_USE_BYTE_WEB) begin // Get the current memory contents if (WRITE_WIDTH_RATIO_B == 1) begin // Workaround for IUS 5.5 part-select issue current_contents = memory[address]; end else begin for (i = 0; i < WRITE_WIDTH_RATIO_B; i = i + 1) begin current_contents[MIN_WIDTH*i+:MIN_WIDTH] = memory[address*WRITE_WIDTH_RATIO_B + i]; end end // Apply incoming bytes if (C_WEB_WIDTH == 1) begin // Workaround for IUS 5.5 part-select issue if (byte_en[0]) begin current_contents = data; end end else begin for (i = 0; i < C_WEB_WIDTH; i = i + 1) begin if (byte_en[i]) begin current_contents[BYTE_SIZE*i+:BYTE_SIZE] = data[BYTE_SIZE*i+:BYTE_SIZE]; end end end // No byte-writes, overwrite the whole word end else begin current_contents = data; end // Write data to memory if (WRITE_WIDTH_RATIO_B == 1) begin // Workaround for IUS 5.5 part-select issue memory[address*WRITE_WIDTH_RATIO_B] = current_contents; end else begin for (i = 0; i < WRITE_WIDTH_RATIO_B; i = i + 1) begin memory[address*WRITE_WIDTH_RATIO_B + i] = current_contents[MIN_WIDTH*i+:MIN_WIDTH]; end end end end endtask //************** // read_a //************** task read_a (input reg [C_ADDRA_WIDTH-1:0] addr, input reg reset); reg [C_ADDRA_WIDTH-1:0] address; integer i; begin if (reset) begin memory_out_a <= #FLOP_DELAY inita_val; end else begin // Shift the address by the ratio address = (addr/READ_ADDR_A_DIV); if (address >= C_READ_DEPTH_A) begin if (!C_DISABLE_WARN_BHV_RANGE) begin $fdisplay(ADDRFILE, "%0s WARNING: Address %0h is outside range for A Read", C_CORENAME, addr); end memory_out_a <= #FLOP_DELAY 'bX; // valid address end else begin if (READ_WIDTH_RATIO_A==1) begin memory_out_a <= #FLOP_DELAY memory[address*READ_WIDTH_RATIO_A]; end else begin // Increment through the 'partial' words in the memory for (i = 0; i < READ_WIDTH_RATIO_A; i = i + 1) begin memory_out_a[MIN_WIDTH*i+:MIN_WIDTH] <= #FLOP_DELAY memory[address*READ_WIDTH_RATIO_A + i]; end end //end READ_WIDTH_RATIO_A==1 loop end //end valid address loop end //end reset-data assignment loops end endtask //************** // read_b //************** task read_b (input reg [C_ADDRB_WIDTH-1:0] addr, input reg reset); reg [C_ADDRB_WIDTH-1:0] address; integer i; begin if (reset) begin memory_out_b <= #FLOP_DELAY initb_val; sbiterr_in <= #FLOP_DELAY 1'b0; dbiterr_in <= #FLOP_DELAY 1'b0; rdaddrecc_in <= #FLOP_DELAY 0; end else begin // Shift the address address = (addr/READ_ADDR_B_DIV); if (address >= C_READ_DEPTH_B) begin if (!C_DISABLE_WARN_BHV_RANGE) begin $fdisplay(ADDRFILE, "%0s WARNING: Address %0h is outside range for B Read", C_CORENAME, addr); end memory_out_b <= #FLOP_DELAY 'bX; sbiterr_in <= #FLOP_DELAY 1'bX; dbiterr_in <= #FLOP_DELAY 1'bX; rdaddrecc_in <= #FLOP_DELAY 'bX; // valid address end else begin if (READ_WIDTH_RATIO_B==1) begin memory_out_b <= #FLOP_DELAY memory[address*READ_WIDTH_RATIO_B]; end else begin // Increment through the 'partial' words in the memory for (i = 0; i < READ_WIDTH_RATIO_B; i = i + 1) begin memory_out_b[MIN_WIDTH*i+:MIN_WIDTH] <= #FLOP_DELAY memory[address*READ_WIDTH_RATIO_B + i]; end end if ((C_FAMILY_LOCALPARAM == "virtex7") && C_USE_ECC == 1) begin rdaddrecc_in <= #FLOP_DELAY addr; if (sbiterr_arr[addr] == 1) begin sbiterr_in <= #FLOP_DELAY 1'b1; end else begin sbiterr_in <= #FLOP_DELAY 1'b0; end if (dbiterr_arr[addr] == 1) begin dbiterr_in <= #FLOP_DELAY 1'b1; end else begin dbiterr_in <= #FLOP_DELAY 1'b0; end end else if (C_USE_SOFTECC == 1) begin rdaddrecc_in <= #FLOP_DELAY addr; if (softecc_sbiterr_arr[addr] == 1) begin sbiterr_in <= #FLOP_DELAY 1'b1; end else begin sbiterr_in <= #FLOP_DELAY 1'b0; end if (softecc_dbiterr_arr[addr] == 1) begin dbiterr_in <= #FLOP_DELAY 1'b1; end else begin dbiterr_in <= #FLOP_DELAY 1'b0; end end else begin rdaddrecc_in <= #FLOP_DELAY 0; dbiterr_in <= #FLOP_DELAY 1'b0; sbiterr_in <= #FLOP_DELAY 1'b0; end //end SOFTECC Loop end //end Valid address loop end //end reset-data assignment loops end endtask //************** // reset_a //************** task reset_a (input reg reset); begin if (reset) memory_out_a <= #FLOP_DELAY inita_val; end endtask //************** // reset_b //************** task reset_b (input reg reset); begin if (reset) memory_out_b <= #FLOP_DELAY initb_val; end endtask //************** // init_memory //************** task init_memory; integer i, j, addr_step; integer status; reg [C_WRITE_WIDTH_A-1:0] default_data; begin default_data = 0; //Display output message indicating that the behavioral model is being //initialized if (C_USE_DEFAULT_DATA || C_LOAD_INIT_FILE) $display(" Block Memory Generator module loading initial data..."); // Convert the default to hex if (C_USE_DEFAULT_DATA) begin if (default_data_str == "") begin $fdisplay(ERRFILE, "%0s ERROR: C_DEFAULT_DATA is empty!", C_CORENAME); $finish; end else begin status = $sscanf(default_data_str, "%h", default_data); if (status == 0) begin $fdisplay(ERRFILE, {"%0s ERROR: Unsuccessful hexadecimal read", "from C_DEFAULT_DATA: %0s"}, C_CORENAME, C_DEFAULT_DATA); $finish; end end end // Step by WRITE_ADDR_A_DIV through the memory via the // Port A write interface to hit every location once addr_step = WRITE_ADDR_A_DIV; // 'write' to every location with default (or 0) for (i = 0; i < C_WRITE_DEPTH_A*addr_step; i = i + addr_step) begin write_a(i, {C_WEA_WIDTH{1'b1}}, default_data, 1'b0, 1'b0); end // Get specialized data from the MIF file if (C_LOAD_INIT_FILE) begin if (init_file_str == "") begin $fdisplay(ERRFILE, "%0s ERROR: C_INIT_FILE_NAME is empty!", C_CORENAME); $finish; end else begin initfile = $fopen(init_file_str, "r"); if (initfile == 0) begin $fdisplay(ERRFILE, {"%0s, ERROR: Problem opening", "C_INIT_FILE_NAME: %0s!"}, C_CORENAME, init_file_str); $finish; end else begin // loop through the mif file, loading in the data for (i = 0; i < C_WRITE_DEPTH_A*addr_step; i = i + addr_step) begin status = $fscanf(initfile, "%b", mif_data); if (status > 0) begin write_a(i, {C_WEA_WIDTH{1'b1}}, mif_data, 1'b0, 1'b0); end end $fclose(initfile); end //initfile end //init_file_str end //C_LOAD_INIT_FILE if (C_USE_BRAM_BLOCK) begin // Get specialized data from the MIF file if (C_INIT_FILE != "NONE") begin if (mem_init_file_str == "") begin $fdisplay(ERRFILE, "%0s ERROR: C_INIT_FILE is empty!", C_CORENAME); $finish; end else begin meminitfile = $fopen(mem_init_file_str, "r"); if (meminitfile == 0) begin $fdisplay(ERRFILE, {"%0s, ERROR: Problem opening", "C_INIT_FILE: %0s!"}, C_CORENAME, mem_init_file_str); $finish; end else begin // loop through the mif file, loading in the data $readmemh(mem_init_file_str, memory ); for (j = 0; j < MAX_DEPTH-1 ; j = j + 1) begin end $fclose(meminitfile); end //meminitfile end //mem_init_file_str end //C_INIT_FILE end //C_USE_BRAM_BLOCK //Display output message indicating that the behavioral model is done //initializing if (C_USE_DEFAULT_DATA || C_LOAD_INIT_FILE) $display(" Block Memory Generator data initialization complete."); end endtask //************** // log2roundup //************** function integer log2roundup (input integer data_value); integer width; integer cnt; begin width = 0; if (data_value > 1) begin for(cnt=1 ; cnt < data_value ; cnt = cnt * 2) begin width = width + 1; end //loop end //if log2roundup = width; end //log2roundup endfunction //******************* // collision_check //******************* function integer collision_check (input reg [C_ADDRA_WIDTH-1:0] addr_a, input integer iswrite_a, input reg [C_ADDRB_WIDTH-1:0] addr_b, input integer iswrite_b); reg c_aw_bw, c_aw_br, c_ar_bw; integer scaled_addra_to_waddrb_width; integer scaled_addrb_to_waddrb_width; integer scaled_addra_to_waddra_width; integer scaled_addrb_to_waddra_width; integer scaled_addra_to_raddrb_width; integer scaled_addrb_to_raddrb_width; integer scaled_addra_to_raddra_width; integer scaled_addrb_to_raddra_width; begin c_aw_bw = 0; c_aw_br = 0; c_ar_bw = 0; //If write_addr_b_width is smaller, scale both addresses to that width for //comparing write_addr_a and write_addr_b; addr_a starts as C_ADDRA_WIDTH, //scale it down to write_addr_b_width. addr_b starts as C_ADDRB_WIDTH, //scale it down to write_addr_b_width. Once both are scaled to //write_addr_b_width, compare. scaled_addra_to_waddrb_width = ((addr_a)/ 2**(C_ADDRA_WIDTH-write_addr_b_width)); scaled_addrb_to_waddrb_width = ((addr_b)/ 2**(C_ADDRB_WIDTH-write_addr_b_width)); //If write_addr_a_width is smaller, scale both addresses to that width for //comparing write_addr_a and write_addr_b; addr_a starts as C_ADDRA_WIDTH, //scale it down to write_addr_a_width. addr_b starts as C_ADDRB_WIDTH, //scale it down to write_addr_a_width. Once both are scaled to //write_addr_a_width, compare. scaled_addra_to_waddra_width = ((addr_a)/ 2**(C_ADDRA_WIDTH-write_addr_a_width)); scaled_addrb_to_waddra_width = ((addr_b)/ 2**(C_ADDRB_WIDTH-write_addr_a_width)); //If read_addr_b_width is smaller, scale both addresses to that width for //comparing write_addr_a and read_addr_b; addr_a starts as C_ADDRA_WIDTH, //scale it down to read_addr_b_width. addr_b starts as C_ADDRB_WIDTH, //scale it down to read_addr_b_width. Once both are scaled to //read_addr_b_width, compare. scaled_addra_to_raddrb_width = ((addr_a)/ 2**(C_ADDRA_WIDTH-read_addr_b_width)); scaled_addrb_to_raddrb_width = ((addr_b)/ 2**(C_ADDRB_WIDTH-read_addr_b_width)); //If read_addr_a_width is smaller, scale both addresses to that width for //comparing read_addr_a and write_addr_b; addr_a starts as C_ADDRA_WIDTH, //scale it down to read_addr_a_width. addr_b starts as C_ADDRB_WIDTH, //scale it down to read_addr_a_width. Once both are scaled to //read_addr_a_width, compare. scaled_addra_to_raddra_width = ((addr_a)/ 2**(C_ADDRA_WIDTH-read_addr_a_width)); scaled_addrb_to_raddra_width = ((addr_b)/ 2**(C_ADDRB_WIDTH-read_addr_a_width)); //Look for a write-write collision. In order for a write-write //collision to exist, both ports must have a write transaction. if (iswrite_a && iswrite_b) begin if (write_addr_a_width > write_addr_b_width) begin if (scaled_addra_to_waddrb_width == scaled_addrb_to_waddrb_width) begin c_aw_bw = 1; end else begin c_aw_bw = 0; end end else begin if (scaled_addrb_to_waddra_width == scaled_addra_to_waddra_width) begin c_aw_bw = 1; end else begin c_aw_bw = 0; end end //width end //iswrite_a and iswrite_b //If the B port is reading (which means it is enabled - so could be //a TX_WRITE or TX_READ), then check for a write-read collision). //This could happen whether or not a write-write collision exists due //to asymmetric write/read ports. if (iswrite_a) begin if (write_addr_a_width > read_addr_b_width) begin if (scaled_addra_to_raddrb_width == scaled_addrb_to_raddrb_width) begin c_aw_br = 1; end else begin c_aw_br = 0; end end else begin if (scaled_addrb_to_waddra_width == scaled_addra_to_waddra_width) begin c_aw_br = 1; end else begin c_aw_br = 0; end end //width end //iswrite_a //If the A port is reading (which means it is enabled - so could be // a TX_WRITE or TX_READ), then check for a write-read collision). //This could happen whether or not a write-write collision exists due // to asymmetric write/read ports. if (iswrite_b) begin if (read_addr_a_width > write_addr_b_width) begin if (scaled_addra_to_waddrb_width == scaled_addrb_to_waddrb_width) begin c_ar_bw = 1; end else begin c_ar_bw = 0; end end else begin if (scaled_addrb_to_raddra_width == scaled_addra_to_raddra_width) begin c_ar_bw = 1; end else begin c_ar_bw = 0; end end //width end //iswrite_b collision_check = c_aw_bw | c_aw_br | c_ar_bw; end endfunction //******************************* // power on values //******************************* initial begin // Load up the memory init_memory; // Load up the output registers and latches if ($sscanf(inita_str, "%h", inita_val)) begin memory_out_a = inita_val; end else begin memory_out_a = 0; end if ($sscanf(initb_str, "%h", initb_val)) begin memory_out_b = initb_val; end else begin memory_out_b = 0; end sbiterr_in = 1'b0; dbiterr_in = 1'b0; rdaddrecc_in = 0; // Determine the effective address widths for each of the 4 ports write_addr_a_width = C_ADDRA_WIDTH - log2roundup(WRITE_ADDR_A_DIV); read_addr_a_width = C_ADDRA_WIDTH - log2roundup(READ_ADDR_A_DIV); write_addr_b_width = C_ADDRB_WIDTH - log2roundup(WRITE_ADDR_B_DIV); read_addr_b_width = C_ADDRB_WIDTH - log2roundup(READ_ADDR_B_DIV); $display("Block Memory Generator module %m is using a behavioral model for simulation which will not precisely model memory collision behavior."); end //*************************************************************************** // These are the main blocks which schedule read and write operations // Note that the reset priority feature at the latch stage is only supported // for Spartan-6. For other families, the default priority at the latch stage // is "CE" //*************************************************************************** // Synchronous clocks: schedule port operations with respect to // both write operating modes generate if(C_COMMON_CLK && (C_WRITE_MODE_A == "WRITE_FIRST") && (C_WRITE_MODE_B == "WRITE_FIRST")) begin : com_clk_sched_wf_wf always @(posedge CLKA) begin //Write A if (wea_i) write_a(ADDRA, wea_i, DINA, INJECTSBITERR, INJECTDBITERR); //Write B if (web_i) write_b(ADDRB, web_i, DINB); if (rea_i) read_a(ADDRA, reseta_i); //Read B if (reb_i) read_b(ADDRB, resetb_i); end end else if(C_COMMON_CLK && (C_WRITE_MODE_A == "READ_FIRST") && (C_WRITE_MODE_B == "WRITE_FIRST")) begin : com_clk_sched_rf_wf always @(posedge CLKA) begin //Write B if (web_i) write_b(ADDRB, web_i, DINB); //Read B if (reb_i) read_b(ADDRB, resetb_i); //Read A if (rea_i) read_a(ADDRA, reseta_i); //Write A if (wea_i) write_a(ADDRA, wea_i, DINA, INJECTSBITERR, INJECTDBITERR); end end else if(C_COMMON_CLK && (C_WRITE_MODE_A == "WRITE_FIRST") && (C_WRITE_MODE_B == "READ_FIRST")) begin : com_clk_sched_wf_rf always @(posedge CLKA) begin //Write A if (wea_i) write_a(ADDRA, wea_i, DINA, INJECTSBITERR, INJECTDBITERR); //Read A if (rea_i) read_a(ADDRA, reseta_i); //Read B if (reb_i) read_b(ADDRB, resetb_i); //Write B if (web_i) write_b(ADDRB, web_i, DINB); end end else if(C_COMMON_CLK && (C_WRITE_MODE_A == "READ_FIRST") && (C_WRITE_MODE_B == "READ_FIRST")) begin : com_clk_sched_rf_rf always @(posedge CLKA) begin //Read A if (rea_i) read_a(ADDRA, reseta_i); //Read B if (reb_i) read_b(ADDRB, resetb_i); //Write A if (wea_i) write_a(ADDRA, wea_i, DINA, INJECTSBITERR, INJECTDBITERR); //Write B if (web_i) write_b(ADDRB, web_i, DINB); end end else if(C_COMMON_CLK && (C_WRITE_MODE_A =="WRITE_FIRST") && (C_WRITE_MODE_B == "NO_CHANGE")) begin : com_clk_sched_wf_nc always @(posedge CLKA) begin //Write A if (wea_i) write_a(ADDRA, wea_i, DINA, INJECTSBITERR, INJECTDBITERR); //Read A if (rea_i) read_a(ADDRA, reseta_i); //Read B if (reb_i && (!web_i || resetb_i)) read_b(ADDRB, resetb_i); //Write B if (web_i) write_b(ADDRB, web_i, DINB); end end else if(C_COMMON_CLK && (C_WRITE_MODE_A =="READ_FIRST") && (C_WRITE_MODE_B == "NO_CHANGE")) begin : com_clk_sched_rf_nc always @(posedge CLKA) begin //Read A if (rea_i) read_a(ADDRA, reseta_i); //Read B if (reb_i && (!web_i || resetb_i)) read_b(ADDRB, resetb_i); //Write A if (wea_i) write_a(ADDRA, wea_i, DINA, INJECTSBITERR, INJECTDBITERR); //Write B if (web_i) write_b(ADDRB, web_i, DINB); end end else if(C_COMMON_CLK && (C_WRITE_MODE_A =="NO_CHANGE") && (C_WRITE_MODE_B == "WRITE_FIRST")) begin : com_clk_sched_nc_wf always @(posedge CLKA) begin //Write A if (wea_i) write_a(ADDRA, wea_i, DINA, INJECTSBITERR, INJECTDBITERR); //Write B if (web_i) write_b(ADDRB, web_i, DINB); //Read A if (rea_i && (!wea_i || reseta_i)) read_a(ADDRA, reseta_i); //Read B if (reb_i) read_b(ADDRB, resetb_i); end end else if(C_COMMON_CLK && (C_WRITE_MODE_A =="NO_CHANGE") && (C_WRITE_MODE_B == "READ_FIRST")) begin : com_clk_sched_nc_rf always @(posedge CLKA) begin //Read B if (reb_i) read_b(ADDRB, resetb_i); //Read A if (rea_i && (!wea_i || reseta_i)) read_a(ADDRA, reseta_i); //Write A if (wea_i) write_a(ADDRA, wea_i, DINA, INJECTSBITERR, INJECTDBITERR); //Write B if (web_i) write_b(ADDRB, web_i, DINB); end end else if(C_COMMON_CLK && (C_WRITE_MODE_A =="NO_CHANGE") && (C_WRITE_MODE_B == "NO_CHANGE")) begin : com_clk_sched_nc_nc always @(posedge CLKA) begin //Write A if (wea_i) write_a(ADDRA, wea_i, DINA, INJECTSBITERR, INJECTDBITERR); //Write B if (web_i) write_b(ADDRB, web_i, DINB); //Read A if (rea_i && (!wea_i || reseta_i)) read_a(ADDRA, reseta_i); //Read B if (reb_i && (!web_i || resetb_i)) read_b(ADDRB, resetb_i); end end else if(C_COMMON_CLK) begin: com_clk_sched_default always @(posedge CLKA) begin //Write A if (wea_i) write_a(ADDRA, wea_i, DINA, INJECTSBITERR, INJECTDBITERR); //Write B if (web_i) write_b(ADDRB, web_i, DINB); //Read A if (rea_i) read_a(ADDRA, reseta_i); //Read B if (reb_i) read_b(ADDRB, resetb_i); end end endgenerate // Asynchronous clocks: port operation is independent generate if((!C_COMMON_CLK) && (C_WRITE_MODE_A == "WRITE_FIRST")) begin : async_clk_sched_clka_wf always @(posedge CLKA) begin //Write A if (wea_i) write_a(ADDRA, wea_i, DINA, INJECTSBITERR, INJECTDBITERR); //Read A if (rea_i) read_a(ADDRA, reseta_i); end end else if((!C_COMMON_CLK) && (C_WRITE_MODE_A == "READ_FIRST")) begin : async_clk_sched_clka_rf always @(posedge CLKA) begin //Read A if (rea_i) read_a(ADDRA, reseta_i); //Write A if (wea_i) write_a(ADDRA, wea_i, DINA, INJECTSBITERR, INJECTDBITERR); end end else if((!C_COMMON_CLK) && (C_WRITE_MODE_A == "NO_CHANGE")) begin : async_clk_sched_clka_nc always @(posedge CLKA) begin //Write A if (wea_i) write_a(ADDRA, wea_i, DINA, INJECTSBITERR, INJECTDBITERR); //Read A if (rea_i && (!wea_i || reseta_i)) read_a(ADDRA, reseta_i); end end endgenerate generate if ((!C_COMMON_CLK) && (C_WRITE_MODE_B == "WRITE_FIRST")) begin: async_clk_sched_clkb_wf always @(posedge CLKB) begin //Write B if (web_i) write_b(ADDRB, web_i, DINB); //Read B if (reb_i) read_b(ADDRB, resetb_i); end end else if ((!C_COMMON_CLK) && (C_WRITE_MODE_B == "READ_FIRST")) begin: async_clk_sched_clkb_rf always @(posedge CLKB) begin //Read B if (reb_i) read_b(ADDRB, resetb_i); //Write B if (web_i) write_b(ADDRB, web_i, DINB); end end else if ((!C_COMMON_CLK) && (C_WRITE_MODE_B == "NO_CHANGE")) begin: async_clk_sched_clkb_nc always @(posedge CLKB) begin //Write B if (web_i) write_b(ADDRB, web_i, DINB); //Read B if (reb_i && (!web_i || resetb_i)) read_b(ADDRB, resetb_i); end end endgenerate //*************************************************************** // Instantiate the variable depth output register stage module //*************************************************************** // Port A BLK_MEM_GEN_v8_1_output_stage #(.C_FAMILY (C_FAMILY), .C_XDEVICEFAMILY (C_XDEVICEFAMILY), .C_RST_TYPE (C_RST_TYPE), .C_HAS_RST (C_HAS_RSTA), .C_RSTRAM (C_RSTRAM_A), .C_RST_PRIORITY (C_RST_PRIORITY_A), .C_INIT_VAL (C_INITA_VAL), .C_HAS_EN (C_HAS_ENA), .C_HAS_REGCE (C_HAS_REGCEA), .C_DATA_WIDTH (C_READ_WIDTH_A), .C_ADDRB_WIDTH (C_ADDRB_WIDTH), .C_HAS_MEM_OUTPUT_REGS (C_HAS_MEM_OUTPUT_REGS_A), .C_USE_SOFTECC (C_USE_SOFTECC), .C_USE_ECC (C_USE_ECC), .NUM_STAGES (NUM_OUTPUT_STAGES_A), .FLOP_DELAY (FLOP_DELAY)) reg_a (.CLK (CLKA), .RST (RSTA), .EN (ENA), .REGCE (REGCEA), .DIN (memory_out_a), .DOUT (DOUTA), .SBITERR_IN (1'b0), .DBITERR_IN (1'b0), .SBITERR (), .DBITERR (), .RDADDRECC_IN ({C_ADDRB_WIDTH{1'b0}}), .RDADDRECC () ); // Port B BLK_MEM_GEN_v8_1_output_stage #(.C_FAMILY (C_FAMILY), .C_XDEVICEFAMILY (C_XDEVICEFAMILY), .C_RST_TYPE (C_RST_TYPE), .C_HAS_RST (C_HAS_RSTB), .C_RSTRAM (C_RSTRAM_B), .C_RST_PRIORITY (C_RST_PRIORITY_B), .C_INIT_VAL (C_INITB_VAL), .C_HAS_EN (C_HAS_ENB), .C_HAS_REGCE (C_HAS_REGCEB), .C_DATA_WIDTH (C_READ_WIDTH_B), .C_ADDRB_WIDTH (C_ADDRB_WIDTH), .C_HAS_MEM_OUTPUT_REGS (C_HAS_MEM_OUTPUT_REGS_B), .C_USE_SOFTECC (C_USE_SOFTECC), .C_USE_ECC (C_USE_ECC), .NUM_STAGES (NUM_OUTPUT_STAGES_B), .FLOP_DELAY (FLOP_DELAY)) reg_b (.CLK (CLKB), .RST (RSTB), .EN (ENB), .REGCE (REGCEB), .DIN (memory_out_b), .DOUT (dout_i), .SBITERR_IN (sbiterr_in), .DBITERR_IN (dbiterr_in), .SBITERR (sbiterr_i), .DBITERR (dbiterr_i), .RDADDRECC_IN (rdaddrecc_in), .RDADDRECC (rdaddrecc_i) ); //*************************************************************** // Instantiate the Input and Output register stages //*************************************************************** BLK_MEM_GEN_v8_1_softecc_output_reg_stage #(.C_DATA_WIDTH (C_READ_WIDTH_B), .C_ADDRB_WIDTH (C_ADDRB_WIDTH), .C_HAS_SOFTECC_OUTPUT_REGS_B (C_HAS_SOFTECC_OUTPUT_REGS_B), .C_USE_SOFTECC (C_USE_SOFTECC), .FLOP_DELAY (FLOP_DELAY)) has_softecc_output_reg_stage (.CLK (CLKB), .DIN (dout_i), .DOUT (DOUTB), .SBITERR_IN (sbiterr_i), .DBITERR_IN (dbiterr_i), .SBITERR (sbiterr_sdp), .DBITERR (dbiterr_sdp), .RDADDRECC_IN (rdaddrecc_i), .RDADDRECC (rdaddrecc_sdp) ); //**************************************************** // Synchronous collision checks //**************************************************** generate if (!C_DISABLE_WARN_BHV_COLL && C_COMMON_CLK) begin : sync_coll always @(posedge CLKA) begin // Possible collision if both are enabled and the addresses match if (ena_i && enb_i) begin if (wea_i || web_i) begin is_collision <= collision_check(ADDRA, wea_i, ADDRB, web_i); end else begin is_collision <= 0; end end else begin is_collision <= 0; end // If the write port is in READ_FIRST mode, there is no collision if (C_WRITE_MODE_A=="READ_FIRST" && wea_i && !web_i) begin is_collision <= 0; end if (C_WRITE_MODE_B=="READ_FIRST" && web_i && !wea_i) begin is_collision <= 0; end // Only flag if one of the accesses is a write if (is_collision && (wea_i || web_i)) begin $fwrite(COLLFILE, "%0s collision detected at time: %0d, ", C_CORENAME, $time); $fwrite(COLLFILE, "A %0s address: %0h, B %0s address: %0h\n", wea_i ? "write" : "read", ADDRA, web_i ? "write" : "read", ADDRB); end end //**************************************************** // Asynchronous collision checks //**************************************************** end else if (!C_DISABLE_WARN_BHV_COLL && !C_COMMON_CLK) begin : async_coll // Delay A and B addresses in order to mimic setup/hold times wire [C_ADDRA_WIDTH-1:0] #COLL_DELAY addra_delay = ADDRA; wire [0:0] #COLL_DELAY wea_delay = wea_i; wire #COLL_DELAY ena_delay = ena_i; wire [C_ADDRB_WIDTH-1:0] #COLL_DELAY addrb_delay = ADDRB; wire [0:0] #COLL_DELAY web_delay = web_i; wire #COLL_DELAY enb_delay = enb_i; // Do the checks w/rt A always @(posedge CLKA) begin // Possible collision if both are enabled and the addresses match if (ena_i && enb_i) begin if (wea_i || web_i) begin is_collision_a <= collision_check(ADDRA, wea_i, ADDRB, web_i); end else begin is_collision_a <= 0; end end else begin is_collision_a <= 0; end if (ena_i && enb_delay) begin if(wea_i || web_delay) begin is_collision_delay_a <= collision_check(ADDRA, wea_i, addrb_delay, web_delay); end else begin is_collision_delay_a <= 0; end end else begin is_collision_delay_a <= 0; end // Only flag if B access is a write if (is_collision_a && web_i) begin $fwrite(COLLFILE, "%0s collision detected at time: %0d, ", C_CORENAME, $time); $fwrite(COLLFILE, "A %0s address: %0h, B write address: %0h\n", wea_i ? "write" : "read", ADDRA, ADDRB); end else if (is_collision_delay_a && web_delay) begin $fwrite(COLLFILE, "%0s collision detected at time: %0d, ", C_CORENAME, $time); $fwrite(COLLFILE, "A %0s address: %0h, B write address: %0h\n", wea_i ? "write" : "read", ADDRA, addrb_delay); end end // Do the checks w/rt B always @(posedge CLKB) begin // Possible collision if both are enabled and the addresses match if (ena_i && enb_i) begin if (wea_i || web_i) begin is_collision_b <= collision_check(ADDRA, wea_i, ADDRB, web_i); end else begin is_collision_b <= 0; end end else begin is_collision_b <= 0; end if (ena_delay && enb_i) begin if (wea_delay || web_i) begin is_collision_delay_b <= collision_check(addra_delay, wea_delay, ADDRB, web_i); end else begin is_collision_delay_b <= 0; end end else begin is_collision_delay_b <= 0; end // Only flag if A access is a write if (is_collision_b && wea_i) begin $fwrite(COLLFILE, "%0s collision detected at time: %0d, ", C_CORENAME, $time); $fwrite(COLLFILE, "A write address: %0h, B %s address: %0h\n", ADDRA, web_i ? "write" : "read", ADDRB); end else if (is_collision_delay_b && wea_delay) begin $fwrite(COLLFILE, "%0s collision detected at time: %0d, ", C_CORENAME, $time); $fwrite(COLLFILE, "A write address: %0h, B %s address: %0h\n", addra_delay, web_i ? "write" : "read", ADDRB); end end end endgenerate endmodule //***************************************************************************** // Top module wraps Input register and Memory module // // This module is the top-level behavioral model and this implements the memory // module and the input registers //***************************************************************************** module blk_mem_gen_v8_1 #(parameter C_CORENAME = "blk_mem_gen_v8_1", parameter C_FAMILY = "virtex7", parameter C_XDEVICEFAMILY = "virtex7", parameter C_ELABORATION_DIR = "", parameter C_INTERFACE_TYPE = 0, parameter C_USE_BRAM_BLOCK = 0, parameter C_CTRL_ECC_ALGO = "NONE", parameter C_ENABLE_32BIT_ADDRESS = 0, parameter C_AXI_TYPE = 0, parameter C_AXI_SLAVE_TYPE = 0, parameter C_HAS_AXI_ID = 0, parameter C_AXI_ID_WIDTH = 4, parameter C_MEM_TYPE = 2, parameter C_BYTE_SIZE = 9, parameter C_ALGORITHM = 1, parameter C_PRIM_TYPE = 3, parameter C_LOAD_INIT_FILE = 0, parameter C_INIT_FILE_NAME = "", parameter C_INIT_FILE = "", parameter C_USE_DEFAULT_DATA = 0, parameter C_DEFAULT_DATA = "0", parameter C_RST_TYPE = "SYNC", parameter C_HAS_RSTA = 0, parameter C_RST_PRIORITY_A = "CE", parameter C_RSTRAM_A = 0, parameter C_INITA_VAL = "0", parameter C_HAS_ENA = 1, parameter C_HAS_REGCEA = 0, parameter C_USE_BYTE_WEA = 0, parameter C_WEA_WIDTH = 1, parameter C_WRITE_MODE_A = "WRITE_FIRST", parameter C_WRITE_WIDTH_A = 32, parameter C_READ_WIDTH_A = 32, parameter C_WRITE_DEPTH_A = 64, parameter C_READ_DEPTH_A = 64, parameter C_ADDRA_WIDTH = 5, parameter C_HAS_RSTB = 0, parameter C_RST_PRIORITY_B = "CE", parameter C_RSTRAM_B = 0, parameter C_INITB_VAL = "", parameter C_HAS_ENB = 1, parameter C_HAS_REGCEB = 0, parameter C_USE_BYTE_WEB = 0, parameter C_WEB_WIDTH = 1, parameter C_WRITE_MODE_B = "WRITE_FIRST", parameter C_WRITE_WIDTH_B = 32, parameter C_READ_WIDTH_B = 32, parameter C_WRITE_DEPTH_B = 64, parameter C_READ_DEPTH_B = 64, parameter C_ADDRB_WIDTH = 5, parameter C_HAS_MEM_OUTPUT_REGS_A = 0, parameter C_HAS_MEM_OUTPUT_REGS_B = 0, parameter C_HAS_MUX_OUTPUT_REGS_A = 0, parameter C_HAS_MUX_OUTPUT_REGS_B = 0, parameter C_HAS_SOFTECC_INPUT_REGS_A = 0, parameter C_HAS_SOFTECC_OUTPUT_REGS_B= 0, parameter C_MUX_PIPELINE_STAGES = 0, parameter C_USE_SOFTECC = 0, parameter C_USE_ECC = 0, parameter C_HAS_INJECTERR = 0, parameter C_SIM_COLLISION_CHECK = "NONE", parameter C_COMMON_CLK = 1, parameter C_DISABLE_WARN_BHV_COLL = 0, parameter C_DISABLE_WARN_BHV_RANGE = 0 ) (input clka, input rsta, input ena, input regcea, input [C_WEA_WIDTH-1:0] wea, input [C_ADDRA_WIDTH-1:0] addra, input [C_WRITE_WIDTH_A-1:0] dina, output [C_READ_WIDTH_A-1:0] douta, input clkb, input rstb, input enb, input regceb, input [C_WEB_WIDTH-1:0] web, input [C_ADDRB_WIDTH-1:0] addrb, input [C_WRITE_WIDTH_B-1:0] dinb, output [C_READ_WIDTH_B-1:0] doutb, input injectsbiterr, input injectdbiterr, output sbiterr, output dbiterr, output [C_ADDRB_WIDTH-1:0] rdaddrecc, //AXI BMG Input and Output Port Declarations //AXI Global Signals input s_aclk, input s_aresetn, //AXI Full/lite slave write (write side) input [C_AXI_ID_WIDTH-1:0] s_axi_awid, input [31:0] s_axi_awaddr, input [7:0] s_axi_awlen, input [2:0] s_axi_awsize, input [1:0] s_axi_awburst, input s_axi_awvalid, output s_axi_awready, input [C_WRITE_WIDTH_A-1:0] s_axi_wdata, input [C_WEA_WIDTH-1:0] s_axi_wstrb, input s_axi_wlast, input s_axi_wvalid, output s_axi_wready, output [C_AXI_ID_WIDTH-1:0] s_axi_bid, output [1:0] s_axi_bresp, output s_axi_bvalid, input s_axi_bready, //AXI Full/lite slave read (write side) input [C_AXI_ID_WIDTH-1:0] s_axi_arid, input [31:0] s_axi_araddr, input [7:0] s_axi_arlen, input [2:0] s_axi_arsize, input [1:0] s_axi_arburst, input s_axi_arvalid, output s_axi_arready, output [C_AXI_ID_WIDTH-1:0] s_axi_rid, output [C_WRITE_WIDTH_B-1:0] s_axi_rdata, output [1:0] s_axi_rresp, output s_axi_rlast, output s_axi_rvalid, input s_axi_rready, //AXI Full/lite sideband signals input s_axi_injectsbiterr, input s_axi_injectdbiterr, output s_axi_sbiterr, output s_axi_dbiterr, output [C_ADDRB_WIDTH-1:0] s_axi_rdaddrecc ); //****************************** // Port and Generic Definitions //****************************** ////////////////////////////////////////////////////////////////////////// // Generic Definitions ////////////////////////////////////////////////////////////////////////// // C_CORENAME : Instance name of the Block Memory Generator core // C_FAMILY,C_XDEVICEFAMILY: Designates architecture targeted. The following // options are available - "spartan3", "spartan6", // "virtex4", "virtex5", "virtex6" and "virtex6l". // C_MEM_TYPE : Designates memory type. // It can be // 0 - Single Port Memory // 1 - Simple Dual Port Memory // 2 - True Dual Port Memory // 3 - Single Port Read Only Memory // 4 - Dual Port Read Only Memory // C_BYTE_SIZE : Size of a byte (8 or 9 bits) // C_ALGORITHM : Designates the algorithm method used // for constructing the memory. // It can be Fixed_Primitives, Minimum_Area or // Low_Power // C_PRIM_TYPE : Designates the user selected primitive used to // construct the memory. // // C_LOAD_INIT_FILE : Designates the use of an initialization file to // initialize memory contents. // C_INIT_FILE_NAME : Memory initialization file name. // C_USE_DEFAULT_DATA : Designates whether to fill remaining // initialization space with default data // C_DEFAULT_DATA : Default value of all memory locations // not initialized by the memory // initialization file. // C_RST_TYPE : Type of reset - Synchronous or Asynchronous // C_HAS_RSTA : Determines the presence of the RSTA port // C_RST_PRIORITY_A : Determines the priority between CE and SR for // Port A. // C_RSTRAM_A : Determines if special reset behavior is used for // Port A // C_INITA_VAL : The initialization value for Port A // C_HAS_ENA : Determines the presence of the ENA port // C_HAS_REGCEA : Determines the presence of the REGCEA port // C_USE_BYTE_WEA : Determines if the Byte Write is used or not. // C_WEA_WIDTH : The width of the WEA port // C_WRITE_MODE_A : Configurable write mode for Port A. It can be // WRITE_FIRST, READ_FIRST or NO_CHANGE. // C_WRITE_WIDTH_A : Memory write width for Port A. // C_READ_WIDTH_A : Memory read width for Port A. // C_WRITE_DEPTH_A : Memory write depth for Port A. // C_READ_DEPTH_A : Memory read depth for Port A. // C_ADDRA_WIDTH : Width of the ADDRA input port // C_HAS_RSTB : Determines the presence of the RSTB port // C_RST_PRIORITY_B : Determines the priority between CE and SR for // Port B. // C_RSTRAM_B : Determines if special reset behavior is used for // Port B // C_INITB_VAL : The initialization value for Port B // C_HAS_ENB : Determines the presence of the ENB port // C_HAS_REGCEB : Determines the presence of the REGCEB port // C_USE_BYTE_WEB : Determines if the Byte Write is used or not. // C_WEB_WIDTH : The width of the WEB port // C_WRITE_MODE_B : Configurable write mode for Port B. It can be // WRITE_FIRST, READ_FIRST or NO_CHANGE. // C_WRITE_WIDTH_B : Memory write width for Port B. // C_READ_WIDTH_B : Memory read width for Port B. // C_WRITE_DEPTH_B : Memory write depth for Port B. // C_READ_DEPTH_B : Memory read depth for Port B. // C_ADDRB_WIDTH : Width of the ADDRB input port // C_HAS_MEM_OUTPUT_REGS_A : Designates the use of a register at the output // of the RAM primitive for Port A. // C_HAS_MEM_OUTPUT_REGS_B : Designates the use of a register at the output // of the RAM primitive for Port B. // C_HAS_MUX_OUTPUT_REGS_A : Designates the use of a register at the output // of the MUX for Port A. // C_HAS_MUX_OUTPUT_REGS_B : Designates the use of a register at the output // of the MUX for Port B. // C_HAS_SOFTECC_INPUT_REGS_A : // C_HAS_SOFTECC_OUTPUT_REGS_B : // C_MUX_PIPELINE_STAGES : Designates the number of pipeline stages in // between the muxes. // C_USE_SOFTECC : Determines if the Soft ECC feature is used or // not. Only applicable Spartan-6 // C_USE_ECC : Determines if the ECC feature is used or // not. Only applicable for V5 and V6 // C_HAS_INJECTERR : Determines if the error injection pins // are present or not. If the ECC feature // is not used, this value is defaulted to // 0, else the following are the allowed // values: // 0 : No INJECTSBITERR or INJECTDBITERR pins // 1 : Only INJECTSBITERR pin exists // 2 : Only INJECTDBITERR pin exists // 3 : Both INJECTSBITERR and INJECTDBITERR pins exist // C_SIM_COLLISION_CHECK : Controls the disabling of Unisim model collision // warnings. It can be "ALL", "NONE", // "Warnings_Only" or "Generate_X_Only". // C_COMMON_CLK : Determins if the core has a single CLK input. // C_DISABLE_WARN_BHV_COLL : Controls the Behavioral Model Collision warnings // C_DISABLE_WARN_BHV_RANGE: Controls the Behavioral Model Out of Range // warnings ////////////////////////////////////////////////////////////////////////// // Port Definitions ////////////////////////////////////////////////////////////////////////// // CLKA : Clock to synchronize all read and write operations of Port A. // RSTA : Reset input to reset memory outputs to a user-defined // reset state for Port A. // ENA : Enable all read and write operations of Port A. // REGCEA : Register Clock Enable to control each pipeline output // register stages for Port A. // WEA : Write Enable to enable all write operations of Port A. // ADDRA : Address of Port A. // DINA : Data input of Port A. // DOUTA : Data output of Port A. // CLKB : Clock to synchronize all read and write operations of Port B. // RSTB : Reset input to reset memory outputs to a user-defined // reset state for Port B. // ENB : Enable all read and write operations of Port B. // REGCEB : Register Clock Enable to control each pipeline output // register stages for Port B. // WEB : Write Enable to enable all write operations of Port B. // ADDRB : Address of Port B. // DINB : Data input of Port B. // DOUTB : Data output of Port B. // INJECTSBITERR : Single Bit ECC Error Injection Pin. // INJECTDBITERR : Double Bit ECC Error Injection Pin. // SBITERR : Output signal indicating that a Single Bit ECC Error has been // detected and corrected. // DBITERR : Output signal indicating that a Double Bit ECC Error has been // detected. // RDADDRECC : Read Address Output signal indicating address at which an // ECC error has occurred. ////////////////////////////////////////////////////////////////////////// wire SBITERR; wire DBITERR; wire S_AXI_AWREADY; wire S_AXI_WREADY; wire S_AXI_BVALID; wire S_AXI_ARREADY; wire S_AXI_RLAST; wire S_AXI_RVALID; wire S_AXI_SBITERR; wire S_AXI_DBITERR; wire [C_WEA_WIDTH-1:0] WEA = wea; wire [C_ADDRA_WIDTH-1:0] ADDRA = addra; wire [C_WRITE_WIDTH_A-1:0] DINA = dina; wire [C_READ_WIDTH_A-1:0] DOUTA; wire [C_WEB_WIDTH-1:0] WEB = web; wire [C_ADDRB_WIDTH-1:0] ADDRB = addrb; wire [C_WRITE_WIDTH_B-1:0] DINB = dinb; wire [C_READ_WIDTH_B-1:0] DOUTB; wire [C_ADDRB_WIDTH-1:0] RDADDRECC; wire [C_AXI_ID_WIDTH-1:0] S_AXI_AWID = s_axi_awid; wire [31:0] S_AXI_AWADDR = s_axi_awaddr; wire [7:0] S_AXI_AWLEN = s_axi_awlen; wire [2:0] S_AXI_AWSIZE = s_axi_awsize; wire [1:0] S_AXI_AWBURST = s_axi_awburst; wire [C_WRITE_WIDTH_A-1:0] S_AXI_WDATA = s_axi_wdata; wire [C_WEA_WIDTH-1:0] S_AXI_WSTRB = s_axi_wstrb; wire [C_AXI_ID_WIDTH-1:0] S_AXI_BID; wire [1:0] S_AXI_BRESP; wire [C_AXI_ID_WIDTH-1:0] S_AXI_ARID = s_axi_arid; wire [31:0] S_AXI_ARADDR = s_axi_araddr; wire [7:0] S_AXI_ARLEN = s_axi_arlen; wire [2:0] S_AXI_ARSIZE = s_axi_arsize; wire [1:0] S_AXI_ARBURST = s_axi_arburst; wire [C_AXI_ID_WIDTH-1:0] S_AXI_RID; wire [C_WRITE_WIDTH_B-1:0] S_AXI_RDATA; wire [1:0] S_AXI_RRESP; wire [C_ADDRB_WIDTH-1:0] S_AXI_RDADDRECC; // Added to fix the simulation warning #CR731605 wire [C_WEB_WIDTH-1:0] WEB_parameterized = 0; assign CLKA = clka; assign RSTA = rsta; assign ENA = ena; assign REGCEA = regcea; assign CLKB = clkb; assign RSTB = rstb; assign ENB = enb; assign REGCEB = regceb; assign INJECTSBITERR = injectsbiterr; assign INJECTDBITERR = injectdbiterr; assign sbiterr = SBITERR; assign dbiterr = DBITERR; assign S_ACLK = s_aclk; assign S_ARESETN = s_aresetn; assign S_AXI_AWVALID = s_axi_awvalid; assign s_axi_awready = S_AXI_AWREADY; assign S_AXI_WLAST = s_axi_wlast; assign S_AXI_WVALID = s_axi_wvalid; assign s_axi_wready = S_AXI_WREADY; assign s_axi_bvalid = S_AXI_BVALID; assign S_AXI_BREADY = s_axi_bready; assign S_AXI_ARVALID = s_axi_arvalid; assign s_axi_arready = S_AXI_ARREADY; assign s_axi_rlast = S_AXI_RLAST; assign s_axi_rvalid = S_AXI_RVALID; assign S_AXI_RREADY = s_axi_rready; assign S_AXI_INJECTSBITERR = s_axi_injectsbiterr; assign S_AXI_INJECTDBITERR = s_axi_injectdbiterr; assign s_axi_sbiterr = S_AXI_SBITERR; assign s_axi_dbiterr = S_AXI_DBITERR; assign doutb = DOUTB; assign douta = DOUTA; assign rdaddrecc = RDADDRECC; assign s_axi_bid = S_AXI_BID; assign s_axi_bresp = S_AXI_BRESP; assign s_axi_rid = S_AXI_RID; assign s_axi_rdata = S_AXI_RDATA; assign s_axi_rresp = S_AXI_RRESP; assign s_axi_rdaddrecc = S_AXI_RDADDRECC; localparam FLOP_DELAY = 100; // 100 ps reg injectsbiterr_in; reg injectdbiterr_in; reg rsta_in; reg ena_in; reg regcea_in; reg [C_WEA_WIDTH-1:0] wea_in; reg [C_ADDRA_WIDTH-1:0] addra_in; reg [C_WRITE_WIDTH_A-1:0] dina_in; wire [C_ADDRA_WIDTH-1:0] s_axi_awaddr_out_c; wire [C_ADDRB_WIDTH-1:0] s_axi_araddr_out_c; wire s_axi_wr_en_c; wire s_axi_rd_en_c; wire s_aresetn_a_c; wire [7:0] s_axi_arlen_c ; wire [C_AXI_ID_WIDTH-1 : 0] s_axi_rid_c; wire [C_WRITE_WIDTH_B-1 : 0] s_axi_rdata_c; wire [1:0] s_axi_rresp_c; wire s_axi_rlast_c; wire s_axi_rvalid_c; wire s_axi_rready_c; wire regceb_c; localparam C_AXI_PAYLOAD = (C_HAS_MUX_OUTPUT_REGS_B == 1)?C_WRITE_WIDTH_B+C_AXI_ID_WIDTH+3:C_AXI_ID_WIDTH+3; wire [C_AXI_PAYLOAD-1 : 0] s_axi_payload_c; wire [C_AXI_PAYLOAD-1 : 0] m_axi_payload_c; //************** // log2roundup //************** function integer log2roundup (input integer data_value); integer width; integer cnt; begin width = 0; if (data_value > 1) begin for(cnt=1 ; cnt < data_value ; cnt = cnt * 2) begin width = width + 1; end //loop end //if log2roundup = width; end //log2roundup endfunction //************** // log2int //************** function integer log2int (input integer data_value); integer width; integer cnt; begin width = 0; cnt= data_value; for(cnt=data_value ; cnt >1 ; cnt = cnt / 2) begin width = width + 1; end //loop log2int = width; end //log2int endfunction //************************************************************************** // FUNCTION : divroundup // Returns the ceiling value of the division // Data_value - the quantity to be divided, dividend // Divisor - the value to divide the data_value by //************************************************************************** function integer divroundup (input integer data_value,input integer divisor); integer div; begin div = data_value/divisor; if ((data_value % divisor) != 0) begin div = div+1; end //if divroundup = div; end //if endfunction localparam AXI_FULL_MEMORY_SLAVE = ((C_AXI_SLAVE_TYPE == 0 && C_AXI_TYPE == 1)?1:0); localparam C_AXI_ADDR_WIDTH_MSB = C_ADDRA_WIDTH+log2roundup(C_WRITE_WIDTH_A/8); localparam C_AXI_ADDR_WIDTH = C_AXI_ADDR_WIDTH_MSB; //Data Width Number of LSB address bits to be discarded //1 to 16 1 //17 to 32 2 //33 to 64 3 //65 to 128 4 //129 to 256 5 //257 to 512 6 //513 to 1024 7 // The following two constants determine this. localparam LOWER_BOUND_VAL = (log2roundup(divroundup(C_WRITE_WIDTH_A,8) == 0))?0:(log2roundup(divroundup(C_WRITE_WIDTH_A,8))); localparam C_AXI_ADDR_WIDTH_LSB = ((AXI_FULL_MEMORY_SLAVE == 1)?0:LOWER_BOUND_VAL); localparam C_AXI_OS_WR = 2; //*********************************************** // INPUT REGISTERS. //*********************************************** generate if (C_HAS_SOFTECC_INPUT_REGS_A==0) begin : no_softecc_input_reg_stage always @* begin injectsbiterr_in = INJECTSBITERR; injectdbiterr_in = INJECTDBITERR; rsta_in = RSTA; ena_in = ENA; regcea_in = REGCEA; wea_in = WEA; addra_in = ADDRA; dina_in = DINA; end //end always end //end no_softecc_input_reg_stage endgenerate generate if (C_HAS_SOFTECC_INPUT_REGS_A==1) begin : has_softecc_input_reg_stage always @(posedge CLKA) begin injectsbiterr_in <= #FLOP_DELAY INJECTSBITERR; injectdbiterr_in <= #FLOP_DELAY INJECTDBITERR; rsta_in <= #FLOP_DELAY RSTA; ena_in <= #FLOP_DELAY ENA; regcea_in <= #FLOP_DELAY REGCEA; wea_in <= #FLOP_DELAY WEA; addra_in <= #FLOP_DELAY ADDRA; dina_in <= #FLOP_DELAY DINA; end //end always end //end input_reg_stages generate statement endgenerate generate if ((C_INTERFACE_TYPE == 0) && (C_ENABLE_32BIT_ADDRESS == 0)) begin : native_mem_module BLK_MEM_GEN_v8_1_mem_module #(.C_CORENAME (C_CORENAME), .C_FAMILY (C_FAMILY), .C_XDEVICEFAMILY (C_XDEVICEFAMILY), .C_MEM_TYPE (C_MEM_TYPE), .C_BYTE_SIZE (C_BYTE_SIZE), .C_ALGORITHM (C_ALGORITHM), .C_USE_BRAM_BLOCK (C_USE_BRAM_BLOCK), .C_PRIM_TYPE (C_PRIM_TYPE), .C_LOAD_INIT_FILE (C_LOAD_INIT_FILE), .C_INIT_FILE_NAME (C_INIT_FILE_NAME), .C_INIT_FILE (C_INIT_FILE), .C_USE_DEFAULT_DATA (C_USE_DEFAULT_DATA), .C_DEFAULT_DATA (C_DEFAULT_DATA), .C_RST_TYPE (C_RST_TYPE), .C_HAS_RSTA (C_HAS_RSTA), .C_RST_PRIORITY_A (C_RST_PRIORITY_A), .C_RSTRAM_A (C_RSTRAM_A), .C_INITA_VAL (C_INITA_VAL), .C_HAS_ENA (C_HAS_ENA), .C_HAS_REGCEA (C_HAS_REGCEA), .C_USE_BYTE_WEA (C_USE_BYTE_WEA), .C_WEA_WIDTH (C_WEA_WIDTH), .C_WRITE_MODE_A (C_WRITE_MODE_A), .C_WRITE_WIDTH_A (C_WRITE_WIDTH_A), .C_READ_WIDTH_A (C_READ_WIDTH_A), .C_WRITE_DEPTH_A (C_WRITE_DEPTH_A), .C_READ_DEPTH_A (C_READ_DEPTH_A), .C_ADDRA_WIDTH (C_ADDRA_WIDTH), .C_HAS_RSTB (C_HAS_RSTB), .C_RST_PRIORITY_B (C_RST_PRIORITY_B), .C_RSTRAM_B (C_RSTRAM_B), .C_INITB_VAL (C_INITB_VAL), .C_HAS_ENB (C_HAS_ENB), .C_HAS_REGCEB (C_HAS_REGCEB), .C_USE_BYTE_WEB (C_USE_BYTE_WEB), .C_WEB_WIDTH (C_WEB_WIDTH), .C_WRITE_MODE_B (C_WRITE_MODE_B), .C_WRITE_WIDTH_B (C_WRITE_WIDTH_B), .C_READ_WIDTH_B (C_READ_WIDTH_B), .C_WRITE_DEPTH_B (C_WRITE_DEPTH_B), .C_READ_DEPTH_B (C_READ_DEPTH_B), .C_ADDRB_WIDTH (C_ADDRB_WIDTH), .C_HAS_MEM_OUTPUT_REGS_A (C_HAS_MEM_OUTPUT_REGS_A), .C_HAS_MEM_OUTPUT_REGS_B (C_HAS_MEM_OUTPUT_REGS_B), .C_HAS_MUX_OUTPUT_REGS_A (C_HAS_MUX_OUTPUT_REGS_A), .C_HAS_MUX_OUTPUT_REGS_B (C_HAS_MUX_OUTPUT_REGS_B), .C_HAS_SOFTECC_INPUT_REGS_A (C_HAS_SOFTECC_INPUT_REGS_A), .C_HAS_SOFTECC_OUTPUT_REGS_B (C_HAS_SOFTECC_OUTPUT_REGS_B), .C_MUX_PIPELINE_STAGES (C_MUX_PIPELINE_STAGES), .C_USE_SOFTECC (C_USE_SOFTECC), .C_USE_ECC (C_USE_ECC), .C_HAS_INJECTERR (C_HAS_INJECTERR), .C_SIM_COLLISION_CHECK (C_SIM_COLLISION_CHECK), .C_COMMON_CLK (C_COMMON_CLK), .FLOP_DELAY (FLOP_DELAY), .C_DISABLE_WARN_BHV_COLL (C_DISABLE_WARN_BHV_COLL), .C_DISABLE_WARN_BHV_RANGE (C_DISABLE_WARN_BHV_RANGE)) blk_mem_gen_v8_1_inst (.CLKA (CLKA), .RSTA (rsta_in), .ENA (ena_in), .REGCEA (regcea_in), .WEA (wea_in), .ADDRA (addra_in), .DINA (dina_in), .DOUTA (DOUTA), .CLKB (CLKB), .RSTB (RSTB), .ENB (ENB), .REGCEB (REGCEB), .WEB (WEB), .ADDRB (ADDRB), .DINB (DINB), .DOUTB (DOUTB), .INJECTSBITERR (injectsbiterr_in), .INJECTDBITERR (injectdbiterr_in), .SBITERR (SBITERR), .DBITERR (DBITERR), .RDADDRECC (RDADDRECC) ); end endgenerate generate if((C_INTERFACE_TYPE == 0) && (C_ENABLE_32BIT_ADDRESS == 1)) begin : native_mem_mapped_module localparam C_ADDRA_WIDTH_ACTUAL = log2roundup(C_WRITE_DEPTH_A); localparam C_ADDRB_WIDTH_ACTUAL = log2roundup(C_WRITE_DEPTH_B); localparam C_ADDRA_WIDTH_MSB = C_ADDRA_WIDTH_ACTUAL+log2int(C_WRITE_WIDTH_A/8); localparam C_ADDRB_WIDTH_MSB = C_ADDRB_WIDTH_ACTUAL+log2int(C_WRITE_WIDTH_B/8); // localparam C_ADDRA_WIDTH_MSB = C_ADDRA_WIDTH_ACTUAL+log2roundup(C_WRITE_WIDTH_A/8); // localparam C_ADDRB_WIDTH_MSB = C_ADDRB_WIDTH_ACTUAL+log2roundup(C_WRITE_WIDTH_B/8); localparam C_MEM_MAP_ADDRA_WIDTH_MSB = C_ADDRA_WIDTH_MSB; localparam C_MEM_MAP_ADDRB_WIDTH_MSB = C_ADDRB_WIDTH_MSB; // Data Width Number of LSB address bits to be discarded // 1 to 16 1 // 17 to 32 2 // 33 to 64 3 // 65 to 128 4 // 129 to 256 5 // 257 to 512 6 // 513 to 1024 7 // The following two constants determine this. localparam MEM_MAP_LOWER_BOUND_VAL_A = (log2int(divroundup(C_WRITE_WIDTH_A,8)==0)) ? 0:(log2int(divroundup(C_WRITE_WIDTH_A,8))); localparam MEM_MAP_LOWER_BOUND_VAL_B = (log2int(divroundup(C_WRITE_WIDTH_A,8)==0)) ? 0:(log2int(divroundup(C_WRITE_WIDTH_A,8))); localparam C_MEM_MAP_ADDRA_WIDTH_LSB = MEM_MAP_LOWER_BOUND_VAL_A; localparam C_MEM_MAP_ADDRB_WIDTH_LSB = MEM_MAP_LOWER_BOUND_VAL_B; wire [C_ADDRB_WIDTH_ACTUAL-1 :0] rdaddrecc_i; wire [C_ADDRB_WIDTH-1:C_MEM_MAP_ADDRB_WIDTH_MSB] msb_zero_i; wire [C_MEM_MAP_ADDRB_WIDTH_LSB-1:0] lsb_zero_i; assign msb_zero_i = 0; assign lsb_zero_i = 0; assign RDADDRECC = {msb_zero_i,rdaddrecc_i,lsb_zero_i}; BLK_MEM_GEN_v8_1_mem_module #(.C_CORENAME (C_CORENAME), .C_FAMILY (C_FAMILY), .C_XDEVICEFAMILY (C_XDEVICEFAMILY), .C_MEM_TYPE (C_MEM_TYPE), .C_BYTE_SIZE (C_BYTE_SIZE), .C_USE_BRAM_BLOCK (C_USE_BRAM_BLOCK), .C_ALGORITHM (C_ALGORITHM), .C_PRIM_TYPE (C_PRIM_TYPE), .C_LOAD_INIT_FILE (C_LOAD_INIT_FILE), .C_INIT_FILE_NAME (C_INIT_FILE_NAME), .C_INIT_FILE (C_INIT_FILE), .C_USE_DEFAULT_DATA (C_USE_DEFAULT_DATA), .C_DEFAULT_DATA (C_DEFAULT_DATA), .C_RST_TYPE (C_RST_TYPE), .C_HAS_RSTA (C_HAS_RSTA), .C_RST_PRIORITY_A (C_RST_PRIORITY_A), .C_RSTRAM_A (C_RSTRAM_A), .C_INITA_VAL (C_INITA_VAL), .C_HAS_ENA (C_HAS_ENA), .C_HAS_REGCEA (C_HAS_REGCEA), .C_USE_BYTE_WEA (C_USE_BYTE_WEA), .C_WEA_WIDTH (C_WEA_WIDTH), .C_WRITE_MODE_A (C_WRITE_MODE_A), .C_WRITE_WIDTH_A (C_WRITE_WIDTH_A), .C_READ_WIDTH_A (C_READ_WIDTH_A), .C_WRITE_DEPTH_A (C_WRITE_DEPTH_A), .C_READ_DEPTH_A (C_READ_DEPTH_A), .C_ADDRA_WIDTH (C_ADDRA_WIDTH_ACTUAL), .C_HAS_RSTB (C_HAS_RSTB), .C_RST_PRIORITY_B (C_RST_PRIORITY_B), .C_RSTRAM_B (C_RSTRAM_B), .C_INITB_VAL (C_INITB_VAL), .C_HAS_ENB (C_HAS_ENB), .C_HAS_REGCEB (C_HAS_REGCEB), .C_USE_BYTE_WEB (C_USE_BYTE_WEB), .C_WEB_WIDTH (C_WEB_WIDTH), .C_WRITE_MODE_B (C_WRITE_MODE_B), .C_WRITE_WIDTH_B (C_WRITE_WIDTH_B), .C_READ_WIDTH_B (C_READ_WIDTH_B), .C_WRITE_DEPTH_B (C_WRITE_DEPTH_B), .C_READ_DEPTH_B (C_READ_DEPTH_B), .C_ADDRB_WIDTH (C_ADDRB_WIDTH_ACTUAL), .C_HAS_MEM_OUTPUT_REGS_A (C_HAS_MEM_OUTPUT_REGS_A), .C_HAS_MEM_OUTPUT_REGS_B (C_HAS_MEM_OUTPUT_REGS_B), .C_HAS_MUX_OUTPUT_REGS_A (C_HAS_MUX_OUTPUT_REGS_A), .C_HAS_MUX_OUTPUT_REGS_B (C_HAS_MUX_OUTPUT_REGS_B), .C_HAS_SOFTECC_INPUT_REGS_A (C_HAS_SOFTECC_INPUT_REGS_A), .C_HAS_SOFTECC_OUTPUT_REGS_B (C_HAS_SOFTECC_OUTPUT_REGS_B), .C_MUX_PIPELINE_STAGES (C_MUX_PIPELINE_STAGES), .C_USE_SOFTECC (C_USE_SOFTECC), .C_USE_ECC (C_USE_ECC), .C_HAS_INJECTERR (C_HAS_INJECTERR), .C_SIM_COLLISION_CHECK (C_SIM_COLLISION_CHECK), .C_COMMON_CLK (C_COMMON_CLK), .FLOP_DELAY (FLOP_DELAY), .C_DISABLE_WARN_BHV_COLL (C_DISABLE_WARN_BHV_COLL), .C_DISABLE_WARN_BHV_RANGE (C_DISABLE_WARN_BHV_RANGE)) blk_mem_gen_v8_1_inst (.CLKA (CLKA), .RSTA (rsta_in), .ENA (ena_in), .REGCEA (regcea_in), .WEA (wea_in), .ADDRA (addra_in[C_MEM_MAP_ADDRA_WIDTH_MSB-1:C_MEM_MAP_ADDRA_WIDTH_LSB]), .DINA (dina_in), .DOUTA (DOUTA), .CLKB (CLKB), .RSTB (RSTB), .ENB (ENB), .REGCEB (REGCEB), .WEB (WEB), .ADDRB (ADDRB[C_MEM_MAP_ADDRB_WIDTH_MSB-1:C_MEM_MAP_ADDRB_WIDTH_LSB]), .DINB (DINB), .DOUTB (DOUTB), .INJECTSBITERR (injectsbiterr_in), .INJECTDBITERR (injectdbiterr_in), .SBITERR (SBITERR), .DBITERR (DBITERR), .RDADDRECC (rdaddrecc_i) ); end endgenerate generate if (C_HAS_MEM_OUTPUT_REGS_B == 0 && C_HAS_MUX_OUTPUT_REGS_B == 0 ) begin : no_regs assign S_AXI_RDATA = s_axi_rdata_c; assign S_AXI_RLAST = s_axi_rlast_c; assign S_AXI_RVALID = s_axi_rvalid_c; assign S_AXI_RID = s_axi_rid_c; assign S_AXI_RRESP = s_axi_rresp_c; assign s_axi_rready_c = S_AXI_RREADY; end endgenerate generate if (C_HAS_MEM_OUTPUT_REGS_B == 1) begin : has_regceb assign regceb_c = s_axi_rvalid_c && s_axi_rready_c; end endgenerate generate if (C_HAS_MEM_OUTPUT_REGS_B == 0) begin : no_regceb assign regceb_c = REGCEB; end endgenerate generate if (C_HAS_MUX_OUTPUT_REGS_B == 1) begin : only_core_op_regs assign s_axi_payload_c = {s_axi_rid_c,s_axi_rdata_c,s_axi_rresp_c,s_axi_rlast_c}; assign S_AXI_RID = m_axi_payload_c[C_AXI_PAYLOAD-1 : C_AXI_PAYLOAD-C_AXI_ID_WIDTH]; assign S_AXI_RDATA = m_axi_payload_c[C_AXI_PAYLOAD-C_AXI_ID_WIDTH-1 : C_AXI_PAYLOAD-C_AXI_ID_WIDTH-C_WRITE_WIDTH_B]; assign S_AXI_RRESP = m_axi_payload_c[2:1]; assign S_AXI_RLAST = m_axi_payload_c[0]; end endgenerate generate if (C_HAS_MEM_OUTPUT_REGS_B == 1) begin : only_emb_op_regs assign s_axi_payload_c = {s_axi_rid_c,s_axi_rresp_c,s_axi_rlast_c}; assign S_AXI_RDATA = s_axi_rdata_c; assign S_AXI_RID = m_axi_payload_c[C_AXI_PAYLOAD-1 : C_AXI_PAYLOAD-C_AXI_ID_WIDTH]; assign S_AXI_RRESP = m_axi_payload_c[2:1]; assign S_AXI_RLAST = m_axi_payload_c[0]; end endgenerate generate if (C_HAS_MUX_OUTPUT_REGS_B == 1 || C_HAS_MEM_OUTPUT_REGS_B == 1) begin : has_regs_fwd blk_mem_axi_regs_fwd_v8_1 #(.C_DATA_WIDTH (C_AXI_PAYLOAD)) axi_regs_inst ( .ACLK (S_ACLK), .ARESET (s_aresetn_a_c), .S_VALID (s_axi_rvalid_c), .S_READY (s_axi_rready_c), .S_PAYLOAD_DATA (s_axi_payload_c), .M_VALID (S_AXI_RVALID), .M_READY (S_AXI_RREADY), .M_PAYLOAD_DATA (m_axi_payload_c) ); end endgenerate generate if (C_INTERFACE_TYPE == 1) begin : axi_mem_module assign s_aresetn_a_c = !S_ARESETN; assign S_AXI_BRESP = 2'b00; assign s_axi_rresp_c = 2'b00; assign s_axi_arlen_c = (C_AXI_TYPE == 1)?S_AXI_ARLEN:8'h0; blk_mem_axi_write_wrapper_beh_v8_1 #(.C_INTERFACE_TYPE (C_INTERFACE_TYPE), .C_AXI_TYPE (C_AXI_TYPE), .C_AXI_SLAVE_TYPE (C_AXI_SLAVE_TYPE), .C_MEMORY_TYPE (C_MEM_TYPE), .C_WRITE_DEPTH_A (C_WRITE_DEPTH_A), .C_AXI_AWADDR_WIDTH ((AXI_FULL_MEMORY_SLAVE == 1)?C_AXI_ADDR_WIDTH:C_AXI_ADDR_WIDTH-C_AXI_ADDR_WIDTH_LSB), .C_HAS_AXI_ID (C_HAS_AXI_ID), .C_AXI_ID_WIDTH (C_AXI_ID_WIDTH), .C_ADDRA_WIDTH (C_ADDRA_WIDTH), .C_AXI_WDATA_WIDTH (C_WRITE_WIDTH_A), .C_AXI_OS_WR (C_AXI_OS_WR)) axi_wr_fsm ( // AXI Global Signals .S_ACLK (S_ACLK), .S_ARESETN (s_aresetn_a_c), // AXI Full/Lite Slave Write interface .S_AXI_AWADDR (S_AXI_AWADDR[C_AXI_ADDR_WIDTH_MSB-1:C_AXI_ADDR_WIDTH_LSB]), .S_AXI_AWLEN (S_AXI_AWLEN), .S_AXI_AWID (S_AXI_AWID), .S_AXI_AWSIZE (S_AXI_AWSIZE), .S_AXI_AWBURST (S_AXI_AWBURST), .S_AXI_AWVALID (S_AXI_AWVALID), .S_AXI_AWREADY (S_AXI_AWREADY), .S_AXI_WVALID (S_AXI_WVALID), .S_AXI_WREADY (S_AXI_WREADY), .S_AXI_BVALID (S_AXI_BVALID), .S_AXI_BREADY (S_AXI_BREADY), .S_AXI_BID (S_AXI_BID), // Signals for BRAM interfac( .S_AXI_AWADDR_OUT (s_axi_awaddr_out_c), .S_AXI_WR_EN (s_axi_wr_en_c) ); blk_mem_axi_read_wrapper_beh_v8_1 #(.C_INTERFACE_TYPE (C_INTERFACE_TYPE), .C_AXI_TYPE (C_AXI_TYPE), .C_AXI_SLAVE_TYPE (C_AXI_SLAVE_TYPE), .C_MEMORY_TYPE (C_MEM_TYPE), .C_WRITE_WIDTH_A (C_WRITE_WIDTH_A), .C_ADDRA_WIDTH (C_ADDRA_WIDTH), .C_AXI_PIPELINE_STAGES (1), .C_AXI_ARADDR_WIDTH ((AXI_FULL_MEMORY_SLAVE == 1)?C_AXI_ADDR_WIDTH:C_AXI_ADDR_WIDTH-C_AXI_ADDR_WIDTH_LSB), .C_HAS_AXI_ID (C_HAS_AXI_ID), .C_AXI_ID_WIDTH (C_AXI_ID_WIDTH), .C_ADDRB_WIDTH (C_ADDRB_WIDTH)) axi_rd_sm( //AXI Global Signals .S_ACLK (S_ACLK), .S_ARESETN (s_aresetn_a_c), //AXI Full/Lite Read Side .S_AXI_ARADDR (S_AXI_ARADDR[C_AXI_ADDR_WIDTH_MSB-1:C_AXI_ADDR_WIDTH_LSB]), .S_AXI_ARLEN (s_axi_arlen_c), .S_AXI_ARSIZE (S_AXI_ARSIZE), .S_AXI_ARBURST (S_AXI_ARBURST), .S_AXI_ARVALID (S_AXI_ARVALID), .S_AXI_ARREADY (S_AXI_ARREADY), .S_AXI_RLAST (s_axi_rlast_c), .S_AXI_RVALID (s_axi_rvalid_c), .S_AXI_RREADY (s_axi_rready_c), .S_AXI_ARID (S_AXI_ARID), .S_AXI_RID (s_axi_rid_c), //AXI Full/Lite Read FSM Outputs .S_AXI_ARADDR_OUT (s_axi_araddr_out_c), .S_AXI_RD_EN (s_axi_rd_en_c) ); BLK_MEM_GEN_v8_1_mem_module #(.C_CORENAME (C_CORENAME), .C_FAMILY (C_FAMILY), .C_XDEVICEFAMILY (C_XDEVICEFAMILY), .C_MEM_TYPE (C_MEM_TYPE), .C_BYTE_SIZE (C_BYTE_SIZE), .C_USE_BRAM_BLOCK (C_USE_BRAM_BLOCK), .C_ALGORITHM (C_ALGORITHM), .C_PRIM_TYPE (C_PRIM_TYPE), .C_LOAD_INIT_FILE (C_LOAD_INIT_FILE), .C_INIT_FILE_NAME (C_INIT_FILE_NAME), .C_INIT_FILE (C_INIT_FILE), .C_USE_DEFAULT_DATA (C_USE_DEFAULT_DATA), .C_DEFAULT_DATA (C_DEFAULT_DATA), .C_RST_TYPE (C_RST_TYPE), .C_HAS_RSTA (C_HAS_RSTA), .C_RST_PRIORITY_A (C_RST_PRIORITY_A), .C_RSTRAM_A (C_RSTRAM_A), .C_INITA_VAL (C_INITA_VAL), .C_HAS_ENA (1), .C_HAS_REGCEA (C_HAS_REGCEA), .C_USE_BYTE_WEA (1), .C_WEA_WIDTH (C_WEA_WIDTH), .C_WRITE_MODE_A (C_WRITE_MODE_A), .C_WRITE_WIDTH_A (C_WRITE_WIDTH_A), .C_READ_WIDTH_A (C_READ_WIDTH_A), .C_WRITE_DEPTH_A (C_WRITE_DEPTH_A), .C_READ_DEPTH_A (C_READ_DEPTH_A), .C_ADDRA_WIDTH (C_ADDRA_WIDTH), .C_HAS_RSTB (C_HAS_RSTB), .C_RST_PRIORITY_B (C_RST_PRIORITY_B), .C_RSTRAM_B (C_RSTRAM_B), .C_INITB_VAL (C_INITB_VAL), .C_HAS_ENB (1), .C_HAS_REGCEB (C_HAS_MEM_OUTPUT_REGS_B), .C_USE_BYTE_WEB (1), .C_WEB_WIDTH (C_WEB_WIDTH), .C_WRITE_MODE_B (C_WRITE_MODE_B), .C_WRITE_WIDTH_B (C_WRITE_WIDTH_B), .C_READ_WIDTH_B (C_READ_WIDTH_B), .C_WRITE_DEPTH_B (C_WRITE_DEPTH_B), .C_READ_DEPTH_B (C_READ_DEPTH_B), .C_ADDRB_WIDTH (C_ADDRB_WIDTH), .C_HAS_MEM_OUTPUT_REGS_A (0), .C_HAS_MEM_OUTPUT_REGS_B (C_HAS_MEM_OUTPUT_REGS_B), .C_HAS_MUX_OUTPUT_REGS_A (0), .C_HAS_MUX_OUTPUT_REGS_B (0), .C_HAS_SOFTECC_INPUT_REGS_A (C_HAS_SOFTECC_INPUT_REGS_A), .C_HAS_SOFTECC_OUTPUT_REGS_B (C_HAS_SOFTECC_OUTPUT_REGS_B), .C_MUX_PIPELINE_STAGES (C_MUX_PIPELINE_STAGES), .C_USE_SOFTECC (C_USE_SOFTECC), .C_USE_ECC (C_USE_ECC), .C_HAS_INJECTERR (C_HAS_INJECTERR), .C_SIM_COLLISION_CHECK (C_SIM_COLLISION_CHECK), .C_COMMON_CLK (C_COMMON_CLK), .FLOP_DELAY (FLOP_DELAY), .C_DISABLE_WARN_BHV_COLL (C_DISABLE_WARN_BHV_COLL), .C_DISABLE_WARN_BHV_RANGE (C_DISABLE_WARN_BHV_RANGE)) blk_mem_gen_v8_1_inst (.CLKA (S_ACLK), .RSTA (s_aresetn_a_c), .ENA (s_axi_wr_en_c), .REGCEA (regcea_in), .WEA (S_AXI_WSTRB), .ADDRA (s_axi_awaddr_out_c), .DINA (S_AXI_WDATA), .DOUTA (DOUTA), .CLKB (S_ACLK), .RSTB (s_aresetn_a_c), .ENB (s_axi_rd_en_c), .REGCEB (regceb_c), .WEB (WEB_parameterized), .ADDRB (s_axi_araddr_out_c), .DINB (DINB), .DOUTB (s_axi_rdata_c), .INJECTSBITERR (injectsbiterr_in), .INJECTDBITERR (injectdbiterr_in), .SBITERR (SBITERR), .DBITERR (DBITERR), .RDADDRECC (RDADDRECC) ); end endgenerate endmodule
// Virtex 6 and Series 7 block RAM mapping. module \$__XILINX_RAMB36_SDP (CLK2, CLK3, A1ADDR, A1DATA, A1EN, B1ADDR, B1DATA, B1EN); parameter CLKPOL2 = 1; parameter CLKPOL3 = 1; parameter [36863:0] INIT = 36864'bx; input CLK2; input CLK3; input [8:0] A1ADDR; output [71:0] A1DATA; input A1EN; input [8:0] B1ADDR; input [71:0] B1DATA; input [7:0] B1EN; wire [15:0] A1ADDR_16 = {A1ADDR, 6'b0}; wire [15:0] B1ADDR_16 = {B1ADDR, 6'b0}; wire [7:0] DIP, DOP; wire [63:0] DI, DO; assign A1DATA = { DOP[7], DO[63:56], DOP[6], DO[55:48], DOP[5], DO[47:40], DOP[4], DO[39:32], DOP[3], DO[31:24], DOP[2], DO[23:16], DOP[1], DO[15: 8], DOP[0], DO[ 7: 0] }; assign { DIP[7], DI[63:56], DIP[6], DI[55:48], DIP[5], DI[47:40], DIP[4], DI[39:32], DIP[3], DI[31:24], DIP[2], DI[23:16], DIP[1], DI[15: 8], DIP[0], DI[ 7: 0] } = B1DATA; RAMB36E1 #( .RAM_MODE("SDP"), .READ_WIDTH_A(72), .WRITE_WIDTH_B(72), .WRITE_MODE_A("READ_FIRST"), .WRITE_MODE_B("READ_FIRST"), .IS_CLKARDCLK_INVERTED(!CLKPOL2), .IS_CLKBWRCLK_INVERTED(!CLKPOL3), `include "brams_init_36.vh" .SIM_DEVICE("7SERIES") ) _TECHMAP_REPLACE_ ( .DOBDO(DO[63:32]), .DOADO(DO[31:0]), .DOPBDOP(DOP[7:4]), .DOPADOP(DOP[3:0]), .DIBDI(DI[63:32]), .DIADI(DI[31:0]), .DIPBDIP(DIP[7:4]), .DIPADIP(DIP[3:0]), .ADDRARDADDR(A1ADDR_16), .CLKARDCLK(CLK2), .ENARDEN(A1EN), .REGCEAREGCE(|1), .RSTRAMARSTRAM(|0), .RSTREGARSTREG(|0), .WEA(4'b0), .ADDRBWRADDR(B1ADDR_16), .CLKBWRCLK(CLK3), .ENBWREN(|1), .REGCEB(|0), .RSTRAMB(|0), .RSTREGB(|0), .WEBWE(B1EN) ); endmodule // ------------------------------------------------------------------------ module \$__XILINX_RAMB18_SDP (CLK2, CLK3, A1ADDR, A1DATA, A1EN, B1ADDR, B1DATA, B1EN); parameter CLKPOL2 = 1; parameter CLKPOL3 = 1; parameter [18431:0] INIT = 18432'bx; input CLK2; input CLK3; input [8:0] A1ADDR; output [35:0] A1DATA; input A1EN; input [8:0] B1ADDR; input [35:0] B1DATA; input [3:0] B1EN; wire [13:0] A1ADDR_14 = {A1ADDR, 5'b0}; wire [13:0] B1ADDR_14 = {B1ADDR, 5'b0}; wire [3:0] DIP, DOP; wire [31:0] DI, DO; assign A1DATA = { DOP[3], DO[31:24], DOP[2], DO[23:16], DOP[1], DO[15: 8], DOP[0], DO[ 7: 0] }; assign { DIP[3], DI[31:24], DIP[2], DI[23:16], DIP[1], DI[15: 8], DIP[0], DI[ 7: 0] } = B1DATA; RAMB18E1 #( .RAM_MODE("SDP"), .READ_WIDTH_A(36), .WRITE_WIDTH_B(36), .WRITE_MODE_A("READ_FIRST"), .WRITE_MODE_B("READ_FIRST"), .IS_CLKARDCLK_INVERTED(!CLKPOL2), .IS_CLKBWRCLK_INVERTED(!CLKPOL3), `include "brams_init_18.vh" .SIM_DEVICE("7SERIES") ) _TECHMAP_REPLACE_ ( .DOBDO(DO[31:16]), .DOADO(DO[15:0]), .DOPBDOP(DOP[3:2]), .DOPADOP(DOP[1:0]), .DIBDI(DI[31:16]), .DIADI(DI[15:0]), .DIPBDIP(DIP[3:2]), .DIPADIP(DIP[1:0]), .ADDRARDADDR(A1ADDR_14), .CLKARDCLK(CLK2), .ENARDEN(A1EN), .REGCEAREGCE(|1), .RSTRAMARSTRAM(|0), .RSTREGARSTREG(|0), .WEA(2'b0), .ADDRBWRADDR(B1ADDR_14), .CLKBWRCLK(CLK3), .ENBWREN(|1), .REGCEB(|0), .RSTRAMB(|0), .RSTREGB(|0), .WEBWE(B1EN) ); endmodule // ------------------------------------------------------------------------ module \$__XILINX_RAMB36_TDP (CLK2, CLK3, A1ADDR, A1DATA, A1EN, B1ADDR, B1DATA, B1EN); parameter CFG_ABITS = 10; parameter CFG_DBITS = 36; parameter CFG_ENABLE_B = 4; parameter CLKPOL2 = 1; parameter CLKPOL3 = 1; parameter [36863:0] INIT = 36864'bx; input CLK2; input CLK3; input [CFG_ABITS-1:0] A1ADDR; output [CFG_DBITS-1:0] A1DATA; input A1EN; input [CFG_ABITS-1:0] B1ADDR; input [CFG_DBITS-1:0] B1DATA; input [CFG_ENABLE_B-1:0] B1EN; wire [15:0] A1ADDR_16 = A1ADDR << (15 - CFG_ABITS); wire [15:0] B1ADDR_16 = B1ADDR << (15 - CFG_ABITS); wire [7:0] B1EN_8 = B1EN; wire [3:0] DIP, DOP; wire [31:0] DI, DO; wire [31:0] DOBDO; wire [3:0] DOPBDOP; assign A1DATA = { DOP[3], DO[31:24], DOP[2], DO[23:16], DOP[1], DO[15: 8], DOP[0], DO[ 7: 0] }; assign { DIP[3], DI[31:24], DIP[2], DI[23:16], DIP[1], DI[15: 8], DIP[0], DI[ 7: 0] } = B1DATA; generate if (CFG_DBITS > 8) begin RAMB36E1 #( .RAM_MODE("TDP"), .READ_WIDTH_A(CFG_DBITS), .READ_WIDTH_B(CFG_DBITS), .WRITE_WIDTH_A(CFG_DBITS), .WRITE_WIDTH_B(CFG_DBITS), .WRITE_MODE_A("READ_FIRST"), .WRITE_MODE_B("READ_FIRST"), .IS_CLKARDCLK_INVERTED(!CLKPOL2), .IS_CLKBWRCLK_INVERTED(!CLKPOL3), `include "brams_init_36.vh" .SIM_DEVICE("7SERIES") ) _TECHMAP_REPLACE_ ( .DIADI(32'd0), .DIPADIP(4'd0), .DOADO(DO[31:0]), .DOPADOP(DOP[3:0]), .ADDRARDADDR(A1ADDR_16), .CLKARDCLK(CLK2), .ENARDEN(A1EN), .REGCEAREGCE(|1), .RSTRAMARSTRAM(|0), .RSTREGARSTREG(|0), .WEA(4'b0), .DIBDI(DI), .DIPBDIP(DIP), .DOBDO(DOBDO), .DOPBDOP(DOPBDOP), .ADDRBWRADDR(B1ADDR_16), .CLKBWRCLK(CLK3), .ENBWREN(|1), .REGCEB(|0), .RSTRAMB(|0), .RSTREGB(|0), .WEBWE(B1EN_8) ); end else begin RAMB36E1 #( .RAM_MODE("TDP"), .READ_WIDTH_A(CFG_DBITS), .READ_WIDTH_B(CFG_DBITS), .WRITE_WIDTH_A(CFG_DBITS), .WRITE_WIDTH_B(CFG_DBITS), .WRITE_MODE_A("READ_FIRST"), .WRITE_MODE_B("READ_FIRST"), .IS_CLKARDCLK_INVERTED(!CLKPOL2), .IS_CLKBWRCLK_INVERTED(!CLKPOL3), `include "brams_init_32.vh" .SIM_DEVICE("7SERIES") ) _TECHMAP_REPLACE_ ( .DIADI(32'd0), .DIPADIP(4'd0), .DOADO(DO[31:0]), .DOPADOP(DOP[3:0]), .ADDRARDADDR(A1ADDR_16), .CLKARDCLK(CLK2), .ENARDEN(A1EN), .REGCEAREGCE(|1), .RSTRAMARSTRAM(|0), .RSTREGARSTREG(|0), .WEA(4'b0), .DIBDI(DI), .DIPBDIP(DIP), .DOBDO(DOBDO), .DOPBDOP(DOPBDOP), .ADDRBWRADDR(B1ADDR_16), .CLKBWRCLK(CLK3), .ENBWREN(|1), .REGCEB(|0), .RSTRAMB(|0), .RSTREGB(|0), .WEBWE(B1EN_8) ); end endgenerate endmodule // ------------------------------------------------------------------------ module \$__XILINX_RAMB18_TDP (CLK2, CLK3, A1ADDR, A1DATA, A1EN, B1ADDR, B1DATA, B1EN); parameter CFG_ABITS = 10; parameter CFG_DBITS = 18; parameter CFG_ENABLE_B = 2; parameter CLKPOL2 = 1; parameter CLKPOL3 = 1; parameter [18431:0] INIT = 18432'bx; input CLK2; input CLK3; input [CFG_ABITS-1:0] A1ADDR; output [CFG_DBITS-1:0] A1DATA; input A1EN; input [CFG_ABITS-1:0] B1ADDR; input [CFG_DBITS-1:0] B1DATA; input [CFG_ENABLE_B-1:0] B1EN; wire [13:0] A1ADDR_14 = A1ADDR << (14 - CFG_ABITS); wire [13:0] B1ADDR_14 = B1ADDR << (14 - CFG_ABITS); wire [3:0] B1EN_4 = B1EN; wire [1:0] DIP, DOP; wire [15:0] DI, DO; wire [15:0] DOBDO; wire [1:0] DOPBDOP; assign A1DATA = { DOP[1], DO[15: 8], DOP[0], DO[ 7: 0] }; assign { DIP[1], DI[15: 8], DIP[0], DI[ 7: 0] } = B1DATA; generate if (CFG_DBITS > 8) begin RAMB18E1 #( .RAM_MODE("TDP"), .READ_WIDTH_A(CFG_DBITS), .READ_WIDTH_B(CFG_DBITS), .WRITE_WIDTH_A(CFG_DBITS), .WRITE_WIDTH_B(CFG_DBITS), .WRITE_MODE_A("READ_FIRST"), .WRITE_MODE_B("READ_FIRST"), .IS_CLKARDCLK_INVERTED(!CLKPOL2), .IS_CLKBWRCLK_INVERTED(!CLKPOL3), `include "brams_init_18.vh" .SIM_DEVICE("7SERIES") ) _TECHMAP_REPLACE_ ( .DIADI(16'b0), .DIPADIP(2'b0), .DOADO(DO), .DOPADOP(DOP), .ADDRARDADDR(A1ADDR_14), .CLKARDCLK(CLK2), .ENARDEN(A1EN), .REGCEAREGCE(|1), .RSTRAMARSTRAM(|0), .RSTREGARSTREG(|0), .WEA(2'b0), .DIBDI(DI), .DIPBDIP(DIP), .DOBDO(DOBDO), .DOPBDOP(DOPBDOP), .ADDRBWRADDR(B1ADDR_14), .CLKBWRCLK(CLK3), .ENBWREN(|1), .REGCEB(|0), .RSTRAMB(|0), .RSTREGB(|0), .WEBWE(B1EN_4) ); end else begin RAMB18E1 #( .RAM_MODE("TDP"), .READ_WIDTH_A(CFG_DBITS), .READ_WIDTH_B(CFG_DBITS), .WRITE_WIDTH_A(CFG_DBITS), .WRITE_WIDTH_B(CFG_DBITS), .WRITE_MODE_A("READ_FIRST"), .WRITE_MODE_B("READ_FIRST"), .IS_CLKARDCLK_INVERTED(!CLKPOL2), .IS_CLKBWRCLK_INVERTED(!CLKPOL3), `include "brams_init_16.vh" .SIM_DEVICE("7SERIES") ) _TECHMAP_REPLACE_ ( .DIADI(16'b0), .DIPADIP(2'b0), .DOADO(DO), .DOPADOP(DOP), .ADDRARDADDR(A1ADDR_14), .CLKARDCLK(CLK2), .ENARDEN(A1EN), .REGCEAREGCE(|1), .RSTRAMARSTRAM(|0), .RSTREGARSTREG(|0), .WEA(2'b0), .DIBDI(DI), .DIPBDIP(DIP), .DOBDO(DOBDO), .DOPBDOP(DOPBDOP), .ADDRBWRADDR(B1ADDR_14), .CLKBWRCLK(CLK3), .ENBWREN(|1), .REGCEB(|0), .RSTRAMB(|0), .RSTREGB(|0), .WEBWE(B1EN_4) ); end endgenerate endmodule
module jfulladdertb; wire y, carryout; reg a,b,carryin; jfulladder jfa(y,carryout,a,b,carryin); initial begin $display("RSLT\tA\tB\tCYIN\t\tCYOUT\tSUM"); a = 0; b = 0; carryin = 0; #50; // Set inputs and add delay if ( (carryout == 0 ) && (y === 0)) $display("PASS\t%d\t%d\t%d\t=\t%d\t%d",a,b,carryin,carryout,y); else $display("FAIL\t%d\t%d\t%d\t=\t%d\t%d",a,b,carryin,carryout,y); a = 0; b = 0; carryin = 1; #50; // Set inputs and add delay if ( (carryout == 0 ) && (y === 1)) $display("PASS\t%d\t%d\t%d\t=\t%d\t%d",a,b,carryin,carryout,y); else $display("FAIL\t%d\t%d\t%d\t=\t%d\t%d",a,b,carryin,carryout,y); a = 0; b = 1; carryin = 0; #50; // Set inputs and add delay if ( (carryout == 0 ) && (y === 1)) $display("PASS\t%d\t%d\t%d\t=\t%d\t%d",a,b,carryin,carryout,y); else $display("FAIL\t%d\t%d\t%d\t=\t%d\t%d",a,b,carryin,carryout,y); a = 0; b = 1; carryin = 1; #50; // Set inputs and add delay if ( (carryout == 1 ) && (y === 0)) $display("PASS\t%d\t%d\t%d\t=\t%d\t%d",a,b,carryin,carryout,y); else $display("FAIL\t%d\t%d\t%d\t=\t%d\t%d",a,b,carryin,carryout,y); a = 1; b = 0; carryin = 0; #50; // Set inputs and add delay if ( (carryout == 0 ) && (y === 1)) $display("PASS\t%d\t%d\t%d\t=\t%d\t%d",a,b,carryin,carryout,y); else $display("FAIL\t%d\t%d\t%d\t=\t%d\t%d",a,b,carryin,carryout,y); a = 1; b = 0; carryin = 1; #50; // Set inputs and add delay if ( (carryout == 1 ) && (y === 0)) $display("PASS\t%d\t%d\t%d\t=\t%d\t%d",a,b,carryin,carryout,y); else $display("FAIL\t%d\t%d\t%d\t=\t%d\t%d",a,b,carryin,carryout,y); a = 1; b = 1; carryin = 0; #50; // Set inputs and add delay if ( (carryout == 1 ) && (y === 0)) $display("PASS\t%d\t%d\t%d\t=\t%d\t%d",a,b,carryin,carryout,y); else $display("FAIL\t%d\t%d\t%d\t=\t%d\t%d",a,b,carryin,carryout,y); a = 1; b = 1; carryin = 1; #50; // Set inputs and add delay if ( (carryout == 1 ) && (y === 1)) $display("PASS\t%d\t%d\t%d\t=\t%d\t%d",a,b,carryin,carryout,y); else $display("FAIL\t%d\t%d\t%d\t=\t%d\t%d",a,b,carryin,carryout,y); end endmodule
module io1_sub( /*AUTOARG*/); wire [42:0] bscan_data; // boundary scan stitch parameter bscan_count = 0; assign bscan_data[0] = bscan_in; /* * Emacs template to auto instaniate MD[31:0] pads */ /* autoinst_lopaz_srpad AUTO_TEMPLATE ( .pin(MD[@]), .pin_in({SDRAM_DQ_in[@],SDRAM_DQ_in[@]}), .pin_out(SDRAM_DQ_out[@]), .pin_outen(SDRAM_DQ_outen), .sdrmode(SDRAM_single_rate), .hw_enb(SDRAM_upper_word_enb), .ff_rptr(SDRAM_ddr_inff_sel), .ff_wptr(ddr_inff_enbH), .clk(data_strobeH), .bscan_so(bscan_data[@ + 1]), .bscan_si(bscan_data[@]), .bscan_shift(BScanShift), .bscan_clock(BScanClock), .bscan_mode(BScanMode), .bscan_update(BScanUpdate), .bscan_outen(SDRAM_DQ_bscan_outen), ); */ autoinst_lopaz_srpad MD31_pad (/*AUTOINST*/ // Outputs .pin_in ({SDRAM_DQ_in[31],SDRAM_DQ_in[31]}), // Templated // Inouts .pin (MD[31]), // Templated // Inputs .clk (data_strobeH), // Templated .pin_out (SDRAM_DQ_out[31]), // Templated .pin_outen (SDRAM_DQ_outen)); // Templated /* autoinst_lopaz_srpad AUTO_TEMPLATE ( .pin(MD[@"num"]), ); */ /*AUTO_LISP(setq num 1)*/ autoinst_lopaz_srpad MD31_pad11 (/*AUTOINST*/ // Outputs .pin_in (pin_in[2*w-1:0]), // Inouts .pin (MD[1]), // Templated // Inputs .clk (clk), .pin_out (pin_out[w-1:0]), .pin_outen (pin_outen)); /* autoinst_lopaz_srpad AUTO_TEMPLATE ( .pin(MD[@"num"]), ); */ /*AUTO_LISP(setq num 2)*/ autoinst_lopaz_srpad MD31_pad11 (/*AUTOINST*/ // Outputs .pin_in (pin_in[2*w-1:0]), // Inouts .pin (MD[2]), // Templated // Inputs .clk (clk), .pin_out (pin_out[w-1:0]), .pin_outen (pin_outen)); endmodule
// bsg_nonsynth_dpi_clock_gen is a drop-in replacement for // bsg_nonsynth_clock_gen when using verilator, where delay statements // (e.g. #1) are not valid. // // One of the frustrating parts of Verilator is that it "breaks" how // we normally build testbenches. Our traditional approach is to use // the bsg_nonsynth_clock_gen module and specify the clock period as a // parameter. In Verilator, this is not possible because the module // uses an unsupported delay statement. It's also more challenging // (though not impossible) to have multiple clock domains. // // What I've done is create a drop-in replacement that is backed by a // C++ API, called bsg_nonsynth_dpi_clock_gen . The user doesn't need // to know the difference, they just use a different module name with // the same parameters and include bsg_nonsynth_clock_gen_dpi.hpp // // The C++ API is callback based; When each clock-generator module is // instantiated, it registers itself with the C++ object via an // imported DPI function -- bsg_nonsynth_clock_gen_register. The // bsg_timekeeper class tracks the global time (no different than // normal verilator) and uses a priority queue to track when the next // clock generator toggles. To advance time, the users calls // bsg_timekeeper::next() // // This drop-in replacement supports multiple clock generators and // can be embedded anywhere in the hierarchy. `include "bsg_defines.v" module bsg_nonsynth_dpi_clock_gen #(parameter `BSG_INV_PARAM(longint cycle_time_p) ) ( output bit o ); int id; string hierarchy; import "DPI-C" function int bsg_dpi_clock_gen_register(input longint cycle_time_p, input string hierarchy); localparam longint cycle_time_lp = {32'b0, cycle_time_p[31:0]}; if(cycle_time_p % 2 != 0) $fatal(1, "BSG ERROR (%M): cycle_time_p must be divisible by 2"); if(cycle_time_p <= 0) $fatal(1, "BSG ERROR (%M): cycle_time_p must be greater than 0"); initial begin $display("BSG INFO: bsg_nonsynth_dpi_clock_gen (initial begin)"); $display("BSG INFO: Instantiation: %M"); $display("BSG INFO: cycle_time_p = %d", cycle_time_p); hierarchy = $sformatf("%m"); id = bsg_dpi_clock_gen_register(cycle_time_lp, hierarchy); end export "DPI-C" function bsg_dpi_clock_gen_set_level; function bit bsg_dpi_clock_gen_set_level(bit clkval); o = clkval; return o; endfunction; endmodule `BSG_ABSTRACT_MODULE(bsg_nonsynth_dpi_clock_gen)
/** * Copyright 2020 The SkyWater PDK Authors * * Licensed under the Apache License, Version 2.0 (the "License"); * you may not use this file except in compliance with the License. * You may obtain a copy of the License at * * https://www.apache.org/licenses/LICENSE-2.0 * * Unless required by applicable law or agreed to in writing, software * distributed under the License is distributed on an "AS IS" BASIS, * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. * See the License for the specific language governing permissions and * limitations under the License. * * SPDX-License-Identifier: Apache-2.0 */ `ifndef SKY130_FD_SC_HD__CLKDLYBUF4S25_1_V `define SKY130_FD_SC_HD__CLKDLYBUF4S25_1_V /** * clkdlybuf4s25: Clock Delay Buffer 4-stage 0.25um length inner stage * gates. * * Verilog wrapper for clkdlybuf4s25 with size of 1 units. * * WARNING: This file is autogenerated, do not modify directly! */ `timescale 1ns / 1ps `default_nettype none `include "sky130_fd_sc_hd__clkdlybuf4s25.v" `ifdef USE_POWER_PINS /*********************************************************/ `celldefine module sky130_fd_sc_hd__clkdlybuf4s25_1 ( X , A , VPWR, VGND, VPB , VNB ); output X ; input A ; input VPWR; input VGND; input VPB ; input VNB ; sky130_fd_sc_hd__clkdlybuf4s25 base ( .X(X), .A(A), .VPWR(VPWR), .VGND(VGND), .VPB(VPB), .VNB(VNB) ); endmodule `endcelldefine /*********************************************************/ `else // If not USE_POWER_PINS /*********************************************************/ `celldefine module sky130_fd_sc_hd__clkdlybuf4s25_1 ( X, A ); output X; input A; // Voltage supply signals supply1 VPWR; supply0 VGND; supply1 VPB ; supply0 VNB ; sky130_fd_sc_hd__clkdlybuf4s25 base ( .X(X), .A(A) ); endmodule `endcelldefine /*********************************************************/ `endif // USE_POWER_PINS `default_nettype wire `endif // SKY130_FD_SC_HD__CLKDLYBUF4S25_1_V
/* Read memory, dump to UART on trigger */ `timescale 1 ns / 1 ps `default_nettype none `define WIDTH 16 module top(input clk, output TXD, // UART TX input RXD, // UART RX input resetq ); localparam MHZ = 12; // ###### UART ########################################## // wire uart0_valid, uart0_busy; wire [7:0] uart0_data_in; wire [7:0] uart0_data_out; wire uart0_wr; wire uart0_rd; reg uart0_reset = 1'b0; buart _uart0 ( .clk(clk), .resetq(uart0_reset), .rx(RXD), .tx(TXD), .rd(uart0_rd), .wr(uart0_wr), .valid(uart0_valid), .busy(uart0_busy), .tx_data(uart0_data_out), .rx_data(uart0_data_in)); // ###### ROM ########################################## // wire [15:0] rom_rd; wire [7:0] rom_rdb; wire [8:0] rom_raddr; // 512x8 SB_RAM40_4KNRNW #( .WRITE_MODE(1), // 8 bit .READ_MODE(1), // 8 bit .INIT_0(256'h0000000000400105005501400044504015400014008828bb28a028b028362895), .INIT_1(256'h0000000000000000000000000000000000000000000000000000000000000000), .INIT_2(256'h0000000000000000000000000000000000000000000000000000000000000000), .INIT_3(256'h0000000000000000000000000000000000000000000000000000000000000000), .INIT_4(256'h0000000000000000000000000000000000000000000000000000000000000000), .INIT_5(256'h0000000000000000000000000000000000000000000000000000000000000000), .INIT_6(256'h0000000000000000000000000000000000000000000000000000000000000000), .INIT_7(256'h0000000000000000000000000000000000000000000000000000000000000000), .INIT_8(256'h0000000000000000000000000000000000000000000000000000000000000000), .INIT_9(256'h0000000000000000000000000000000000000000000000000000000000000000), .INIT_A(256'h0000000000000000000000000000000000000000000000000000000000000000), .INIT_B(256'h0000000000000000000000000000000000000000000000000000000000000000), .INIT_C(256'h0000000000000000000000000000000000000000000000000000000000000000), .INIT_D(256'h0000000000000000000000000000000000000000000000000000000000000000), .INIT_E(256'h0000000000000000000000000000000000000000000000000000000000000000), .INIT_F(256'h0000000000000000000000000000000000000000000000000000000000000000) ) _rom ( .RDATA(rom_rd), .RADDR({2'b00, rom_raddr}), .RCLKN(clk), .RCLKE(1'b1), .RE(1'b1), .WCLKN(1'b0), .WCLKE(1'b0), .WE(1'b0), .WADDR(11'h0), .MASK(16'h0000), .WDATA(16'h0)); assign rom_rdb = {rom_rd[14],rom_rd[12],rom_rd[10],rom_rd[8],rom_rd[6],rom_rd[4],rom_rd[2],rom_rd[0]}; // read byte // ###### CPU ########################################## // // States localparam S_IDLE =3'b000, S_OP =3'b001, S_IMM8 =3'b010, S_UART_WAIT=3'b011, S_UART_END =3'b100, S_MEM_LOAD =3'b101; reg [8:0] ptr; wire [8:0] ptr_plus_one = ptr + 9'h1; assign rom_raddr = ptr; reg [8:0] ptr_saved; reg [7:0] outb; reg outf; reg [2:0] state; reg [7:0] opcode; reg [7:0] cpuregs [3:0]; always @(posedge clk) begin case (state) S_IDLE: begin // "a" to start if (uart0_valid && uart0_data_in == "a") begin ptr <= 9'h0; state <= S_OP; end end S_OP: begin opcode <= rom_rdb; ptr <= ptr_plus_one; casez (rom_rdb) 8'b00000001, // 0x01 JUMP 8'b000001zz, // 0x04-0x07 MOV IMM r0-r3 8'b000100zz: begin // 0x10-0x13 JNZ state <= S_IMM8; end 8'b000010zz: begin // 0x08-0x0B SEND r0-r3 state <= S_UART_WAIT; outb <= cpuregs[rom_rdb[1:0]]; end // ALU (single reg) 8'b000011zz: begin // 0x0C-0x0F DEC r0-r3 cpuregs[rom_rdb[1:0]] <= cpuregs[rom_rdb[1:0]] - 8'h1; end 8'b000110zz: begin // 0x18-0x1B INC r0-r3 cpuregs[rom_rdb[1:0]] <= cpuregs[rom_rdb[1:0]] + 8'h1; end // ALU (dual reg) 8'b1000zzzz: begin // 0x80-0x8F ADD rx, ry cpuregs[rom_rdb[3:2]] <= cpuregs[rom_rdb[3:2]] + cpuregs[rom_rdb[1:0]]; end // Load from memory (page 2) 8'b1100zzzz: begin // 0xC0-0xCF LD rx,[{ry+1,ry}] state <= S_MEM_LOAD; ptr_saved <= ptr_plus_one; //ptr <= {1'b1, cpuregs[{rom_rdb[1],1'b0}]}; // wrong //ptr <= {1'b1, cpuregs[rom_rdb[1]<<1]}; // wrong //ptr <= {1'b1, cpuregs[rom_rdb&2'h2]}; // wrong ptr <= {1'b1, cpuregs[rom_rdb[1:0]]}; // ok end default: begin // Invalid instruction, back to IDLE state state <= S_IDLE; end endcase end S_IMM8: begin ptr <= ptr_plus_one; state <= S_OP; casez (opcode) 8'b00000001: begin // JUMP ptr <= rom_rdb; end 8'b000100zz: begin // 0x10-0x13 JNZ if (|cpuregs[opcode[1:0]]) begin ptr <= rom_rdb; end end 8'b000001zz: begin // MOV IMM cpuregs[opcode[1:0]] <= rom_rdb; end endcase end S_UART_WAIT: begin if (!uart0_busy) begin // Send byte when UART ready state <= S_UART_END; outf <= 1; end end S_UART_END: begin // Clear outf flag after sending to UART outf <= 0; state <= S_OP; end S_MEM_LOAD: begin // Load from memory cpuregs[opcode[3:2]] <= rom_rdb; ptr <= ptr_saved; state <= S_OP; end endcase // Reset logic if (!uart0_reset) begin // Reset UART only for one clock uart0_reset <= 1; state <= S_IDLE; end end assign uart0_wr = outf; assign uart0_rd = (state == S_IDLE); assign uart0_data_out = outb; endmodule // top
//Legal Notice: (C)2014 Altera Corporation. All rights reserved. Your //use of Altera Corporation's design tools, logic functions and other //software and tools, and its AMPP partner logic functions, and any //output files any of the foregoing (including device programming or //simulation files), and any associated documentation or information are //expressly subject to the terms and conditions of the Altera Program //License Subscription Agreement or other applicable license agreement, //including, without limitation, that your use is for the sole purpose //of programming logic devices manufactured by Altera and sold by Altera //or its authorized distributors. Please refer to the applicable //agreement for further details. // synthesis translate_off `timescale 1ns / 1ps // synthesis translate_on // turn off superfluous verilog processor warnings // altera message_level Level1 // altera message_off 10034 10035 10036 10037 10230 10240 10030 module SoC_nios2_qsys_0_test_bench ( // inputs: D_iw, D_iw_op, D_iw_opx, D_valid, E_valid, F_pcb, F_valid, R_ctrl_ld, R_ctrl_ld_non_io, R_dst_regnum, R_wr_dst_reg, W_valid, W_vinst, W_wr_data, av_ld_data_aligned_unfiltered, clk, d_address, d_byteenable, d_read, d_write_nxt, i_address, i_read, i_readdata, i_waitrequest, reset_n, // outputs: av_ld_data_aligned_filtered, d_write, test_has_ended ) ; output [ 31: 0] av_ld_data_aligned_filtered; output d_write; output test_has_ended; input [ 31: 0] D_iw; input [ 5: 0] D_iw_op; input [ 5: 0] D_iw_opx; input D_valid; input E_valid; input [ 18: 0] F_pcb; input F_valid; input R_ctrl_ld; input R_ctrl_ld_non_io; input [ 4: 0] R_dst_regnum; input R_wr_dst_reg; input W_valid; input [ 55: 0] W_vinst; input [ 31: 0] W_wr_data; input [ 31: 0] av_ld_data_aligned_unfiltered; input clk; input [ 18: 0] d_address; input [ 3: 0] d_byteenable; input d_read; input d_write_nxt; input [ 18: 0] i_address; input i_read; input [ 31: 0] i_readdata; input i_waitrequest; input reset_n; wire D_op_add; wire D_op_addi; wire D_op_and; wire D_op_andhi; wire D_op_andi; wire D_op_beq; wire D_op_bge; wire D_op_bgeu; wire D_op_blt; wire D_op_bltu; wire D_op_bne; wire D_op_br; wire D_op_break; wire D_op_bret; wire D_op_call; wire D_op_callr; wire D_op_cmpeq; wire D_op_cmpeqi; wire D_op_cmpge; wire D_op_cmpgei; wire D_op_cmpgeu; wire D_op_cmpgeui; wire D_op_cmplt; wire D_op_cmplti; wire D_op_cmpltu; wire D_op_cmpltui; wire D_op_cmpne; wire D_op_cmpnei; wire D_op_crst; wire D_op_custom; wire D_op_div; wire D_op_divu; wire D_op_eret; wire D_op_flushd; wire D_op_flushda; wire D_op_flushi; wire D_op_flushp; wire D_op_hbreak; wire D_op_initd; wire D_op_initda; wire D_op_initi; wire D_op_intr; wire D_op_jmp; wire D_op_jmpi; wire D_op_ldb; wire D_op_ldbio; wire D_op_ldbu; wire D_op_ldbuio; wire D_op_ldh; wire D_op_ldhio; wire D_op_ldhu; wire D_op_ldhuio; wire D_op_ldl; wire D_op_ldw; wire D_op_ldwio; wire D_op_mul; wire D_op_muli; wire D_op_mulxss; wire D_op_mulxsu; wire D_op_mulxuu; wire D_op_nextpc; wire D_op_nor; wire D_op_opx; wire D_op_or; wire D_op_orhi; wire D_op_ori; wire D_op_rdctl; wire D_op_rdprs; wire D_op_ret; wire D_op_rol; wire D_op_roli; wire D_op_ror; wire D_op_rsv02; wire D_op_rsv09; wire D_op_rsv10; wire D_op_rsv17; wire D_op_rsv18; wire D_op_rsv25; wire D_op_rsv26; wire D_op_rsv33; wire D_op_rsv34; wire D_op_rsv41; wire D_op_rsv42; wire D_op_rsv49; wire D_op_rsv57; wire D_op_rsv61; wire D_op_rsv62; wire D_op_rsv63; wire D_op_rsvx00; wire D_op_rsvx10; wire D_op_rsvx15; wire D_op_rsvx17; wire D_op_rsvx21; wire D_op_rsvx25; wire D_op_rsvx33; wire D_op_rsvx34; wire D_op_rsvx35; wire D_op_rsvx42; wire D_op_rsvx43; wire D_op_rsvx44; wire D_op_rsvx47; wire D_op_rsvx50; wire D_op_rsvx51; wire D_op_rsvx55; wire D_op_rsvx56; wire D_op_rsvx60; wire D_op_rsvx63; wire D_op_sll; wire D_op_slli; wire D_op_sra; wire D_op_srai; wire D_op_srl; wire D_op_srli; wire D_op_stb; wire D_op_stbio; wire D_op_stc; wire D_op_sth; wire D_op_sthio; wire D_op_stw; wire D_op_stwio; wire D_op_sub; wire D_op_sync; wire D_op_trap; wire D_op_wrctl; wire D_op_wrprs; wire D_op_xor; wire D_op_xorhi; wire D_op_xori; wire [ 31: 0] av_ld_data_aligned_filtered; wire av_ld_data_aligned_unfiltered_0_is_x; wire av_ld_data_aligned_unfiltered_10_is_x; wire av_ld_data_aligned_unfiltered_11_is_x; wire av_ld_data_aligned_unfiltered_12_is_x; wire av_ld_data_aligned_unfiltered_13_is_x; wire av_ld_data_aligned_unfiltered_14_is_x; wire av_ld_data_aligned_unfiltered_15_is_x; wire av_ld_data_aligned_unfiltered_16_is_x; wire av_ld_data_aligned_unfiltered_17_is_x; wire av_ld_data_aligned_unfiltered_18_is_x; wire av_ld_data_aligned_unfiltered_19_is_x; wire av_ld_data_aligned_unfiltered_1_is_x; wire av_ld_data_aligned_unfiltered_20_is_x; wire av_ld_data_aligned_unfiltered_21_is_x; wire av_ld_data_aligned_unfiltered_22_is_x; wire av_ld_data_aligned_unfiltered_23_is_x; wire av_ld_data_aligned_unfiltered_24_is_x; wire av_ld_data_aligned_unfiltered_25_is_x; wire av_ld_data_aligned_unfiltered_26_is_x; wire av_ld_data_aligned_unfiltered_27_is_x; wire av_ld_data_aligned_unfiltered_28_is_x; wire av_ld_data_aligned_unfiltered_29_is_x; wire av_ld_data_aligned_unfiltered_2_is_x; wire av_ld_data_aligned_unfiltered_30_is_x; wire av_ld_data_aligned_unfiltered_31_is_x; wire av_ld_data_aligned_unfiltered_3_is_x; wire av_ld_data_aligned_unfiltered_4_is_x; wire av_ld_data_aligned_unfiltered_5_is_x; wire av_ld_data_aligned_unfiltered_6_is_x; wire av_ld_data_aligned_unfiltered_7_is_x; wire av_ld_data_aligned_unfiltered_8_is_x; wire av_ld_data_aligned_unfiltered_9_is_x; reg d_write; wire test_has_ended; assign D_op_call = D_iw_op == 0; assign D_op_jmpi = D_iw_op == 1; assign D_op_ldbu = D_iw_op == 3; assign D_op_addi = D_iw_op == 4; assign D_op_stb = D_iw_op == 5; assign D_op_br = D_iw_op == 6; assign D_op_ldb = D_iw_op == 7; assign D_op_cmpgei = D_iw_op == 8; assign D_op_ldhu = D_iw_op == 11; assign D_op_andi = D_iw_op == 12; assign D_op_sth = D_iw_op == 13; assign D_op_bge = D_iw_op == 14; assign D_op_ldh = D_iw_op == 15; assign D_op_cmplti = D_iw_op == 16; assign D_op_initda = D_iw_op == 19; assign D_op_ori = D_iw_op == 20; assign D_op_stw = D_iw_op == 21; assign D_op_blt = D_iw_op == 22; assign D_op_ldw = D_iw_op == 23; assign D_op_cmpnei = D_iw_op == 24; assign D_op_flushda = D_iw_op == 27; assign D_op_xori = D_iw_op == 28; assign D_op_stc = D_iw_op == 29; assign D_op_bne = D_iw_op == 30; assign D_op_ldl = D_iw_op == 31; assign D_op_cmpeqi = D_iw_op == 32; assign D_op_ldbuio = D_iw_op == 35; assign D_op_muli = D_iw_op == 36; assign D_op_stbio = D_iw_op == 37; assign D_op_beq = D_iw_op == 38; assign D_op_ldbio = D_iw_op == 39; assign D_op_cmpgeui = D_iw_op == 40; assign D_op_ldhuio = D_iw_op == 43; assign D_op_andhi = D_iw_op == 44; assign D_op_sthio = D_iw_op == 45; assign D_op_bgeu = D_iw_op == 46; assign D_op_ldhio = D_iw_op == 47; assign D_op_cmpltui = D_iw_op == 48; assign D_op_initd = D_iw_op == 51; assign D_op_orhi = D_iw_op == 52; assign D_op_stwio = D_iw_op == 53; assign D_op_bltu = D_iw_op == 54; assign D_op_ldwio = D_iw_op == 55; assign D_op_rdprs = D_iw_op == 56; assign D_op_flushd = D_iw_op == 59; assign D_op_xorhi = D_iw_op == 60; assign D_op_rsv02 = D_iw_op == 2; assign D_op_rsv09 = D_iw_op == 9; assign D_op_rsv10 = D_iw_op == 10; assign D_op_rsv17 = D_iw_op == 17; assign D_op_rsv18 = D_iw_op == 18; assign D_op_rsv25 = D_iw_op == 25; assign D_op_rsv26 = D_iw_op == 26; assign D_op_rsv33 = D_iw_op == 33; assign D_op_rsv34 = D_iw_op == 34; assign D_op_rsv41 = D_iw_op == 41; assign D_op_rsv42 = D_iw_op == 42; assign D_op_rsv49 = D_iw_op == 49; assign D_op_rsv57 = D_iw_op == 57; assign D_op_rsv61 = D_iw_op == 61; assign D_op_rsv62 = D_iw_op == 62; assign D_op_rsv63 = D_iw_op == 63; assign D_op_eret = D_op_opx & (D_iw_opx == 1); assign D_op_roli = D_op_opx & (D_iw_opx == 2); assign D_op_rol = D_op_opx & (D_iw_opx == 3); assign D_op_flushp = D_op_opx & (D_iw_opx == 4); assign D_op_ret = D_op_opx & (D_iw_opx == 5); assign D_op_nor = D_op_opx & (D_iw_opx == 6); assign D_op_mulxuu = D_op_opx & (D_iw_opx == 7); assign D_op_cmpge = D_op_opx & (D_iw_opx == 8); assign D_op_bret = D_op_opx & (D_iw_opx == 9); assign D_op_ror = D_op_opx & (D_iw_opx == 11); assign D_op_flushi = D_op_opx & (D_iw_opx == 12); assign D_op_jmp = D_op_opx & (D_iw_opx == 13); assign D_op_and = D_op_opx & (D_iw_opx == 14); assign D_op_cmplt = D_op_opx & (D_iw_opx == 16); assign D_op_slli = D_op_opx & (D_iw_opx == 18); assign D_op_sll = D_op_opx & (D_iw_opx == 19); assign D_op_wrprs = D_op_opx & (D_iw_opx == 20); assign D_op_or = D_op_opx & (D_iw_opx == 22); assign D_op_mulxsu = D_op_opx & (D_iw_opx == 23); assign D_op_cmpne = D_op_opx & (D_iw_opx == 24); assign D_op_srli = D_op_opx & (D_iw_opx == 26); assign D_op_srl = D_op_opx & (D_iw_opx == 27); assign D_op_nextpc = D_op_opx & (D_iw_opx == 28); assign D_op_callr = D_op_opx & (D_iw_opx == 29); assign D_op_xor = D_op_opx & (D_iw_opx == 30); assign D_op_mulxss = D_op_opx & (D_iw_opx == 31); assign D_op_cmpeq = D_op_opx & (D_iw_opx == 32); assign D_op_divu = D_op_opx & (D_iw_opx == 36); assign D_op_div = D_op_opx & (D_iw_opx == 37); assign D_op_rdctl = D_op_opx & (D_iw_opx == 38); assign D_op_mul = D_op_opx & (D_iw_opx == 39); assign D_op_cmpgeu = D_op_opx & (D_iw_opx == 40); assign D_op_initi = D_op_opx & (D_iw_opx == 41); assign D_op_trap = D_op_opx & (D_iw_opx == 45); assign D_op_wrctl = D_op_opx & (D_iw_opx == 46); assign D_op_cmpltu = D_op_opx & (D_iw_opx == 48); assign D_op_add = D_op_opx & (D_iw_opx == 49); assign D_op_break = D_op_opx & (D_iw_opx == 52); assign D_op_hbreak = D_op_opx & (D_iw_opx == 53); assign D_op_sync = D_op_opx & (D_iw_opx == 54); assign D_op_sub = D_op_opx & (D_iw_opx == 57); assign D_op_srai = D_op_opx & (D_iw_opx == 58); assign D_op_sra = D_op_opx & (D_iw_opx == 59); assign D_op_intr = D_op_opx & (D_iw_opx == 61); assign D_op_crst = D_op_opx & (D_iw_opx == 62); assign D_op_rsvx00 = D_op_opx & (D_iw_opx == 0); assign D_op_rsvx10 = D_op_opx & (D_iw_opx == 10); assign D_op_rsvx15 = D_op_opx & (D_iw_opx == 15); assign D_op_rsvx17 = D_op_opx & (D_iw_opx == 17); assign D_op_rsvx21 = D_op_opx & (D_iw_opx == 21); assign D_op_rsvx25 = D_op_opx & (D_iw_opx == 25); assign D_op_rsvx33 = D_op_opx & (D_iw_opx == 33); assign D_op_rsvx34 = D_op_opx & (D_iw_opx == 34); assign D_op_rsvx35 = D_op_opx & (D_iw_opx == 35); assign D_op_rsvx42 = D_op_opx & (D_iw_opx == 42); assign D_op_rsvx43 = D_op_opx & (D_iw_opx == 43); assign D_op_rsvx44 = D_op_opx & (D_iw_opx == 44); assign D_op_rsvx47 = D_op_opx & (D_iw_opx == 47); assign D_op_rsvx50 = D_op_opx & (D_iw_opx == 50); assign D_op_rsvx51 = D_op_opx & (D_iw_opx == 51); assign D_op_rsvx55 = D_op_opx & (D_iw_opx == 55); assign D_op_rsvx56 = D_op_opx & (D_iw_opx == 56); assign D_op_rsvx60 = D_op_opx & (D_iw_opx == 60); assign D_op_rsvx63 = D_op_opx & (D_iw_opx == 63); assign D_op_opx = D_iw_op == 58; assign D_op_custom = D_iw_op == 50; always @(posedge clk or negedge reset_n) begin if (reset_n == 0) d_write <= 0; else d_write <= d_write_nxt; end assign test_has_ended = 1'b0; //synthesis translate_off //////////////// SIMULATION-ONLY CONTENTS //Clearing 'X' data bits assign av_ld_data_aligned_unfiltered_0_is_x = ^(av_ld_data_aligned_unfiltered[0]) === 1'bx; assign av_ld_data_aligned_filtered[0] = (av_ld_data_aligned_unfiltered_0_is_x & (R_ctrl_ld_non_io)) ? 1'b0 : av_ld_data_aligned_unfiltered[0]; assign av_ld_data_aligned_unfiltered_1_is_x = ^(av_ld_data_aligned_unfiltered[1]) === 1'bx; assign av_ld_data_aligned_filtered[1] = (av_ld_data_aligned_unfiltered_1_is_x & (R_ctrl_ld_non_io)) ? 1'b0 : av_ld_data_aligned_unfiltered[1]; assign av_ld_data_aligned_unfiltered_2_is_x = ^(av_ld_data_aligned_unfiltered[2]) === 1'bx; assign av_ld_data_aligned_filtered[2] = (av_ld_data_aligned_unfiltered_2_is_x & (R_ctrl_ld_non_io)) ? 1'b0 : av_ld_data_aligned_unfiltered[2]; assign av_ld_data_aligned_unfiltered_3_is_x = ^(av_ld_data_aligned_unfiltered[3]) === 1'bx; assign av_ld_data_aligned_filtered[3] = (av_ld_data_aligned_unfiltered_3_is_x & (R_ctrl_ld_non_io)) ? 1'b0 : av_ld_data_aligned_unfiltered[3]; assign av_ld_data_aligned_unfiltered_4_is_x = ^(av_ld_data_aligned_unfiltered[4]) === 1'bx; assign av_ld_data_aligned_filtered[4] = (av_ld_data_aligned_unfiltered_4_is_x & (R_ctrl_ld_non_io)) ? 1'b0 : av_ld_data_aligned_unfiltered[4]; assign av_ld_data_aligned_unfiltered_5_is_x = ^(av_ld_data_aligned_unfiltered[5]) === 1'bx; assign av_ld_data_aligned_filtered[5] = (av_ld_data_aligned_unfiltered_5_is_x & (R_ctrl_ld_non_io)) ? 1'b0 : av_ld_data_aligned_unfiltered[5]; assign av_ld_data_aligned_unfiltered_6_is_x = ^(av_ld_data_aligned_unfiltered[6]) === 1'bx; assign av_ld_data_aligned_filtered[6] = (av_ld_data_aligned_unfiltered_6_is_x & (R_ctrl_ld_non_io)) ? 1'b0 : av_ld_data_aligned_unfiltered[6]; assign av_ld_data_aligned_unfiltered_7_is_x = ^(av_ld_data_aligned_unfiltered[7]) === 1'bx; assign av_ld_data_aligned_filtered[7] = (av_ld_data_aligned_unfiltered_7_is_x & (R_ctrl_ld_non_io)) ? 1'b0 : av_ld_data_aligned_unfiltered[7]; assign av_ld_data_aligned_unfiltered_8_is_x = ^(av_ld_data_aligned_unfiltered[8]) === 1'bx; assign av_ld_data_aligned_filtered[8] = (av_ld_data_aligned_unfiltered_8_is_x & (R_ctrl_ld_non_io)) ? 1'b0 : av_ld_data_aligned_unfiltered[8]; assign av_ld_data_aligned_unfiltered_9_is_x = ^(av_ld_data_aligned_unfiltered[9]) === 1'bx; assign av_ld_data_aligned_filtered[9] = (av_ld_data_aligned_unfiltered_9_is_x & (R_ctrl_ld_non_io)) ? 1'b0 : av_ld_data_aligned_unfiltered[9]; assign av_ld_data_aligned_unfiltered_10_is_x = ^(av_ld_data_aligned_unfiltered[10]) === 1'bx; assign av_ld_data_aligned_filtered[10] = (av_ld_data_aligned_unfiltered_10_is_x & (R_ctrl_ld_non_io)) ? 1'b0 : av_ld_data_aligned_unfiltered[10]; assign av_ld_data_aligned_unfiltered_11_is_x = ^(av_ld_data_aligned_unfiltered[11]) === 1'bx; assign av_ld_data_aligned_filtered[11] = (av_ld_data_aligned_unfiltered_11_is_x & (R_ctrl_ld_non_io)) ? 1'b0 : av_ld_data_aligned_unfiltered[11]; assign av_ld_data_aligned_unfiltered_12_is_x = ^(av_ld_data_aligned_unfiltered[12]) === 1'bx; assign av_ld_data_aligned_filtered[12] = (av_ld_data_aligned_unfiltered_12_is_x & (R_ctrl_ld_non_io)) ? 1'b0 : av_ld_data_aligned_unfiltered[12]; assign av_ld_data_aligned_unfiltered_13_is_x = ^(av_ld_data_aligned_unfiltered[13]) === 1'bx; assign av_ld_data_aligned_filtered[13] = (av_ld_data_aligned_unfiltered_13_is_x & (R_ctrl_ld_non_io)) ? 1'b0 : av_ld_data_aligned_unfiltered[13]; assign av_ld_data_aligned_unfiltered_14_is_x = ^(av_ld_data_aligned_unfiltered[14]) === 1'bx; assign av_ld_data_aligned_filtered[14] = (av_ld_data_aligned_unfiltered_14_is_x & (R_ctrl_ld_non_io)) ? 1'b0 : av_ld_data_aligned_unfiltered[14]; assign av_ld_data_aligned_unfiltered_15_is_x = ^(av_ld_data_aligned_unfiltered[15]) === 1'bx; assign av_ld_data_aligned_filtered[15] = (av_ld_data_aligned_unfiltered_15_is_x & (R_ctrl_ld_non_io)) ? 1'b0 : av_ld_data_aligned_unfiltered[15]; assign av_ld_data_aligned_unfiltered_16_is_x = ^(av_ld_data_aligned_unfiltered[16]) === 1'bx; assign av_ld_data_aligned_filtered[16] = (av_ld_data_aligned_unfiltered_16_is_x & (R_ctrl_ld_non_io)) ? 1'b0 : av_ld_data_aligned_unfiltered[16]; assign av_ld_data_aligned_unfiltered_17_is_x = ^(av_ld_data_aligned_unfiltered[17]) === 1'bx; assign av_ld_data_aligned_filtered[17] = (av_ld_data_aligned_unfiltered_17_is_x & (R_ctrl_ld_non_io)) ? 1'b0 : av_ld_data_aligned_unfiltered[17]; assign av_ld_data_aligned_unfiltered_18_is_x = ^(av_ld_data_aligned_unfiltered[18]) === 1'bx; assign av_ld_data_aligned_filtered[18] = (av_ld_data_aligned_unfiltered_18_is_x & (R_ctrl_ld_non_io)) ? 1'b0 : av_ld_data_aligned_unfiltered[18]; assign av_ld_data_aligned_unfiltered_19_is_x = ^(av_ld_data_aligned_unfiltered[19]) === 1'bx; assign av_ld_data_aligned_filtered[19] = (av_ld_data_aligned_unfiltered_19_is_x & (R_ctrl_ld_non_io)) ? 1'b0 : av_ld_data_aligned_unfiltered[19]; assign av_ld_data_aligned_unfiltered_20_is_x = ^(av_ld_data_aligned_unfiltered[20]) === 1'bx; assign av_ld_data_aligned_filtered[20] = (av_ld_data_aligned_unfiltered_20_is_x & (R_ctrl_ld_non_io)) ? 1'b0 : av_ld_data_aligned_unfiltered[20]; assign av_ld_data_aligned_unfiltered_21_is_x = ^(av_ld_data_aligned_unfiltered[21]) === 1'bx; assign av_ld_data_aligned_filtered[21] = (av_ld_data_aligned_unfiltered_21_is_x & (R_ctrl_ld_non_io)) ? 1'b0 : av_ld_data_aligned_unfiltered[21]; assign av_ld_data_aligned_unfiltered_22_is_x = ^(av_ld_data_aligned_unfiltered[22]) === 1'bx; assign av_ld_data_aligned_filtered[22] = (av_ld_data_aligned_unfiltered_22_is_x & (R_ctrl_ld_non_io)) ? 1'b0 : av_ld_data_aligned_unfiltered[22]; assign av_ld_data_aligned_unfiltered_23_is_x = ^(av_ld_data_aligned_unfiltered[23]) === 1'bx; assign av_ld_data_aligned_filtered[23] = (av_ld_data_aligned_unfiltered_23_is_x & (R_ctrl_ld_non_io)) ? 1'b0 : av_ld_data_aligned_unfiltered[23]; assign av_ld_data_aligned_unfiltered_24_is_x = ^(av_ld_data_aligned_unfiltered[24]) === 1'bx; assign av_ld_data_aligned_filtered[24] = (av_ld_data_aligned_unfiltered_24_is_x & (R_ctrl_ld_non_io)) ? 1'b0 : av_ld_data_aligned_unfiltered[24]; assign av_ld_data_aligned_unfiltered_25_is_x = ^(av_ld_data_aligned_unfiltered[25]) === 1'bx; assign av_ld_data_aligned_filtered[25] = (av_ld_data_aligned_unfiltered_25_is_x & (R_ctrl_ld_non_io)) ? 1'b0 : av_ld_data_aligned_unfiltered[25]; assign av_ld_data_aligned_unfiltered_26_is_x = ^(av_ld_data_aligned_unfiltered[26]) === 1'bx; assign av_ld_data_aligned_filtered[26] = (av_ld_data_aligned_unfiltered_26_is_x & (R_ctrl_ld_non_io)) ? 1'b0 : av_ld_data_aligned_unfiltered[26]; assign av_ld_data_aligned_unfiltered_27_is_x = ^(av_ld_data_aligned_unfiltered[27]) === 1'bx; assign av_ld_data_aligned_filtered[27] = (av_ld_data_aligned_unfiltered_27_is_x & (R_ctrl_ld_non_io)) ? 1'b0 : av_ld_data_aligned_unfiltered[27]; assign av_ld_data_aligned_unfiltered_28_is_x = ^(av_ld_data_aligned_unfiltered[28]) === 1'bx; assign av_ld_data_aligned_filtered[28] = (av_ld_data_aligned_unfiltered_28_is_x & (R_ctrl_ld_non_io)) ? 1'b0 : av_ld_data_aligned_unfiltered[28]; assign av_ld_data_aligned_unfiltered_29_is_x = ^(av_ld_data_aligned_unfiltered[29]) === 1'bx; assign av_ld_data_aligned_filtered[29] = (av_ld_data_aligned_unfiltered_29_is_x & (R_ctrl_ld_non_io)) ? 1'b0 : av_ld_data_aligned_unfiltered[29]; assign av_ld_data_aligned_unfiltered_30_is_x = ^(av_ld_data_aligned_unfiltered[30]) === 1'bx; assign av_ld_data_aligned_filtered[30] = (av_ld_data_aligned_unfiltered_30_is_x & (R_ctrl_ld_non_io)) ? 1'b0 : av_ld_data_aligned_unfiltered[30]; assign av_ld_data_aligned_unfiltered_31_is_x = ^(av_ld_data_aligned_unfiltered[31]) === 1'bx; assign av_ld_data_aligned_filtered[31] = (av_ld_data_aligned_unfiltered_31_is_x & (R_ctrl_ld_non_io)) ? 1'b0 : av_ld_data_aligned_unfiltered[31]; always @(posedge clk) begin if (reset_n) if (^(F_valid) === 1'bx) begin $write("%0d ns: ERROR: SoC_nios2_qsys_0_test_bench/F_valid is 'x'\n", $time); $stop; end end always @(posedge clk) begin if (reset_n) if (^(D_valid) === 1'bx) begin $write("%0d ns: ERROR: SoC_nios2_qsys_0_test_bench/D_valid is 'x'\n", $time); $stop; end end always @(posedge clk) begin if (reset_n) if (^(E_valid) === 1'bx) begin $write("%0d ns: ERROR: SoC_nios2_qsys_0_test_bench/E_valid is 'x'\n", $time); $stop; end end always @(posedge clk) begin if (reset_n) if (^(W_valid) === 1'bx) begin $write("%0d ns: ERROR: SoC_nios2_qsys_0_test_bench/W_valid is 'x'\n", $time); $stop; end end always @(posedge clk or negedge reset_n) begin if (reset_n == 0) begin end else if (W_valid) if (^(R_wr_dst_reg) === 1'bx) begin $write("%0d ns: ERROR: SoC_nios2_qsys_0_test_bench/R_wr_dst_reg is 'x'\n", $time); $stop; end end always @(posedge clk or negedge reset_n) begin if (reset_n == 0) begin end else if (W_valid & R_wr_dst_reg) if (^(W_wr_data) === 1'bx) begin $write("%0d ns: ERROR: SoC_nios2_qsys_0_test_bench/W_wr_data is 'x'\n", $time); $stop; end end always @(posedge clk or negedge reset_n) begin if (reset_n == 0) begin end else if (W_valid & R_wr_dst_reg) if (^(R_dst_regnum) === 1'bx) begin $write("%0d ns: ERROR: SoC_nios2_qsys_0_test_bench/R_dst_regnum is 'x'\n", $time); $stop; end end always @(posedge clk) begin if (reset_n) if (^(d_write) === 1'bx) begin $write("%0d ns: ERROR: SoC_nios2_qsys_0_test_bench/d_write is 'x'\n", $time); $stop; end end always @(posedge clk or negedge reset_n) begin if (reset_n == 0) begin end else if (d_write) if (^(d_byteenable) === 1'bx) begin $write("%0d ns: ERROR: SoC_nios2_qsys_0_test_bench/d_byteenable is 'x'\n", $time); $stop; end end always @(posedge clk or negedge reset_n) begin if (reset_n == 0) begin end else if (d_write | d_read) if (^(d_address) === 1'bx) begin $write("%0d ns: ERROR: SoC_nios2_qsys_0_test_bench/d_address is 'x'\n", $time); $stop; end end always @(posedge clk) begin if (reset_n) if (^(d_read) === 1'bx) begin $write("%0d ns: ERROR: SoC_nios2_qsys_0_test_bench/d_read is 'x'\n", $time); $stop; end end always @(posedge clk) begin if (reset_n) if (^(i_read) === 1'bx) begin $write("%0d ns: ERROR: SoC_nios2_qsys_0_test_bench/i_read is 'x'\n", $time); $stop; end end always @(posedge clk or negedge reset_n) begin if (reset_n == 0) begin end else if (i_read) if (^(i_address) === 1'bx) begin $write("%0d ns: ERROR: SoC_nios2_qsys_0_test_bench/i_address is 'x'\n", $time); $stop; end end always @(posedge clk or negedge reset_n) begin if (reset_n == 0) begin end else if (i_read & ~i_waitrequest) if (^(i_readdata) === 1'bx) begin $write("%0d ns: ERROR: SoC_nios2_qsys_0_test_bench/i_readdata is 'x'\n", $time); $stop; end end always @(posedge clk or negedge reset_n) begin if (reset_n == 0) begin end else if (W_valid & R_ctrl_ld) if (^(av_ld_data_aligned_unfiltered) === 1'bx) begin $write("%0d ns: WARNING: SoC_nios2_qsys_0_test_bench/av_ld_data_aligned_unfiltered is 'x'\n", $time); end end always @(posedge clk or negedge reset_n) begin if (reset_n == 0) begin end else if (W_valid & R_wr_dst_reg) if (^(W_wr_data) === 1'bx) begin $write("%0d ns: WARNING: SoC_nios2_qsys_0_test_bench/W_wr_data is 'x'\n", $time); end end //////////////// END SIMULATION-ONLY CONTENTS //synthesis translate_on //synthesis read_comments_as_HDL on // // assign av_ld_data_aligned_filtered = av_ld_data_aligned_unfiltered; // //synthesis read_comments_as_HDL off endmodule
`include "bsg_nonsynth_dramsim3.svh" `ifndef dram_pkg `define dram_pkg bsg_nonsynth_dramsim3_hbm2_8gb_x128_pkg `endif module testbench (); // clock logic clk; bsg_nonsynth_clock_gen #(.cycle_time_p(`dram_pkg::tck_ps)) clkgen (.o(clk)); // reset logic reset; bsg_nonsynth_reset_gen #(.reset_cycles_lo_p(0) ,.reset_cycles_hi_p(20)) resetgen (.clk_i(clk) ,.async_reset_o(reset)); // dramsim3 import `dram_pkg::*; logic [num_channels_p-1:0] dramsim3_v_li; logic [num_channels_p-1:0] dramsim3_write_not_read_li; logic [num_channels_p-1:0] [channel_addr_width_p-1:0] dramsim3_ch_addr_li; logic [num_channels_p-1:0] dramsim3_yumi_lo; logic [num_channels_p-1:0] dramsim3_data_v_li; logic [num_channels_p-1:0] [data_width_p-1:0] dramsim3_data_li; logic [num_channels_p-1:0] dramsim3_data_yumi_lo; logic [num_channels_p-1:0] dramsim3_data_v_lo; logic [num_channels_p-1:0] [data_width_p-1:0] dramsim3_data_lo; `dram_pkg::dram_ch_addr_s dramsim3_ch_addr_li_cast; assign dramsim3_ch_addr_li_cast = dramsim3_ch_addr_li[0]; bsg_nonsynth_dramsim3 #(.channel_addr_width_p(`dram_pkg::channel_addr_width_p) ,.data_width_p(`dram_pkg::data_width_p) ,.num_channels_p(`dram_pkg::num_channels_p) ,.num_columns_p(`dram_pkg::num_columns_p) ,.num_rows_p(`dram_pkg::num_rows_p) ,.num_ba_p(`dram_pkg::num_ba_p) ,.num_bg_p(`dram_pkg::num_bg_p) ,.num_ranks_p(`dram_pkg::num_ranks_p) ,.size_in_bits_p(`dram_pkg::size_in_bits_p) ,.address_mapping_p(`dram_pkg::address_mapping_p) ,.config_p(`dram_pkg::config_p) ,.masked_p(0) ,.trace_file_p(`BSG_STRINGIFY(`trace_file)) ,.debug_p(1)) mem (.clk_i(clk) ,.reset_i(reset) ,.v_i(dramsim3_v_li) ,.write_not_read_i(dramsim3_write_not_read_li) ,.ch_addr_i(dramsim3_ch_addr_li) ,.yumi_o(dramsim3_yumi_lo) ,.data_v_i(dramsim3_data_v_li) ,.data_i(dramsim3_data_li) ,.mask_i('0) ,.data_yumi_o(dramsim3_data_yumi_lo) ,.data_v_o(dramsim3_data_v_lo) ,.data_o(dramsim3_data_lo) ,.read_done_ch_addr_o() ,.write_done_o() ,.write_done_ch_addr_o() ); // trace replay // typedef struct packed { logic write_not_read; logic [channel_addr_width_p-1:0] ch_addr; } dramsim3_trace_s; localparam ring_width_p = $bits(dramsim3_trace_s); localparam rom_addr_width_p=20; dramsim3_trace_s [num_channels_p-1:0] tr_data_lo; logic [num_channels_p-1:0] tr_v_lo; logic [num_channels_p-1:0] tr_yumi_li; logic [num_channels_p-1:0][4+ring_width_p-1:0] rom_data; logic [num_channels_p-1:0][rom_addr_width_p-1:0] rom_addr; logic [num_channels_p-1:0] ch_done; for (genvar i = 0; i < num_channels_p; i++) begin bsg_fsb_node_trace_replay #( .ring_width_p(ring_width_p) ,.rom_addr_width_p(rom_addr_width_p) ) tr ( .clk_i(clk) ,.reset_i(reset) ,.en_i(1'b1) //,.en_i(i == '0) ,.v_i(1'b0) ,.data_i('0) ,.ready_o() ,.v_o(tr_v_lo[i]) ,.data_o(tr_data_lo[i]) ,.yumi_i(tr_yumi_li[i]) ,.rom_addr_o(rom_addr[i]) ,.rom_data_i(rom_data[i]) ,.done_o(ch_done[i]) ,.error_o() ); bsg_nonsynth_test_rom #( .data_width_p(ring_width_p+4) ,.addr_width_p(rom_addr_width_p) ,.filename_p(`BSG_STRINGIFY(`rom_file)) ) rom0 ( .addr_i(rom_addr[i]) ,.data_o(rom_data[i]) ); assign dramsim3_write_not_read_li[i] = tr_data_lo[i].write_not_read; assign dramsim3_ch_addr_li[i] = tr_data_lo[i].ch_addr; assign dramsim3_v_li[i] = tr_v_lo[i]; assign tr_yumi_li[i] = dramsim3_yumi_lo[i]; end initial begin # 10000000 $finish; end always_ff @(posedge clk) begin if (~reset & dramsim3_v_li[0]) begin if (dramsim3_write_not_read_li[0]) $display("write: 0x%08x {ro: %d, ba: %d, bg: %d, co: %d, byte: %d}", dramsim3_ch_addr_li[0], dramsim3_ch_addr_li_cast.ro, dramsim3_ch_addr_li_cast.ba, dramsim3_ch_addr_li_cast.bg, dramsim3_ch_addr_li_cast.co, dramsim3_ch_addr_li_cast.byte_offset); else $display("read: 0x%08x {ro: %d, ba: %d, bg: %d, co: %d, byte: %d}", dramsim3_ch_addr_li[0], dramsim3_ch_addr_li_cast.ro, dramsim3_ch_addr_li_cast.ba, dramsim3_ch_addr_li_cast.bg, dramsim3_ch_addr_li_cast.co, dramsim3_ch_addr_li_cast.byte_offset); end end endmodule
/* * Copyright 2020 The SkyWater PDK Authors * * Licensed under the Apache License, Version 2.0 (the "License"); * you may not use this file except in compliance with the License. * You may obtain a copy of the License at * * https://www.apache.org/licenses/LICENSE-2.0 * * Unless required by applicable law or agreed to in writing, software * distributed under the License is distributed on an "AS IS" BASIS, * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. * See the License for the specific language governing permissions and * limitations under the License. * * SPDX-License-Identifier: Apache-2.0 */ `ifndef SKY130_FD_SC_LP__A211OI_BEHAVIORAL_PP_V `define SKY130_FD_SC_LP__A211OI_BEHAVIORAL_PP_V /** * a211oi: 2-input AND into first input of 3-input NOR. * * Y = !((A1 & A2) | B1 | C1) * * Verilog simulation functional model. */ `timescale 1ns / 1ps `default_nettype none // Import user defined primitives. `include "../../models/udp_pwrgood_pp_pg/sky130_fd_sc_lp__udp_pwrgood_pp_pg.v" `celldefine module sky130_fd_sc_lp__a211oi ( Y , A1 , A2 , B1 , C1 , VPWR, VGND, VPB , VNB ); // Module ports output Y ; input A1 ; input A2 ; input B1 ; input C1 ; input VPWR; input VGND; input VPB ; input VNB ; // Local signals wire and0_out ; wire nor0_out_Y ; wire pwrgood_pp0_out_Y; // Name Output Other arguments and and0 (and0_out , A1, A2 ); nor nor0 (nor0_out_Y , and0_out, B1, C1 ); sky130_fd_sc_lp__udp_pwrgood_pp$PG pwrgood_pp0 (pwrgood_pp0_out_Y, nor0_out_Y, VPWR, VGND); buf buf0 (Y , pwrgood_pp0_out_Y ); endmodule `endcelldefine `default_nettype wire `endif // SKY130_FD_SC_LP__A211OI_BEHAVIORAL_PP_V
/** * Copyright 2020 The SkyWater PDK Authors * * Licensed under the Apache License, Version 2.0 (the "License"); * you may not use this file except in compliance with the License. * You may obtain a copy of the License at * * https://www.apache.org/licenses/LICENSE-2.0 * * Unless required by applicable law or agreed to in writing, software * distributed under the License is distributed on an "AS IS" BASIS, * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. * See the License for the specific language governing permissions and * limitations under the License. * * SPDX-License-Identifier: Apache-2.0 */ `ifndef SKY130_FD_SC_LP__INV_TB_V `define SKY130_FD_SC_LP__INV_TB_V /** * inv: Inverter. * * Autogenerated test bench. * * WARNING: This file is autogenerated, do not modify directly! */ `timescale 1ns / 1ps `default_nettype none `include "sky130_fd_sc_lp__inv.v" module top(); // Inputs are registered reg A; reg VPWR; reg VGND; reg VPB; reg VNB; // Outputs are wires wire Y; initial begin // Initial state is x for all inputs. A = 1'bX; VGND = 1'bX; VNB = 1'bX; VPB = 1'bX; VPWR = 1'bX; #20 A = 1'b0; #40 VGND = 1'b0; #60 VNB = 1'b0; #80 VPB = 1'b0; #100 VPWR = 1'b0; #120 A = 1'b1; #140 VGND = 1'b1; #160 VNB = 1'b1; #180 VPB = 1'b1; #200 VPWR = 1'b1; #220 A = 1'b0; #240 VGND = 1'b0; #260 VNB = 1'b0; #280 VPB = 1'b0; #300 VPWR = 1'b0; #320 VPWR = 1'b1; #340 VPB = 1'b1; #360 VNB = 1'b1; #380 VGND = 1'b1; #400 A = 1'b1; #420 VPWR = 1'bx; #440 VPB = 1'bx; #460 VNB = 1'bx; #480 VGND = 1'bx; #500 A = 1'bx; end sky130_fd_sc_lp__inv dut (.A(A), .VPWR(VPWR), .VGND(VGND), .VPB(VPB), .VNB(VNB), .Y(Y)); endmodule `default_nettype wire `endif // SKY130_FD_SC_LP__INV_TB_V
// (c) Copyright 1995-2015 Xilinx, Inc. All rights reserved. // // This file contains confidential and proprietary information // of Xilinx, Inc. and is protected under U.S. and // international copyright and other intellectual property // laws. // // DISCLAIMER // This disclaimer is not a license and does not grant any // rights to the materials distributed herewith. Except as // otherwise provided in a valid license issued to you by // Xilinx, and to the maximum extent permitted by applicable // law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND // WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES // AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING // BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- // INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and // (2) Xilinx shall not be liable (whether in contract or tort, // including negligence, or under any other theory of // liability) for any loss or damage of any kind or nature // related to, arising under or in connection with these // materials, including for any direct, or any indirect, // special, incidental, or consequential loss or damage // (including loss of data, profits, goodwill, or any type of // loss or damage suffered as a result of any action brought // by a third party) even if such damage or loss was // reasonably foreseeable or Xilinx had been advised of the // possibility of the same. // // CRITICAL APPLICATIONS // Xilinx products are not designed or intended to be fail- // safe, or for use in any application requiring fail-safe // performance, such as life-support or safety devices or // systems, Class III medical devices, nuclear facilities, // applications related to the deployment of airbags, or any // other applications that could lead to death, personal // injury, or severe property or environmental damage // (individually and collectively, "Critical // Applications"). Customer assumes the sole risk and // liability of any use of Xilinx products in Critical // Applications, subject only to applicable laws and // regulations governing limitations on product liability. // // THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS // PART OF THIS FILE AT ALL TIMES. // // DO NOT MODIFY THIS FILE. // IP VLNV: xilinx.com:ip:blk_mem_gen:8.2 // IP Revision: 6 `timescale 1ns/1ps (* DowngradeIPIdentifiedWarnings = "yes" *) module XEVIOUS_BROM ( clka, addra, douta ); (* X_INTERFACE_INFO = "xilinx.com:interface:bram:1.0 BRAM_PORTA CLK" *) input wire clka; (* X_INTERFACE_INFO = "xilinx.com:interface:bram:1.0 BRAM_PORTA ADDR" *) input wire [14 : 0] addra; (* X_INTERFACE_INFO = "xilinx.com:interface:bram:1.0 BRAM_PORTA DOUT" *) output wire [7 : 0] douta; blk_mem_gen_v8_2 #( .C_FAMILY("zynq"), .C_XDEVICEFAMILY("zynq"), .C_ELABORATION_DIR("./"), .C_INTERFACE_TYPE(0), .C_AXI_TYPE(1), .C_AXI_SLAVE_TYPE(0), .C_USE_BRAM_BLOCK(0), .C_ENABLE_32BIT_ADDRESS(0), .C_CTRL_ECC_ALGO("NONE"), .C_HAS_AXI_ID(0), .C_AXI_ID_WIDTH(4), .C_MEM_TYPE(3), .C_BYTE_SIZE(9), .C_ALGORITHM(1), .C_PRIM_TYPE(1), .C_LOAD_INIT_FILE(1), .C_INIT_FILE_NAME("XEVIOUS_BROM.mif"), .C_INIT_FILE("XEVIOUS_BROM.mem"), .C_USE_DEFAULT_DATA(0), .C_DEFAULT_DATA("0"), .C_HAS_RSTA(0), .C_RST_PRIORITY_A("CE"), .C_RSTRAM_A(0), .C_INITA_VAL("0"), .C_HAS_ENA(0), .C_HAS_REGCEA(0), .C_USE_BYTE_WEA(0), .C_WEA_WIDTH(1), .C_WRITE_MODE_A("WRITE_FIRST"), .C_WRITE_WIDTH_A(8), .C_READ_WIDTH_A(8), .C_WRITE_DEPTH_A(32768), .C_READ_DEPTH_A(32768), .C_ADDRA_WIDTH(15), .C_HAS_RSTB(0), .C_RST_PRIORITY_B("CE"), .C_RSTRAM_B(0), .C_INITB_VAL("0"), .C_HAS_ENB(0), .C_HAS_REGCEB(0), .C_USE_BYTE_WEB(0), .C_WEB_WIDTH(1), .C_WRITE_MODE_B("WRITE_FIRST"), .C_WRITE_WIDTH_B(8), .C_READ_WIDTH_B(8), .C_WRITE_DEPTH_B(32768), .C_READ_DEPTH_B(32768), .C_ADDRB_WIDTH(15), .C_HAS_MEM_OUTPUT_REGS_A(0), .C_HAS_MEM_OUTPUT_REGS_B(0), .C_HAS_MUX_OUTPUT_REGS_A(0), .C_HAS_MUX_OUTPUT_REGS_B(0), .C_MUX_PIPELINE_STAGES(0), .C_HAS_SOFTECC_INPUT_REGS_A(0), .C_HAS_SOFTECC_OUTPUT_REGS_B(0), .C_USE_SOFTECC(0), .C_USE_ECC(0), .C_EN_ECC_PIPE(0), .C_HAS_INJECTERR(0), .C_SIM_COLLISION_CHECK("ALL"), .C_COMMON_CLK(0), .C_DISABLE_WARN_BHV_COLL(0), .C_EN_SLEEP_PIN(0), .C_USE_URAM(0), .C_EN_RDADDRA_CHG(0), .C_EN_RDADDRB_CHG(0), .C_EN_DEEPSLEEP_PIN(0), .C_EN_SHUTDOWN_PIN(0), .C_DISABLE_WARN_BHV_RANGE(0), .C_COUNT_36K_BRAM("8"), .C_COUNT_18K_BRAM("0"), .C_EST_POWER_SUMMARY("Estimated Power for IP : 2.326399 mW") ) inst ( .clka(clka), .rsta(1'D0), .ena(1'D0), .regcea(1'D0), .wea(1'B0), .addra(addra), .dina(8'B0), .douta(douta), .clkb(1'D0), .rstb(1'D0), .enb(1'D0), .regceb(1'D0), .web(1'B0), .addrb(15'B0), .dinb(8'B0), .doutb(), .injectsbiterr(1'D0), .injectdbiterr(1'D0), .eccpipece(1'D0), .sbiterr(), .dbiterr(), .rdaddrecc(), .sleep(1'D0), .deepsleep(1'D0), .shutdown(1'D0), .s_aclk(1'H0), .s_aresetn(1'D0), .s_axi_awid(4'B0), .s_axi_awaddr(32'B0), .s_axi_awlen(8'B0), .s_axi_awsize(3'B0), .s_axi_awburst(2'B0), .s_axi_awvalid(1'D0), .s_axi_awready(), .s_axi_wdata(8'B0), .s_axi_wstrb(1'B0), .s_axi_wlast(1'D0), .s_axi_wvalid(1'D0), .s_axi_wready(), .s_axi_bid(), .s_axi_bresp(), .s_axi_bvalid(), .s_axi_bready(1'D0), .s_axi_arid(4'B0), .s_axi_araddr(32'B0), .s_axi_arlen(8'B0), .s_axi_arsize(3'B0), .s_axi_arburst(2'B0), .s_axi_arvalid(1'D0), .s_axi_arready(), .s_axi_rid(), .s_axi_rdata(), .s_axi_rresp(), .s_axi_rlast(), .s_axi_rvalid(), .s_axi_rready(1'D0), .s_axi_injectsbiterr(1'D0), .s_axi_injectdbiterr(1'D0), .s_axi_sbiterr(), .s_axi_dbiterr(), .s_axi_rdaddrecc() ); endmodule
`timescale 1ns / 1ps ////////////////////////////////////////////////////////////////////////////////// // Company: // Engineer: // // Create Date: 14:27:33 05/29/2015 // Design Name: // Module Name: shiftRows // Project Name: // Target Devices: // Tool versions: // Description: // // Dependencies: // // Revision: // Revision 0.01 - File Created // Additional Comments: // ////////////////////////////////////////////////////////////////////////////////// module shiftRows( output [127:0] shftOut, // Data Out input [127:0] shftIn, // Data In input inv // If 1, do decryption, else do encryption. ); // assign shftOut[127:96] = shftIn[127:96]; // assign shftOut[95:64] = (inv)?({shftIn[71:64], shftIn[95:72]}) : ({shftIn[87:64], shftIn[95:88]}); // assign shftOut[63:32] = {shftIn[47:32], shftIn[63:48]}; // assign shftOut[31:0] = (inv)?({shftIn[23:0], shftIn[31:24]}) : ({shftIn[7:0], shftIn[31:8]}); // First Row assign shftOut[127:120] = shftIn[127:120]; assign shftOut[95:88] = shftIn[95:88]; assign shftOut[63:56] = shftIn[63:56]; assign shftOut[31:24] = shftIn[31:24]; // Second Row assign shftOut[119:112] = (inv)?(shftIn[23:16]):(shftIn[87:80]); assign shftOut[87:80] = (inv)?(shftIn[119:112]):(shftIn[55:48]); assign shftOut[55:48] = (inv)?(shftIn[87:80]):(shftIn[23:16]); assign shftOut[23:16] = (inv)?(shftIn[55:48]):(shftIn[119:112]); // Third Row assign shftOut[111:104] = shftIn[47:40]; assign shftOut[79:72] = shftIn[15:8]; assign shftOut[47:40] = shftIn[111:104]; assign shftOut[15:8] = shftIn[79:72]; // Fourth Row assign shftOut[103:96] = (inv)?(shftIn[71:64]):(shftIn[7:0]); assign shftOut[71:64] = (inv)?(shftIn[39:32]):(shftIn[103:96]); assign shftOut[39:32] = (inv)?(shftIn[7:0]):(shftIn[71:64]); assign shftOut[7:0] = (inv)?(shftIn[103:96]):(shftIn[39:32]); endmodule
// *************************************************************************** // *************************************************************************** // Copyright 2011(c) Analog Devices, Inc. // // All rights reserved. // // Redistribution and use in source and binary forms, with or without modification, // are permitted provided that the following conditions are met: // - Redistributions of source code must retain the above copyright // notice, this list of conditions and the following disclaimer. // - Redistributions in binary form must reproduce the above copyright // notice, this list of conditions and the following disclaimer in // the documentation and/or other materials provided with the // distribution. // - Neither the name of Analog Devices, Inc. nor the names of its // contributors may be used to endorse or promote products derived // from this software without specific prior written permission. // - The use of this software may or may not infringe the patent rights // of one or more patent holders. This license does not release you // from the requirement that you obtain separate licenses from these // patent holders to use this software. // - Use of the software either in source or binary form, must be run // on or directly connected to an Analog Devices Inc. component. // // THIS SOFTWARE IS PROVIDED BY ANALOG DEVICES "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, // INCLUDING, BUT NOT LIMITED TO, NON-INFRINGEMENT, MERCHANTABILITY AND FITNESS FOR A // PARTICULAR PURPOSE ARE DISCLAIMED. // // IN NO EVENT SHALL ANALOG DEVICES BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, // EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, INTELLECTUAL PROPERTY // RIGHTS, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR // BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, // STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF // THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. // *************************************************************************** // *************************************************************************** // *************************************************************************** // *************************************************************************** // This is the LVDS/DDR interface, note that overrange is independent of data path, // software will not be able to relate overrange to a specific sample! // Alternative is to concatenate sample value and or status for data. `timescale 1ns/100ps module axi_ad9434_if ( // adc interface (clk, data, over-range) adc_clk_in_p, adc_clk_in_n, adc_data_in_p, adc_data_in_n, adc_or_in_p, adc_or_in_n, // interface outputs adc_clk, adc_rst, adc_data, adc_or, adc_status, // delay control signals delay_clk, delay_rst, delay_sel, delay_rwn, delay_addr, delay_wdata, delay_rdata, delay_ack_t, delay_locked); // This parameter controls the buffer type based on the target device. parameter PCORE_DEVICE_TYPE = 0; parameter PCORE_IODELAY_GROUP = "adc_if_delay_group"; localparam PCORE_DEVICE_7SERIES = 0; localparam PCORE_DEVICE_VIRTEX6 = 1; // adc interface (clk, data, over-range) input adc_clk_in_p; input adc_clk_in_n; input [11:0] adc_data_in_p; input [11:0] adc_data_in_n; input adc_or_in_p; input adc_or_in_n; // interface outputs output adc_clk; input adc_rst; output [47:0] adc_data; output adc_or; output adc_status; // delay control signals input delay_clk; input delay_rst; input delay_sel; input delay_rwn; input [ 7:0] delay_addr; input [ 4:0] delay_wdata; output [ 4:0] delay_rdata; output delay_ack_t; output delay_locked; // internal registers reg [47:0] adc_data = 'd0; reg adc_or = 'd0; reg adc_status = 'd0; // internal clocks and resets wire adc_clk_in; // internal signals wire [11:0] adc_data_ibuf_s; wire [ 3:0] adc_data_serdes_s[11:0]; wire adc_or_ibuf_s; wire [ 3:0] adc_or_serdes_s; wire adc_clk_ibuf_s; // delay elements are not used assign delay_ack_t = 1'b0; assign delay_rdata = 5'd0; assign delay_locked = 1'b0; // de-multiplex the adc data always @(posedge adc_clk) begin adc_data <= {adc_data_serdes_s[11][3], adc_data_serdes_s[10][3], adc_data_serdes_s[ 9][3], adc_data_serdes_s[ 8][3], adc_data_serdes_s[ 7][3], adc_data_serdes_s[ 6][3], adc_data_serdes_s[ 5][3], adc_data_serdes_s[ 4][3], adc_data_serdes_s[ 3][3], adc_data_serdes_s[ 2][3], adc_data_serdes_s[ 1][3], adc_data_serdes_s[ 0][3], adc_data_serdes_s[11][2], adc_data_serdes_s[10][2], adc_data_serdes_s[ 9][2], adc_data_serdes_s[ 8][2], adc_data_serdes_s[ 7][2], adc_data_serdes_s[ 6][2], adc_data_serdes_s[ 5][2], adc_data_serdes_s[ 4][2], adc_data_serdes_s[ 3][2], adc_data_serdes_s[ 2][2], adc_data_serdes_s[ 1][2], adc_data_serdes_s[ 0][2], adc_data_serdes_s[11][1], adc_data_serdes_s[10][1], adc_data_serdes_s[ 9][1], adc_data_serdes_s[ 8][1], adc_data_serdes_s[ 7][1], adc_data_serdes_s[ 6][1], adc_data_serdes_s[ 5][1], adc_data_serdes_s[ 4][1], adc_data_serdes_s[ 3][1], adc_data_serdes_s[ 2][1], adc_data_serdes_s[ 1][1], adc_data_serdes_s[ 0][1], adc_data_serdes_s[11][0], adc_data_serdes_s[10][0], adc_data_serdes_s[ 9][0], adc_data_serdes_s[ 8][0], adc_data_serdes_s[ 7][0], adc_data_serdes_s[ 6][0], adc_data_serdes_s[ 5][0], adc_data_serdes_s[ 4][0], adc_data_serdes_s[ 3][0], adc_data_serdes_s[ 2][0], adc_data_serdes_s[ 1][0], adc_data_serdes_s[ 0][0]}; if (adc_or_serdes_s == 4'd0) begin adc_or <= 1'b0; end else begin adc_or <= 1'b1; end adc_status <= 1'b1; end // data path - input-buffer - input-serdes (4:1) genvar l_inst; generate for (l_inst = 0; l_inst <= 11; l_inst = l_inst + 1) begin : g_adc_if IBUFDS i_data_ibuf ( .I (adc_data_in_p[l_inst]), .IB (adc_data_in_n[l_inst]), .O (adc_data_ibuf_s[l_inst])); ISERDESE1 #( .DATA_RATE ("SDR"), .DATA_WIDTH (4), .INTERFACE_TYPE ("NETWORKING"), .DYN_CLKDIV_INV_EN ("FALSE"), .DYN_CLK_INV_EN ("FALSE"), .NUM_CE (2), .OFB_USED ("FALSE"), .IOBDELAY ("NONE"), .SERDES_MODE ("MASTER")) i_data_serdes ( .Q1 (adc_data_serdes_s[l_inst][3]), .Q2 (adc_data_serdes_s[l_inst][2]), .Q3 (adc_data_serdes_s[l_inst][1]), .Q4 (adc_data_serdes_s[l_inst][0]), .Q5 (), .Q6 (), .SHIFTOUT1 (), .SHIFTOUT2 (), .BITSLIP (1'b0), .CE1 (1'b1), .CE2 (1'b1), .CLK (adc_clk_in), .CLKB (~adc_clk_in), .CLKDIV (adc_clk), .D (adc_data_ibuf_s[l_inst]), .DDLY (1'b0), .RST (adc_rst), .SHIFTIN1 (1'b0), .SHIFTIN2 (1'b0), .DYNCLKDIVSEL (1'b0), .DYNCLKSEL (1'b0), .OFB (1'b0), .OCLK (1'b0), .O ()); end endgenerate // over-range - input-buffer - input-serdes (4:1) IBUFDS i_or_ibuf ( .I (adc_or_in_p), .IB (adc_or_in_n), .O (adc_or_ibuf_s)); ISERDESE1 #( .DATA_RATE ("SDR"), .DATA_WIDTH (4), .INTERFACE_TYPE ("NETWORKING"), .DYN_CLKDIV_INV_EN ("FALSE"), .DYN_CLK_INV_EN ("FALSE"), .NUM_CE (2), .OFB_USED ("FALSE"), .IOBDELAY ("NONE"), .SERDES_MODE ("MASTER")) i_or_serdes ( .Q1 (adc_or_serdes_s[3]), .Q2 (adc_or_serdes_s[2]), .Q3 (adc_or_serdes_s[1]), .Q4 (adc_or_serdes_s[0]), .Q5 (), .Q6 (), .SHIFTOUT1 (), .SHIFTOUT2 (), .BITSLIP (1'b0), .CE1 (1'b1), .CE2 (1'b1), .CLK (adc_clk_in), .CLKB (~adc_clk_in), .CLKDIV (adc_clk), .D (adc_or_ibuf_s), .DDLY (1'b0), .RST (adc_rst), .SHIFTIN1 (1'b0), .SHIFTIN2 (1'b0), .DYNCLKDIVSEL (1'b0), .DYNCLKSEL (1'b0), .OFB (1'b0), .OCLK (1'b0), .O ()); // clock - input-buffer ---> bufio & bufr combination (4:1) IBUFGDS i_clk_ibuf ( .I (adc_clk_in_p), .IB (adc_clk_in_n), .O (adc_clk_ibuf_s)); BUFIO i_clk_hs_buf ( .I (adc_clk_ibuf_s), .O (adc_clk_in)); BUFR #(.BUFR_DIVIDE("4")) i_clk_buf ( .CLR(1'b0), .CE(1'b1), .I (adc_clk_ibuf_s), .O (adc_clk)); endmodule // *************************************************************************** // ***************************************************************************
// pg_sequencer.v `timescale 1ns / 1ps module pg_sequencer ( input clk, input sync, input reset, input enable, input start, output reg running, output reg [5:0]pgout, output reg [7:0]ip, // command index pointer input [15:0]cmd ); /* command word structure 15 14 13 12 11 10 9 8 7 6 5 4 3 2 1 0 +--+--+--+--+--+--+--+--+--+--+--+--+--+--+--+--+ | | pgout | delay | +--+--+--+--+--+--+--+--+--+--+--+--+--+--+--+--+ */ wire [5:0]cmd_sig = cmd[13:8]; wire [7:0]cmd_del = cmd[7:0]; wire stop = cmd_del == 0; // stop command reg [7:0]delay; // delay counter wire next = delay == 0; // start/stop always @(posedge clk or posedge reset) begin if (reset) running <= 0; else if (enable) begin if (sync) begin if (start) running <= 1; else if (stop && next) running <= 0; end end else running <= 0; end // set index pointer always @(posedge clk or posedge reset) begin if (reset) ip <= 0; else if (sync) begin if (!running) ip <= 0; else if (next) ip <= ip + 8'd1; end end // command execution always @(posedge clk or posedge reset) begin if (reset) begin delay <= 0; pgout <= 0; end else if (sync) begin if (!running) begin delay <= 0; pgout <= 0; end else if (next) begin delay <= cmd_del; pgout <= cmd_sig; end else begin delay <= delay - 8'd1; pgout <= 5'b00000; end end end endmodule
///////////////////////////////////////////////////////////// // Created by: Synopsys DC Expert(TM) in wire load mode // Version : L-2016.03-SP3 // Date : Wed Oct 19 14:29:56 2016 ///////////////////////////////////////////////////////////// module FSM_Add_Subtract ( clk, rst, rst_FSM, beg_FSM, zero_flag_i, norm_iteration_i, add_overflow_i, round_i, load_1_o, load_2_o, load_3_o, load_8_o, A_S_op_o, load_4_o, left_right_o, bit_shift_o, load_5_o, load_6_o, load_7_o, ctrl_a_o, ctrl_b_o, ctrl_b_load_o, ctrl_c_o, ctrl_d_o, rst_int, ready ); output [1:0] ctrl_b_o; input clk, rst, rst_FSM, beg_FSM, zero_flag_i, norm_iteration_i, add_overflow_i, round_i; output load_1_o, load_2_o, load_3_o, load_8_o, A_S_op_o, load_4_o, left_right_o, bit_shift_o, load_5_o, load_6_o, load_7_o, ctrl_a_o, ctrl_b_load_o, ctrl_c_o, ctrl_d_o, rst_int, ready; wire n1, n2, n4, ctrl_d_o, n7, n8, n9, n10, n11, n15, n16, n17, n18, n19, n20, n21, n22, n23, n24, n25, n26, n27, n28, n29, n30, n31, n32, n33, n34, n35, n36, n37, n38, n39, n40, n41, n42, n43, n44, n45, n46, n47, n48, n49, n50, n51, n52, n53, n54, n55, n56; wire [3:0] state_reg; assign ctrl_a_o = ctrl_d_o; INVX2TS U3 ( .A(rst), .Y(n1) ); DFFRX2TS \state_reg_reg[2] ( .D(n53), .CK(clk), .RN(n1), .Q(state_reg[2]), .QN(n15) ); DFFRX2TS \state_reg_reg[3] ( .D(n55), .CK(clk), .RN(n1), .Q(state_reg[3]), .QN(n9) ); DFFRX2TS \state_reg_reg[1] ( .D(n54), .CK(clk), .RN(n1), .Q(state_reg[1]), .QN(n16) ); DFFRX2TS \state_reg_reg[0] ( .D(n56), .CK(clk), .RN(n1), .Q(state_reg[0]), .QN(n17) ); NOR3BX1TS U67 ( .AN(n45), .B(n17), .C(state_reg[1]), .Y(n25) ); NOR2X1TS U68 ( .A(n16), .B(state_reg[3]), .Y(n51) ); NAND3X1TS U69 ( .A(n16), .B(n9), .C(n49), .Y(n41) ); NAND3X1TS U70 ( .A(n16), .B(n9), .C(n50), .Y(n32) ); NAND3X1TS U71 ( .A(state_reg[1]), .B(n17), .C(n45), .Y(n29) ); NAND3X1TS U72 ( .A(state_reg[1]), .B(n49), .C(state_reg[3]), .Y(n20) ); NAND2X1TS U73 ( .A(n43), .B(n27), .Y(load_5_o) ); INVX2TS U74 ( .A(n43), .Y(ctrl_c_o) ); OAI21X1TS U75 ( .A0(n32), .A1(n18), .B0(n24), .Y(n36) ); OAI21X1TS U76 ( .A0(n18), .A1(n41), .B0(n33), .Y(load_8_o) ); INVX2TS U77 ( .A(n28), .Y(ctrl_d_o) ); INVX2TS U78 ( .A(n22), .Y(n10) ); NOR3X1TS U79 ( .A(load_2_o), .B(load_1_o), .C(load_7_o), .Y(n31) ); NAND2X1TS U80 ( .A(n51), .B(n49), .Y(n43) ); NAND2X1TS U81 ( .A(n50), .B(n51), .Y(n27) ); NAND2X1TS U82 ( .A(n44), .B(n29), .Y(ctrl_b_load_o) ); NAND2X1TS U83 ( .A(n32), .B(n24), .Y(load_4_o) ); NAND3X1TS U84 ( .A(n41), .B(n42), .C(n33), .Y(load_3_o) ); INVX2TS U85 ( .A(n40), .Y(load_2_o) ); INVX2TS U86 ( .A(n41), .Y(n4) ); INVX2TS U87 ( .A(n39), .Y(rst_int) ); INVX2TS U88 ( .A(n44), .Y(load_6_o) ); INVX2TS U89 ( .A(n20), .Y(ready) ); INVX2TS U90 ( .A(n32), .Y(n8) ); INVX2TS U91 ( .A(n29), .Y(n7) ); NAND2X1TS U92 ( .A(round_i), .B(n25), .Y(n28) ); NAND4X1TS U93 ( .A(add_overflow_i), .B(n31), .C(n46), .D(n47), .Y(A_S_op_o) ); NOR4XLTS U94 ( .A(n48), .B(ctrl_b_load_o), .C(load_5_o), .D(load_4_o), .Y( n47) ); AOI211X1TS U95 ( .A0(n4), .A1(n18), .B0(n50), .C0(n25), .Y(n46) ); NAND3X1TS U96 ( .A(n20), .B(n39), .C(n42), .Y(n48) ); INVX2TS U97 ( .A(norm_iteration_i), .Y(n18) ); NOR2BX1TS U98 ( .AN(ctrl_b_load_o), .B(add_overflow_i), .Y(ctrl_b_o[0]) ); OA21XLTS U99 ( .A0(n36), .A1(load_8_o), .B0(add_overflow_i), .Y(bit_shift_o) ); OAI2BB1X1TS U100 ( .A0N(load_6_o), .A1N(add_overflow_i), .B0(n29), .Y( ctrl_b_o[1]) ); AOI211X1TS U101 ( .A0(n41), .A1(n32), .B0(n18), .C0(add_overflow_i), .Y( left_right_o) ); AOI21X1TS U102 ( .A0(load_2_o), .A1(zero_flag_i), .B0(load_7_o), .Y(n22) ); OAI22X1TS U103 ( .A0(beg_FSM), .A1(n39), .B0(rst_FSM), .B1(n20), .Y(n26) ); NAND4BX1TS U104 ( .AN(load_5_o), .B(n33), .C(n34), .D(n35), .Y(n55) ); AOI21X1TS U105 ( .A0(n25), .A1(n19), .B0(n7), .Y(n34) ); AOI211X1TS U106 ( .A0(state_reg[3]), .A1(n26), .B0(n36), .C0(n10), .Y(n35) ); INVX2TS U107 ( .A(round_i), .Y(n19) ); NAND4X1TS U108 ( .A(n27), .B(n28), .C(n29), .D(n30), .Y(n54) ); AOI221X1TS U109 ( .A0(n8), .A1(n18), .B0(state_reg[1]), .B1(n26), .C0(n11), .Y(n30) ); INVX2TS U110 ( .A(n31), .Y(n11) ); AOI31X1TS U111 ( .A0(n37), .A1(n2), .A2(n38), .B0(n26), .Y(n56) ); NOR3X1TS U112 ( .A(n25), .B(rst_int), .C(n4), .Y(n38) ); AOI2BB1X1TS U113 ( .A0N(n40), .A1N(zero_flag_i), .B0(n7), .Y(n37) ); INVX2TS U114 ( .A(n36), .Y(n2) ); NOR2X1TS U115 ( .A(n15), .B(state_reg[0]), .Y(n49) ); NOR2X1TS U116 ( .A(n9), .B(state_reg[2]), .Y(n45) ); NOR2X1TS U117 ( .A(n17), .B(n15), .Y(n50) ); NOR3X1TS U118 ( .A(state_reg[2]), .B(state_reg[3]), .C(state_reg[1]), .Y(n52) ); NAND3X1TS U119 ( .A(n17), .B(n16), .C(n45), .Y(n44) ); NAND3X1TS U120 ( .A(state_reg[0]), .B(state_reg[1]), .C(n45), .Y(n33) ); NAND3X1TS U121 ( .A(n51), .B(n15), .C(state_reg[0]), .Y(n42) ); NAND2X1TS U122 ( .A(n52), .B(n17), .Y(n39) ); NAND3X1TS U123 ( .A(n17), .B(n15), .C(n51), .Y(n40) ); NAND3X1TS U124 ( .A(n49), .B(n16), .C(state_reg[3]), .Y(n24) ); AND3X2TS U125 ( .A(n50), .B(state_reg[3]), .C(n16), .Y(load_7_o) ); NAND3X1TS U126 ( .A(n21), .B(n22), .C(n23), .Y(n53) ); NOR4BX1TS U127 ( .AN(n24), .B(load_3_o), .C(load_6_o), .D(n25), .Y(n23) ); AOI22X1TS U128 ( .A0(n8), .A1(n18), .B0(state_reg[2]), .B1(n26), .Y(n21) ); AND2X2TS U129 ( .A(n52), .B(state_reg[0]), .Y(load_1_o) ); endmodule
module node(clk, rst, textfile, keyword, data_wr, key_en); parameter data_size = 32; input clk; input rst; input [data_size-1:0] textfile; // Input textfile from Scheduler for mapper submodule input [data_size-1:0] keyword; // Input keyword from Scheduler for mapper submodule input data_wr; // Informs that whether there are text sent from scheduler now(pulse). input key_en; // Inform that whether there are keyword sent from scheduler now(keep high). // Inter-submodule signal wire [data_size-1:0] pair; // Output (keyword) from mapper to reducer wire pair_out; // Output keyword notation from mapper to reducer wire write_in; // Input of reducer pair_out @^#& write_in // The width of pair_out doesn't meet the requirement of write_in. // Must do sth to add 1 cycle to the width of pair_out. reg pair_out_reg; wire pair_out_ext; always@(posedge clk or rst) if(!rst) pair_out_reg <= 1'b0; else pair_out_reg <= pair_out; assign pair_out_ext = pair_out|pair_out_reg; assign write_in = pair_out_ext; wire write_free; // Instantiation mapper mapper0( .clk(clk), .rst(rst), .data_in_1(textfile), .keyword(keyword), .key_en(key_en), .data_wr(data_wr), // Indicates that scheduler can send data to the mapper now. // Temporarily not connected .write_free(write_free), .pair(pair), .pair_out(pair_out) ); reducer reducer0( .clk(clk), .rst(rst), .write_in(write_in), .pair_in(pair), .result() ); endmodule
//================================================================================================== // Filename : musoc.v // Created On : 2015-01-10 21:18:59 // Last Modified : 2015-05-31 21:23:11 // Revision : 1.0 // Author : Angel Terrones // Company : Universidad Simón Bolívar // Email : [email protected] // // Description : Implementation of the SoC: // - Core // - XBAR // - RAM // - GPIO // - UART/Bootloader //================================================================================================== module musoc#( parameter SIM_MODE = "NONE", // Simulation Mode. "SIM" = simulation. "NONE": synthesis mode. // Core configuration parameter ENABLE_HW_MULT = 1, // Implement the multiplier parameter ENABLE_HW_DIV = 1, // Implement the divider parameter ENABLE_HW_CLO_Z = 1, // Enable CLO/CLZ instructions // UARTboot parameter BUS_FREQ = 50, // Bus frequency // Memory parameter MEM_ADDR_WIDTH = 12 // 16 KB/4 KW of internal memory )( input clk, input rst, output halted, // GPIO inout [31:0] gpio_a_inout, // UART input uart_rx, output uart_tx ); //-------------------------------------------------------------------------- // wires //-------------------------------------------------------------------------- // master wire [31:0] master0_address; wire [3:0] master0_wr; wire master0_enable; wire master0_ready; wire master0_error; wire [31:0] master1_address; wire [31:0] master1_data_i; wire [3:0] master1_wr; wire master1_enable; wire master1_ready; wire master1_error; wire [31:0] master2_address; wire [31:0] master2_data_i; wire [3:0] master2_wr; wire master2_enable; wire master2_ready; wire master2_error; // unused (Bootloader) wire [31:0] master_data_o; // slaves wire [31:0] slave0_data_i; wire [31:0] slave1_data_i; wire [31:0] slave2_data_i; wire slave0_enable; wire slave1_enable; wire slave2_enable; wire slave0_ready; wire slave1_ready; wire slave2_ready; wire [31:0] slave_address; wire [31:0] slave_data_o; wire [3:0] slave_wr; wire [31:0] ms_address; wire [31:0] ms_data_oi; wire [31:0] ms_data_io; wire [3:0] ms_wr; wire ms_enable; wire ms_ready; wire ms_error; wire [3:0] gpio_interrupt; wire uart_rx_ready_int; wire bootloader_reset_core; wire rst_module; wire clk_core; wire clk_bus; //-------------------------------------------------------------------------- // Clock frequency generator. //-------------------------------------------------------------------------- clk_generator clock_manager( .clk_i ( clk ), .clk_core ( clk_core ), .clk_bus ( clk_bus ) ); //-------------------------------------------------------------------------- // Reset Manager // Hold reset for 8 cycles //-------------------------------------------------------------------------- rst_generator reset_manager( .clk ( clk_core ), .rst_i ( rst ), .rst_o ( rst_module ) ); //-------------------------------------------------------------------------- // MIPS CORE //-------------------------------------------------------------------------- musb_core #( .ENABLE_HW_MULT ( ENABLE_HW_MULT ), .ENABLE_HW_DIV ( ENABLE_HW_DIV ), .ENABLE_HW_CLO_Z ( ENABLE_HW_CLO_Z ) ) musb_core0(/*AUTOINST*/ .halted ( halted ), .iport_address ( master0_address[31:0] ), .iport_wr ( master0_wr[3:0] ), .iport_enable ( master0_enable ), .dport_address ( master1_address[31:0] ), .dport_data_o ( master1_data_i[31:0] ), .dport_wr ( master1_wr[3:0] ), .dport_enable ( master1_enable ), .clk ( clk_core ), .rst_i ( rst_module | bootloader_reset_core ), .interrupts ( {uart_rx_ready_int, gpio_interrupt[3:0]} ), .nmi ( 1'b0 ), .iport_data_i ( master_data_o[31:0] ), .iport_ready ( master0_ready ), .iport_error ( master0_error ), .dport_data_i ( master_data_o[31:0] ), .dport_ready ( master1_ready ), .dport_error ( master1_error ) ); //-------------------------------------------------------------------------- // XBAR //-------------------------------------------------------------------------- arbiter #( .nmasters(3) ) arbiter0(/*autoinst*/ .clk ( clk_bus ), .rst ( rst_module ), .master_address ( {master2_address[31:0], master1_address[31:0], master0_address[31:0]} ), .master_data_i ( {master2_data_i[31:0], master1_data_i[31:0], 32'hDEAD_C0DE} ), .master_wr ( {master2_wr[3:0], master1_wr[3:0], master0_wr[3:0]} ), .master_enable ( {master2_enable, master1_enable ,master0_enable} ), .master_data_o ( master_data_o[31:0] ), .master_ready ( {master2_ready, master1_ready, master0_ready} ), .master_error ( {master2_error, master1_error, master0_error} ), .slave_data_i ( ms_data_io[31:0] ), .slave_ready ( ms_ready ), .slave_error ( ms_error ), .slave_address ( ms_address[31:0] ), .slave_data_o ( ms_data_oi[31:0] ), .slave_wr ( ms_wr[3:0] ), .slave_enable ( ms_enable ) ); mux_switch #( .nslaves (3), // Slaves // To generate the mask (easy way): (32'hFFFF_FFFF << N-bits). // TODO: find a way to get "N-bits" (non-magical way). // UART GPIO Internal Memory // 3-bits 5-bits (MEM_ADDR_WIDTH)-bits .MATCH_ADDR ({32'h1100_0000, 32'h1000_0000, 32'h0000_0000}), // Adjust the mask to avoid address aliasing. .MATCH_MASK ({32'hFFFF_FFF8, 32'hFFFF_FFE0, 32'hFFFF_0000}) // Adjust the mask to avoid address aliasing. ) mux_switch0( .clk ( clk_bus ), .master_address ( ms_address[31:0] ), .master_data_i ( ms_data_oi[31:0] ), .master_wr ( ms_wr[3:0] ), .master_enable ( ms_enable ), .master_data_o ( ms_data_io[31:0] ), .master_ready ( ms_ready ), .master_error ( ms_error ), .slave_data_i ( {slave2_data_i[31:0], slave1_data_i[31:0], slave0_data_i[31:0]} ), .slave_ready ( {slave2_ready, slave1_ready, slave0_ready} ), .slave_address ( slave_address[31:0] ), .slave_data_o ( slave_data_o[31:0] ), .slave_wr ( slave_wr[3:0] ), .slave_enable ( {slave2_enable, slave1_enable, slave0_enable} ) ); //-------------------------------------------------------------------------- // Internal memory //-------------------------------------------------------------------------- memory #( .addr_size( MEM_ADDR_WIDTH ) // Memory size ) memory0( .clk ( clk_bus ), .rst ( rst_module ), .a_addr ( slave_address[2 +: MEM_ADDR_WIDTH] ), // MEM_ADDR_WIDTH bits address. .a_din ( slave_data_o[31:0] ), .a_wr ( slave_wr[3:0] ), .a_enable ( slave0_enable ), .a_dout ( slave0_data_i[31:0] ), .a_ready ( slave0_ready ), .b_addr ( ), // DO NOT CONNECT .b_din ( ), // DO NOT CONNECT .b_wr ( ), // DO NOT CONNECT .b_enable ( ), // DO NOT CONNECT .b_dout ( ), // DO NOT CONNECT .b_ready ( ) // DO NOT CONNECT ); //-------------------------------------------------------------------------- // I/O //-------------------------------------------------------------------------- gpio gpio0(/*autoinst*/ .gpio_inout ( gpio_a_inout[31:0] ), .gpio_data_o ( slave1_data_i[31:0] ), .gpio_ready ( slave1_ready ), .gpio_interrupt ( gpio_interrupt[3:0] ), .clk ( clk_bus ), .rst ( rst_module ), .gpio_address ( slave_address[4:0] ), .gpio_data_i ( slave_data_o[31:0] ), .gpio_wr ( slave_wr[3:0] ), .gpio_enable ( slave1_enable ) ); uart_bootloader #( .SIM_MODE ( SIM_MODE ), // Simulation Mode .BUS_FREQ ( BUS_FREQ ) // Bus frequency ) uart_bootloader0( .clk ( clk_bus ), .rst ( rst_module ), .uart_address ( slave_address[2:0] ), .uart_data_i ( slave_data_o[7:0] ), .uart_wr ( slave_wr[0] ), .uart_enable ( slave2_enable ), .uart_data_o ( slave2_data_i[31:0] ), .uart_ready ( slave2_ready ), .boot_master_data_i ( master_data_o[31:0] ), .boot_master_ready ( master2_ready ), .boot_master_address ( master2_address[31:0] ), .boot_master_data_o ( master2_data_i[31:0] ), .boot_master_wr ( master2_wr[3:0] ), .boot_master_enable ( master2_enable ), .uart_rx_ready_int ( uart_rx_ready_int ), // unused. .uart_rx_full_int ( ), // unused. .bootloader_reset_core ( bootloader_reset_core ), .uart_rx ( uart_rx ), .uart_tx ( uart_tx ) ); endmodule
/* * Copyright 2020 The SkyWater PDK Authors * * Licensed under the Apache License, Version 2.0 (the "License"); * you may not use this file except in compliance with the License. * You may obtain a copy of the License at * * https://www.apache.org/licenses/LICENSE-2.0 * * Unless required by applicable law or agreed to in writing, software * distributed under the License is distributed on an "AS IS" BASIS, * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. * See the License for the specific language governing permissions and * limitations under the License. * * SPDX-License-Identifier: Apache-2.0 */ `ifndef SKY130_FD_SC_HD__NOR3_FUNCTIONAL_PP_V `define SKY130_FD_SC_HD__NOR3_FUNCTIONAL_PP_V /** * nor3: 3-input NOR. * * Y = !(A | B | C | !D) * * Verilog simulation functional model. */ `timescale 1ns / 1ps `default_nettype none // Import user defined primitives. `include "../../models/udp_pwrgood_pp_pg/sky130_fd_sc_hd__udp_pwrgood_pp_pg.v" `celldefine module sky130_fd_sc_hd__nor3 ( Y , A , B , C , VPWR, VGND, VPB , VNB ); // Module ports output Y ; input A ; input B ; input C ; input VPWR; input VGND; input VPB ; input VNB ; // Local signals wire nor0_out_Y ; wire pwrgood_pp0_out_Y; // Name Output Other arguments nor nor0 (nor0_out_Y , C, A, B ); sky130_fd_sc_hd__udp_pwrgood_pp$PG pwrgood_pp0 (pwrgood_pp0_out_Y, nor0_out_Y, VPWR, VGND); buf buf0 (Y , pwrgood_pp0_out_Y ); endmodule `endcelldefine `default_nettype wire `endif // SKY130_FD_SC_HD__NOR3_FUNCTIONAL_PP_V
`include "../CPU/cpu.v" module cpu_test; reg clk; reg [31:0] addr; wire [31:0] alu_output, data; wire [31:0] pc_4; cpu c(.clk(clk), .alu_output(alu_output), .data(data), .nxt_pc(pc_4)); reg [31:0] regVal; integer i; always #1 clk = ~clk; initial begin $dumpfile("cpu.vcd"); $dumpvars(0, cpu_test); clk = 0; // for(i = 0; i < 223; i = i + 1) begin // #2; $display("pc_4 = %h, data = %h", pc_4, data); // if($isunknown(data)) begin // break; // end //end i = 0; while (i < 233) begin #2 ;//$display("pc_4 = %h, data = %b", pc_4, data); i = i + 1; end //for(i = 0; i < 32; i = i + 1) begin // regVal = c.rf.regs[i]; // #2 $display("pc_4 = %h, data = %h, $s%d = %h", pc_4, data, i,regVal); //end //#2; $display("Final value of PC = %h", pc_4 ); #2; $display("$0 = %h", c.rf.regs[0 ] ); #2; $display("$at = %h", c.rf.regs[1 ] ); #2; $display("$v0 = %h", c.rf.regs[2 ] ); #2; $display("$v1 = %h", c.rf.regs[3 ] ); #2; $display("$a0 = %h", c.rf.regs[4 ] ); #2; $display("$a1 = %h", c.rf.regs[5 ] ); #2; $display("$a2 = %h", c.rf.regs[6 ] ); #2; $display("$a3 = %h", c.rf.regs[7 ] ); #2; $display("$t0 = %h", c.rf.regs[8 ] ); #2; $display("$t1 = %h", c.rf.regs[9 ] ); #2; $display("$t2 = %h", c.rf.regs[10] ); #2; $display("$t3 = %h", c.rf.regs[11] ); #2; $display("$t4 = %h", c.rf.regs[12] ); #2; $display("$t5 = %h", c.rf.regs[13] ); #2; $display("$t6 = %h", c.rf.regs[14] ); #2; $display("$t7 = %h", c.rf.regs[15] ); #2; $display("$s0 = %h", c.rf.regs[16] ); #2; $display("$s1 = %h", c.rf.regs[17] ); #2; $display("$s2 = %h", c.rf.regs[18] ); #2; $display("$s3 = %h", c.rf.regs[19] ); #2; $display("$s4 = %h", c.rf.regs[20] ); #2; $display("$s5 = %h", c.rf.regs[21] ); #2; $display("$s6 = %h", c.rf.regs[22] ); #2; $display("$s7 = %h", c.rf.regs[23] ); #2; $display("$t8 = %h", c.rf.regs[24] ); #2; $display("$t9 = %h", c.rf.regs[25] ); #2; $display("$k0 = %h", c.rf.regs[26] ); #2; $display("$k1 = %h", c.rf.regs[27] ); #2; $display("$gp = %h", c.rf.regs[28] ); #2; $display("$sp = %h", c.rf.regs[29] ); #2; $display("$fp = %h", c.rf.regs[30] ); #2; $display("$ra = %h", c.rf.regs[31] ); $finish; end endmodule
///////////////////////////////////////////////////////////// // Created by: Synopsys DC Ultra(TM) in wire load mode // Version : L-2016.03-SP3 // Date : Sun Mar 12 16:58:06 2017 ///////////////////////////////////////////////////////////// module Approx_adder_W32 ( add_sub, in1, in2, res ); input [31:0] in1; input [31:0] in2; output [32:0] res; input add_sub; wire n7, n8, n9, n10, n11, n12, n13, n14, n15, n16, n17, n18, n19, n20, n21, n22, n23, n24, n25, n26, n27, n28, n29, n30, n31, n32, n33, n34, n35, n36, n37, n38, n39, n40, n41, n42, n43, n44, n45, n46, n47, n48, n49, n50, n51, n52, n53, n54, n55, n56, n57, n58, n59, n60, n61, n62, n63, n64, n65, n66, n67, n68, n69, n70, n71, n72, n73, n74, n75, n76, n77, n78, n79, n80, n81, n82, n83, n84, n85, n86, n87, n88, n89, n90, n91, n92, n93, n94, n95, n96, n97, n98, n99, n100, n101, n102, n103, n104, n105, n106, n107, n108, n109, n110, n111, n112, n113, n114, n115, n116, n117, n118, n119, n120, n121, n122, n123, n124, n125, n126, n127, n128, n129, n130, n131, n132, n133, n134, n135, n136, n137, n138, n139, n140, n141, n142, n143, n144, n145, n146, n147, n148, n149, n150, n151, n152, n153, n154, n155, n156, n157, n158, n159, n160, n161, n162, n163, n164, n165, n166, n167, n168, n169, n170, n171, n172, n173, n174, n175, n176, n177, n178, n179, n180, n181, n182, n183, n184, n185, n186, n187, n188, n189, n190, n191, n192, n193, n194, n195, n196, n197, n198, n199, n200, n201, n202, n203, n204, n205, n206, n207, n208, n209, n210, n211, n212, n213, n214, n215, n216, n217, n218, n219, n220, n221, n222, n223, n224, n225, n226, n227, n228, n229, n230, n231, n232, n233, n234, n235, n236, n237, n238, n239, n240, n241, n242, n243, n244, n245, n246, n247, n248, n249, n250, n251, n252, n253, n254, n255, n256, n257, n258, n259, n260, n261, n262, n263, n264, n265, n266, n267, n268, n269, n270, n271, n272, n273, n274, n275, n276, n277, n278, n279, n280, n281, n282, n283, n284, n285, n286, n287, n288, n289, n290, n291, n292, n293, n294, n295, n296, n297, n298, n299, n300, n301, n302, n303, n304, n305, n306, n307, n308, n309, n310, n311, n312, n313, n314, n315, n316, n317, n318, n319, n320, n321, n322, n323, n324, n325, n326, n327, n328, n329, n330, n331, n332, n333, n334, n335, n336, n337, n338, n339, n340, n341, n342, n343, n344, n345, n346, n347, n348, n349, n350, n351, n352, n353, n354, n355, n356, n357, n358, n359, n360; NAND2X1TS U41 ( .A(n228), .B(n227), .Y(n229) ); NAND2X1TS U42 ( .A(n240), .B(n239), .Y(n241) ); NOR2X1TS U43 ( .A(n53), .B(n51), .Y(n320) ); NAND2XLTS U44 ( .A(n73), .B(n299), .Y(n300) ); NAND2X1TS U45 ( .A(n64), .B(n216), .Y(n211) ); NAND2XLTS U46 ( .A(n67), .B(n292), .Y(n293) ); NAND2XLTS U47 ( .A(n220), .B(n219), .Y(n221) ); NAND2X1TS U48 ( .A(n256), .B(n255), .Y(n257) ); NAND2X1TS U49 ( .A(n263), .B(n262), .Y(n264) ); NAND2X1TS U50 ( .A(n270), .B(n269), .Y(n271) ); NAND2XLTS U51 ( .A(n285), .B(n284), .Y(n287) ); NAND2XLTS U52 ( .A(n274), .B(n273), .Y(n275) ); NAND2XLTS U53 ( .A(n280), .B(n279), .Y(n281) ); NAND2X1TS U54 ( .A(n66), .B(n235), .Y(n236) ); CLKMX2X2TS U55 ( .A(in2[31]), .B(n209), .S0(add_sub), .Y(n210) ); INVX2TS U56 ( .A(n21), .Y(n327) ); NAND2X6TS U57 ( .A(n72), .B(n220), .Y(n206) ); NOR2X1TS U58 ( .A(n207), .B(in2[30]), .Y(n208) ); OR2X6TS U59 ( .A(n203), .B(in1[30]), .Y(n72) ); INVX2TS U60 ( .A(n235), .Y(n193) ); NOR2X2TS U61 ( .A(n283), .B(n278), .Y(n152) ); NAND2X2TS U62 ( .A(n231), .B(n66), .Y(n225) ); MX2X2TS U63 ( .A(in2[29]), .B(n201), .S0(add_sub), .Y(n202) ); MX2X2TS U64 ( .A(in2[28]), .B(n190), .S0(add_sub), .Y(n194) ); NAND2X2TS U65 ( .A(n18), .B(in1[26]), .Y(n239) ); NAND2X2TS U66 ( .A(n170), .B(in1[22]), .Y(n269) ); NAND2X2TS U67 ( .A(n150), .B(in1[20]), .Y(n279) ); OR2X4TS U68 ( .A(n192), .B(in1[27]), .Y(n66) ); NOR2X2TS U69 ( .A(n169), .B(in1[21]), .Y(n266) ); NAND2X2TS U70 ( .A(n171), .B(in1[23]), .Y(n262) ); NOR2X4TS U71 ( .A(n243), .B(n238), .Y(n231) ); NAND2X1TS U72 ( .A(n131), .B(in1[16]), .Y(n295) ); MX2X2TS U73 ( .A(in2[23]), .B(n154), .S0(n182), .Y(n171) ); OR2X4TS U74 ( .A(n138), .B(in1[18]), .Y(n70) ); OR2X4TS U75 ( .A(n137), .B(in1[17]), .Y(n67) ); NAND2X2TS U76 ( .A(n138), .B(in1[18]), .Y(n289) ); XNOR2X1TS U77 ( .A(n143), .B(in2[19]), .Y(n144) ); XOR2X2TS U78 ( .A(n161), .B(in2[22]), .Y(n162) ); NAND2X4TS U79 ( .A(n302), .B(n303), .Y(n37) ); OR2X2TS U80 ( .A(n188), .B(in2[27]), .Y(n197) ); NOR2X2TS U81 ( .A(n164), .B(in2[20]), .Y(n165) ); NOR2X2TS U82 ( .A(n142), .B(in2[18]), .Y(n143) ); CLKMX2X4TS U83 ( .A(in2[15]), .B(n124), .S0(n166), .Y(n125) ); NAND2X1TS U84 ( .A(n185), .B(n184), .Y(n188) ); NOR2X6TS U85 ( .A(n120), .B(in1[14]), .Y(n302) ); NOR2X4TS U86 ( .A(n198), .B(in2[24]), .Y(n177) ); NAND2X2TS U87 ( .A(n115), .B(in1[12]), .Y(n313) ); INVX2TS U88 ( .A(in2[26]), .Y(n184) ); NOR2X2TS U89 ( .A(n134), .B(in2[16]), .Y(n135) ); NOR2X2TS U90 ( .A(in2[25]), .B(in2[24]), .Y(n185) ); INVX2TS U91 ( .A(n157), .Y(n134) ); BUFX16TS U92 ( .A(n128), .Y(n157) ); OR2X6TS U93 ( .A(in1[9]), .B(n100), .Y(n49) ); NAND2X2TS U94 ( .A(n65), .B(n114), .Y(n111) ); OR2X4TS U95 ( .A(n98), .B(in1[8]), .Y(n68) ); OR2X2TS U96 ( .A(in2[21]), .B(in2[20]), .Y(n160) ); NAND2X6TS U97 ( .A(n100), .B(in1[9]), .Y(n326) ); NOR2X1TS U98 ( .A(in2[19]), .B(in2[18]), .Y(n145) ); NOR2X2TS U99 ( .A(in2[17]), .B(in2[16]), .Y(n146) ); NOR2X4TS U100 ( .A(n110), .B(n10), .Y(n106) ); NOR2X2TS U101 ( .A(in2[13]), .B(in2[12]), .Y(n122) ); AND2X6TS U102 ( .A(n45), .B(n44), .Y(n344) ); CLKINVX2TS U103 ( .A(n348), .Y(n79) ); INVX2TS U104 ( .A(in2[8]), .Y(n102) ); OR2X2TS U105 ( .A(in2[10]), .B(n9), .Y(n10) ); AND2X6TS U106 ( .A(n41), .B(n19), .Y(n11) ); INVX3TS U107 ( .A(n45), .Y(n43) ); CLKINVX6TS U108 ( .A(n9), .Y(n19) ); INVX2TS U109 ( .A(add_sub), .Y(n75) ); INVX12TS U110 ( .A(in2[4]), .Y(n23) ); NOR2X4TS U111 ( .A(in2[2]), .B(in2[1]), .Y(n87) ); AOI21X2TS U112 ( .A0(n88), .A1(n87), .B0(n75), .Y(n89) ); CLKINVX6TS U113 ( .A(in2[10]), .Y(n40) ); OAI21X2TS U114 ( .A0(n284), .A1(n278), .B0(n279), .Y(n151) ); INVX12TS U115 ( .A(in2[1]), .Y(n33) ); NAND2X6TS U116 ( .A(n69), .B(n29), .Y(n28) ); MXI2X2TS U117 ( .A(n130), .B(n129), .S0(n182), .Y(n131) ); NOR2X4TS U118 ( .A(n150), .B(in1[20]), .Y(n278) ); NOR2X4TS U119 ( .A(n171), .B(in1[23]), .Y(n261) ); NOR2X2TS U120 ( .A(n307), .B(n312), .Y(n118) ); INVX6TS U121 ( .A(n166), .Y(n85) ); OAI21XLTS U122 ( .A0(n327), .A1(n325), .B0(n326), .Y(n322) ); NAND2X1TS U123 ( .A(n70), .B(n289), .Y(n290) ); AND2X4TS U124 ( .A(n37), .B(n73), .Y(n7) ); NAND2X2TS U125 ( .A(n98), .B(in1[8]), .Y(n329) ); NAND2X2TS U126 ( .A(n104), .B(in1[10]), .Y(n323) ); NAND2X8TS U127 ( .A(n301), .B(n303), .Y(n38) ); NAND2X4TS U128 ( .A(n194), .B(in1[28]), .Y(n227) ); OR2X4TS U129 ( .A(n131), .B(in1[16]), .Y(n71) ); INVX4TS U130 ( .A(n11), .Y(n105) ); INVX2TS U131 ( .A(in1[5]), .Y(n44) ); BUFX12TS U132 ( .A(add_sub), .Y(n182) ); INVX2TS U133 ( .A(in2[7]), .Y(n82) ); INVX2TS U134 ( .A(in2[18]), .Y(n133) ); NAND2X4TS U135 ( .A(n39), .B(n233), .Y(n237) ); INVX2TS U136 ( .A(n268), .Y(n270) ); INVX2TS U137 ( .A(n261), .Y(n263) ); NAND2X4TS U138 ( .A(n191), .B(in1[25]), .Y(n244) ); NAND2X4TS U139 ( .A(n149), .B(in1[19]), .Y(n284) ); NOR2X4TS U140 ( .A(n191), .B(in1[25]), .Y(n243) ); NOR2X4TS U141 ( .A(n149), .B(in1[19]), .Y(n283) ); INVX4TS U142 ( .A(n289), .Y(n139) ); NAND2X4TS U143 ( .A(n116), .B(in1[13]), .Y(n308) ); NAND2X4TS U144 ( .A(n43), .B(in1[5]), .Y(n345) ); NOR4X2TS U145 ( .A(n155), .B(n160), .C(in2[23]), .D(in2[22]), .Y(n156) ); NAND2X2TS U146 ( .A(n146), .B(n145), .Y(n155) ); NOR2X4TS U147 ( .A(n57), .B(n54), .Y(n218) ); INVX6TS U148 ( .A(n249), .Y(n276) ); XOR2X1TS U149 ( .A(n291), .B(n290), .Y(res[18]) ); NAND2X4TS U150 ( .A(n56), .B(n196), .Y(n55) ); INVX4TS U151 ( .A(n196), .Y(n8) ); NAND2X2TS U152 ( .A(n210), .B(in1[31]), .Y(n216) ); OAI21X1TS U153 ( .A0(n316), .A1(n312), .B0(n313), .Y(n311) ); XOR2X1TS U154 ( .A(n321), .B(n320), .Y(res[11]) ); XOR2X1TS U155 ( .A(n316), .B(n315), .Y(res[12]) ); INVX4TS U156 ( .A(n292), .Y(n288) ); XOR2X1TS U157 ( .A(n328), .B(n327), .Y(res[9]) ); NAND2X4TS U158 ( .A(n169), .B(in1[21]), .Y(n273) ); MX2X4TS U159 ( .A(in2[19]), .B(n144), .S0(n182), .Y(n149) ); XOR2X2TS U160 ( .A(n165), .B(in2[21]), .Y(n168) ); XNOR2X2TS U161 ( .A(n135), .B(in2[17]), .Y(n136) ); OR2X6TS U162 ( .A(n125), .B(in1[15]), .Y(n73) ); NAND2X4TS U163 ( .A(n125), .B(in1[15]), .Y(n299) ); INVX4TS U164 ( .A(n317), .Y(n319) ); NAND2X6TS U165 ( .A(n120), .B(in1[14]), .Y(n303) ); INVX6TS U166 ( .A(n326), .Y(n29) ); XOR2XLTS U167 ( .A(n343), .B(n342), .Y(res[6]) ); XOR2X1TS U168 ( .A(n339), .B(n338), .Y(res[7]) ); NOR2X6TS U169 ( .A(n108), .B(in1[11]), .Y(n317) ); OAI21XLTS U170 ( .A0(n354), .A1(n75), .B0(n353), .Y(res[3]) ); OAI21XLTS U171 ( .A0(n357), .A1(n85), .B0(n356), .Y(res[4]) ); OAI21XLTS U172 ( .A0(n350), .A1(n75), .B0(n349), .Y(res[2]) ); OAI21XLTS U173 ( .A0(n360), .A1(n85), .B0(n359), .Y(res[1]) ); OR2X1TS U174 ( .A(in2[0]), .B(in1[0]), .Y(res[0]) ); AND2X2TS U175 ( .A(in2[4]), .B(add_sub), .Y(n17) ); OA21X2TS U176 ( .A0(add_sub), .A1(in2[4]), .B0(in1[4]), .Y(n77) ); INVX2TS U177 ( .A(n232), .Y(n233) ); NOR2X8TS U178 ( .A(n116), .B(in1[13]), .Y(n307) ); NAND3X6TS U179 ( .A(n77), .B(n76), .C(n46), .Y(n45) ); INVX4TS U180 ( .A(n212), .Y(n220) ); AND2X6TS U181 ( .A(n358), .B(n33), .Y(n12) ); OAI21X2TS U182 ( .A0(n262), .A1(n254), .B0(n255), .Y(n173) ); NOR2X4TS U183 ( .A(n172), .B(in1[24]), .Y(n254) ); XNOR2X2TS U184 ( .A(n215), .B(n214), .Y(res[30]) ); NAND3X8TS U185 ( .A(n30), .B(n27), .C(n318), .Y(n306) ); NAND2X8TS U186 ( .A(n52), .B(n31), .Y(n30) ); MXI2X8TS U187 ( .A(n184), .B(n183), .S0(n182), .Y(n18) ); XNOR2X1TS U188 ( .A(n142), .B(in2[18]), .Y(n132) ); NAND2X4TS U189 ( .A(n157), .B(n146), .Y(n142) ); XOR2X2TS U190 ( .A(n65), .B(in2[12]), .Y(n113) ); NOR2X4TS U191 ( .A(n115), .B(in1[12]), .Y(n312) ); NOR2X4TS U192 ( .A(n202), .B(in1[29]), .Y(n212) ); XNOR2X2TS U193 ( .A(n200), .B(in2[29]), .Y(n201) ); NOR3X6TS U194 ( .A(n198), .B(in2[28]), .C(n197), .Y(n200) ); XNOR2X1TS U195 ( .A(n222), .B(n221), .Y(res[29]) ); NAND2X4TS U196 ( .A(n137), .B(in1[17]), .Y(n292) ); XOR2X4TS U197 ( .A(n207), .B(in2[30]), .Y(n199) ); NAND2X6TS U198 ( .A(n24), .B(n23), .Y(n95) ); NOR2X4TS U199 ( .A(n32), .B(n317), .Y(n31) ); NAND2X6TS U200 ( .A(n61), .B(n59), .Y(n217) ); AO21X2TS U201 ( .A0(n72), .A1(n205), .B0(n204), .Y(n14) ); AND3X8TS U202 ( .A(n36), .B(n295), .C(n35), .Y(n13) ); NAND2X8TS U203 ( .A(n53), .B(n319), .Y(n27) ); MXI2X4TS U204 ( .A(n40), .B(n103), .S0(n182), .Y(n104) ); NAND2X8TS U205 ( .A(n223), .B(n62), .Y(n61) ); OR2X4TS U206 ( .A(n210), .B(in1[31]), .Y(n64) ); NAND2BX4TS U207 ( .AN(in2[29]), .B(n200), .Y(n207) ); XNOR2X2TS U208 ( .A(n217), .B(n211), .Y(res[31]) ); OAI21X4TS U209 ( .A0(n307), .A1(n313), .B0(n308), .Y(n117) ); MXI2X4TS U210 ( .A(n114), .B(n113), .S0(n166), .Y(n115) ); NOR2X4TS U211 ( .A(n206), .B(n8), .Y(n62) ); AOI21X4TS U212 ( .A0(n306), .A1(n118), .B0(n117), .Y(n301) ); NAND2X6TS U213 ( .A(n49), .B(n69), .Y(n32) ); NAND2X2TS U214 ( .A(n71), .B(n126), .Y(n35) ); NAND2X4TS U215 ( .A(n70), .B(n67), .Y(n141) ); NAND2X4TS U216 ( .A(n174), .B(n260), .Y(n176) ); NAND2X2TS U217 ( .A(n85), .B(in2[7]), .Y(n84) ); MXI2X4TS U218 ( .A(n101), .B(n99), .S0(n166), .Y(n100) ); INVX2TS U219 ( .A(in2[9]), .Y(n101) ); MX2X4TS U220 ( .A(in2[13]), .B(n112), .S0(n182), .Y(n116) ); INVX2TS U221 ( .A(in2[16]), .Y(n130) ); MX2X4TS U222 ( .A(in2[17]), .B(n136), .S0(n182), .Y(n137) ); INVX2TS U223 ( .A(n332), .Y(n341) ); INVX2TS U224 ( .A(n333), .Y(n334) ); NAND2X4TS U225 ( .A(n92), .B(in1[7]), .Y(n336) ); INVX2TS U226 ( .A(n69), .Y(n48) ); CLKBUFX2TS U227 ( .A(n52), .Y(n21) ); INVX2TS U228 ( .A(n306), .Y(n316) ); NOR2X4TS U229 ( .A(n170), .B(in1[22]), .Y(n268) ); NAND2X6TS U230 ( .A(n202), .B(in1[29]), .Y(n219) ); INVX2TS U231 ( .A(n12), .Y(n47) ); CLKINVX6TS U232 ( .A(in2[5]), .Y(n24) ); NOR2X4TS U233 ( .A(in2[5]), .B(in2[6]), .Y(n80) ); INVX2TS U234 ( .A(in2[12]), .Y(n114) ); NAND2BX2TS U235 ( .AN(n109), .B(n19), .Y(n26) ); NOR2X4TS U236 ( .A(n261), .B(n254), .Y(n174) ); NOR2X4TS U237 ( .A(in2[3]), .B(in2[4]), .Y(n81) ); XNOR2X1TS U238 ( .A(n198), .B(in2[24]), .Y(n158) ); INVX2TS U239 ( .A(n176), .Y(n56) ); NOR2X4TS U240 ( .A(n225), .B(n226), .Y(n196) ); INVX2TS U241 ( .A(n195), .Y(n58) ); INVX2TS U242 ( .A(n219), .Y(n205) ); INVX2TS U243 ( .A(n213), .Y(n204) ); INVX2TS U244 ( .A(n266), .Y(n274) ); INVX2TS U245 ( .A(n273), .Y(n267) ); NOR2X4TS U246 ( .A(n268), .B(n266), .Y(n260) ); OAI21X1TS U247 ( .A0(n251), .A1(n261), .B0(n262), .Y(n252) ); NOR2X1TS U248 ( .A(n250), .B(n261), .Y(n253) ); INVX2TS U249 ( .A(n260), .Y(n250) ); NAND2X2TS U250 ( .A(n172), .B(in1[24]), .Y(n255) ); CLKBUFX2TS U251 ( .A(n248), .Y(n249) ); NAND2X2TS U252 ( .A(n192), .B(in1[27]), .Y(n235) ); INVX2TS U253 ( .A(n231), .Y(n234) ); AOI21X1TS U254 ( .A0(n195), .A1(n60), .B0(n14), .Y(n59) ); INVX2TS U255 ( .A(n206), .Y(n60) ); NAND2X1TS U256 ( .A(n346), .B(n345), .Y(n347) ); NAND2X1TS U257 ( .A(n341), .B(n333), .Y(n342) ); INVX2TS U258 ( .A(n340), .Y(n343) ); NAND2X1TS U259 ( .A(n337), .B(n336), .Y(n338) ); INVX2TS U260 ( .A(n335), .Y(n337) ); NAND2X1TS U261 ( .A(n68), .B(n329), .Y(n330) ); NAND2X1TS U262 ( .A(n49), .B(n326), .Y(n328) ); NAND2X1TS U263 ( .A(n69), .B(n323), .Y(n324) ); NAND2X1TS U264 ( .A(n319), .B(n318), .Y(n321) ); NOR3X1TS U265 ( .A(n327), .B(n325), .C(n48), .Y(n51) ); NAND2X1TS U266 ( .A(n314), .B(n313), .Y(n315) ); INVX2TS U267 ( .A(n312), .Y(n314) ); NAND2X1TS U268 ( .A(n309), .B(n308), .Y(n310) ); INVX2TS U269 ( .A(n307), .Y(n309) ); NAND2X1TS U270 ( .A(n304), .B(n303), .Y(n305) ); INVX2TS U271 ( .A(n302), .Y(n304) ); NAND2X1TS U272 ( .A(n34), .B(n299), .Y(n297) ); NAND2X1TS U273 ( .A(n71), .B(n295), .Y(n296) ); NAND2X1TS U274 ( .A(n38), .B(n7), .Y(n34) ); XOR2X1TS U275 ( .A(n287), .B(n286), .Y(res[19]) ); INVX2TS U276 ( .A(n283), .Y(n285) ); XNOR2X1TS U277 ( .A(n282), .B(n281), .Y(res[20]) ); OAI21X2TS U278 ( .A0(n286), .A1(n283), .B0(n284), .Y(n282) ); XNOR2X1TS U279 ( .A(n276), .B(n275), .Y(res[21]) ); XOR2X1TS U280 ( .A(n247), .B(n246), .Y(res[25]) ); NAND2X1TS U281 ( .A(n245), .B(n244), .Y(n246) ); INVX2TS U282 ( .A(n243), .Y(n245) ); INVX2TS U283 ( .A(n238), .Y(n240) ); INVX2TS U284 ( .A(n226), .Y(n228) ); NAND2X1TS U285 ( .A(n72), .B(n213), .Y(n214) ); NAND2X2TS U286 ( .A(n63), .B(n216), .Y(res[32]) ); NAND2X4TS U287 ( .A(n217), .B(n64), .Y(n63) ); NAND2BX2TS U288 ( .AN(in2[11]), .B(n40), .Y(n109) ); CLKINVX6TS U289 ( .A(n198), .Y(n180) ); NAND2X8TS U290 ( .A(n157), .B(n156), .Y(n198) ); CLKINVX1TS U291 ( .A(n13), .Y(n294) ); CLKINVX1TS U292 ( .A(n277), .Y(n286) ); XNOR2X1TS U293 ( .A(n294), .B(n293), .Y(res[17]) ); AOI21X1TS U294 ( .A0(n294), .A1(n67), .B0(n288), .Y(n291) ); NAND2X2TS U295 ( .A(n108), .B(in1[11]), .Y(n318) ); XOR2X2TS U296 ( .A(n110), .B(n102), .Y(n97) ); NOR2X4TS U297 ( .A(n110), .B(in2[8]), .Y(n22) ); AND2X8TS U298 ( .A(n358), .B(n33), .Y(n20) ); OR2X4TS U299 ( .A(in2[8]), .B(in2[9]), .Y(n9) ); CLKINVX12TS U300 ( .A(n95), .Y(n42) ); NOR2X8TS U301 ( .A(n92), .B(in1[7]), .Y(n335) ); OA21X4TS U302 ( .A0(n335), .A1(n333), .B0(n336), .Y(n16) ); INVX16TS U303 ( .A(in2[0]), .Y(n358) ); NAND2X4TS U304 ( .A(n91), .B(in1[6]), .Y(n333) ); BUFX12TS U305 ( .A(add_sub), .Y(n166) ); NOR2X4TS U306 ( .A(n335), .B(n332), .Y(n90) ); INVX8TS U307 ( .A(n15), .Y(n223) ); OR2X4TS U308 ( .A(n234), .B(n15), .Y(n39) ); OA21X4TS U309 ( .A0(n248), .A1(n176), .B0(n175), .Y(n15) ); OAI21XLTS U310 ( .A0(n301), .A1(n302), .B0(n303), .Y(n298) ); INVX2TS U311 ( .A(n299), .Y(n126) ); INVX2TS U312 ( .A(n49), .Y(n325) ); OAI21X4TS U313 ( .A0(n238), .A1(n244), .B0(n239), .Y(n232) ); XOR2X4TS U314 ( .A(n22), .B(in2[9]), .Y(n99) ); NOR2X8TS U315 ( .A(n25), .B(n355), .Y(n41) ); NAND2X8TS U316 ( .A(n42), .B(n96), .Y(n25) ); NOR2X8TS U317 ( .A(n110), .B(n26), .Y(n65) ); OAI21X4TS U318 ( .A0(n268), .A1(n273), .B0(n269), .Y(n259) ); NAND2X8TS U319 ( .A(n323), .B(n28), .Y(n53) ); NAND3X8TS U320 ( .A(n71), .B(n38), .C(n7), .Y(n36) ); INVX12TS U321 ( .A(n223), .Y(n247) ); INVX12TS U322 ( .A(n41), .Y(n110) ); XOR2X4TS U323 ( .A(n105), .B(n40), .Y(n103) ); NAND2X8TS U324 ( .A(n20), .B(n94), .Y(n355) ); XOR2X4TS U325 ( .A(n111), .B(in2[13]), .Y(n112) ); MXI2X4TS U326 ( .A(n121), .B(n119), .S0(n166), .Y(n120) ); XNOR2X4TS U327 ( .A(n181), .B(in2[26]), .Y(n183) ); OAI21X4TS U328 ( .A0(n218), .A1(n212), .B0(n219), .Y(n215) ); NAND2BX4TS U329 ( .AN(n155), .B(n157), .Y(n164) ); MXI2X4TS U330 ( .A(n148), .B(n147), .S0(n166), .Y(n150) ); OAI21X4TS U331 ( .A0(n79), .A1(n344), .B0(n345), .Y(n340) ); OAI21X4TS U332 ( .A0(n78), .A1(n47), .B0(n17), .Y(n46) ); NAND2X8TS U333 ( .A(n50), .B(n329), .Y(n52) ); NAND2X8TS U334 ( .A(n331), .B(n68), .Y(n50) ); NOR2X4TS U335 ( .A(n248), .B(n55), .Y(n54) ); OAI21X4TS U336 ( .A0(n175), .A1(n8), .B0(n58), .Y(n57) ); XOR2X2TS U337 ( .A(n265), .B(n264), .Y(res[23]) ); XOR2X2TS U338 ( .A(n258), .B(n257), .Y(res[24]) ); XOR2X2TS U339 ( .A(n272), .B(n271), .Y(res[22]) ); XNOR2X1TS U340 ( .A(n331), .B(n330), .Y(res[8]) ); XNOR2X1TS U341 ( .A(n297), .B(n296), .Y(res[16]) ); NOR2X8TS U342 ( .A(in2[3]), .B(in2[2]), .Y(n94) ); XOR2X4TS U343 ( .A(n74), .B(in2[5]), .Y(n348) ); NAND2X6TS U344 ( .A(n340), .B(n90), .Y(n93) ); AOI21X1TS U345 ( .A0(n341), .A1(n340), .B0(n334), .Y(n339) ); OR2X8TS U346 ( .A(n104), .B(in1[10]), .Y(n69) ); NOR2X4TS U347 ( .A(in2[7]), .B(in2[6]), .Y(n96) ); NOR2X2TS U348 ( .A(n164), .B(n160), .Y(n161) ); NOR2X4TS U349 ( .A(n91), .B(in1[6]), .Y(n332) ); MX2X4TS U350 ( .A(in2[11]), .B(n107), .S0(n182), .Y(n108) ); INVX2TS U351 ( .A(n259), .Y(n251) ); INVX2TS U352 ( .A(n344), .Y(n346) ); INVX2TS U353 ( .A(in2[2]), .Y(n351) ); AOI31X2TS U354 ( .A0(n12), .A1(n81), .A2(n351), .B0(n75), .Y(n74) ); INVX2TS U355 ( .A(n94), .Y(n78) ); NAND3X2TS U356 ( .A(n94), .B(n12), .C(n23), .Y(n76) ); NAND4X2TS U357 ( .A(n81), .B(n87), .C(n358), .D(n80), .Y(n83) ); XOR2X4TS U358 ( .A(n83), .B(n82), .Y(n86) ); OAI21X4TS U359 ( .A0(n86), .A1(n85), .B0(n84), .Y(n92) ); NOR3X4TS U360 ( .A(n95), .B(in2[3]), .C(in2[0]), .Y(n88) ); XOR2X4TS U361 ( .A(n89), .B(in2[6]), .Y(n91) ); NAND2X8TS U362 ( .A(n93), .B(n16), .Y(n331) ); MXI2X4TS U363 ( .A(n102), .B(n97), .S0(n166), .Y(n98) ); XNOR2X4TS U364 ( .A(n106), .B(in2[11]), .Y(n107) ); INVX2TS U365 ( .A(in2[14]), .Y(n121) ); NAND2X8TS U366 ( .A(n65), .B(n122), .Y(n127) ); XNOR2X1TS U367 ( .A(in2[14]), .B(n127), .Y(n119) ); NAND3X1TS U368 ( .A(n65), .B(n122), .C(n121), .Y(n123) ); XOR2X1TS U369 ( .A(n123), .B(in2[15]), .Y(n124) ); NOR3X8TS U370 ( .A(n127), .B(in2[15]), .C(in2[14]), .Y(n128) ); XOR2X4TS U371 ( .A(n157), .B(in2[16]), .Y(n129) ); MXI2X4TS U372 ( .A(n133), .B(n132), .S0(n182), .Y(n138) ); AOI21X4TS U373 ( .A0(n288), .A1(n70), .B0(n139), .Y(n140) ); OAI21X4TS U374 ( .A0(n13), .A1(n141), .B0(n140), .Y(n277) ); INVX2TS U375 ( .A(in2[20]), .Y(n148) ); XNOR2X1TS U376 ( .A(n164), .B(in2[20]), .Y(n147) ); AOI21X4TS U377 ( .A0(n277), .A1(n152), .B0(n151), .Y(n248) ); NOR3X4TS U378 ( .A(n164), .B(in2[22]), .C(n160), .Y(n153) ); XNOR2X2TS U379 ( .A(n153), .B(in2[23]), .Y(n154) ); INVX2TS U380 ( .A(in2[24]), .Y(n159) ); MXI2X2TS U381 ( .A(n159), .B(n158), .S0(n166), .Y(n172) ); INVX2TS U382 ( .A(in2[22]), .Y(n163) ); MXI2X4TS U383 ( .A(n163), .B(n162), .S0(n182), .Y(n170) ); INVX2TS U384 ( .A(in2[21]), .Y(n167) ); MXI2X4TS U385 ( .A(n168), .B(n167), .S0(n85), .Y(n169) ); AOI21X4TS U386 ( .A0(n259), .A1(n174), .B0(n173), .Y(n175) ); XOR2X4TS U387 ( .A(n177), .B(in2[25]), .Y(n179) ); INVX2TS U388 ( .A(in2[25]), .Y(n178) ); MXI2X4TS U389 ( .A(n179), .B(n178), .S0(n85), .Y(n191) ); NAND2X4TS U390 ( .A(n180), .B(n185), .Y(n181) ); NOR2X8TS U391 ( .A(n18), .B(in1[26]), .Y(n238) ); NOR2X4TS U392 ( .A(n198), .B(n188), .Y(n186) ); XNOR2X4TS U393 ( .A(n186), .B(in2[27]), .Y(n187) ); MX2X4TS U394 ( .A(in2[27]), .B(n187), .S0(add_sub), .Y(n192) ); NOR2X1TS U395 ( .A(n198), .B(n197), .Y(n189) ); XNOR2X1TS U396 ( .A(n189), .B(in2[28]), .Y(n190) ); NOR2X8TS U397 ( .A(n194), .B(in1[28]), .Y(n226) ); AOI21X4TS U398 ( .A0(n66), .A1(n232), .B0(n193), .Y(n224) ); OAI21X4TS U399 ( .A0(n224), .A1(n226), .B0(n227), .Y(n195) ); MX2X4TS U400 ( .A(in2[30]), .B(n199), .S0(add_sub), .Y(n203) ); NAND2X4TS U401 ( .A(n203), .B(in1[30]), .Y(n213) ); XNOR2X1TS U402 ( .A(n208), .B(in2[31]), .Y(n209) ); INVX2TS U403 ( .A(n218), .Y(n222) ); OAI21X4TS U404 ( .A0(n247), .A1(n225), .B0(n224), .Y(n230) ); XNOR2X4TS U405 ( .A(n230), .B(n229), .Y(res[28]) ); XNOR2X2TS U406 ( .A(n237), .B(n236), .Y(res[27]) ); OAI21X4TS U407 ( .A0(n247), .A1(n243), .B0(n244), .Y(n242) ); XNOR2X4TS U408 ( .A(n242), .B(n241), .Y(res[26]) ); AOI21X4TS U409 ( .A0(n276), .A1(n253), .B0(n252), .Y(n258) ); INVX2TS U410 ( .A(n254), .Y(n256) ); AOI21X4TS U411 ( .A0(n276), .A1(n260), .B0(n259), .Y(n265) ); AOI21X4TS U412 ( .A0(n276), .A1(n274), .B0(n267), .Y(n272) ); INVX2TS U413 ( .A(n278), .Y(n280) ); XNOR2X1TS U414 ( .A(n298), .B(n300), .Y(res[15]) ); XOR2XLTS U415 ( .A(n301), .B(n305), .Y(res[14]) ); XNOR2X1TS U416 ( .A(n311), .B(n310), .Y(res[13]) ); XNOR2X1TS U417 ( .A(n322), .B(n324), .Y(res[10]) ); XNOR2X1TS U418 ( .A(n348), .B(n347), .Y(res[5]) ); XNOR2X1TS U419 ( .A(n12), .B(n351), .Y(n350) ); AOI21X1TS U420 ( .A0(n85), .A1(in2[2]), .B0(in1[2]), .Y(n349) ); NAND2X1TS U421 ( .A(n12), .B(n351), .Y(n352) ); XNOR2X1TS U422 ( .A(n352), .B(in2[3]), .Y(n354) ); AOI21X1TS U423 ( .A0(n85), .A1(in2[3]), .B0(in1[3]), .Y(n353) ); XNOR2X1TS U424 ( .A(in2[4]), .B(n355), .Y(n357) ); AOI21X1TS U425 ( .A0(n85), .A1(in2[4]), .B0(in1[4]), .Y(n356) ); XOR2X1TS U426 ( .A(n358), .B(in2[1]), .Y(n360) ); AOI21X1TS U427 ( .A0(n85), .A1(in2[1]), .B0(in1[1]), .Y(n359) ); initial $sdf_annotate("Approx_adder_add_approx_flow_syn_constraints.tcl_LOALPL5_syn.sdf"); endmodule
/** * Copyright 2020 The SkyWater PDK Authors * * Licensed under the Apache License, Version 2.0 (the "License"); * you may not use this file except in compliance with the License. * You may obtain a copy of the License at * * https://www.apache.org/licenses/LICENSE-2.0 * * Unless required by applicable law or agreed to in writing, software * distributed under the License is distributed on an "AS IS" BASIS, * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. * See the License for the specific language governing permissions and * limitations under the License. * * SPDX-License-Identifier: Apache-2.0 */ `ifndef SKY130_FD_SC_MS__UDP_DFF_PS_PP_PG_N_BLACKBOX_V `define SKY130_FD_SC_MS__UDP_DFF_PS_PP_PG_N_BLACKBOX_V /** * udp_dff$PS_pp$PG$N: Positive edge triggered D flip-flop with active * high * * Verilog stub definition (black box with power pins). * * WARNING: This file is autogenerated, do not modify directly! */ `timescale 1ns / 1ps `default_nettype none (* blackbox *) module sky130_fd_sc_ms__udp_dff$PS_pp$PG$N ( Q , D , CLK , SET , NOTIFIER, VPWR , VGND ); output Q ; input D ; input CLK ; input SET ; input NOTIFIER; input VPWR ; input VGND ; endmodule `default_nettype wire `endif // SKY130_FD_SC_MS__UDP_DFF_PS_PP_PG_N_BLACKBOX_V
/** * Copyright 2020 The SkyWater PDK Authors * * Licensed under the Apache License, Version 2.0 (the "License"); * you may not use this file except in compliance with the License. * You may obtain a copy of the License at * * https://www.apache.org/licenses/LICENSE-2.0 * * Unless required by applicable law or agreed to in writing, software * distributed under the License is distributed on an "AS IS" BASIS, * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. * See the License for the specific language governing permissions and * limitations under the License. * * SPDX-License-Identifier: Apache-2.0 */ `ifndef SKY130_FD_SC_HD__O2111AI_4_V `define SKY130_FD_SC_HD__O2111AI_4_V /** * o2111ai: 2-input OR into first input of 4-input NAND. * * Y = !((A1 | A2) & B1 & C1 & D1) * * Verilog wrapper for o2111ai with size of 4 units. * * WARNING: This file is autogenerated, do not modify directly! */ `timescale 1ns / 1ps `default_nettype none `include "sky130_fd_sc_hd__o2111ai.v" `ifdef USE_POWER_PINS /*********************************************************/ `celldefine module sky130_fd_sc_hd__o2111ai_4 ( Y , A1 , A2 , B1 , C1 , D1 , VPWR, VGND, VPB , VNB ); output Y ; input A1 ; input A2 ; input B1 ; input C1 ; input D1 ; input VPWR; input VGND; input VPB ; input VNB ; sky130_fd_sc_hd__o2111ai base ( .Y(Y), .A1(A1), .A2(A2), .B1(B1), .C1(C1), .D1(D1), .VPWR(VPWR), .VGND(VGND), .VPB(VPB), .VNB(VNB) ); endmodule `endcelldefine /*********************************************************/ `else // If not USE_POWER_PINS /*********************************************************/ `celldefine module sky130_fd_sc_hd__o2111ai_4 ( Y , A1, A2, B1, C1, D1 ); output Y ; input A1; input A2; input B1; input C1; input D1; // Voltage supply signals supply1 VPWR; supply0 VGND; supply1 VPB ; supply0 VNB ; sky130_fd_sc_hd__o2111ai base ( .Y(Y), .A1(A1), .A2(A2), .B1(B1), .C1(C1), .D1(D1) ); endmodule `endcelldefine /*********************************************************/ `endif // USE_POWER_PINS `default_nettype wire `endif // SKY130_FD_SC_HD__O2111AI_4_V
`include "../module/controller.v" // Controller testbench: Supplies test vectors and dumps the results to file and // standard output. Do not modify! module controller_tb; reg ph1, ph2, reset; reg [5:0] opcode; reg zero; wire memread; wire memwrite; wire [3:0] irwrite; wire pcen; wire regwrite; wire [1:0] aluop; wire alusrca; wire [1:0] alusrcb; wire [1:0] pcsource; wire iord; wire memtoreg; wire regdst; wire pcwritecond; wire pcwrite; // instatiate device under test and connect the signals controller U0( // inputs .ph1 (ph1), .ph2 (ph2), .reset (reset), .op5 (opcode[5]), .op4 (opcode[4]), .op3 (opcode[3]), .op2 (opcode[2]), .op1 (opcode[1]), .op0 (opcode[0]), .zero (zero), // ouputs .memread (memread), .memwrite (memwrite), .irwrite3 (irwrite[3]), .irwrite2 (irwrite[2]), .irwrite1 (irwrite[1]), .irwrite0 (irwrite[0]), .pcen (pcen), .regwrite (regwrite), .aluop1 (aluop[1]), .aluop0 (aluop[0]), .alusrca (alusrca), .alusrcb1 (alusrcb[1]), .alusrcb0 (alusrcb[0]), .pcsource1 (pcsource[1]), .pcsource0 (pcsource[0]), .iord (iord), .memtoreg (memtoreg), .regdst (regdst)); // initialization (reset is high) initial begin ph1 <= 0; ph2 <= 0; reset <= 1; zero = 0; end // generate a two-phase non-overlapping clock (period is 8 units) always begin #2 ph1 = 1; #2 ph1 = 0; #4 ph1 = 0; end always begin #6 ph2 = 1; #2 ph2 = 0; end // dump all the signals info file. use with a waveform viewer to see the signals initial begin $dumpfile("controller.vcd"); $dumpvars; end initial begin // bring out of reset and supply the first opcode lb #2 reset = 0; opcode = 6'b100000; $display("%s %s %s %s %s %s %s %s %s %s %s %s", "memread", "alusrca", "iord", "irwrite", "alusrcb", "aluop", "pcen", "pcsource", "regdst", "regwrite", "memtoreg", "memwrite"); $display("opcode = %b", opcode); // sb #72 opcode = 6'b101000; $display("opcode = %b", opcode); // r-type instructions #56 opcode = 6'b000000; $display("opcode = %b", opcode); // beq #56 opcode = 6'b000100; $display("opcode = %b", opcode); #40 zero = 1'b1; // check that zero works in BEQEX // jump #8 opcode = 6'b000010; $display("opcode = %b", opcode); // addi #48 opcode = 6'b001000; $display("opcode = %b", opcode); // terminate simulation #56 $finish; end // print the values of all relevant signals every clock period always #8 $display("%5b %6b %6b %6b %7b %5b %4b %8b %7b %6b %8b %7b", memread, alusrca, iord, irwrite, alusrcb, aluop, pcen, pcsource, regdst, regwrite, memtoreg, memwrite); endmodule
`timescale 1ns/1ns module usb_tx_sie (input c, input c_48, input rst, input [7:0] d, input dv, output done, output oe_n, inout vp, inout vm); wire txf_q, txf_read, txf_empty; usb_tx_fifo usb_tx_fifo_inst (.c(c), .c_48(c_48), .d(d), .dv(dv), // at 125 mhz .q(txf_q), .read(txf_read), .empty(txf_empty)); // at 48 mhz ///////////////////////////////////////////////////////////////////////// // everything below here is in the 48 MHz clock domain wire stuff_q, stuff_q_empty; // bit-stuffed output data and output data-valid wire stuff_q_req; // read request from bit-stuffed data stream usb_tx_stuff usb_tx_stuff_inst (.c(c_48), .d(txf_q), .d_empty(txf_empty), .d_req(txf_read), .q(stuff_q), .q_req(stuff_q_req), .q_empty(stuff_q_empty)); localparam ST_IDLE = 4'd0; localparam ST_OE = 4'd1; localparam ST_DATA_BIT = 4'd2; localparam ST_LAST_BIT = 4'd3; localparam ST_EOP_SE0_0 = 4'd4; localparam ST_EOP_SE0_1 = 4'd5; localparam ST_EOP_J = 4'd6; localparam ST_IPG = 4'd7; // let's do inter-packet gap of 6 bit times localparam ST_DONE = 4'd8; localparam SW=4, CW=5; reg [CW+SW-1:0] ctrl; wire [SW-1:0] state; wire [SW-1:0] next_state = ctrl[SW+CW-1:CW]; r #(SW) state_r (.c(c_48), .rst(rst), .en(1'b1), .d(next_state), .q(state)); wire [2:0] ones_count; wire ones_count_rst, ones_count_en; r #(3) ones_count_r (.c(c_48), .rst(ones_count_rst), .en(ones_count_en), .d(ones_count + 1'b1), .q(ones_count)); wire [1:0] bit_timer; r #(2) bit_timer_r (.c(c_48), .rst(1'b0), .en(1'b1), .d(bit_timer+1'b1), .q(bit_timer)); wire advance = bit_timer == 2'b00; //wire t1 = bit_timer == 2'b01; assign stuff_q_req = ctrl[0]; // inter-packet gap timer wire ipg_cnt_rst; wire [4:0] ipg_cnt; r #(5) ipg_cnt_r (.c(c_48), .rst(ipg_cnt_rst), .en(1'b1), .d(ipg_cnt+1'b1), .q(ipg_cnt)); always @* begin case (state) ST_IDLE: if (~stuff_q_empty) ctrl = { ST_OE , 5'b11110 }; else ctrl = { ST_IDLE , 5'b00000 }; ST_OE: if (advance /*& ipg_cnt > 5'd20*/) ctrl = { ST_DATA_BIT , 5'b01110 }; else ctrl = { ST_OE , 5'b01110 }; ST_DATA_BIT: if (advance & stuff_q_empty) ctrl = { ST_EOP_SE0_0, 5'b00010 }; else if (advance) ctrl = { ST_DATA_BIT , 5'b00011 }; else ctrl = { ST_DATA_BIT , 5'b00010 }; /* ST_LAST_BIT: if (advance) ctrl = { ST_EOP_SE0_0, 5'b00010 }; else ctrl = { ST_LAST_BIT , 5'b00010 }; */ ST_EOP_SE0_0: if (advance) ctrl = { ST_EOP_SE0_1, 5'b00110 }; else ctrl = { ST_EOP_SE0_0, 5'b00110 }; ST_EOP_SE0_1: if (advance) ctrl = { ST_EOP_J , 5'b10110 }; else ctrl = { ST_EOP_SE0_1, 5'b00110 }; ST_EOP_J: if (advance /*& ipg_cnt > 5'd20*/) ctrl = { ST_IPG , 5'b11110 }; else ctrl = { ST_EOP_J , 5'b01110 }; ST_IPG: if (ipg_cnt == 5'd3) ctrl = { ST_DONE , 5'b00000 }; else ctrl = { ST_IPG , 5'b00000 }; ST_DONE: ctrl = { ST_IDLE , 5'b00000 }; default: ctrl = { ST_IDLE , 5'b00000 }; endcase end wire bit_hardcoded_en = ctrl[2]; wire bit_hardcoded_vp = ctrl[3]; wire bit_hardcoded_vm = 1'b0; assign ipg_cnt_rst = ctrl[4]; wire oe_n_i = ~(ctrl[1] | rst); d1 oe_d1_r(.c(c_48), .d(oe_n_i), .q(oe_n)); wire vp_out = rst ? 1'b0 : (bit_hardcoded_en ? bit_hardcoded_vp : stuff_q); wire vm_out = rst ? 1'b0 : (bit_hardcoded_en ? bit_hardcoded_vm : ~stuff_q); wire vp_out_d1, vm_out_d1; d1 vp_out_d1_r(.c(c_48), .d(vp_out), .q(vp_out_d1)); d1 vm_out_d1_r(.c(c_48), .d(vm_out), .q(vm_out_d1)); assign vp = ~oe_n ? vp_out_d1 : 1'bz; assign vm = ~oe_n ? vm_out_d1 : 1'bz; wire done_c48 = state == ST_DONE; sync done_s(.in(done_c48), .clk(c), .out(done)); endmodule
(** * RecordSub: Subtyping with Records *) Require Export MoreStlc. (* ###################################################### *) (** * Core Definitions *) (* ################################### *) (** *** Syntax *) Inductive ty : Type := (* proper types *) | TTop : ty | TBase : id -> ty | TArrow : ty -> ty -> ty (* record types *) | TRNil : ty | TRCons : id -> ty -> ty -> ty. Inductive tm : Type := (* proper terms *) | tvar : id -> tm | tapp : tm -> tm -> tm | tabs : id -> ty -> tm -> tm | tproj : tm -> id -> tm (* record terms *) | trnil : tm | trcons : id -> tm -> tm -> tm. (* ################################### *) (** *** Well-Formedness *) Inductive record_ty : ty -> Prop := | RTnil : record_ty TRNil | RTcons : forall i T1 T2, record_ty (TRCons i T1 T2). Inductive record_tm : tm -> Prop := | rtnil : record_tm trnil | rtcons : forall i t1 t2, record_tm (trcons i t1 t2). Inductive well_formed_ty : ty -> Prop := | wfTTop : well_formed_ty TTop | wfTBase : forall i, well_formed_ty (TBase i) | wfTArrow : forall T1 T2, well_formed_ty T1 -> well_formed_ty T2 -> well_formed_ty (TArrow T1 T2) | wfTRNil : well_formed_ty TRNil | wfTRCons : forall i T1 T2, well_formed_ty T1 -> well_formed_ty T2 -> record_ty T2 -> well_formed_ty (TRCons i T1 T2). Hint Constructors record_ty record_tm well_formed_ty. (* ################################### *) (** *** Substitution *) Fixpoint subst (x:id) (s:tm) (t:tm) : tm := match t with | tvar y => if eq_id_dec x y then s else t | tabs y T t1 => tabs y T (if eq_id_dec x y then t1 else (subst x s t1)) | tapp t1 t2 => tapp (subst x s t1) (subst x s t2) | tproj t1 i => tproj (subst x s t1) i | trnil => trnil | trcons i t1 tr2 => trcons i (subst x s t1) (subst x s tr2) end. Notation "'[' x ':=' s ']' t" := (subst x s t) (at level 20). (* ################################### *) (** *** Reduction *) Inductive value : tm -> Prop := | v_abs : forall x T t, value (tabs x T t) | v_rnil : value trnil | v_rcons : forall i v vr, value v -> value vr -> value (trcons i v vr). Hint Constructors value. Fixpoint Tlookup (i:id) (Tr:ty) : option ty := match Tr with | TRCons i' T Tr' => if eq_id_dec i i' then Some T else Tlookup i Tr' | _ => None end. Fixpoint tlookup (i:id) (tr:tm) : option tm := match tr with | trcons i' t tr' => if eq_id_dec i i' then Some t else tlookup i tr' | _ => None end. Reserved Notation "t1 '==>' t2" (at level 40). Inductive step : tm -> tm -> Prop := | ST_AppAbs : forall x T t12 v2, value v2 -> (tapp (tabs x T t12) v2) ==> [x:=v2]t12 | ST_App1 : forall t1 t1' t2, t1 ==> t1' -> (tapp t1 t2) ==> (tapp t1' t2) | ST_App2 : forall v1 t2 t2', value v1 -> t2 ==> t2' -> (tapp v1 t2) ==> (tapp v1 t2') | ST_Proj1 : forall tr tr' i, tr ==> tr' -> (tproj tr i) ==> (tproj tr' i) | ST_ProjRcd : forall tr i vi, value tr -> tlookup i tr = Some vi -> (tproj tr i) ==> vi | ST_Rcd_Head : forall i t1 t1' tr2, t1 ==> t1' -> (trcons i t1 tr2) ==> (trcons i t1' tr2) | ST_Rcd_Tail : forall i v1 tr2 tr2', value v1 -> tr2 ==> tr2' -> (trcons i v1 tr2) ==> (trcons i v1 tr2') where "t1 '==>' t2" := (step t1 t2). Hint Constructors step. (* ###################################################################### *) (** * Subtyping *) (** Now we come to the interesting part. We begin by defining the subtyping relation and developing some of its important technical properties. *) (* ################################### *) (** ** Definition *) (** The definition of subtyping is essentially just what we sketched in the motivating discussion, but we need to add well-formedness side conditions to some of the rules. *) Inductive subtype : ty -> ty -> Prop := (* Subtyping between proper types *) | S_Refl : forall T, well_formed_ty T -> subtype T T | S_Trans : forall S U T, subtype S U -> subtype U T -> subtype S T | S_Top : forall S, well_formed_ty S -> subtype S TTop | S_Arrow : forall S1 S2 T1 T2, subtype T1 S1 -> subtype S2 T2 -> subtype (TArrow S1 S2) (TArrow T1 T2) (* Subtyping between record types *) | S_RcdWidth : forall i T1 T2, well_formed_ty (TRCons i T1 T2) -> subtype (TRCons i T1 T2) TRNil | S_RcdDepth : forall i S1 T1 Sr2 Tr2, subtype S1 T1 -> subtype Sr2 Tr2 -> record_ty Sr2 -> record_ty Tr2 -> subtype (TRCons i S1 Sr2) (TRCons i T1 Tr2) | S_RcdPerm : forall i1 i2 T1 T2 Tr3, well_formed_ty (TRCons i1 T1 (TRCons i2 T2 Tr3)) -> i1 <> i2 -> subtype (TRCons i1 T1 (TRCons i2 T2 Tr3)) (TRCons i2 T2 (TRCons i1 T1 Tr3)). Hint Constructors subtype. (* ############################################### *) (** ** Subtyping Examples and Exercises *) Module Examples. Notation x := (Id 0). Notation y := (Id 1). Notation z := (Id 2). Notation j := (Id 3). Notation k := (Id 4). Notation i := (Id 5). Notation A := (TBase (Id 6)). Notation B := (TBase (Id 7)). Notation C := (TBase (Id 8)). Definition TRcd_j := (TRCons j (TArrow B B) TRNil). (* {j:B->B} *) Definition TRcd_kj := TRCons k (TArrow A A) TRcd_j. (* {k:C->C,j:B->B} *) Example subtyping_example_0 : subtype (TArrow C TRcd_kj) (TArrow C TRNil). (* C->{k:A->A,j:B->B} <: C->{} *) Proof. apply S_Arrow. apply S_Refl. auto. unfold TRcd_kj, TRcd_j. apply S_RcdWidth; auto. Qed. (** The following facts are mostly easy to prove in Coq. To get full benefit from the exercises, make sure you also understand how to prove them on paper! *) (** **** Exercise: 2 stars *) Example subtyping_example_1 : subtype TRcd_kj TRcd_j. (* {k:A->A,j:B->B} <: {j:B->B} *) Proof with eauto. (* FILL IN HERE *) Admitted. (** [] *) (** **** Exercise: 1 star *) Example subtyping_example_2 : subtype (TArrow TTop TRcd_kj) (TArrow (TArrow C C) TRcd_j). (* Top->{k:A->A,j:B->B} <: (C->C)->{j:B->B} *) Proof with eauto. (* FILL IN HERE *) Admitted. (** [] *) (** **** Exercise: 1 star *) Example subtyping_example_3 : subtype (TArrow TRNil (TRCons j A TRNil)) (TArrow (TRCons k B TRNil) TRNil). (* {}->{j:A} <: {k:B}->{} *) Proof with eauto. (* FILL IN HERE *) Admitted. (** [] *) (** **** Exercise: 2 stars *) Example subtyping_example_4 : subtype (TRCons x A (TRCons y B (TRCons z C TRNil))) (TRCons z C (TRCons y B (TRCons x A TRNil))). (* {x:A,y:B,z:C} <: {z:C,y:B,x:A} *) Proof with eauto. (* FILL IN HERE *) Admitted. (** [] *) Definition trcd_kj := (trcons k (tabs z A (tvar z)) (trcons j (tabs z B (tvar z)) trnil)). End Examples. (* ###################################################################### *) (** ** Properties of Subtyping *) (** *** Well-Formedness *) Lemma subtype__wf : forall S T, subtype S T -> well_formed_ty T /\ well_formed_ty S. Proof with eauto. intros S T Hsub. induction Hsub; intros; try (destruct IHHsub1; destruct IHHsub2)... - (* S_RcdPerm *) split... inversion H. subst. inversion H5... Qed. Lemma wf_rcd_lookup : forall i T Ti, well_formed_ty T -> Tlookup i T = Some Ti -> well_formed_ty Ti. Proof with eauto. intros i T. induction T; intros; try solve by inversion. - (* TRCons *) inversion H. subst. unfold Tlookup in H0. destruct (eq_id_dec i i0)... inversion H0; subst... Qed. (** *** Field Lookup *) (** Our record matching lemmas get a little more complicated in the presence of subtyping for two reasons: First, record types no longer necessarily describe the exact structure of corresponding terms. Second, reasoning by induction on [has_type] derivations becomes harder in general, because [has_type] is no longer syntax directed. *) Lemma rcd_types_match : forall S T i Ti, subtype S T -> Tlookup i T = Some Ti -> exists Si, Tlookup i S = Some Si /\ subtype Si Ti. Proof with (eauto using wf_rcd_lookup). intros S T i Ti Hsub Hget. generalize dependent Ti. induction Hsub; intros Ti Hget; try solve by inversion. - (* S_Refl *) exists Ti... - (* S_Trans *) destruct (IHHsub2 Ti) as [Ui Hui]... destruct Hui. destruct (IHHsub1 Ui) as [Si Hsi]... destruct Hsi. exists Si... - (* S_RcdDepth *) rename i0 into k. unfold Tlookup. unfold Tlookup in Hget. destruct (eq_id_dec i k)... + (* i = k -- we're looking up the first field *) inversion Hget. subst. exists S1... - (* S_RcdPerm *) exists Ti. split. + (* lookup *) unfold Tlookup. unfold Tlookup in Hget. destruct (eq_id_dec i i1)... * (* i = i1 -- we're looking up the first field *) destruct (eq_id_dec i i2)... (* i = i2 -- contradictory *) destruct H0. subst... + (* subtype *) inversion H. subst. inversion H5. subst... Qed. (** **** Exercise: 3 stars (rcd_types_match_informal) *) (** Write a careful informal proof of the [rcd_types_match] lemma. *) (* FILL IN HERE *) (** [] *) (** *** Inversion Lemmas *) (** **** Exercise: 3 stars, optional (sub_inversion_arrow) *) Lemma sub_inversion_arrow : forall U V1 V2, subtype U (TArrow V1 V2) -> exists U1, exists U2, (U=(TArrow U1 U2)) /\ (subtype V1 U1) /\ (subtype U2 V2). Proof with eauto. intros U V1 V2 Hs. remember (TArrow V1 V2) as V. generalize dependent V2. generalize dependent V1. (* FILL IN HERE *) Admitted. (** [] *) (* ###################################################################### *) (** * Typing *) Definition context := id -> (option ty). Definition empty : context := (fun _ => None). Definition extend (Gamma : context) (x:id) (T : ty) := fun x' => if eq_id_dec x x' then Some T else Gamma x'. Reserved Notation "Gamma '|-' t '\in' T" (at level 40). Inductive has_type : context -> tm -> ty -> Prop := | T_Var : forall Gamma x T, Gamma x = Some T -> well_formed_ty T -> has_type Gamma (tvar x) T | T_Abs : forall Gamma x T11 T12 t12, well_formed_ty T11 -> has_type (extend Gamma x T11) t12 T12 -> has_type Gamma (tabs x T11 t12) (TArrow T11 T12) | T_App : forall T1 T2 Gamma t1 t2, has_type Gamma t1 (TArrow T1 T2) -> has_type Gamma t2 T1 -> has_type Gamma (tapp t1 t2) T2 | T_Proj : forall Gamma i t T Ti, has_type Gamma t T -> Tlookup i T = Some Ti -> has_type Gamma (tproj t i) Ti (* Subsumption *) | T_Sub : forall Gamma t S T, has_type Gamma t S -> subtype S T -> has_type Gamma t T (* Rules for record terms *) | T_RNil : forall Gamma, has_type Gamma trnil TRNil | T_RCons : forall Gamma i t T tr Tr, has_type Gamma t T -> has_type Gamma tr Tr -> record_ty Tr -> record_tm tr -> has_type Gamma (trcons i t tr) (TRCons i T Tr) where "Gamma '|-' t '\in' T" := (has_type Gamma t T). Hint Constructors has_type. (* ############################################### *) (** ** Typing Examples *) Module Examples2. Import Examples. (** **** Exercise: 1 star *) Example typing_example_0 : has_type empty (trcons k (tabs z A (tvar z)) (trcons j (tabs z B (tvar z)) trnil)) TRcd_kj. (* empty |- {k=(\z:A.z), j=(\z:B.z)} : {k:A->A,j:B->B} *) Proof. (* FILL IN HERE *) Admitted. (** [] *) (** **** Exercise: 2 stars *) Example typing_example_1 : has_type empty (tapp (tabs x TRcd_j (tproj (tvar x) j)) (trcd_kj)) (TArrow B B). (* empty |- (\x:{k:A->A,j:B->B}. x.j) {k=(\z:A.z), j=(\z:B.z)} : B->B *) Proof with eauto. (* FILL IN HERE *) Admitted. (** [] *) (** **** Exercise: 2 stars, optional *) Example typing_example_2 : has_type empty (tapp (tabs z (TArrow (TArrow C C) TRcd_j) (tproj (tapp (tvar z) (tabs x C (tvar x))) j)) (tabs z (TArrow C C) trcd_kj)) (TArrow B B). (* empty |- (\z:(C->C)->{j:B->B}. (z (\x:C.x)).j) (\z:C->C. {k=(\z:A.z), j=(\z:B.z)}) : B->B *) Proof with eauto. (* FILL IN HERE *) Admitted. (** [] *) End Examples2. (* ###################################################################### *) (** ** Properties of Typing *) (** *** Well-Formedness *) Lemma has_type__wf : forall Gamma t T, has_type Gamma t T -> well_formed_ty T. Proof with eauto. intros Gamma t T Htyp. induction Htyp... - (* T_App *) inversion IHHtyp1... - (* T_Proj *) eapply wf_rcd_lookup... - (* T_Sub *) apply subtype__wf in H. destruct H... Qed. Lemma step_preserves_record_tm : forall tr tr', record_tm tr -> tr ==> tr' -> record_tm tr'. Proof. intros tr tr' Hrt Hstp. inversion Hrt; subst; inversion Hstp; subst; eauto. Qed. (** *** Field Lookup *) Lemma lookup_field_in_value : forall v T i Ti, value v -> has_type empty v T -> Tlookup i T = Some Ti -> exists vi, tlookup i v = Some vi /\ has_type empty vi Ti. Proof with eauto. remember empty as Gamma. intros t T i Ti Hval Htyp. revert Ti HeqGamma Hval. induction Htyp; intros; subst; try solve by inversion. - (* T_Sub *) apply (rcd_types_match S) in H0... destruct H0 as [Si [HgetSi Hsub]]. destruct (IHHtyp Si) as [vi [Hget Htyvi]]... - (* T_RCons *) simpl in H0. simpl. simpl in H1. destruct (eq_id_dec i i0). + (* i is first *) inversion H1. subst. exists t... + (* i in tail *) destruct (IHHtyp2 Ti) as [vi [get Htyvi]]... inversion Hval... Qed. (* ########################################## *) (** *** Progress *) (** **** Exercise: 3 stars (canonical_forms_of_arrow_types) *) Lemma canonical_forms_of_arrow_types : forall Gamma s T1 T2, has_type Gamma s (TArrow T1 T2) -> value s -> exists x, exists S1, exists s2, s = tabs x S1 s2. Proof with eauto. (* FILL IN HERE *) Admitted. (** [] *) Theorem progress : forall t T, has_type empty t T -> value t \/ exists t', t ==> t'. Proof with eauto. intros t T Ht. remember empty as Gamma. revert HeqGamma. induction Ht; intros HeqGamma; subst... - (* T_Var *) inversion H. - (* T_App *) right. destruct IHHt1; subst... + (* t1 is a value *) destruct IHHt2; subst... * (* t2 is a value *) destruct (canonical_forms_of_arrow_types empty t1 T1 T2) as [x [S1 [t12 Heqt1]]]... subst. exists ([x:=t2]t12)... * (* t2 steps *) destruct H0 as [t2' Hstp]. exists (tapp t1 t2')... + (* t1 steps *) destruct H as [t1' Hstp]. exists (tapp t1' t2)... - (* T_Proj *) right. destruct IHHt... + (* rcd is value *) destruct (lookup_field_in_value t T i Ti) as [t' [Hget Ht']]... + (* rcd_steps *) destruct H0 as [t' Hstp]. exists (tproj t' i)... - (* T_RCons *) destruct IHHt1... + (* head is a value *) destruct IHHt2... * (* tail steps *) right. destruct H2 as [tr' Hstp]. exists (trcons i t tr')... + (* head steps *) right. destruct H1 as [t' Hstp]. exists (trcons i t' tr)... Qed. (** Informal proof of progress: Theorem : For any term [t] and type [T], if [empty |- t : T] then [t] is a value or [t ==> t'] for some term [t']. Proof : Let [t] and [T] be given such that [empty |- t : T]. We go by induction on the typing derivation. Cases [T_Abs] and [T_RNil] are immediate because abstractions and [{}] are always values. Case [T_Var] is vacuous because variables cannot be typed in the empty context. - If the last step in the typing derivation is by [T_App], then there are terms [t1] [t2] and types [T1] [T2] such that [t = t1 t2], [T = T2], [empty |- t1 : T1 -> T2] and [empty |- t2 : T1]. The induction hypotheses for these typing derivations yield that [t1] is a value or steps, and that [t2] is a value or steps. We consider each case: - Suppose [t1 ==> t1'] for some term [t1']. Then [t1 t2 ==> t1' t2] by [ST_App1]. - Otherwise [t1] is a value. - Suppose [t2 ==> t2'] for some term [t2']. Then [t1 t2 ==> t1 t2'] by rule [ST_App2] because [t1] is a value. - Otherwise, [t2] is a value. By lemma [canonical_forms_for_arrow_types], [t1 = \x:S1.s2] for some [x], [S1], and [s2]. And [(\x:S1.s2) t2 ==> [x:=t2]s2] by [ST_AppAbs], since [t2] is a value. - If the last step of the derivation is by [T_Proj], then there is a term [tr], type [Tr] and label [i] such that [t = tr.i], [empty |- tr : Tr], and [Tlookup i Tr = Some T]. The IH for the typing subderivation gives us that either [tr] is a value or it steps. If [tr ==> tr'] for some term [tr'], then [tr.i ==> tr'.i] by rule [ST_Proj1]. Otherwise, [tr] is a value. In this case, lemma [lookup_field_in_value] yields that there is a term [ti] such that [tlookup i tr = Some ti]. It follows that [tr.i ==> ti] by rule [ST_ProjRcd]. - If the final step of the derivation is by [T_Sub], then there is a type [S] such that [S <: T] and [empty |- t : S]. The desired result is exactly the induction hypothesis for the typing subderivation. - If the final step of the derivation is by [T_RCons], then there exist some terms [t1] [tr], types [T1 Tr] and a label [t] such that [t = {i=t1, tr}], [T = {i:T1, Tr}], [record_tm tr], [record_tm Tr], [empty |- t1 : T1] and [empty |- tr : Tr]. The induction hypotheses for these typing derivations yield that [t1] is a value or steps, and that [tr] is a value or steps. We consider each case: - Suppose [t1 ==> t1'] for some term [t1']. Then [{i=t1, tr} ==> {i=t1', tr}] by rule [ST_Rcd_Head]. - Otherwise [t1] is a value. - Suppose [tr ==> tr'] for some term [tr']. Then [{i=t1, tr} ==> {i=t1, tr'}] by rule [ST_Rcd_Tail], since [t1] is a value. - Otherwise, [tr] is also a value. So, [{i=t1, tr}] is a value by [v_rcons]. *) (* ########################################## *) (** *** Inversion Lemmas *) Lemma typing_inversion_var : forall Gamma x T, has_type Gamma (tvar x) T -> exists S, Gamma x = Some S /\ subtype S T. Proof with eauto. intros Gamma x T Hty. remember (tvar x) as t. induction Hty; intros; inversion Heqt; subst; try solve by inversion. - (* T_Var *) exists T... - (* T_Sub *) destruct IHHty as [U [Hctx HsubU]]... Qed. Lemma typing_inversion_app : forall Gamma t1 t2 T2, has_type Gamma (tapp t1 t2) T2 -> exists T1, has_type Gamma t1 (TArrow T1 T2) /\ has_type Gamma t2 T1. Proof with eauto. intros Gamma t1 t2 T2 Hty. remember (tapp t1 t2) as t. induction Hty; intros; inversion Heqt; subst; try solve by inversion. - (* T_App *) exists T1... - (* T_Sub *) destruct IHHty as [U1 [Hty1 Hty2]]... assert (Hwf := has_type__wf _ _ _ Hty2). exists U1... Qed. Lemma typing_inversion_abs : forall Gamma x S1 t2 T, has_type Gamma (tabs x S1 t2) T -> (exists S2, subtype (TArrow S1 S2) T /\ has_type (extend Gamma x S1) t2 S2). Proof with eauto. intros Gamma x S1 t2 T H. remember (tabs x S1 t2) as t. induction H; inversion Heqt; subst; intros; try solve by inversion. - (* T_Abs *) assert (Hwf := has_type__wf _ _ _ H0). exists T12... - (* T_Sub *) destruct IHhas_type as [S2 [Hsub Hty]]... Qed. Lemma typing_inversion_proj : forall Gamma i t1 Ti, has_type Gamma (tproj t1 i) Ti -> exists T, exists Si, Tlookup i T = Some Si /\ subtype Si Ti /\ has_type Gamma t1 T. Proof with eauto. intros Gamma i t1 Ti H. remember (tproj t1 i) as t. induction H; inversion Heqt; subst; intros; try solve by inversion. - (* T_Proj *) assert (well_formed_ty Ti) as Hwf. { (* pf of assertion *) apply (wf_rcd_lookup i T Ti)... apply has_type__wf in H... } exists T. exists Ti... - (* T_Sub *) destruct IHhas_type as [U [Ui [Hget [Hsub Hty]]]]... exists U. exists Ui... Qed. Lemma typing_inversion_rcons : forall Gamma i ti tr T, has_type Gamma (trcons i ti tr) T -> exists Si, exists Sr, subtype (TRCons i Si Sr) T /\ has_type Gamma ti Si /\ record_tm tr /\ has_type Gamma tr Sr. Proof with eauto. intros Gamma i ti tr T Hty. remember (trcons i ti tr) as t. induction Hty; inversion Heqt; subst... - (* T_Sub *) apply IHHty in H0. destruct H0 as [Ri [Rr [HsubRS [HtypRi HtypRr]]]]. exists Ri. exists Rr... - (* T_RCons *) assert (well_formed_ty (TRCons i T Tr)) as Hwf. { (* pf of assertion *) apply has_type__wf in Hty1. apply has_type__wf in Hty2... } exists T. exists Tr... Qed. Lemma abs_arrow : forall x S1 s2 T1 T2, has_type empty (tabs x S1 s2) (TArrow T1 T2) -> subtype T1 S1 /\ has_type (extend empty x S1) s2 T2. Proof with eauto. intros x S1 s2 T1 T2 Hty. apply typing_inversion_abs in Hty. destruct Hty as [S2 [Hsub Hty]]. apply sub_inversion_arrow in Hsub. destruct Hsub as [U1 [U2 [Heq [Hsub1 Hsub2]]]]. inversion Heq; subst... Qed. (* ########################################## *) (** *** Context Invariance *) Inductive appears_free_in : id -> tm -> Prop := | afi_var : forall x, appears_free_in x (tvar x) | afi_app1 : forall x t1 t2, appears_free_in x t1 -> appears_free_in x (tapp t1 t2) | afi_app2 : forall x t1 t2, appears_free_in x t2 -> appears_free_in x (tapp t1 t2) | afi_abs : forall x y T11 t12, y <> x -> appears_free_in x t12 -> appears_free_in x (tabs y T11 t12) | afi_proj : forall x t i, appears_free_in x t -> appears_free_in x (tproj t i) | afi_rhead : forall x i t tr, appears_free_in x t -> appears_free_in x (trcons i t tr) | afi_rtail : forall x i t tr, appears_free_in x tr -> appears_free_in x (trcons i t tr). Hint Constructors appears_free_in. Lemma context_invariance : forall Gamma Gamma' t S, has_type Gamma t S -> (forall x, appears_free_in x t -> Gamma x = Gamma' x) -> has_type Gamma' t S. Proof with eauto. intros. generalize dependent Gamma'. induction H; intros Gamma' Heqv... - (* T_Var *) apply T_Var... rewrite <- Heqv... - (* T_Abs *) apply T_Abs... apply IHhas_type. intros x0 Hafi. unfold extend. destruct (eq_id_dec x x0)... - (* T_App *) apply T_App with T1... - (* T_RCons *) apply T_RCons... Qed. Lemma free_in_context : forall x t T Gamma, appears_free_in x t -> has_type Gamma t T -> exists T', Gamma x = Some T'. Proof with eauto. intros x t T Gamma Hafi Htyp. induction Htyp; subst; inversion Hafi; subst... - (* T_Abs *) destruct (IHHtyp H5) as [T Hctx]. exists T. unfold extend in Hctx. rewrite neq_id in Hctx... Qed. (* ########################################## *) (** *** Preservation *) Lemma substitution_preserves_typing : forall Gamma x U v t S, has_type (extend Gamma x U) t S -> has_type empty v U -> has_type Gamma ([x:=v]t) S. Proof with eauto. intros Gamma x U v t S Htypt Htypv. generalize dependent S. generalize dependent Gamma. induction t; intros; simpl. - (* tvar *) rename i into y. destruct (typing_inversion_var _ _ _ Htypt) as [T [Hctx Hsub]]. unfold extend in Hctx. destruct (eq_id_dec x y)... + (* x=y *) subst. inversion Hctx; subst. clear Hctx. apply context_invariance with empty... intros x Hcontra. destruct (free_in_context _ _ S empty Hcontra) as [T' HT']... inversion HT'. + (* x<>y *) destruct (subtype__wf _ _ Hsub)... - (* tapp *) destruct (typing_inversion_app _ _ _ _ Htypt) as [T1 [Htypt1 Htypt2]]. eapply T_App... - (* tabs *) rename i into y. rename t into T1. destruct (typing_inversion_abs _ _ _ _ _ Htypt) as [T2 [Hsub Htypt2]]. destruct (subtype__wf _ _ Hsub) as [Hwf1 Hwf2]. inversion Hwf2. subst. apply T_Sub with (TArrow T1 T2)... apply T_Abs... destruct (eq_id_dec x y). + (* x=y *) eapply context_invariance... subst. intros x Hafi. unfold extend. destruct (eq_id_dec y x)... + (* x<>y *) apply IHt. eapply context_invariance... intros z Hafi. unfold extend. destruct (eq_id_dec y z)... subst. rewrite neq_id... - (* tproj *) destruct (typing_inversion_proj _ _ _ _ Htypt) as [T [Ti [Hget [Hsub Htypt1]]]]... - (* trnil *) eapply context_invariance... intros y Hcontra. inversion Hcontra. - (* trcons *) destruct (typing_inversion_rcons _ _ _ _ _ Htypt) as [Ti [Tr [Hsub [HtypTi [Hrcdt2 HtypTr]]]]]. apply T_Sub with (TRCons i Ti Tr)... apply T_RCons... + (* record_ty Tr *) apply subtype__wf in Hsub. destruct Hsub. inversion H0... + (* record_tm ([x:=v]t2) *) inversion Hrcdt2; subst; simpl... Qed. Theorem preservation : forall t t' T, has_type empty t T -> t ==> t' -> has_type empty t' T. Proof with eauto. intros t t' T HT. remember empty as Gamma. generalize dependent HeqGamma. generalize dependent t'. induction HT; intros t' HeqGamma HE; subst; inversion HE; subst... - (* T_App *) inversion HE; subst... + (* ST_AppAbs *) destruct (abs_arrow _ _ _ _ _ HT1) as [HA1 HA2]. apply substitution_preserves_typing with T... - (* T_Proj *) destruct (lookup_field_in_value _ _ _ _ H2 HT H) as [vi [Hget Hty]]. rewrite H4 in Hget. inversion Hget. subst... - (* T_RCons *) eauto using step_preserves_record_tm. Qed. (** Informal proof of [preservation]: Theorem: If [t], [t'] are terms and [T] is a type such that [empty |- t : T] and [t ==> t'], then [empty |- t' : T]. Proof: Let [t] and [T] be given such that [empty |- t : T]. We go by induction on the structure of this typing derivation, leaving [t'] general. Cases [T_Abs] and [T_RNil] are vacuous because abstractions and {} don't step. Case [T_Var] is vacuous as well, since the context is empty. - If the final step of the derivation is by [T_App], then there are terms [t1] [t2] and types [T1] [T2] such that [t = t1 t2], [T = T2], [empty |- t1 : T1 -> T2] and [empty |- t2 : T1]. By inspection of the definition of the step relation, there are three ways [t1 t2] can step. Cases [ST_App1] and [ST_App2] follow immediately by the induction hypotheses for the typing subderivations and a use of [T_App]. Suppose instead [t1 t2] steps by [ST_AppAbs]. Then [t1 = \x:S.t12] for some type [S] and term [t12], and [t' = [x:=t2]t12]. By Lemma [abs_arrow], we have [T1 <: S] and [x:S1 |- s2 : T2]. It then follows by lemma [substitution_preserves_typing] that [empty |- [x:=t2] t12 : T2] as desired. - If the final step of the derivation is by [T_Proj], then there is a term [tr], type [Tr] and label [i] such that [t = tr.i], [empty |- tr : Tr], and [Tlookup i Tr = Some T]. The IH for the typing derivation gives us that, for any term [tr'], if [tr ==> tr'] then [empty |- tr' Tr]. Inspection of the definition of the step relation reveals that there are two ways a projection can step. Case [ST_Proj1] follows immediately by the IH. Instead suppose [tr.i] steps by [ST_ProjRcd]. Then [tr] is a value and there is some term [vi] such that [tlookup i tr = Some vi] and [t' = vi]. But by lemma [lookup_field_in_value], [empty |- vi : Ti] as desired. - If the final step of the derivation is by [T_Sub], then there is a type [S] such that [S <: T] and [empty |- t : S]. The result is immediate by the induction hypothesis for the typing subderivation and an application of [T_Sub]. - If the final step of the derivation is by [T_RCons], then there exist some terms [t1] [tr], types [T1 Tr] and a label [t] such that [t = {i=t1, tr}], [T = {i:T1, Tr}], [record_tm tr], [record_tm Tr], [empty |- t1 : T1] and [empty |- tr : Tr]. By the definition of the step relation, [t] must have stepped by [ST_Rcd_Head] or [ST_Rcd_Tail]. In the first case, the result follows by the IH for [t1]'s typing derivation and [T_RCons]. In the second case, the result follows by the IH for [tr]'s typing derivation, [T_RCons], and a use of the [step_preserves_record_tm] lemma. *) (* ###################################################### *) (** ** Exercises on Typing *) (** **** Exercise: 2 stars, optional (variations) *) (** Each part of this problem suggests a different way of changing the definition of the STLC with records and subtyping. (These changes are not cumulative: each part starts from the original language.) In each part, list which properties (Progress, Preservation, both, or neither) become false. If a property becomes false, give a counterexample. - Suppose we add the following typing rule: Gamma |- t : S1->S2 S1 <: T1 T1 <: S1 S2 <: T2 ----------------------------------- (T_Funny1) Gamma |- t : T1->T2 - Suppose we add the following reduction rule: ------------------ (ST_Funny21) {} ==> (\x:Top. x) - Suppose we add the following subtyping rule: -------------- (S_Funny3) {} <: Top->Top - Suppose we add the following subtyping rule: -------------- (S_Funny4) Top->Top <: {} - Suppose we add the following evaluation rule: ----------------- (ST_Funny5) ({} t) ==> (t {}) - Suppose we add the same evaluation rule *and* a new typing rule: ----------------- (ST_Funny5) ({} t) ==> (t {}) ---------------------- (T_Funny6) empty |- {} : Top->Top - Suppose we *change* the arrow subtyping rule to: S1 <: T1 S2 <: T2 ----------------------- (S_Arrow') S1->S2 <: T1->T2 (** [] *) *) (** $Date$ *)
// (c) Copyright 1995-2016 Xilinx, Inc. All rights reserved. // // This file contains confidential and proprietary information // of Xilinx, Inc. and is protected under U.S. and // international copyright and other intellectual property // laws. // // DISCLAIMER // This disclaimer is not a license and does not grant any // rights to the materials distributed herewith. Except as // otherwise provided in a valid license issued to you by // Xilinx, and to the maximum extent permitted by applicable // law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND // WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES // AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING // BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- // INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and // (2) Xilinx shall not be liable (whether in contract or tort, // including negligence, or under any other theory of // liability) for any loss or damage of any kind or nature // related to, arising under or in connection with these // materials, including for any direct, or any indirect, // special, incidental, or consequential loss or damage // (including loss of data, profits, goodwill, or any type of // loss or damage suffered as a result of any action brought // by a third party) even if such damage or loss was // reasonably foreseeable or Xilinx had been advised of the // possibility of the same. // // CRITICAL APPLICATIONS // Xilinx products are not designed or intended to be fail- // safe, or for use in any application requiring fail-safe // performance, such as life-support or safety devices or // systems, Class III medical devices, nuclear facilities, // applications related to the deployment of airbags, or any // other applications that could lead to death, personal // injury, or severe property or environmental damage // (individually and collectively, "Critical // Applications"). Customer assumes the sole risk and // liability of any use of Xilinx products in Critical // Applications, subject only to applicable laws and // regulations governing limitations on product liability. // // THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS // PART OF THIS FILE AT ALL TIMES. // // DO NOT MODIFY THIS FILE. // IP VLNV: xilinx.com:ip:axi_dwidth_converter:2.1 // IP Revision: 7 `timescale 1ns/1ps (* DowngradeIPIdentifiedWarnings = "yes" *) module zc702_auto_us_df_0 ( s_axi_aclk, s_axi_aresetn, s_axi_awaddr, s_axi_awlen, s_axi_awsize, s_axi_awburst, s_axi_awlock, s_axi_awcache, s_axi_awprot, s_axi_awregion, s_axi_awqos, s_axi_awvalid, s_axi_awready, s_axi_wdata, s_axi_wstrb, s_axi_wlast, s_axi_wvalid, s_axi_wready, s_axi_bresp, s_axi_bvalid, s_axi_bready, s_axi_araddr, s_axi_arlen, s_axi_arsize, s_axi_arburst, s_axi_arlock, s_axi_arcache, s_axi_arprot, s_axi_arregion, s_axi_arqos, s_axi_arvalid, s_axi_arready, s_axi_rdata, s_axi_rresp, s_axi_rlast, s_axi_rvalid, s_axi_rready, m_axi_awaddr, m_axi_awlen, m_axi_awsize, m_axi_awburst, m_axi_awlock, m_axi_awcache, m_axi_awprot, m_axi_awregion, m_axi_awqos, m_axi_awvalid, m_axi_awready, m_axi_wdata, m_axi_wstrb, m_axi_wlast, m_axi_wvalid, m_axi_wready, m_axi_bresp, m_axi_bvalid, m_axi_bready, m_axi_araddr, m_axi_arlen, m_axi_arsize, m_axi_arburst, m_axi_arlock, m_axi_arcache, m_axi_arprot, m_axi_arregion, m_axi_arqos, m_axi_arvalid, m_axi_arready, m_axi_rdata, m_axi_rresp, m_axi_rlast, m_axi_rvalid, m_axi_rready ); (* X_INTERFACE_INFO = "xilinx.com:signal:clock:1.0 SI_CLK CLK" *) input wire s_axi_aclk; (* X_INTERFACE_INFO = "xilinx.com:signal:reset:1.0 SI_RST RST" *) input wire s_axi_aresetn; (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI AWADDR" *) input wire [31 : 0] s_axi_awaddr; (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI AWLEN" *) input wire [7 : 0] s_axi_awlen; (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI AWSIZE" *) input wire [2 : 0] s_axi_awsize; (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI AWBURST" *) input wire [1 : 0] s_axi_awburst; (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI AWLOCK" *) input wire [0 : 0] s_axi_awlock; (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI AWCACHE" *) input wire [3 : 0] s_axi_awcache; (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI AWPROT" *) input wire [2 : 0] s_axi_awprot; (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI AWREGION" *) input wire [3 : 0] s_axi_awregion; (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI AWQOS" *) input wire [3 : 0] s_axi_awqos; (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI AWVALID" *) input wire s_axi_awvalid; (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI AWREADY" *) output wire s_axi_awready; (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI WDATA" *) input wire [31 : 0] s_axi_wdata; (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI WSTRB" *) input wire [3 : 0] s_axi_wstrb; (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI WLAST" *) input wire s_axi_wlast; (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI WVALID" *) input wire s_axi_wvalid; (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI WREADY" *) output wire s_axi_wready; (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI BRESP" *) output wire [1 : 0] s_axi_bresp; (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI BVALID" *) output wire s_axi_bvalid; (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI BREADY" *) input wire s_axi_bready; (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI ARADDR" *) input wire [31 : 0] s_axi_araddr; (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI ARLEN" *) input wire [7 : 0] s_axi_arlen; (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI ARSIZE" *) input wire [2 : 0] s_axi_arsize; (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI ARBURST" *) input wire [1 : 0] s_axi_arburst; (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI ARLOCK" *) input wire [0 : 0] s_axi_arlock; (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI ARCACHE" *) input wire [3 : 0] s_axi_arcache; (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI ARPROT" *) input wire [2 : 0] s_axi_arprot; (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI ARREGION" *) input wire [3 : 0] s_axi_arregion; (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI ARQOS" *) input wire [3 : 0] s_axi_arqos; (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI ARVALID" *) input wire s_axi_arvalid; (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI ARREADY" *) output wire s_axi_arready; (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI RDATA" *) output wire [31 : 0] s_axi_rdata; (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI RRESP" *) output wire [1 : 0] s_axi_rresp; (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI RLAST" *) output wire s_axi_rlast; (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI RVALID" *) output wire s_axi_rvalid; (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI RREADY" *) input wire s_axi_rready; (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI AWADDR" *) output wire [31 : 0] m_axi_awaddr; (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI AWLEN" *) output wire [7 : 0] m_axi_awlen; (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI AWSIZE" *) output wire [2 : 0] m_axi_awsize; (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI AWBURST" *) output wire [1 : 0] m_axi_awburst; (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI AWLOCK" *) output wire [0 : 0] m_axi_awlock; (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI AWCACHE" *) output wire [3 : 0] m_axi_awcache; (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI AWPROT" *) output wire [2 : 0] m_axi_awprot; (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI AWREGION" *) output wire [3 : 0] m_axi_awregion; (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI AWQOS" *) output wire [3 : 0] m_axi_awqos; (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI AWVALID" *) output wire m_axi_awvalid; (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI AWREADY" *) input wire m_axi_awready; (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI WDATA" *) output wire [63 : 0] m_axi_wdata; (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI WSTRB" *) output wire [7 : 0] m_axi_wstrb; (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI WLAST" *) output wire m_axi_wlast; (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI WVALID" *) output wire m_axi_wvalid; (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI WREADY" *) input wire m_axi_wready; (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI BRESP" *) input wire [1 : 0] m_axi_bresp; (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI BVALID" *) input wire m_axi_bvalid; (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI BREADY" *) output wire m_axi_bready; (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI ARADDR" *) output wire [31 : 0] m_axi_araddr; (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI ARLEN" *) output wire [7 : 0] m_axi_arlen; (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI ARSIZE" *) output wire [2 : 0] m_axi_arsize; (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI ARBURST" *) output wire [1 : 0] m_axi_arburst; (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI ARLOCK" *) output wire [0 : 0] m_axi_arlock; (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI ARCACHE" *) output wire [3 : 0] m_axi_arcache; (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI ARPROT" *) output wire [2 : 0] m_axi_arprot; (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI ARREGION" *) output wire [3 : 0] m_axi_arregion; (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI ARQOS" *) output wire [3 : 0] m_axi_arqos; (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI ARVALID" *) output wire m_axi_arvalid; (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI ARREADY" *) input wire m_axi_arready; (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI RDATA" *) input wire [63 : 0] m_axi_rdata; (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI RRESP" *) input wire [1 : 0] m_axi_rresp; (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI RLAST" *) input wire m_axi_rlast; (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI RVALID" *) input wire m_axi_rvalid; (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI RREADY" *) output wire m_axi_rready; axi_dwidth_converter_v2_1_7_top #( .C_FAMILY("zynq"), .C_AXI_PROTOCOL(0), .C_S_AXI_ID_WIDTH(1), .C_SUPPORTS_ID(0), .C_AXI_ADDR_WIDTH(32), .C_S_AXI_DATA_WIDTH(32), .C_M_AXI_DATA_WIDTH(64), .C_AXI_SUPPORTS_WRITE(1), .C_AXI_SUPPORTS_READ(1), .C_FIFO_MODE(1), .C_S_AXI_ACLK_RATIO(1), .C_M_AXI_ACLK_RATIO(2), .C_AXI_IS_ACLK_ASYNC(0), .C_MAX_SPLIT_BEATS(16), .C_PACKING_LEVEL(1), .C_SYNCHRONIZER_STAGE(3) ) inst ( .s_axi_aclk(s_axi_aclk), .s_axi_aresetn(s_axi_aresetn), .s_axi_awid(1'H0), .s_axi_awaddr(s_axi_awaddr), .s_axi_awlen(s_axi_awlen), .s_axi_awsize(s_axi_awsize), .s_axi_awburst(s_axi_awburst), .s_axi_awlock(s_axi_awlock), .s_axi_awcache(s_axi_awcache), .s_axi_awprot(s_axi_awprot), .s_axi_awregion(s_axi_awregion), .s_axi_awqos(s_axi_awqos), .s_axi_awvalid(s_axi_awvalid), .s_axi_awready(s_axi_awready), .s_axi_wdata(s_axi_wdata), .s_axi_wstrb(s_axi_wstrb), .s_axi_wlast(s_axi_wlast), .s_axi_wvalid(s_axi_wvalid), .s_axi_wready(s_axi_wready), .s_axi_bid(), .s_axi_bresp(s_axi_bresp), .s_axi_bvalid(s_axi_bvalid), .s_axi_bready(s_axi_bready), .s_axi_arid(1'H0), .s_axi_araddr(s_axi_araddr), .s_axi_arlen(s_axi_arlen), .s_axi_arsize(s_axi_arsize), .s_axi_arburst(s_axi_arburst), .s_axi_arlock(s_axi_arlock), .s_axi_arcache(s_axi_arcache), .s_axi_arprot(s_axi_arprot), .s_axi_arregion(s_axi_arregion), .s_axi_arqos(s_axi_arqos), .s_axi_arvalid(s_axi_arvalid), .s_axi_arready(s_axi_arready), .s_axi_rid(), .s_axi_rdata(s_axi_rdata), .s_axi_rresp(s_axi_rresp), .s_axi_rlast(s_axi_rlast), .s_axi_rvalid(s_axi_rvalid), .s_axi_rready(s_axi_rready), .m_axi_aclk(1'H0), .m_axi_aresetn(1'H0), .m_axi_awaddr(m_axi_awaddr), .m_axi_awlen(m_axi_awlen), .m_axi_awsize(m_axi_awsize), .m_axi_awburst(m_axi_awburst), .m_axi_awlock(m_axi_awlock), .m_axi_awcache(m_axi_awcache), .m_axi_awprot(m_axi_awprot), .m_axi_awregion(m_axi_awregion), .m_axi_awqos(m_axi_awqos), .m_axi_awvalid(m_axi_awvalid), .m_axi_awready(m_axi_awready), .m_axi_wdata(m_axi_wdata), .m_axi_wstrb(m_axi_wstrb), .m_axi_wlast(m_axi_wlast), .m_axi_wvalid(m_axi_wvalid), .m_axi_wready(m_axi_wready), .m_axi_bresp(m_axi_bresp), .m_axi_bvalid(m_axi_bvalid), .m_axi_bready(m_axi_bready), .m_axi_araddr(m_axi_araddr), .m_axi_arlen(m_axi_arlen), .m_axi_arsize(m_axi_arsize), .m_axi_arburst(m_axi_arburst), .m_axi_arlock(m_axi_arlock), .m_axi_arcache(m_axi_arcache), .m_axi_arprot(m_axi_arprot), .m_axi_arregion(m_axi_arregion), .m_axi_arqos(m_axi_arqos), .m_axi_arvalid(m_axi_arvalid), .m_axi_arready(m_axi_arready), .m_axi_rdata(m_axi_rdata), .m_axi_rresp(m_axi_rresp), .m_axi_rlast(m_axi_rlast), .m_axi_rvalid(m_axi_rvalid), .m_axi_rready(m_axi_rready) ); endmodule
// encodes a one hot signal into an address // 0001 --> 0, v=1 // 0010 --> 1, v=1 // 0100 --> 2, v=1 // 1000 --> 3, v=1 // 0000 --> 0, v=0 // O*1O*1O* --> undefined `include "bsg_defines.v" // we implement at this as a parallel prefix computation // it is basically a big, clever tree of OR's with a // certain structure (see sample debug output). module bsg_encode_one_hot #(parameter width_p=8, parameter lo_to_hi_p=1, parameter debug_p=0) (input [width_p-1:0] i ,output [`BSG_SAFE_CLOG2(width_p)-1:0] addr_o ,output v_o // whether any bits are set ); localparam levels_lp = $clog2(width_p); // adjust for non-power of two input localparam aligned_width_lp = 1 << $clog2(width_p); genvar level; genvar segment; wire [levels_lp:0][aligned_width_lp-1:0] addr; wire [levels_lp:0][aligned_width_lp-1:0] v; // base case, also handle padding for non-power of two inputs assign v [0] = lo_to_hi_p ? ((aligned_width_lp) ' (i)) : i << (aligned_width_lp - width_p); assign addr[0] = (width_p == 1) ? '0 : `BSG_UNDEFINED_IN_SIM('0); for (level = 1; level < levels_lp+1; level=level+1) begin : rof localparam segments_lp = 2**(levels_lp-level); localparam segment_slot_lp = aligned_width_lp/segments_lp; localparam segment_width_lp = level; // how many bits are needed at each level for (segment = 0; segment < segments_lp; segment=segment+1) begin : rof1 wire [1:0] vs = { v[level-1][segment*segment_slot_lp+(segment_slot_lp >> 1)] , v[level-1][segment*segment_slot_lp] }; assign v[level][segment*segment_slot_lp] = | vs; if (level == 1) assign addr[level][(segment*segment_slot_lp)+:segment_width_lp] = { vs[lo_to_hi_p] }; else begin : fi assign addr[level][(segment*segment_slot_lp)+:segment_width_lp] = { vs[lo_to_hi_p] , addr[level-1][segment*segment_slot_lp+:segment_width_lp-1] | addr[level-1][segment*segment_slot_lp+(segment_slot_lp >> 1)+:segment_width_lp-1] }; end end end assign v_o = v[levels_lp][0]; // BSG_SAFE_CLOG2 handles width_p = 1 case `ifdef SYNTHESIS assign addr_o = addr[levels_lp][`BSG_SAFE_CLOG2(width_p)-1:0]; `else assign addr_o = (((i-1) & i) == '0) ? addr[levels_lp][`BSG_SAFE_CLOG2(width_p)-1:0] : { `BSG_SAFE_CLOG2(width_p){1'bx}}; // generates debug output that looks like this: // (addr) (v) // xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 00000000000000000000000000000100 // z0z0z0z0z0z0z0z0z0z0z0z0z0z0z0z0 z0z0z0z0z0z0z0z0z0z0z0z0z0z0z1z0 // zz00zz00zz00zz00zz00zz00zz00zz10 zzz0zzz0zzz0zzz0zzz0zzz0zzz0zzz1 // zzzzz000zzzzz000zzzzz000zzzzz010 zzzzzzz0zzzzzzz0zzzzzzz0zzzzzzz1 // zzzzzzzzzzzz0000zzzzzzzzzzzz0010 zzzzzzzzzzzzzzz0zzzzzzzzzzzzzzz1 // zzzzzzzzzzzzzzzzzzzzzzzzzzz00010 zzzzzzzzzzzzzzzzzzzzzzzzzzzzzzz1 // addr_o=00010 v_o=1 if (debug_p) always @(addr_o or v_o) begin `BSG_HIDE_FROM_VERILATOR(#1) for (integer k = 0; k <= $clog2(width_p); k=k+1) $display("%b %b",addr[k], v[k]); $display("addr_o=%b v_o=%b", addr_o, v_o); end `endif endmodule
/** * Copyright 2020 The SkyWater PDK Authors * * Licensed under the Apache License, Version 2.0 (the "License"); * you may not use this file except in compliance with the License. * You may obtain a copy of the License at * * https://www.apache.org/licenses/LICENSE-2.0 * * Unless required by applicable law or agreed to in writing, software * distributed under the License is distributed on an "AS IS" BASIS, * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. * See the License for the specific language governing permissions and * limitations under the License. * * SPDX-License-Identifier: Apache-2.0 */ `ifndef SKY130_FD_SC_LP__A21BO_PP_SYMBOL_V `define SKY130_FD_SC_LP__A21BO_PP_SYMBOL_V /** * a21bo: 2-input AND into first input of 2-input OR, * 2nd input inverted. * * X = ((A1 & A2) | (!B1_N)) * * Verilog stub (with power pins) for graphical symbol definition * generation. * * WARNING: This file is autogenerated, do not modify directly! */ `timescale 1ns / 1ps `default_nettype none (* blackbox *) module sky130_fd_sc_lp__a21bo ( //# {{data|Data Signals}} input A1 , input A2 , input B1_N, output X , //# {{power|Power}} input VPB , input VPWR, input VGND, input VNB ); endmodule `default_nettype wire `endif // SKY130_FD_SC_LP__A21BO_PP_SYMBOL_V
/* -- ============================================================================ -- FILE NAME : id_stage.v -- DESCRIPTION : ID¥¹¥Æ©`¥¸ -- ---------------------------------------------------------------------------- -- Revision Date Coding_by Comment -- 1.0.0 2011/06/27 suito ÐÂҎ×÷³É -- ============================================================================ */ /********** ¹²Í¨¥Ø¥Ã¥À¥Õ¥¡¥¤¥ë **********/ `include "nettype.h" `include "global_config.h" `include "stddef.h" /********** ‚€„e¥Ø¥Ã¥À¥Õ¥¡¥¤¥ë **********/ `include "isa.h" `include "cpu.h" /********** ¥â¥¸¥å©`¥ë **********/ module id_stage ( /********** ¥¯¥í¥Ã¥¯ & ¥ê¥»¥Ã¥È **********/ input wire clk, // ¥¯¥í¥Ã¥¯ input wire reset, // ·ÇͬÆÚ¥ê¥»¥Ã¥È /********** GPR¥¤¥ó¥¿¥Õ¥§©`¥¹ **********/ input wire [`WordDataBus] gpr_rd_data_0, // Õi¤ß³ö¤·¥Ç©`¥¿ 0 input wire [`WordDataBus] gpr_rd_data_1, // Õi¤ß³ö¤·¥Ç©`¥¿ 1 output wire [`RegAddrBus] gpr_rd_addr_0, // Õi¤ß³ö¤·¥¢¥É¥ì¥¹ 0 output wire [`RegAddrBus] gpr_rd_addr_1, // Õi¤ß³ö¤·¥¢¥É¥ì¥¹ 1 /********** ¥Õ¥©¥ï©`¥Ç¥£¥ó¥° **********/ // EX¥¹¥Æ©`¥¸¤«¤é¤Î¥Õ¥©¥ï©`¥Ç¥£¥ó¥° input wire ex_en, // ¥Ñ¥¤¥×¥é¥¤¥ó¥Ç©`¥¿¤ÎÓЄ¿ input wire [`WordDataBus] ex_fwd_data, // ¥Õ¥©¥ï©`¥Ç¥£¥ó¥°¥Ç©`¥¿ input wire [`RegAddrBus] ex_dst_addr, // •ø¤­Þz¤ß¥¢¥É¥ì¥¹ input wire ex_gpr_we_, // •ø¤­Þz¤ßÓЄ¿ // MEM¥¹¥Æ©`¥¸¤«¤é¤Î¥Õ¥©¥ï©`¥Ç¥£¥ó¥° input wire [`WordDataBus] mem_fwd_data, // ¥Õ¥©¥ï©`¥Ç¥£¥ó¥°¥Ç©`¥¿ /********** ÖÆÓù¥ì¥¸¥¹¥¿¥¤¥ó¥¿¥Õ¥§©`¥¹ **********/ input wire [`CpuExeModeBus] exe_mode, // ŒgÐÐ¥â©`¥É input wire [`WordDataBus] creg_rd_data, // Õi¤ß³ö¤·¥Ç©`¥¿ output wire [`RegAddrBus] creg_rd_addr, // Õi¤ß³ö¤·¥¢¥É¥ì¥¹ /********** ¥Ñ¥¤¥×¥é¥¤¥óÖÆÓùÐźŠ**********/ input wire stall, // ¥¹¥È©`¥ë input wire flush, // ¥Õ¥é¥Ã¥·¥å output wire [`WordAddrBus] br_addr, // ·Ö᪥¢¥É¥ì¥¹ output wire br_taken, // ·Ö᪤γÉÁ¢ output wire ld_hazard, // ¥í©`¥É¥Ï¥¶©`¥É /********** IF/ID¥Ñ¥¤¥×¥é¥¤¥ó¥ì¥¸¥¹¥¿ **********/ input wire [`WordAddrBus] if_pc, // ¥×¥í¥°¥é¥à¥«¥¦¥ó¥¿ input wire [`WordDataBus] if_insn, // ÃüÁî input wire if_en, // ¥Ñ¥¤¥×¥é¥¤¥ó¥Ç©`¥¿¤ÎÓЄ¿ /********** ID/EX¥Ñ¥¤¥×¥é¥¤¥ó¥ì¥¸¥¹¥¿ **********/ output wire [`WordAddrBus] id_pc, // ¥×¥í¥°¥é¥à¥«¥¦¥ó¥¿ output wire id_en, // ¥Ñ¥¤¥×¥é¥¤¥ó¥Ç©`¥¿¤ÎÓЄ¿ output wire [`AluOpBus] id_alu_op, // ALU¥ª¥Ú¥ì©`¥·¥ç¥ó output wire [`WordDataBus] id_alu_in_0, // ALUÈëÁ¦ 0 output wire [`WordDataBus] id_alu_in_1, // ALUÈëÁ¦ 1 output wire id_br_flag, // ·Ö᪥ե饰 output wire [`MemOpBus] id_mem_op, // ¥á¥â¥ê¥ª¥Ú¥ì©`¥·¥ç¥ó output wire [`WordDataBus] id_mem_wr_data, // ¥á¥â¥ê•ø¤­Þz¤ß¥Ç©`¥¿ output wire [`CtrlOpBus] id_ctrl_op, // ÖÆÓù¥ª¥Ú¥ì©`¥·¥ç¥ó output wire [`RegAddrBus] id_dst_addr, // GPR•ø¤­Þz¤ß¥¢¥É¥ì¥¹ output wire id_gpr_we_, // GPR•ø¤­Þz¤ßÓЄ¿ output wire [`IsaExpBus] id_exp_code // ÀýÍ⥳©`¥É ); /********** ¥Ç¥³©`¥ÉÐźŠ**********/ wire [`AluOpBus] alu_op; // ALU¥ª¥Ú¥ì©`¥·¥ç¥ó wire [`WordDataBus] alu_in_0; // ALUÈëÁ¦ 0 wire [`WordDataBus] alu_in_1; // ALUÈëÁ¦ 1 wire br_flag; // ·Ö᪥ե饰 wire [`MemOpBus] mem_op; // ¥á¥â¥ê¥ª¥Ú¥ì©`¥·¥ç¥ó wire [`WordDataBus] mem_wr_data; // ¥á¥â¥ê•ø¤­Þz¤ß¥Ç©`¥¿ wire [`CtrlOpBus] ctrl_op; // ÖÆÓù¥ª¥Ú¥ì©`¥·¥ç¥ó wire [`RegAddrBus] dst_addr; // GPR•ø¤­Þz¤ß¥¢¥É¥ì¥¹ wire gpr_we_; // GPR•ø¤­Þz¤ßÓЄ¿ wire [`IsaExpBus] exp_code; // ÀýÍ⥳©`¥É /********** ¥Ç¥³©`¥À **********/ decoder decoder ( /********** IF/ID¥Ñ¥¤¥×¥é¥¤¥ó¥ì¥¸¥¹¥¿ **********/ .if_pc (if_pc), // ¥×¥í¥°¥é¥à¥«¥¦¥ó¥¿ .if_insn (if_insn), // ÃüÁî .if_en (if_en), // ¥Ñ¥¤¥×¥é¥¤¥ó¥Ç©`¥¿¤ÎÓЄ¿ /********** GPR¥¤¥ó¥¿¥Õ¥§©`¥¹ **********/ .gpr_rd_data_0 (gpr_rd_data_0), // Õi¤ß³ö¤·¥Ç©`¥¿ 0 .gpr_rd_data_1 (gpr_rd_data_1), // Õi¤ß³ö¤·¥Ç©`¥¿ 1 .gpr_rd_addr_0 (gpr_rd_addr_0), // Õi¤ß³ö¤·¥¢¥É¥ì¥¹ 0 .gpr_rd_addr_1 (gpr_rd_addr_1), // Õi¤ß³ö¤·¥¢¥É¥ì¥¹ 1 /********** ¥Õ¥©¥ï©`¥Ç¥£¥ó¥° **********/ // ID¥¹¥Æ©`¥¸¤«¤é¤Î¥Õ¥©¥ï©`¥Ç¥£¥ó¥° .id_en (id_en), // ¥Ñ¥¤¥×¥é¥¤¥ó¥Ç©`¥¿¤ÎÓЄ¿ .id_dst_addr (id_dst_addr), // •ø¤­Þz¤ß¥¢¥É¥ì¥¹ .id_gpr_we_ (id_gpr_we_), // •ø¤­Þz¤ßÓЄ¿ .id_mem_op (id_mem_op), // ¥á¥â¥ê¥ª¥Ú¥ì©`¥·¥ç¥ó // EX¥¹¥Æ©`¥¸¤«¤é¤Î¥Õ¥©¥ï©`¥Ç¥£¥ó¥° .ex_en (ex_en), // ¥Ñ¥¤¥×¥é¥¤¥ó¥Ç©`¥¿¤ÎÓЄ¿ .ex_fwd_data (ex_fwd_data), // ¥Õ¥©¥ï©`¥Ç¥£¥ó¥°¥Ç©`¥¿ .ex_dst_addr (ex_dst_addr), // •ø¤­Þz¤ß¥¢¥É¥ì¥¹ .ex_gpr_we_ (ex_gpr_we_), // •ø¤­Þz¤ßÓЄ¿ // MEM¥¹¥Æ©`¥¸¤«¤é¤Î¥Õ¥©¥ï©`¥Ç¥£¥ó¥° .mem_fwd_data (mem_fwd_data), // ¥Õ¥©¥ï©`¥Ç¥£¥ó¥°¥Ç©`¥¿ /********** ÖÆÓù¥ì¥¸¥¹¥¿¥¤¥ó¥¿¥Õ¥§©`¥¹ **********/ .exe_mode (exe_mode), // ŒgÐÐ¥â©`¥É .creg_rd_data (creg_rd_data), // Õi¤ß³ö¤·¥Ç©`¥¿ .creg_rd_addr (creg_rd_addr), // Õi¤ß³ö¤·¥¢¥É¥ì¥¹ /********** ¥Ç¥³©`¥ÉÐźŠ**********/ .alu_op (alu_op), // ALU¥ª¥Ú¥ì©`¥·¥ç¥ó .alu_in_0 (alu_in_0), // ALUÈëÁ¦ 0 .alu_in_1 (alu_in_1), // ALUÈëÁ¦ 1 .br_addr (br_addr), // ·Ö᪥¢¥É¥ì¥¹ .br_taken (br_taken), // ·Ö᪤γÉÁ¢ .br_flag (br_flag), // ·Ö᪥ե饰 .mem_op (mem_op), // ¥á¥â¥ê¥ª¥Ú¥ì©`¥·¥ç¥ó .mem_wr_data (mem_wr_data), // ¥á¥â¥ê•ø¤­Þz¤ß¥Ç©`¥¿ .ctrl_op (ctrl_op), // ÖÆÓù¥ª¥Ú¥ì©`¥·¥ç¥ó .dst_addr (dst_addr), // šøÓå쥸¥¹¥¿•ø¤­Þz¤ß¥¢¥É¥ì¥¹ .gpr_we_ (gpr_we_), // šøÓå쥸¥¹¥¿•ø¤­Þz¤ßÓЄ¿ .exp_code (exp_code), // ÀýÍ⥳©`¥É .ld_hazard (ld_hazard) // ¥í©`¥É¥Ï¥¶©`¥É ); /********** ¥Ñ¥¤¥×¥é¥¤¥ó¥ì¥¸¥¹¥¿ **********/ id_reg id_reg ( /********** ¥¯¥í¥Ã¥¯ & ¥ê¥»¥Ã¥È **********/ .clk (clk), // ¥¯¥í¥Ã¥¯ .reset (reset), // ·ÇͬÆÚ¥ê¥»¥Ã¥È /********** ¥Ç¥³©`¥É½Y¹û **********/ .alu_op (alu_op), // ALU¥ª¥Ú¥ì©`¥·¥ç¥ó .alu_in_0 (alu_in_0), // ALUÈëÁ¦ 0 .alu_in_1 (alu_in_1), // ALUÈëÁ¦ 1 .br_flag (br_flag), // ·Ö᪥ե饰 .mem_op (mem_op), // ¥á¥â¥ê¥ª¥Ú¥ì©`¥·¥ç¥ó .mem_wr_data (mem_wr_data), // ¥á¥â¥ê•ø¤­Þz¤ß¥Ç©`¥¿ .ctrl_op (ctrl_op), // ÖÆÓù¥ª¥Ú¥ì©`¥·¥ç¥ó .dst_addr (dst_addr), // šøÓå쥸¥¹¥¿•ø¤­Þz¤ß¥¢¥É¥ì¥¹ .gpr_we_ (gpr_we_), // šøÓå쥸¥¹¥¿•ø¤­Þz¤ßÓЄ¿ .exp_code (exp_code), // ÀýÍ⥳©`¥É /********** ¥Ñ¥¤¥×¥é¥¤¥óÖÆÓùÐźŠ**********/ .stall (stall), // ¥¹¥È©`¥ë .flush (flush), // ¥Õ¥é¥Ã¥·¥å /********** IF/ID¥Ñ¥¤¥×¥é¥¤¥ó¥ì¥¸¥¹¥¿ **********/ .if_pc (if_pc), // ¥×¥í¥°¥é¥à¥«¥¦¥ó¥¿ .if_en (if_en), // ¥Ñ¥¤¥×¥é¥¤¥ó¥Ç©`¥¿¤ÎÓЄ¿ /********** ID/EX¥Ñ¥¤¥×¥é¥¤¥ó¥ì¥¸¥¹¥¿ **********/ .id_pc (id_pc), // ¥×¥í¥°¥é¥à¥«¥¦¥ó¥¿ .id_en (id_en), // ¥Ñ¥¤¥×¥é¥¤¥ó¥Ç©`¥¿¤ÎÓЄ¿ .id_alu_op (id_alu_op), // ALU¥ª¥Ú¥ì©`¥·¥ç¥ó .id_alu_in_0 (id_alu_in_0), // ALUÈëÁ¦ 0 .id_alu_in_1 (id_alu_in_1), // ALUÈëÁ¦ 1 .id_br_flag (id_br_flag), // ·Ö᪥ե饰 .id_mem_op (id_mem_op), // ¥á¥â¥ê¥ª¥Ú¥ì©`¥·¥ç¥ó .id_mem_wr_data (id_mem_wr_data), // ¥á¥â¥ê•ø¤­Þz¤ß¥Ç©`¥¿ .id_ctrl_op (id_ctrl_op), // ÖÆÓù¥ª¥Ú¥ì©`¥·¥ç¥ó .id_dst_addr (id_dst_addr), // šøÓå쥸¥¹¥¿•ø¤­Þz¤ß¥¢¥É¥ì¥¹ .id_gpr_we_ (id_gpr_we_), // šøÓå쥸¥¹¥¿•ø¤­Þz¤ßÓЄ¿ .id_exp_code (id_exp_code) // ÀýÍ⥳©`¥É ); endmodule
/* * Copyright 2020 The SkyWater PDK Authors * * Licensed under the Apache License, Version 2.0 (the "License"); * you may not use this file except in compliance with the License. * You may obtain a copy of the License at * * https://www.apache.org/licenses/LICENSE-2.0 * * Unless required by applicable law or agreed to in writing, software * distributed under the License is distributed on an "AS IS" BASIS, * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. * See the License for the specific language governing permissions and * limitations under the License. * * SPDX-License-Identifier: Apache-2.0 */ `ifndef SKY130_FD_SC_HD__SDLCLKP_BEHAVIORAL_PP_V `define SKY130_FD_SC_HD__SDLCLKP_BEHAVIORAL_PP_V /** * sdlclkp: Scan gated clock. * * Verilog simulation functional model. */ `timescale 1ns / 1ps `default_nettype none // Import user defined primitives. `include "../../models/udp_dlatch_p_pp_pg_n/sky130_fd_sc_hd__udp_dlatch_p_pp_pg_n.v" `celldefine module sky130_fd_sc_hd__sdlclkp ( GCLK, SCE , GATE, CLK , VPWR, VGND, VPB , VNB ); // Module ports output GCLK; input SCE ; input GATE; input CLK ; input VPWR; input VGND; input VPB ; input VNB ; // Local signals wire m0 ; wire m0n ; wire clkn ; wire CLK_delayed ; wire SCE_delayed ; wire GATE_delayed ; wire SCE_gate_delayed; reg notifier ; wire awake ; wire SCE_awake ; wire GATE_awake ; // Name Output Other arguments not not0 (m0n , m0 ); not not1 (clkn , CLK_delayed ); nor nor0 (SCE_gate_delayed, GATE_delayed, SCE_delayed ); sky130_fd_sc_hd__udp_dlatch$P_pp$PG$N dlatch0 (m0 , SCE_gate_delayed, clkn, notifier, VPWR, VGND); and and0 (GCLK , m0n, CLK_delayed ); assign awake = ( VPWR === 1'b1 ); assign SCE_awake = ( awake & ( GATE_delayed === 1'b0 ) ); assign GATE_awake = ( awake & ( SCE_delayed === 1'b0 ) ); endmodule `endcelldefine `default_nettype wire `endif // SKY130_FD_SC_HD__SDLCLKP_BEHAVIORAL_PP_V
`timescale 1ns / 1ps //////////////////////////////////////////////////////////////////////////////// // Company: // Engineer: // // Create Date: 18:47:19 02/21/2016 // Design Name: parityChecker // Module Name: F:/VLSI Lab/parityChecker/parityCheckerTest.v // Project Name: parityChecker // Target Device: // Tool versions: // Description: // // Verilog Test Fixture created by ISE for module: parityChecker // // Dependencies: // // Revision: // Revision 0.01 - File Created // Additional Comments: // //////////////////////////////////////////////////////////////////////////////// module parityCheckerTest; // Inputs reg [3:0] data; reg parity; // Outputs wire parity_result; // Instantiate the Unit Under Test (UUT) parityChecker uut ( .data(data), .parity(parity), .parity_result(parity_result) ); initial begin // Initialize Inputs data = 4'b0101; parity = 0; #10; data = 4'b1101; parity = 0; #10; data = 4'b0101; parity = 1; #10; data = 4'b1101; parity = 1; #10; $stop; end endmodule
// megafunction wizard: %FIFO%VBB% // GENERATION: STANDARD // VERSION: WM1.0 // MODULE: dcfifo // ============================================================ // File Name: fifo_174x128.v // Megafunction Name(s): // dcfifo // // Simulation Library Files(s): // altera_mf // ============================================================ // ************************************************************ // THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE! // // 6.1 Build 201 11/27/2006 SJ Full Version // ************************************************************ //Copyright (C) 1991-2006 Altera Corporation //Your use of Altera Corporation's design tools, logic functions //and other software and tools, and its AMPP partner logic //functions, and any output files from any of the foregoing //(including device programming or simulation files), and any //associated documentation or information are expressly subject //to the terms and conditions of the Altera Program License //Subscription Agreement, Altera MegaCore Function License //Agreement, or other applicable license agreement, including, //without limitation, that your use is for the sole purpose of //programming logic devices manufactured by Altera and sold by //Altera or its authorized distributors. Please refer to the //applicable agreement for further details. module fifo_174x128 ( data, rdclk, rdreq, wrclk, wrreq, q, rdempty, wrempty, wrfull, wrusedw); input [173:0] data; input rdclk; input rdreq; input wrclk; input wrreq; output [173:0] q; output rdempty; output wrempty; output wrfull; output [6:0] wrusedw; endmodule // ============================================================ // CNX file retrieval info // ============================================================ // Retrieval info: PRIVATE: AlmostEmpty NUMERIC "0" // Retrieval info: PRIVATE: AlmostEmptyThr NUMERIC "-1" // Retrieval info: PRIVATE: AlmostFull NUMERIC "0" // Retrieval info: PRIVATE: AlmostFullThr NUMERIC "-1" // Retrieval info: PRIVATE: CLOCKS_ARE_SYNCHRONIZED NUMERIC "0" // Retrieval info: PRIVATE: Clock NUMERIC "4" // Retrieval info: PRIVATE: Depth NUMERIC "128" // Retrieval info: PRIVATE: Empty NUMERIC "1" // Retrieval info: PRIVATE: Full NUMERIC "1" // Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "Cyclone II" // Retrieval info: PRIVATE: LE_BasedFIFO NUMERIC "0" // Retrieval info: PRIVATE: LegacyRREQ NUMERIC "1" // Retrieval info: PRIVATE: MAX_DEPTH_BY_9 NUMERIC "0" // Retrieval info: PRIVATE: OVERFLOW_CHECKING NUMERIC "1" // Retrieval info: PRIVATE: Optimize NUMERIC "2" // Retrieval info: PRIVATE: RAM_BLOCK_TYPE NUMERIC "0" // Retrieval info: PRIVATE: UNDERFLOW_CHECKING NUMERIC "1" // Retrieval info: PRIVATE: UsedW NUMERIC "1" // Retrieval info: PRIVATE: Width NUMERIC "174" // Retrieval info: PRIVATE: dc_aclr NUMERIC "0" // Retrieval info: PRIVATE: diff_widths NUMERIC "0" // Retrieval info: PRIVATE: output_width NUMERIC "174" // Retrieval info: PRIVATE: rsEmpty NUMERIC "1" // Retrieval info: PRIVATE: rsFull NUMERIC "0" // Retrieval info: PRIVATE: rsUsedW NUMERIC "0" // Retrieval info: PRIVATE: sc_aclr NUMERIC "0" // Retrieval info: PRIVATE: sc_sclr NUMERIC "0" // Retrieval info: PRIVATE: wsEmpty NUMERIC "1" // Retrieval info: PRIVATE: wsFull NUMERIC "1" // Retrieval info: PRIVATE: wsUsedW NUMERIC "1" // Retrieval info: CONSTANT: INTENDED_DEVICE_FAMILY STRING "Cyclone II" // Retrieval info: CONSTANT: LPM_HINT STRING "MAXIMIZE_SPEED=5," // Retrieval info: CONSTANT: LPM_NUMWORDS NUMERIC "128" // Retrieval info: CONSTANT: LPM_SHOWAHEAD STRING "OFF" // Retrieval info: CONSTANT: LPM_TYPE STRING "dcfifo" // Retrieval info: CONSTANT: LPM_WIDTH NUMERIC "174" // Retrieval info: CONSTANT: LPM_WIDTHU NUMERIC "7" // Retrieval info: CONSTANT: OVERFLOW_CHECKING STRING "OFF" // Retrieval info: CONSTANT: RDSYNC_DELAYPIPE NUMERIC "4" // Retrieval info: CONSTANT: UNDERFLOW_CHECKING STRING "OFF" // Retrieval info: CONSTANT: USE_EAB STRING "ON" // Retrieval info: CONSTANT: WRSYNC_DELAYPIPE NUMERIC "4" // Retrieval info: USED_PORT: data 0 0 174 0 INPUT NODEFVAL data[173..0] // Retrieval info: USED_PORT: q 0 0 174 0 OUTPUT NODEFVAL q[173..0] // Retrieval info: USED_PORT: rdclk 0 0 0 0 INPUT NODEFVAL rdclk // Retrieval info: USED_PORT: rdempty 0 0 0 0 OUTPUT NODEFVAL rdempty // Retrieval info: USED_PORT: rdreq 0 0 0 0 INPUT NODEFVAL rdreq // Retrieval info: USED_PORT: wrclk 0 0 0 0 INPUT NODEFVAL wrclk // Retrieval info: USED_PORT: wrempty 0 0 0 0 OUTPUT NODEFVAL wrempty // Retrieval info: USED_PORT: wrfull 0 0 0 0 OUTPUT NODEFVAL wrfull // Retrieval info: USED_PORT: wrreq 0 0 0 0 INPUT NODEFVAL wrreq // Retrieval info: USED_PORT: wrusedw 0 0 7 0 OUTPUT NODEFVAL wrusedw[6..0] // Retrieval info: CONNECT: @data 0 0 174 0 data 0 0 174 0 // Retrieval info: CONNECT: q 0 0 174 0 @q 0 0 174 0 // Retrieval info: CONNECT: @wrreq 0 0 0 0 wrreq 0 0 0 0 // Retrieval info: CONNECT: @rdreq 0 0 0 0 rdreq 0 0 0 0 // Retrieval info: CONNECT: @rdclk 0 0 0 0 rdclk 0 0 0 0 // Retrieval info: CONNECT: @wrclk 0 0 0 0 wrclk 0 0 0 0 // Retrieval info: CONNECT: rdempty 0 0 0 0 @rdempty 0 0 0 0 // Retrieval info: CONNECT: wrfull 0 0 0 0 @wrfull 0 0 0 0 // Retrieval info: CONNECT: wrempty 0 0 0 0 @wrempty 0 0 0 0 // Retrieval info: CONNECT: wrusedw 0 0 7 0 @wrusedw 0 0 7 0 // Retrieval info: LIBRARY: altera_mf altera_mf.altera_mf_components.all // Retrieval info: GEN_FILE: TYPE_NORMAL fifo_174x128.v TRUE // Retrieval info: GEN_FILE: TYPE_NORMAL fifo_174x128.inc FALSE // Retrieval info: GEN_FILE: TYPE_NORMAL fifo_174x128.cmp FALSE // Retrieval info: GEN_FILE: TYPE_NORMAL fifo_174x128.bsf TRUE // Retrieval info: GEN_FILE: TYPE_NORMAL fifo_174x128_inst.v FALSE // Retrieval info: GEN_FILE: TYPE_NORMAL fifo_174x128_bb.v TRUE // Retrieval info: LIB_FILE: altera_mf
/* * Copyright 2020 The SkyWater PDK Authors * * Licensed under the Apache License, Version 2.0 (the "License"); * you may not use this file except in compliance with the License. * You may obtain a copy of the License at * * https://www.apache.org/licenses/LICENSE-2.0 * * Unless required by applicable law or agreed to in writing, software * distributed under the License is distributed on an "AS IS" BASIS, * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. * See the License for the specific language governing permissions and * limitations under the License. * * SPDX-License-Identifier: Apache-2.0 */ `ifndef SKY130_FD_SC_MS__DLYMETAL6S2S_FUNCTIONAL_PP_V `define SKY130_FD_SC_MS__DLYMETAL6S2S_FUNCTIONAL_PP_V /** * dlymetal6s2s: 6-inverter delay with output from 2nd stage on * horizontal route. * * Verilog simulation functional model. */ `timescale 1ns / 1ps `default_nettype none // Import user defined primitives. `include "../../models/udp_pwrgood_pp_pg/sky130_fd_sc_ms__udp_pwrgood_pp_pg.v" `celldefine module sky130_fd_sc_ms__dlymetal6s2s ( X , A , VPWR, VGND, VPB , VNB ); // Module ports output X ; input A ; input VPWR; input VGND; input VPB ; input VNB ; // Local signals wire buf0_out_X ; wire pwrgood_pp0_out_X; // Name Output Other arguments buf buf0 (buf0_out_X , A ); sky130_fd_sc_ms__udp_pwrgood_pp$PG pwrgood_pp0 (pwrgood_pp0_out_X, buf0_out_X, VPWR, VGND); buf buf1 (X , pwrgood_pp0_out_X ); endmodule `endcelldefine `default_nettype wire `endif // SKY130_FD_SC_MS__DLYMETAL6S2S_FUNCTIONAL_PP_V
/////////////////////////////////////////////////////////////////////////////// // // Module: rgmii_io.v // Project: NetFPGA // Description: Instantiate the IO flops for the rgmii interface for one TEMAC. // // See the Xilinx TriMode Ethernet MAC USer Guide (UG138) for details // /////////////////////////////////////////////////////////////////////////////// module rgmii_io ( //----------------------------------------------------------------------- //-- Pad side signals //----------------------------------------------------------------------- output wire [3:0] rgmii_txd , output wire rgmii_tx_ctl , output wire rgmii_txc , input wire [3:0] rgmii_rxd , input wire rgmii_rx_ctl , //----------------------------------------------------------------------- //-- Core side signals //----------------------------------------------------------------------- input wire [7:0] gmii_txd_int , // Internal gmii_txd signal. input wire gmii_tx_en_int , input wire gmii_tx_er_int , output wire gmii_col_int , output wire gmii_crs_int , output reg [7:0] gmii_rxd_reg , // RGMII double data rate data valid. output reg gmii_rx_dv_reg , // gmii_rx_dv_ibuf registered in IOBs. output reg gmii_rx_er_reg , // gmii_rx_er_ibuf registered in IOBs. //----------------------------------------------------------------------- //-- Clocks and misc //----------------------------------------------------------------------- output reg eth_link_status , output reg [1:0] eth_clock_speed , output reg eth_duplex_status , // Following are generated by DCMs input wire tx_rgmii_clk_int , // Internal RGMII transmitter clock. input wire tx_rgmii_clk90_int, // Internal RGMII transmitter clock w/ 90 deg phase input wire rx_rgmii_clk_int , // Internal RGMII receiver clock input wire reset ); reg [7:0] gmii_txd_rising; // gmii_txd signal registered on the rising edge of tx_rgmii_clk_int. reg gmii_tx_en_rising; // gmii_tx_en signal registered on the rising edge of tx_rgmii_clk_int. reg rgmii_tx_ctl_rising; // RGMII control signal registered on the rising edge of tx_rgmii_clk_int. reg [3:0] gmii_txd_falling; // gmii_txd signal registered on the falling edge of tx_rgmii_clk_int reg rgmii_tx_ctl_falling;// RGMII control signal registered on the falling edge of tx_rgmii_clk_int. wire [3:0] rgmii_txd_obuf; // RGMII transmit data output. //(* IOB="FORCE" *) wire [3:0] rgmii_rxd_ibuf; // RGMII receiver data input. //(* IOB="FORCE" *) wire rgmii_rx_ctl_ibuf; reg [7:0] rgmii_rxd_ddr; reg rgmii_rx_dv_ddr; // Inverted version of the rx_rgmii_clk_int signal. reg rgmii_rx_ctl_ddr; // RGMII double data rate data. reg [7:0] rgmii_rxd_reg; // RGMII double data rate data valid. reg rgmii_rx_dv_reg; // RGMII double data rate control signal. reg rgmii_rx_ctl_reg; // RGMII data. gmii_tx_en signal. //---------------------------------------------------------------- // Transmit interface //---------------------------------------------------------------- //---------------------------------------------------------------- // Tx clock. // Instantiate a DDR output register. This is a good way to drive // RGMII_TXC since the clock-to-PAD delay will be the same as that // for data driven from IOB Ouput flip-flops eg rgmii_rxd[3:0]. // This is set to produce a 90 degree phase shifted clock w.r.t. // gtx_clk_bufg so that the clock edges are centralised within the // rgmii_txd[3:0] valid window. //---------------------------------------------------------------- wire rgmii_txc_obuf; ODDR #( .DDR_CLK_EDGE("OPPOSITE_EDGE"), .INIT(1'b0), .SRTYPE("SYNC") ) ODDR_inst ( .Q(rgmii_txc_obuf), .C(tx_rgmii_clk90_int), .CE(1'b1), .D1(1'b1), .D2(1'b0), .R(1'b0), .S(1'b0) ); // drive clock through Output Buffers and onto PADS. OBUF drive_rgmii_txc (.I(rgmii_txc_obuf), .O(rgmii_txc)); //------------------------------------------------------------------- // RGMII Transmitter Logic : // drive TX signals through IOBs onto RGMII interface //------------------------------------------------------------------- // Encode rgmii ctl signal wire rgmii_tx_ctl_int; assign rgmii_tx_ctl_int = gmii_tx_en_int ^ gmii_tx_er_int; // Register all output signals on rising edge of gtx_clk_bufg always @(posedge tx_rgmii_clk_int or posedge reset) begin if (reset) begin gmii_txd_rising <= 8'b0; gmii_tx_en_rising <= 1'b0; rgmii_tx_ctl_rising <= 1'b0; end else begin gmii_txd_rising <= gmii_txd_int; gmii_tx_en_rising <= gmii_tx_en_int; rgmii_tx_ctl_rising <= rgmii_tx_ctl_int; end end wire not_tx_rgmii_clk_int; assign not_tx_rgmii_clk_int = ~(tx_rgmii_clk_int); // Register falling edge RGMII output signals on rising edge of not_gtx_clk_bufg always @(posedge not_tx_rgmii_clk_int or posedge reset) begin if (reset) begin gmii_txd_falling <= 4'b0; rgmii_tx_ctl_falling <= 1'b0; end else begin gmii_txd_falling <= gmii_txd_rising[7:4]; rgmii_tx_ctl_falling <= rgmii_tx_ctl_rising; end end ODDR #( .DDR_CLK_EDGE("OPPOSITE_EDGE"), .INIT(1'b0), .SRTYPE("SYNC") ) ODDR_rgmii_txd_out3 ( .Q(rgmii_txd_obuf[3]), .C(tx_rgmii_clk_int), .CE(1'b1), .D1(gmii_txd_rising[3]), .D2(gmii_txd_falling[3]), .R(reset), .S(1'b0) ); ODDR #( .DDR_CLK_EDGE("OPPOSITE_EDGE"), .INIT(1'b0), .SRTYPE("SYNC") ) ODDR_rgmii_txd_out2 ( .Q(rgmii_txd_obuf[2]), .C(tx_rgmii_clk_int), .CE(1'b1), .D1(gmii_txd_rising[2]), .D2(gmii_txd_falling[2]), .R(reset), .S(1'b0) ); ODDR #( .DDR_CLK_EDGE("OPPOSITE_EDGE"), .INIT(1'b0), .SRTYPE("SYNC") ) ODDR_rgmii_txd_out1 ( .Q(rgmii_txd_obuf[1]), .C(tx_rgmii_clk_int), .CE(1'b1), .D1(gmii_txd_rising[1]), .D2(gmii_txd_falling[1]), .R(reset), .S(1'b0) ); ODDR #( .DDR_CLK_EDGE("OPPOSITE_EDGE"), .INIT(1'b0), .SRTYPE("SYNC") ) ODDR_rgmii_txd_out0 ( .Q(rgmii_txd_obuf[0]), .C(tx_rgmii_clk_int), .CE(1'b1), .D1(gmii_txd_rising[0]), .D2(gmii_txd_falling[0]), .R(reset), .S(1'b0) ); wire rgmii_tx_ctl_obuf; ODDR #( .DDR_CLK_EDGE("OPPOSITE_EDGE"), .INIT(1'b0), .SRTYPE("SYNC") ) ODDR_rgmii_txd_ctl ( .Q(rgmii_tx_ctl_obuf), .C(tx_rgmii_clk_int), .CE(1'b1), .D1(gmii_tx_en_rising), .D2(rgmii_tx_ctl_falling), .R(reset), .S(1'b0) ); // Drive RGMII Tx signals through Output Buffers and onto PADS. OBUF drive_rgmii_tx_ctl (.I(rgmii_tx_ctl_obuf), .O(rgmii_tx_ctl)); OBUF drive_rgmii_txd3 (.I(rgmii_txd_obuf[3]), .O(rgmii_txd[3])); OBUF drive_rgmii_txd2 (.I(rgmii_txd_obuf[2]), .O(rgmii_txd[2])); OBUF drive_rgmii_txd1 (.I(rgmii_txd_obuf[1]), .O(rgmii_txd[1])); OBUF drive_rgmii_txd0 (.I(rgmii_txd_obuf[0]), .O(rgmii_txd[0])); //---------------------------------------------------------------- // Receive interface //---------------------------------------------------------------- //------------------------------------------------------------------- // RGMII Receiver Logic : receive RGMII_RX signals through IOBs from // RGMII interface and convert to gmii_rx signals. //------------------------------------------------------------------- // Drive input RGMII Rx signals from PADS through Input Buffers. IBUF drive_rgmii_rx_ctl (.I(rgmii_rx_ctl), .O(rgmii_rx_ctl_ibuf)); IBUF drive_rgmii_rxd3 (.I(rgmii_rxd[3]), .O(rgmii_rxd_ibuf[3])); IBUF drive_rgmii_rxd2 (.I(rgmii_rxd[2]), .O(rgmii_rxd_ibuf[2])); IBUF drive_rgmii_rxd1 (.I(rgmii_rxd[1]), .O(rgmii_rxd_ibuf[1])); IBUF drive_rgmii_rxd0 (.I(rgmii_rxd[0]), .O(rgmii_rxd_ibuf[0])); // Infer Double Data Rate Input flip-flops. always @(posedge rx_rgmii_clk_int or posedge reset) begin if (reset) begin rgmii_rxd_ddr[3:0] <= 4'b0; rgmii_rx_dv_ddr <= 1'b0; end else begin rgmii_rxd_ddr[3:0] <= rgmii_rxd_ibuf; rgmii_rx_dv_ddr <= rgmii_rx_ctl_ibuf; end end wire not_rx_rgmii_clk_int; assign not_rx_rgmii_clk_int = ~(rx_rgmii_clk_int); always @(posedge not_rx_rgmii_clk_int or posedge reset) begin if (reset) begin rgmii_rxd_ddr[7:4] <= 4'b0; rgmii_rx_ctl_ddr <= 1'b0; end else begin rgmii_rxd_ddr[7:4] <= rgmii_rxd_ibuf; rgmii_rx_ctl_ddr <= rgmii_rx_ctl_ibuf; end end // Register DDR signals internally to FPGA fabric always @(posedge rx_rgmii_clk_int or posedge reset) begin if (reset) begin rgmii_rxd_reg[3:0] <= 4'b0; rgmii_rx_dv_reg <= 1'b0; end else begin rgmii_rxd_reg[3:0] <= rgmii_rxd_ddr[3:0]; rgmii_rx_dv_reg <= rgmii_rx_dv_ddr; end end // always @(posedge rx_rgmii_clk_int or posedge reset) always @(posedge not_rx_rgmii_clk_int or posedge reset) begin if (reset) begin rgmii_rxd_reg[7:4] <= 4'b0; rgmii_rx_ctl_reg <= 1'b0; end else begin rgmii_rxd_reg[7:4] <= rgmii_rxd_ddr[7:4]; rgmii_rx_ctl_reg <= rgmii_rx_ctl_ddr; end end // Register all input signals on rising edge of gmii_rx_clk_bufg to syncronise. always @(posedge rx_rgmii_clk_int or posedge reset) begin if (reset) begin gmii_rxd_reg[7:0] <= 8'b0; gmii_rx_dv_reg <= 1'b0; gmii_rx_er_reg <= 1'b0; end else begin gmii_rxd_reg[7:0] <= rgmii_rxd_reg[7:0]; gmii_rx_dv_reg <= rgmii_rx_dv_reg; gmii_rx_er_reg <= rgmii_rx_ctl_reg ^ rgmii_rx_dv_reg; end end //-------------------------------------------------------------------- // RGMII Inband Status Registers // extract the inband status from received rgmii data //-------------------------------------------------------------------- // Enable inband status registers during Interframe Gap wire inband_ce; assign inband_ce = !(gmii_rx_dv_reg || gmii_rx_er_reg); always @(posedge rx_rgmii_clk_int or posedge reset) begin if (reset) begin eth_link_status <= 1'b0; eth_clock_speed[1:0] <= 2'b0; eth_duplex_status <= 1'b0; end else if (inband_ce) begin eth_link_status <= gmii_rxd_reg[0]; eth_clock_speed[1:0] <= gmii_rxd_reg[2:1]; eth_duplex_status <= gmii_rxd_reg[3]; end end assign gmii_col_int = (gmii_tx_en_int | gmii_tx_er_int) & (gmii_rx_dv_reg | gmii_rx_er_reg); assign gmii_crs_int = (gmii_tx_en_int | gmii_tx_er_int) | (gmii_rx_dv_reg | gmii_rx_er_reg); endmodule // rgmii_io
module stream_asyn_fifo_xlx #( parameter FWFTEN = 1, parameter ADDRWIDTH = 6, parameter DATAWIDTH = 8, parameter [ADDRWIDTH:0] FIFODEPTH = 44, parameter [ADDRWIDTH:0] HEADSIZE = 0 ) ( input w_rst_n , input w_clk , input [ 2:0] w_ctrl , output w_full , output w_error , output [ADDRWIDTH:0] w_counter , input [DATAWIDTH-1:0] w_data , // read-side input r_rst_n , input r_clk , input r_en , output r_valid , output r_error , output [ADDRWIDTH:0] r_counter , output [DATAWIDTH-1:0] r_data ); wire [ADDRWIDTH-1:0] w_ram_addr; wire w_ram_en; wire [ADDRWIDTH-1:0] r_ram_addr; wire r_ram_en; stream_asyn_fifo_controller #( .FWFTEN ( FWFTEN ), .ADDRWIDTH ( ADDRWIDTH ), .FIFODEPTH ( FIFODEPTH ), .HEADSIZE ( HEADSIZE ) ) fifo_controller_inst ( .w_rst_n ( w_rst_n ), // I .w_clk ( w_clk ), // I .w_ctrl ( w_ctrl ), // I .w_full ( w_full ), // O .w_error ( w_error ), // O .w_counter ( w_counter ), // O [ADDRSIZE:0] .r_rst_n ( r_rst_n ), // I .r_clk ( r_clk ), // I .r_en ( r_en ), // I .r_valid ( r_valid ), // O .r_error ( r_error ), // O .r_counter ( r_counter ), // O [ADDRSIZE:0] .w_ram_addr ( w_ram_addr ), // O [ADDRSIZE-1:0] .w_ram_en ( w_ram_en ), // O .r_ram_addr ( r_ram_addr ), // O [ADDRSIZE-1:0] .r_ram_en ( r_ram_en ) // O ); // instantiation of stream_asyn_fifo_controller dpram_xlx #( .ADDRWIDTH ( ADDRWIDTH ), .DATAWIDTH ( DATAWIDTH ), .DEPTH ( FIFODEPTH ) ) dpram_xlx_inst ( .clka (w_clk ), .ena (w_ram_en ), .wea (w_ram_en ), .addra (w_ram_addr ), // Bus [13 : 0] .dina (w_data ), // Bus [8 : 0] .douta ( ), // Bus [8 : 0] .clkb (r_clk ), .enb (r_ram_en ), .web (1'b0 ), .addrb (r_ram_addr ), // Bus [13 : 0] .dinb ( { DATAWIDTH {1'b0} } ), // Bus [8 : 0] .doutb (r_data ) // Bus [8 : 0] ); // endmodule
//====================================================================== // // gcm_core.v // ---------- // Galois Counter Mode core for AES. // // // Author: Joachim Strombergson // Copyright (c) 2016, Secworks Sweden AB // All rights reserved. // // Redistribution and use in source and binary forms, with or // without modification, are permitted provided that the following // conditions are met: // // 1. Redistributions of source code must retain the above copyright // notice, this list of conditions and the following disclaimer. // // 2. Redistributions in binary form must reproduce the above copyright // notice, this list of conditions and the following disclaimer in // the documentation and/or other materials provided with the // distribution. // // THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS // "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT // LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS // FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE // COPYRIGHT OWNER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, // INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, // BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; // LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER // CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, // STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) // ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF // ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. // //====================================================================== module gcm_core( input wire clk, input wire reset_n, input wire init, input wire next, input wire done, input wire enc_dec, input wire keylen, input wire [1 : 0] taglen, output wire ready, output wire valid, output wire tag_correct, input wire [255 : 0] key, input wire [127 : 0] nonce, input wire [127 : 0] block_in, output wire [127 : 0] block_out, input wire [127 : 0] tag_in, output wire [127 : 0] tag_out ); //---------------------------------------------------------------- // Internal constant and parameter definitions. //---------------------------------------------------------------- localparam CTRL_IDLE = 3'h0; localparam CTRL_INIT = 3'h1; //---------------------------------------------------------------- // Registers including update variables and write enable. //---------------------------------------------------------------- reg [127 : 0] ctr_reg; reg [127 : 0] ctr_new; reg ctr_we; reg [2 : 0] gcm_ctrl_reg; reg [2 : 0] gcm_ctrl_new; reg gcm_ctrl_we; //---------------------------------------------------------------- // Wires. //---------------------------------------------------------------- reg aes_init; reg aes_next; wire aes_encdec; wire aes_ready; wire aes_valid; reg ctr_init; reg ctr_next; reg ghash_init; reg ghash_next; wire ghash_ready; reg [127 : 0] ghash_h0; reg [127 : 0] ghash_x; wire [127 : 0] ghash_y; //---------------------------------------------------------------- // Concurrent connectivity for ports etc. //---------------------------------------------------------------- // We will only use the AES core for encryption. We hardwire // the operation. This will allow the synthesis tool to remove // the decryption datapath. assign aes_encdec = 1; //---------------------------------------------------------------- // Core instantiations. //---------------------------------------------------------------- aes_core aes( .clk(clk), .reset_n(reset_n), .encdec(aes_encdec), .init(aes_init), .next(aes_next), .ready(aes_ready), .key(key), .keylen(keylen), .block(block_in), .result(block_out), .result_valid(aes_valid) ); gcm_ghash ghash( .clk(clk), .reset_n(reset_n), .init(ghash_init), .next(ghash_next), .ready(ghash_ready), .h0(ghash_h0), .x(ghash_x), .y(ghash_y) ); //---------------------------------------------------------------- // reg_update // // Update functionality for all registers in the core. // All registers are positive edge triggered with synchronous // active low reset. //---------------------------------------------------------------- always @ (posedge clk) begin : reg_update integer i; if (!reset_n) begin ctr_reg <= 64'h0; gcm_ctrl_reg <= CTRL_IDLE; end else begin if (ctr_we) ctr_reg <= ctr_new; if (gcm_ctrl_we) gcm_ctrl_reg <= gcm_ctrl_new; end end // reg_update //---------------------------------------------------------------- // ctr_logic //---------------------------------------------------------------- always @* begin : ctr_logic ctr_new = 128'h0; ctr_we = 0; if (ctr_init) begin ctr_new = nonce; ctr_we = 1; end if (ctr_next) begin ctr_new = {ctr_reg[127 : 64], ctr_reg[63 : 0] + 1'b1}; ctr_we = 1; end end // ctr_logic //---------------------------------------------------------------- // gcm_core_ctrl_fsm //---------------------------------------------------------------- always @* begin : gcm_core_ctrl_fsm aes_init = 0; aes_next = 0; ctr_init = 0; ctr_next = 0; gcm_ctrl_new = CTRL_IDLE; gcm_ctrl_we = 0; case (gcm_ctrl_reg) CTRL_IDLE: begin if (init) begin gcm_ctrl_new = CTRL_INIT; gcm_ctrl_we = 1; end end CTRL_INIT: begin gcm_ctrl_new = CTRL_IDLE; gcm_ctrl_we = 1; end default: begin end endcase // case (gcm_ctrl_reg) end // gcm_core_ctrl_fsm endmodule // gcm_core //====================================================================== // EOF gcm_core.v //======================================================================
// -------------------------------------------------------------------------------- //| Avalon ST Packets to Bytes Component // -------------------------------------------------------------------------------- `timescale 1ns / 100ps module altera_avalon_st_packets_to_bytes //if ENCODING ==0, CHANNEL_WIDTH must be 8 //else CHANNEL_WIDTH can be from 0 to 127 #( parameter CHANNEL_WIDTH = 8, parameter ENCODING = 0) ( // Interface: clk input clk, input reset_n, // Interface: ST in with packets output reg in_ready, input in_valid, input [7: 0] in_data, input [CHANNEL_WIDTH-1: 0] in_channel, input in_startofpacket, input in_endofpacket, // Interface: ST out input out_ready, output reg out_valid, output reg [7: 0] out_data ); // --------------------------------------------------------------------- //| Signal Declarations // --------------------------------------------------------------------- localparam CHN_COUNT = CHANNEL_WIDTH/7; reg sent_esc, sent_sop, sent_eop; reg sent_channel_char, channel_escaped, sent_channel; reg [CHANNEL_WIDTH:0] stored_channel; reg [4:0] channel_count; reg [((CHANNEL_WIDTH/7+1)*7)-1:0] stored_varchannel; reg channel_needs_esc; wire need_sop, need_eop, need_esc, need_channel; // --------------------------------------------------------------------- //| Thingofamagick // --------------------------------------------------------------------- assign need_esc = (in_data === 8'h7a | in_data === 8'h7b | in_data === 8'h7c | in_data === 8'h7d ); assign need_eop = (in_endofpacket); assign need_sop = (in_startofpacket); generate if( CHANNEL_WIDTH > 0) begin wire channel_changed; assign channel_changed = (in_channel != stored_channel); assign need_channel = (need_sop | channel_changed); always @(posedge clk or negedge reset_n) begin if (!reset_n) begin sent_esc <= 0; sent_sop <= 0; sent_eop <= 0; sent_channel <= 0; channel_escaped <= 0; sent_channel_char <= 0; out_data <= 0; out_valid <= 0; channel_count <= 0; channel_needs_esc <= 0; end else begin if (out_ready ) out_valid <= 0; if ((out_ready | ~out_valid) && in_valid ) out_valid <= 1; if ((out_ready | ~out_valid) && in_valid) begin if (need_channel & ~sent_channel) begin if (~sent_channel_char) begin sent_channel_char <= 1; out_data <= 8'h7c; channel_count <= CHN_COUNT[4:0]; stored_varchannel <= in_channel; if (ENCODING == 0) begin channel_needs_esc <= (in_channel == 8'h7a | in_channel == 8'h7b | in_channel == 8'h7c | in_channel == 8'h7d ); end end else if (channel_needs_esc & ~channel_escaped) begin out_data <= 8'h7d; channel_escaped <= 1; end else if (~sent_channel) begin if (ENCODING) begin // Sending out MSB=1, while not last 7 bits of Channel if (channel_count > 0) begin if (channel_needs_esc) out_data <= {1'b1, stored_varchannel[((CHANNEL_WIDTH/7+1)*7)-1:((CHANNEL_WIDTH/7+1)*7)-7]} ^ 8'h20; else out_data <= {1'b1, stored_varchannel[((CHANNEL_WIDTH/7+1)*7)-1:((CHANNEL_WIDTH/7+1)*7)-7]}; stored_varchannel <= stored_varchannel<<7; channel_count <= channel_count - 1'b1; // check whether the last 7 bits need escape or not if (channel_count ==1 & CHANNEL_WIDTH > 7) begin channel_needs_esc <= ((stored_varchannel[((CHANNEL_WIDTH/7+1)*7)-8:((CHANNEL_WIDTH/7+1)*7)-14] == 7'h7a)| (stored_varchannel[((CHANNEL_WIDTH/7+1)*7)-8:((CHANNEL_WIDTH/7+1)*7)-14] == 7'h7b) | (stored_varchannel[((CHANNEL_WIDTH/7+1)*7)-8:((CHANNEL_WIDTH/7+1)*7)-14] == 7'h7c) | (stored_varchannel[((CHANNEL_WIDTH/7+1)*7)-8:((CHANNEL_WIDTH/7+1)*7)-14] == 7'h7d) ); end end else begin // Sending out MSB=0, last 7 bits of Channel if (channel_needs_esc) begin channel_needs_esc <= 0; out_data <= {1'b0, stored_varchannel[((CHANNEL_WIDTH/7+1)*7)-1:((CHANNEL_WIDTH/7+1)*7)-7]} ^ 8'h20; end else out_data <= {1'b0, stored_varchannel[((CHANNEL_WIDTH/7+1)*7)-1:((CHANNEL_WIDTH/7+1)*7)-7]}; sent_channel <= 1; end end else begin if (channel_needs_esc) begin channel_needs_esc <= 0; out_data <= in_channel ^ 8'h20; end else out_data <= in_channel; sent_channel <= 1; end end end else if (need_sop & ~sent_sop) begin sent_sop <= 1; out_data <= 8'h7a; end else if (need_eop & ~sent_eop) begin sent_eop <= 1; out_data <= 8'h7b; end else if (need_esc & ~sent_esc) begin sent_esc <= 1; out_data <= 8'h7d; end else begin if (sent_esc) out_data <= in_data ^ 8'h20; else out_data <= in_data; sent_esc <= 0; sent_sop <= 0; sent_eop <= 0; sent_channel <= 0; channel_escaped <= 0; sent_channel_char <= 0; end end end end //channel related signals always @(posedge clk or negedge reset_n) begin if (!reset_n) begin //extra bit in stored_channel to force reset stored_channel <= {CHANNEL_WIDTH{1'b1}}; end else begin //update stored_channel only when it is sent out if (sent_channel) stored_channel <= in_channel; end end always @* begin // in_ready. Low when: // back pressured, or when // we are outputting a control character, which means that one of // {escape_char, start of packet, end of packet, channel} // needs to be, but has not yet, been handled. in_ready = (out_ready | !out_valid) & in_valid & (~need_esc | sent_esc) & (~need_sop | sent_sop) & (~need_eop | sent_eop) & (~need_channel | sent_channel); end end else begin assign need_channel = (need_sop); always @(posedge clk or negedge reset_n) begin if (!reset_n) begin sent_esc <= 0; sent_sop <= 0; sent_eop <= 0; out_data <= 0; out_valid <= 0; sent_channel <= 0; sent_channel_char <= 0; end else begin if (out_ready ) out_valid <= 0; if ((out_ready | ~out_valid) && in_valid ) out_valid <= 1; if ((out_ready | ~out_valid) && in_valid) begin if (need_channel & ~sent_channel) begin if (~sent_channel_char) begin //Added sent channel 0 before the 1st SOP sent_channel_char <= 1; out_data <= 8'h7c; end else if (~sent_channel) begin out_data <= 'h0; sent_channel <= 1; end end else if (need_sop & ~sent_sop) begin sent_sop <= 1; out_data <= 8'h7a; end else if (need_eop & ~sent_eop) begin sent_eop <= 1; out_data <= 8'h7b; end else if (need_esc & ~sent_esc) begin sent_esc <= 1; out_data <= 8'h7d; end else begin if (sent_esc) out_data <= in_data ^ 8'h20; else out_data <= in_data; sent_esc <= 0; sent_sop <= 0; sent_eop <= 0; end end end end always @* begin in_ready = (out_ready | !out_valid) & in_valid & (~need_esc | sent_esc) & (~need_sop | sent_sop) & (~need_eop | sent_eop) & (~need_channel | sent_channel); end end endgenerate endmodule
/** * Copyright 2020 The SkyWater PDK Authors * * Licensed under the Apache License, Version 2.0 (the "License"); * you may not use this file except in compliance with the License. * You may obtain a copy of the License at * * https://www.apache.org/licenses/LICENSE-2.0 * * Unless required by applicable law or agreed to in writing, software * distributed under the License is distributed on an "AS IS" BASIS, * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. * See the License for the specific language governing permissions and * limitations under the License. * * SPDX-License-Identifier: Apache-2.0 */ `ifndef SKY130_FD_SC_LS__O2111A_1_V `define SKY130_FD_SC_LS__O2111A_1_V /** * o2111a: 2-input OR into first input of 4-input AND. * * X = ((A1 | A2) & B1 & C1 & D1) * * Verilog wrapper for o2111a with size of 1 units. * * WARNING: This file is autogenerated, do not modify directly! */ `timescale 1ns / 1ps `default_nettype none `include "sky130_fd_sc_ls__o2111a.v" `ifdef USE_POWER_PINS /*********************************************************/ `celldefine module sky130_fd_sc_ls__o2111a_1 ( X , A1 , A2 , B1 , C1 , D1 , VPWR, VGND, VPB , VNB ); output X ; input A1 ; input A2 ; input B1 ; input C1 ; input D1 ; input VPWR; input VGND; input VPB ; input VNB ; sky130_fd_sc_ls__o2111a base ( .X(X), .A1(A1), .A2(A2), .B1(B1), .C1(C1), .D1(D1), .VPWR(VPWR), .VGND(VGND), .VPB(VPB), .VNB(VNB) ); endmodule `endcelldefine /*********************************************************/ `else // If not USE_POWER_PINS /*********************************************************/ `celldefine module sky130_fd_sc_ls__o2111a_1 ( X , A1, A2, B1, C1, D1 ); output X ; input A1; input A2; input B1; input C1; input D1; // Voltage supply signals supply1 VPWR; supply0 VGND; supply1 VPB ; supply0 VNB ; sky130_fd_sc_ls__o2111a base ( .X(X), .A1(A1), .A2(A2), .B1(B1), .C1(C1), .D1(D1) ); endmodule `endcelldefine /*********************************************************/ `endif // USE_POWER_PINS `default_nettype wire `endif // SKY130_FD_SC_LS__O2111A_1_V
`timescale 1ns / 1ps ////////////////////////////////////////////////////////////////////////////////// // Company: BMSTU // Engineer: Oleg Odintsov // // Create Date: 15:09:47 01/19/2012 // Design Name: // Module Name: ag_main // Project Name: Agat Hardware Project // Target Devices: // Tool versions: // Description: // // Dependencies: // // Revision: // Revision 0.01 - File Created // Additional Comments: // ////////////////////////////////////////////////////////////////////////////////// module ROM2kx8(input CLK, input[10:0] AB, input CS, output[7:0] DO); reg[7:0] mem[0:2047]; reg[7:0] R; assign DO = CS? R: 8'bZ; always @(posedge CLK) if (CS) R <= mem[AB]; initial begin `include "monitor7.v" end endmodule module ag_main( input clk50x, input[3:0] btns, input[3:0] switches, output[7:0] leds, output[3:0] controls, output[4:0] vga_bus, input[1:0] ps2_bus_in ); // assign leds = 0; // assign controls = 0; // assign vga_bus = 0; wire clk1, clk1x, clk10, clk50; reg turbo = 0; BUFG bg1(clk50, clk50x); clk_div#5 cd5(clk50, clk10); clk_div#10 cd10(clk10, clk1x); BUFGMUX bgm1(clk1, clk1x, clk10, turbo); // assign clk1 = turbo?clk10:clk1x; wire clk_vram; wire[13:0] AB2; wire[15:0] DI2; wire [15:0] AB; // address bus wire [7:0] DI; // data in, read bus wire [7:0] DO; // data out, write bus wire read; wire rom_cs, ram_cs; wire phi_1, phi_2; RAM32Kx8x16 base_ram(phi_2, AB[14:0], ram_cs, read, DI, DO, clk_vram, AB2, 1, DI2); ROM2kx8 rom1(phi_2, AB[10:0], rom_cs, DI); wire [3:0] AB_HH = AB[15:12]; wire [3:0] AB_HL = AB[11:8]; wire [3:0] AB_LH = AB[7:4]; wire [3:0] AB_LL = AB[3:0]; wire [7:0] AB_H = AB[15:8]; wire [7:0] AB_L = AB[7:0]; wire AB_CXXX = (AB_HH == 4'hC); wire AB_FXXX = (AB_HH == 4'hF); wire AB_C0XX = AB_CXXX && !AB_HL; wire AB_C00X = AB_C0XX && (AB_LH == 4'h0); wire AB_C01X = AB_C0XX && (AB_LH == 4'h1); wire AB_C02X = AB_C0XX && (AB_LH == 4'h2); wire AB_C03X = AB_C0XX && (AB_LH == 4'h3); wire AB_C04X = AB_C0XX && (AB_LH == 4'h4); wire AB_C05X = AB_C0XX && (AB_LH == 4'h5); wire AB_C7XX = AB_CXXX && (AB_HL == 4'h7); reg timer_ints = 0; assign rom_cs = AB_FXXX && AB[11]; // F800-FFFF assign ram_cs = !AB[15]; reg reset_auto = 1; wire reset; wire WE = ~read; // write enable supply0 IRQ; // interrupt request wire NMI; // non-maskable interrupt request supply1 RDY; // Ready signal. Pauses CPU when RDY=0 supply1 SO; // Set Overflow, not used. wire SYNC; assign NMI = timer_ints & vga_bus[0]; reg[7:0] vmode = 0; wire[7:0] key_reg; wire key_rus; reg key_clear = 0; wire key_rst, key_pause; reg beep_reg = 0, tape_out_reg = 0; assign reset = btns[0]; assign leds = AB[11:4]; assign controls = {1'b0, beep_reg ^ tape_out_reg, tape_out_reg, beep_reg}; ag_video video(clk50, vmode, clk_vram, AB2, DI2, vga_bus); wire[1:0] ps2_bus; signal_filter sf1(clk1, ps2_bus_in[0], ps2_bus[0]); signal_filter sf2(clk1, ps2_bus_in[1], ps2_bus[1]); ag_keyb keyb(phi_2, ps2_bus, key_reg, key_clear, key_rus, key_rst, key_pause); assign DI = (AB_C00X && !WE)?key_reg:8'bZ; wire reset_all = reset | reset_auto | key_rst; always @(posedge phi_2) begin turbo <= switches[0]; key_clear <= AB_C01X; if (AB_C04X) timer_ints <= 1; else if (AB_C05X || reset_all) timer_ints <= 0; if (AB_C02X) tape_out_reg <= ~tape_out_reg; if (AB_C03X) beep_reg <= ~beep_reg; if (AB_C7XX) vmode <= AB_L; end always @(posedge vga_bus[0]) begin reset_auto <= 0; end ag6502_ext_clock clk(clk50, clk1, phi_1, phi_2); ag6502 cpu(clk1, phi_1, phi_2, AB, read, DI, DO, RDY & ~key_pause, ~reset_all, ~IRQ, ~NMI, SO, SYNC); endmodule
`timescale 1ns / 1ps //////////////////////////////////////////////////////////////////////////////// // Company: // Engineer: // // Create Date: 16:43:14 03/25/2015 // Design Name: fifo_top // Module Name: S:/Xilinx/assignment5/fifo_top_tb.v // Project Name: assignment5 // Target Device: // Tool versions: // Description: // // Verilog Test Fixture created by ISE for module: fifo_top // // Dependencies: // // Revision: // Revision 0.01 - File Created // Additional Comments: // //////////////////////////////////////////////////////////////////////////////// module fifo_tb; // Inputs reg [6:0] vector_in; reg reset; reg clk; // Outputs wire [3:0] data_out; wire empty_flag; wire full_flag; reg [3:0]data[3:0]; reg [3:0]count; // Instantiate the Unit Under Test (UUT) fifo fifo ( .data_out(data_out), .empty_flag(empty_flag), .full_flag(full_flag), .vector_in(vector_in), .reset(reset), .clk(clk) ); initial begin // Initialize Inputs vector_in = 0; clk = 1; reset = 1; count = 0; // Wait 100 ns for global reset to finish // #100; data[0]=4'b1111; data[1]=4'b1110; data[2]=4'b1101; data[3]=4'b1001; // Add stimulus here // 4 writes #2 reset = 0; // vector_in = 6'b10_1111; // #2 vector_in = 6'b10_1110; // #2 vector_in = 6'b10_1101; // #2 vector_in = 6'b10_1001; // #2 vector_in = 6'b00_1001; // 4 reads // #2 vector_in = 6'b01_1001; // #2 vector_in = 6'b01_1001; // #2 vector_in = 6'b01_1001; // #2 vector_in = 6'b01_1001; // #2 vector_in = 6'b00_1001; // 4 writes // #2 vector_in = 6'b10_0000; // #2 vector_in = 6'b10_0001; // #2 vector_in = 6'b10_0111; // #2 vector_in = 6'b10_0110; // #2 vector_in = 6'b00_1001; // 4 more writes // #2 vector_in = 6'b10_0000; // #2 vector_in = 6'b10_0001; // #2 vector_in = 6'b10_0111; // #2 vector_in = 6'b10_0110; // #2 vector_in = 6'b00_1001; // 2 writes // #2 vector_in = 6'b10_1101; // #2 vector_in = 6'b10_1001; // #2 vector_in = 6'b00_1000; // #2 $finish; end always@(posedge clk) begin if(full_flag)begin $display("HALT:%d",full_flag); #2 vector_in = 7'b00_0000_1; end else begin $display("FETCHING:%d",full_flag); #2 vector_in = {2'b10,data[count],1'b0}; count = count + 1; end end always #1 clk = ~clk; endmodule
/* * DSI Core * Copyright (C) 2013-2014 twl <[email protected]> * * This library is free software; you can redistribute it and/or * modify it under the terms of the GNU Lesser General Public * License as published by the Free Software Foundation; either * version 3 of the License, or (at your option) any later version. * This library is distributed in the hope that it will be useful, * but WITHOUT ANY WARRANTY; without even the implied warranty of * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU * Lesser General Public License for more details. * You should have received a copy of the GNU Lesser General Public * License along with this library; if not, write to the Free Software * Foundation, Inc., 51 Franklin Street, Fifth Floor, Boston, MA 02110-1301 USA */ /* dsi_core.v - top level of the DSI core */ `include "dsi_defs.vh" `timescale 1ns/1ps module dsi_core ( // system/FIFO clock clk_sys_i, // DSI interface byte clock (=PHY clock/8) clk_dsi_i, // DSI HS clock clk_phy_i, // Shifted version of DSI/PHY clocks (for clock-data lane alignment) clk_dsi_shifted_i, clk_phy_shifted_i, rst_n_i, pll_locked_i, // Pixel FIFO interface // 1 indicates the core is in LP mode, waiting for the start of the next frame pix_next_frame_o, // when pix_next_frame is asserted, 1 on pix_vsync_i starts outputting next frame pix_vsync_i, // FIFO almost full pix_almost_full_o, // FIFO pixel(s) input pix_i, // FIFO write pix_wr_i, // DSI high speed output dsi_hs_p_o, dsi_hs_n_o, // DSI low power output dsi_lp_p_o, dsi_lp_n_o, // Low power output enable dsi_lp_oe_o, // DSI clock lane output dsi_clk_p_o, dsi_clk_n_o, // DSI clock lane LP signals + output enable dsi_clk_lp_p_o, dsi_clk_lp_n_o, dsi_clk_lp_oe_o, // Displat Reset pin dsi_reset_n_o, // Display Avdd power enable pin/user-defined GPIO dsi_gpio_o, // Host control registers (WBv4 pipelined, clk_sys_i clock domain) wb_adr_i, wb_dat_i, wb_dat_o, wb_sel_i, wb_cyc_i, wb_stb_i, wb_we_i, wb_ack_o, wb_stall_o ); // number of pixels processed in each clk_dsi_i cycle parameter g_pixels_per_clock = 1; // max number of DSI lanes parameter g_lanes = 3; // image FIFO size (holds g_pixels_per_clock * g_fifo_size pixels) parameter g_fifo_size = 1024; // ineverted lane polarity mask (0 = lane 0, 0x4 = lane 2, etc) parameter g_invert_lanes = 0; // invert DSI clock when true parameter g_invert_clock = 0; parameter g_use_external_dsi_clock = 0; // PHY clock period, in picoseconds. Used to set clock-to-data shift. parameter g_clock_period_ps = 3600; // picoseconds per ODELAY2 tap. Used to set clock-to-data shift. parameter g_ps_per_delay_tap = 50; localparam g_data_delay = (g_clock_period_ps / 2) / g_ps_per_delay_tap; localparam g_pixel_width = 24 * g_pixels_per_clock; input [31:0] wb_adr_i; input [31:0] wb_dat_i; output [31:0] wb_dat_o; input [3:0] wb_sel_i; input wb_cyc_i, wb_stb_i, wb_we_i; output wb_ack_o, wb_stall_o; input clk_sys_i, clk_phy_i, clk_dsi_i, rst_n_i; input clk_phy_shifted_i, clk_dsi_shifted_i; input pll_locked_i; output pix_next_frame_o; input pix_vsync_i; output pix_almost_full_o; input [g_pixel_width - 1 : 0 ] pix_i; input pix_wr_i; output dsi_clk_p_o, dsi_clk_n_o; output [g_lanes-1:0] dsi_hs_p_o, dsi_hs_n_o; output [g_lanes-1:0] dsi_lp_p_o, dsi_lp_n_o; output [g_lanes-1:0] dsi_lp_oe_o; output dsi_clk_lp_p_o, dsi_clk_lp_n_o; output dsi_clk_lp_oe_o; output reg dsi_reset_n_o; output reg [2:0] dsi_gpio_o; wire [5:0] host_a; wire [31:0] host_d_in; wire [31:0] host_d_out; wire host_wr; reg [7:0] r_lane_mux; reg [3:0] r_lane_invert; reg r_clock_invert; dsi_wishbone_async_bridge #( .g_csr_addr_bits(6) ) U_CsrBridge ( .clk_wb_i (clk_sys_i), .clk_csr_i (clk_dsi_i), .rst_n_i(rst_n_i), .wb_adr_i(wb_adr_i), .wb_dat_i(wb_dat_i), .wb_sel_i(wb_sel_i), .wb_cyc_i(wb_cyc_i), .wb_stb_i(wb_stb_i), .wb_we_i(wb_we_i), .wb_ack_o(wb_ack_o), .wb_stall_o(wb_stall_o), .wb_dat_o(wb_dat_o), .csr_adr_o(host_a), .csr_dat_o(host_d_in), .csr_wr_o(host_wr), .csr_dat_i(host_d_out) ); /////////////////// // PHY/Serdes layer /////////////////// reg tick = 0; wire [g_lanes-1:0] phy_hs_ready_lane, lp_ready_lane, lp_readback_lane; wire [g_lanes:0] serdes_oe_lane; wire [g_lanes-1:0] lp_txp, lp_txn, lp_oe; wire lp_ready; wire phy_hs_ready; assign lp_ready = lp_ready_lane[0]; assign phy_hs_ready = phy_hs_ready_lane[0]; wire phy_hs_request; wire [g_lanes * 8 -1 :0] phy_hs_data; wire [g_lanes-1:0] phy_hs_valid; wire [(g_lanes + 1) * 8 - 1: 0] serdes_data; reg r_dsi_clk_en = 0; reg lp_request = 0; reg lp_valid = 0; reg [7:0] lp_data = 0; reg [2:0] num_lanes; dsi_sync_chain #(2) Sync3 (clk_dsi_i, 1'b0, rst_n_i, rst_n_dsi); generate genvar i; for(i=0;i<g_lanes;i=i+1) begin dphy_lane // #( // .g_invert(g_invert_lanes&(1<<i)?1:0) // ) U_LaneX ( .clk_i(clk_dsi_i), .rst_n_i(rst_n_dsi), .tick_i(tick), .hs_request_i (phy_hs_request), .hs_data_i (phy_hs_data), .hs_ready_o (phy_hs_ready_lane[i]), .hs_valid_i(phy_hs_valid), .lp_request_i (lp_request), .lp_data_i (lp_data), .lp_valid_i (r_lane_mux[ i*2 +: 2] == 0 ? lp_valid : 1'b0), .lp_ready_o (lp_ready_lane[i]), .serdes_data_o(serdes_data[ i*8 +: 8]), .lane_sel_i( r_lane_mux[ i*2 +: 2] ), .lane_invert_i( r_lane_invert[i] ), //g_invert_lanes&(1<<i)?1:0), .lp_txp_o(lp_txp[i]), .lp_txn_o(lp_txn[i]), .lp_oe_o(lp_oe[i]) ); assign dsi_lp_p_o[i] = lp_txp[i]; assign dsi_lp_n_o[i] = lp_txn[i]; assign dsi_lp_oe_o[i] = lp_oe[0]; assign serdes_oe_lane[i] = lp_oe[0]; end // for (i=0;i<g_lanes;i=i+1) endgenerate wire clk_lane_ready; wire dsi_clk_lp_oe; dphy_lane // #( // .g_invert(g_invert_clock) // ) U_ClockLane ( .clk_i(clk_dsi_i), .rst_n_i(rst_n_dsi), .tick_i(tick), .hs_request_i (r_dsi_clk_en), .hs_data_i ({24'h000000, clk_lane_ready ? 8'haa : 8'h00}), .hs_ready_o (clk_lane_ready), .hs_valid_i(1'b1), .lp_request_i (1'b0), .lp_data_i(8'h00), .lp_valid_i(1'b0), .lp_ready_o(), .serdes_data_o(serdes_data[g_lanes*8 +: 8]), .lane_sel_i(2'b00), .lane_invert_i( r_clock_invert ), //g_invert_clock ? 1'b1: 1'b0), .lp_txp_o(dsi_clk_lp_p_o), .lp_txn_o(dsi_clk_lp_n_o), .lp_oe_o(dsi_clk_lp_oe) ); assign serdes_oe_lane [g_lanes] = dsi_clk_lp_oe; assign dsi_clk_lp_oe_o = dsi_clk_lp_oe; wire clk_serdes, serdes_strobe; wire clk_serdes_shifted, serdes_strobe_shifted; dphy_serdes_plla U_BufPLL ( .clk_phy_i(clk_phy_i), .clk_dsi_i(clk_dsi_i), .rst_n_a_i(rst_n_i), .locked_i (pll_locked_i), .clk_serdes_o(clk_serdes), .serdes_strobe_o(serdes_strobe) ); dphy_serdes_pllb U_BufPLL_Clk ( .clk_phy_i(clk_phy_shifted_i), .clk_dsi_i(clk_dsi_i), .rst_n_a_i(rst_n_i), .locked_i (pll_locked_i), .clk_serdes_o(clk_serdes_shifted), .serdes_strobe_o(serdes_strobe_shifted) ); wire [g_lanes:0] tx_p, tx_n; generate for(i=0;i<g_lanes;i=i+1) begin dphy_serdes #( .g_delay ( g_data_delay ) ) U_Serdes_LaneX ( .clk_serdes_i(clk_serdes), .clk_word_i(clk_dsi_i), .rst_n_a_i(rst_n_i), .strobe_i(serdes_strobe), .oe_i(serdes_oe_lane[i]), .d_i(serdes_data[i*8 +: 8]), .q_p_o(tx_p[i]), .q_n_o(tx_n[i]) ); if( i < g_lanes ) begin assign dsi_hs_p_o[i] = tx_p[i]; assign dsi_hs_n_o[i] = tx_n[i]; end end // for (i=0;i<=g_lanes;i+=1) endgenerate dphy_serdes #( .g_delay ( 0 ) ) U_Serdes_ClkLane ( .clk_serdes_i(clk_serdes_shifted), .clk_word_i(clk_dsi_i), .rst_n_a_i(rst_n_i), .strobe_i(serdes_strobe_shifted), .oe_i(serdes_oe_lane[g_lanes]), .d_i(serdes_data[g_lanes*8 +: 8]), .q_p_o(tx_p[g_lanes]), .q_n_o(tx_n[g_lanes]) ); assign dsi_clk_p_o = tx_p[g_lanes]; assign dsi_clk_n_o = tx_n[g_lanes]; //////////////// // Packet layer /////////////// wire p_req, p_islong, p_dreq, p_last; wire [5:0] p_type; wire [15:0] p_command, p_wcount; wire [g_pixel_width-1:0] p_payload; dsi_packet_assembler #( .g_num_lanes(g_lanes), .g_pixels_per_clock(g_pixels_per_clock) ) U_PktAsm ( .clk_i(clk_dsi_i), .rst_n_i(rst_n_dsi), .p_req_i(p_req), .p_islong_i(p_islong), .p_type_i(p_type), .p_wcount_i(p_wcount), .p_command_i(p_command), .p_dreq_o(p_dreq), .p_dlast_o(p_dlast), .p_payload_i(p_payload), .p_last_i(p_last), .phy_d_o(phy_hs_data), .phy_hs_request_o(phy_hs_request), .phy_hs_dreq_i(phy_hs_ready_lane[0]), .phy_dvalid_o(phy_hs_valid), .num_lanes_i(num_lanes) ); //////////////// // Test Screen generator /////////////// wire fifo_empty, fifo_rd; wire [g_pixel_width-1:0] fifo_dout; /////////////// // Image timing /////////////// wire pix_vsync_dsi, pix_next_frame_dsi; dsi_sync_chain #(2) Sync1 (clk_dsi_i, rst_n_dsi, pix_vsync_i, pix_vsync_dsi); dsi_sync_chain #(2) Sync2 (clk_sys_i, rst_n_i, pix_next_frame_dsi, pix_next_frame_o); dsi_timing_gen #( .g_pixels_per_clock(g_pixels_per_clock) ) U_TimingGen ( .clk_i(clk_dsi_i), .rst_n_i(rst_n_dsi), .fifo_empty_i(fifo_empty), .fifo_rd_o(fifo_rd), .fifo_pixels_i(fifo_dout), .pix_vsync_i(pix_vsync_dsi), .pix_next_frame_o(pix_next_frame_dsi), .p_req_o(p_req), .p_islong_o(p_islong), .p_type_o(p_type), .p_wcount_o(p_wcount), .p_command_o(p_command), .p_payload_o(p_payload), .p_dreq_i(p_dreq), .p_last_o(p_last), .host_a_i(host_a), .host_d_i(host_d_in), // .host_d_o(host_d_o), .host_wr_i(host_wr) ); //////////////// /// Pixel Buffer //////////////// generic_async_fifo #( .g_data_width(g_pixel_width), .g_size(g_fifo_size), .g_almost_full_threshold(g_fifo_size-20), .g_almost_empty_threshold(10), .g_with_wr_almost_full(1) ) U_PixFifo ( .rst_n_i(rst_n_i), .clk_wr_i(clk_sys_i), .d_i(pix_i), .wr_almost_full_o(pix_almost_full_o), .we_i(pix_wr_i), .clk_rd_i(clk_dsi_i), .rd_i(fifo_rd), .rd_empty_o(fifo_empty), .q_o(fifo_dout) ); //////////////// // Host regs //////////////// reg [11:0] r_tick_div, tick_count; always@(posedge clk_dsi_i) begin if (!rst_n_dsi) tick_count <= 0; else begin if(tick_count == r_tick_div) begin tick <= 1; tick_count <= 0; end else begin tick <= 0; tick_count <= tick_count + 1; end end // else: !if(!rst_n_i) end // always@ (posedge clk_sys_i) reg [31:0] host_d_self; always@(posedge clk_dsi_i) if(!rst_n_dsi) begin r_tick_div <= 0; r_dsi_clk_en <= 0; lp_request <= 0; host_d_self <= 0; dsi_reset_n_o <= 0; dsi_gpio_o <= 0; r_lane_mux <= 0; r_lane_invert <= 0; r_clock_invert <= 0; end else if(host_wr) begin case(host_a) `REG_TICK_DIV: r_tick_div <= host_d_in; `REG_DSI_CTL: begin r_dsi_clk_en <= host_d_in[0]; lp_request <= host_d_in[1]; num_lanes <= host_d_in[10:8]; end `REG_LP_TX: if(lp_ready) begin lp_valid <= host_d_in[8]; lp_data <= host_d_in[7:0]; end `REG_GPIO: begin dsi_reset_n_o <= host_d_in[0]; dsi_gpio_o <= host_d_in[3:1]; end `REG_LANE_CTRL: begin r_lane_mux <= host_d_in[7:0]; r_lane_invert <= host_d_in[11:8]; r_clock_invert <= host_d_in[12]; end endcase // case (host_a_i) end else begin lp_valid <= 0; case(host_a) `REG_DSI_CTL: begin host_d_self[7:2] <= 0; host_d_self[31:11] <= 0; host_d_self[0] <= r_dsi_clk_en; host_d_self[1] <= lp_ready; host_d_self[10:8] <= num_lanes; end `REG_GPIO: begin host_d_self <= 'hdeadbeef; end default: host_d_self <= 0; endcase // case (host_a) end // else: !if(host_wr_i) assign host_d_out = host_d_self; endmodule // dsi_core
`timescale 1ns / 1ps // nexys3MIPSSoC is a MIPS implementation originated from COAD projects // Copyright (C) 2014 @Wenri, @dtopn, @Speed // // This program is free software: you can redistribute it and/or modify // it under the terms of the GNU General Public License as published by // the Free Software Foundation, either version 3 of the License, or // (at your option) any later version. // // This program is distributed in the hope that it will be useful, // but WITHOUT ANY WARRANTY; without even the implied warranty of // MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the // GNU General Public License for more details. // // You should have received a copy of the GNU General Public License // along with this program. If not, see <http://www.gnu.org/licenses/>. module Top_Muliti_IOBUS( sys_clk, BTN, // I/O: SW, LED, SEGMENT, AN_SEL, cellram_dq_io, cellram_adr_o, cellram_adv_n_o, cellram_ce_n_o, cellram_clk_o, cellram_oe_n_o, cellram_wait_i, cellram_we_n_o, cellram_cre_o, cellram_lb_n_o, cellram_ub_n_o, vsync, rgb, hsync, ps2_clk,ps2_dat ); parameter cellram_dq_width = 16; parameter cellram_adr_width = 23; parameter cellram_write_cycles = 4; // wlwh/Tclk = 50ns / 15 ns (66Mhz) parameter cellram_read_cycles = 4; // elqv/Tclk = 95 / 15 ns (66MHz) input sys_clk; input [4:0] BTN; input [7:0] SW; output [7:0] LED,SEGMENT; output [3:0] AN_SEL; inout [cellram_dq_width-1:0] cellram_dq_io; output [cellram_adr_width-1:0] cellram_adr_o; output cellram_adv_n_o; output cellram_ce_n_o; output cellram_clk_o; output cellram_oe_n_o; input cellram_wait_i; output cellram_we_n_o; output cellram_cre_o; output cellram_ub_n_o; output cellram_lb_n_o; wire clk_50mhz; wire vga_clk, txt_clk; wire Clk_CPU, rst,clk_m, mem_w,data_ram_we,GPIOf0000000_we,GPIOe0000000_we,counter_we; wire counter_OUT0,counter_OUT1,counter_OUT2; wire [1:0]Counter_set; wire [4:0] state; wire [3:0] digit_anode,blinke; wire [4:0] button_out; wire [7:0] SW_OK,SW,led_out,LED,SEGMENT; //led_out is current LED light wire [9:0] rom_addr,ram_addr; wire [21:0]GPIOf0; wire [31:0] pc,Inst,addr_bus,Cpu_data2bus,ram_data_out,disp_num; wire [31:0]clkdiv,Cpu_data4bus,counter_out,ram_data_in,Peripheral_in; wire [3:0] dpdot; wire BIU_ready, MIO_ready, BIU_req; wire CPU_MIO; wire sys_rst=button_out[3]; wire sys_locked; reg Ireq; reg Ireq_hold; wire Iack; clkgen clkgen0 (// Clock in ports .CLK_IN1(sys_clk), // IN // Clock out ports .CLK_OUT1(clk_50mhz), // OUT .CLK_OUT2(vga_clk), // OUT .CLK_OUT3(txt_clk), // Status and control signals .RESET(1'b0),// IN .LOCKED(sys_locked)); // OUT assign MIO_ready=~button_out[1]; assign rst=~sys_locked; assign SW2=SW_OK[2]; assign LED=led_out; assign clk_m=~clk_50mhz; assign rom_addr=pc[11:2]; assign AN_SEL=digit_anode; assign clk_io=~Clk_CPU; seven_seg seven_seg( .disp_num(disp_num), .clk(clk_50mhz), .clr(rst), .SW(SW_OK[1:0]), .Scanning(clkdiv[19:18]), .dpdot(dpdot), .SEGMENT(SEGMENT), .AN(digit_anode) ); BTN_Anti_jitter BTN_OK (clk_50mhz, BTN,SW, button_out,SW_OK); clk_div div_clk(clk_50mhz, rst, SW2, clkdiv, Clk_CPU ); // Clock divider- //++++++++++++++++++single_cycle_Cpu+++++++++++++++++++++++++++++++++++++++++++++++ /* single_cycle_Cpu_9_mux // simple_cpu_more // simple_cpu_more_int single_cycle_cpu( .clk(Clk_CPU), .reset(rst), // Internal signals: .pc_out(pc), .inst_in(Inst), .mem_w(mem_w), .Addr_out(addr_bus), .Cpudata_out(Cpu_data2bus), .Cpudata_in(Cpu_data4bus) // .INT(counter_OUT0) ); ROM_B IRom( .clka(clk_m), .addra(rom_addr), .douta(Inst) ); RAM_B D_Ram (.clka(clk_m), .wea(data_ram_we), .addra(ram_addr), .dina(ram_data_in), .douta(ram_data_out) ); // Addre_Bus [9 : 0] ,Data_Bus [31 : 0] */ //++++++++++++++++++++++muliti_cycle_cpu+++++++++++++++++++++++++++++++++++++++++++ Muliti_cycle_Cpu muliti_cycle_cpu( .clk(Clk_CPU), .reset(rst), .MIO_ready(BIU_ready), //MIO_ready // Internal signals: .pc_out(pc), //Test .Inst(Inst), //Test .mem_w(mem_w), .breq_o(BIU_req), .Addr_out(addr_bus), .data_out(Cpu_data2bus), .data_in(Cpu_data4bus), .CPU_MIO(CPU_MIO), .Ireq(Ireq), .Iack(Iack), .state(state), //Test .Enable_i(&clkdiv[27:0] | SW2) ); Mem_B RAM_I_D(.clka(clk_m), .wea(data_ram_we), .addra(ram_addr), .dina(ram_data_in), .douta(ram_data_out) ); // Addre_Bus [9 : 0] ,Data_Bus [31 : 0] //+++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ wire [31:0] MIO_data2bus, MIO_data4bus; wire [31:0] MIO_addr_bus; wire MIO_mem_w; wire txt_ena; wire txt_wea; wire [12:0] txt_addra; wire [15:0] txt_dina; wire [15:0] txt_douta; wire [31:0] gpu_status; wire [31:0] cellram_wb_adr_i; wire [31:0] cellram_wb_dat_i; wire [31:0] cellram_wb_dat_o; wire [3:0] cellram_wb_sel_i; wire cellram_wb_cyc_i; wire cellram_wb_stb_i; wire cellram_wb_we_i; wire cellram_wb_ack_o; wire [31:0] wb_m0_vcache_adr_i; wire [31:0] wb_m0_vcache_dat_i; wire [3:0] wb_m0_vcache_sel_i; wire wb_m0_vcache_cyc_i; wire wb_m0_vcache_stb_i; wire wb_m0_vcache_we_i; wire [31:0] wb_m0_vcache_dat_o; wire wb_m0_vcache_ack_o; wire [31:0] wb_m1_cpu_adr_i; wire [31:0] wb_m1_cpu_dat_i; wire [3:0] wb_m1_cpu_sel_i; wire wb_m1_cpu_cyc_i; wire wb_m1_cpu_stb_i; wire wb_m1_cpu_we_i; wire [31:0] wb_m1_cpu_dat_o; wire wb_m1_cpu_ack_o; wire wb_m1_cpu_gnt; wire wb_m0_vcache_gnt; wire [7:0] ps2_wb_dat_i; wire [7:0] ps2_wb_dat_o; wire [0:0] ps2_wb_adr_i; wire ps2_wb_stb_i; wire ps2_wb_we_i; wire ps2_wb_ack_o; BIU biu0( .clk(clk_50mhz), .rst(rst), .Cpu_mem_w_i(mem_w), .Cpu_req_i(BIU_req), .Cpu_data2bus_i(Cpu_data2bus), //data from CPU .Cpu_addr_bus_i(addr_bus), .Cpu_data4bus_o(Cpu_data4bus), //write to CPU .Cpu_ready_o(BIU_ready), .MIO_mem_w_o(MIO_mem_w), .MIO_data2bus_o(MIO_data2bus), //data from CPU .MIO_addr_bus_o(MIO_addr_bus), .MIO_data4bus_i(MIO_data4bus), //write to CPU .MIO_ready_i(MIO_ready), .wb_d_adr_o(wb_m1_cpu_adr_i), .wb_d_dat_o(wb_m1_cpu_dat_i), .wb_d_sel_o(wb_m1_cpu_sel_i), .wb_d_cyc_o(wb_m1_cpu_cyc_i), .wb_d_stb_o(wb_m1_cpu_stb_i), .wb_d_we_o (wb_m1_cpu_we_i ), .wb_d_dat_i(wb_m1_cpu_dat_o), .wb_d_ack_i(wb_m1_cpu_ack_o), .wb_c_adr_o(ps2_wb_adr_i), .wb_c_dat_o(ps2_wb_dat_i), .wb_c_stb_o(ps2_wb_stb_i), .wb_c_we_o (ps2_wb_we_i ), .wb_c_dat_i(ps2_wb_dat_o), .wb_c_ack_i(ps2_wb_ack_o), .txt_ena(txt_ena), .txt_wea(txt_wea), .txt_addra(txt_addra), .txt_dina(txt_douta), .txt_douta(txt_dina), .gpu_status(gpu_status) ); output hsync; // From vchache0 of vcache.v output [7:0] rgb; // From vchache0 of vcache.v output vsync; // From vchache0 of vcache.v assign hsync = gpu_status[0] ? hsync_vc : hsync_tx; assign vsync = gpu_status[0] ? vsync_vc : vsync_tx; assign rgb = gpu_status[0] ? rgb_vc : rgb_tx; wire [7:0] rgb_vc; wire hsync_vc; wire vsync_vc; vcache #( .vram_adr_base('hf80000) ) vchache0 ( .wb_clk_i(clk_50mhz), .wb_rst_i(rst), //.wb_m0_vcache_gnt(wb_m0_vcache_gnt), .wb_adr_o(wb_m0_vcache_adr_i), .wb_dat_o(wb_m0_vcache_dat_i), .wb_sel_o(wb_m0_vcache_sel_i), .wb_cyc_o(wb_m0_vcache_cyc_i), .wb_stb_o(wb_m0_vcache_stb_i), .wb_we_o (wb_m0_vcache_we_i ), .wb_dat_i(wb_m0_vcache_dat_o), .wb_ack_i(wb_m0_vcache_ack_o), //vga // Outputs .rgb (rgb_vc[7:0]), .hsync (hsync_vc), .vsync (vsync_vc), // Inputs .vga_clk (vga_clk) ); wire [7:0] rgb_tx; wire hsync_tx; wire vsync_tx; gpu gpu0 ( .clr(rst), .clka(clk_50mhz), .clkb(txt_clk), .ena(txt_ena), .wea(txt_wea), .addra(txt_addra), .dina(txt_dina), .douta(txt_douta), .vgaRed(rgb_tx[2:0]), .vgaGreen(rgb_tx[5:3]), .vgaBlue(rgb_tx[7:6]), .Hsync(hsync_tx), .Vsync(vsync_tx) ); wire [1:0] cellram_mst_sel; arbiter arbiter0( .wb_clk(clk_50mhz), .wb_rst(rst), .cellram_mst_sel(cellram_mst_sel), .wb_s0_cellram_wb_adr_o(cellram_wb_adr_i), .wb_s0_cellram_wb_dat_o(cellram_wb_dat_i), .wb_s0_cellram_wb_sel_o(cellram_wb_sel_i), .wb_s0_cellram_wb_stb_o(cellram_wb_stb_i), .wb_s0_cellram_wb_cyc_o(cellram_wb_cyc_i), .wb_s0_cellram_wb_we_o (cellram_wb_we_i ), .wb_s0_cellram_wb_dat_i(cellram_wb_dat_o), .wb_s0_cellram_wb_ack_i(cellram_wb_ack_o), .wb_m0_vcache_dat_o (wb_m0_vcache_dat_o[31:0]), .wb_m0_vcache_ack_o (wb_m0_vcache_ack_o), .wb_m0_vcache_adr_i (wb_m0_vcache_adr_i[31:0]), .wb_m0_vcache_dat_i (wb_m0_vcache_dat_i[31:0]), .wb_m0_vcache_sel_i (wb_m0_vcache_sel_i[3:0]), .wb_m0_vcache_cyc_i (wb_m0_vcache_cyc_i), .wb_m0_vcache_stb_i (wb_m0_vcache_stb_i), .wb_m0_vcache_we_i (wb_m0_vcache_we_i), .wb_m1_cpu_dat_o (wb_m1_cpu_dat_o[31:0]), .wb_m1_cpu_ack_o (wb_m1_cpu_ack_o), .wb_m1_cpu_adr_i (wb_m1_cpu_adr_i[31:0]), .wb_m1_cpu_dat_i (wb_m1_cpu_dat_i[31:0]), .wb_m1_cpu_sel_i (wb_m1_cpu_sel_i[3:0]), .wb_m1_cpu_cyc_i (wb_m1_cpu_cyc_i), .wb_m1_cpu_stb_i (wb_m1_cpu_stb_i), .wb_m1_cpu_we_i (wb_m1_cpu_we_i) //.wb_m1_cpu_gnt (wb_m1_cpu_gnt), //.wb_m0_vcache_gnt (wb_m0_vcache_gnt) ); cellram_ctrl /* Use the simple flash interface */ #( .cellram_read_cycles(4), // 70ns in cycles, at 50MHz 4=80ns .cellram_write_cycles(4)) // 70ns in cycles, at 50Mhz 4=80ns cellram_ctrl0 ( .wb_clk_i(clk_50mhz), .wb_rst_i(rst), .wb_adr_i(cellram_wb_adr_i), .wb_dat_i(cellram_wb_dat_i), .wb_stb_i(cellram_wb_stb_i), .wb_cyc_i(cellram_wb_cyc_i), .wb_we_i (cellram_wb_we_i ), .wb_sel_i(cellram_wb_sel_i), .wb_dat_o(cellram_wb_dat_o), .wb_ack_o(cellram_wb_ack_o), .wb_err_o(), .wb_rty_o(), .cellram_dq_io(cellram_dq_io), .cellram_adr_o(cellram_adr_o), .cellram_adv_n_o(cellram_adv_n_o), .cellram_ce_n_o(cellram_ce_n_o), .cellram_clk_o(cellram_clk_o), .cellram_oe_n_o(cellram_oe_n_o), .cellram_rst_n_o(), .cellram_wait_i(cellram_wait_i), .cellram_we_n_o(cellram_we_n_o), .cellram_wp_n_o(), .cellram_lb_n_o(cellram_lb_n_o), .cellram_ub_n_o(cellram_ub_n_o), .cellram_cre_o(cellram_cre_o) ); MIO_BUS MIO_interface( .clk(clk_50mhz), .rst(rst), .BTN(botton_out), .SW(SW_OK), .mem_w(MIO_mem_w), .Cpu_data2bus(MIO_data2bus), //data from CPU .addr_bus(MIO_addr_bus), .ram_data_out(ram_data_out), .led_out(led_out), .counter_out(counter_out), .counter0_out(counter_OUT0), .counter1_out(counter_OUT1), .counter2_out(counter_OUT2), .Cpu_data4bus(MIO_data4bus), //write to CPU .ram_data_in(ram_data_in), //from CPU write to Memory .ram_addr(ram_addr), //Memory Address signals .data_ram_we(data_ram_we), .GPIOf0000000_we(GPIOf0000000_we), .GPIOe0000000_we(GPIOe0000000_we), .counter_we(counter_we), .Peripheral_in(Peripheral_in) ); inout ps2_clk, ps2_dat; wire ps2_clk, ps2_dat; wire ps2_irq_o; reg ps2_clk_trig, ps2_dat_trig; always @(posedge clk_50mhz or posedge rst) begin if(rst) begin ps2_clk_trig <= 0; ps2_dat_trig <= 0; end else if(&clkdiv[20:0]) begin ps2_clk_trig <= ~ps2_clk; ps2_dat_trig <= ~ps2_dat; end else begin ps2_clk_trig <= ps2_clk_trig | ~ps2_clk; ps2_dat_trig <= ps2_dat_trig | ~ps2_dat; end end ps2_wb ps2_wb0 ( .wb_clk_i(clk_50mhz), .wb_rst_i(rst), .wb_dat_i(ps2_wb_dat_i), .wb_dat_o(ps2_wb_dat_o), .wb_adr_i(ps2_wb_adr_i), .wb_stb_i(ps2_wb_stb_i), .wb_we_i (ps2_wb_we_i), .wb_ack_o(ps2_wb_ack_o), .irq_o(ps2_irq_o), .ps2_clk(ps2_clk), .ps2_dat(ps2_dat) ); //------Peripheral Driver----------------------------------- /* GPIO out use on LEDs & Counter-Controler read and write addre=f0000000-ffffffff0 */ led_Dev_IO Device_led( clk_io, rst, GPIOf0000000_we, Peripheral_in, Counter_set, led_out, GPIOf0 ); /* GPIO out use on 7-seg display & CPU state display addre=e0000000-efffffff */ seven_seg_Dev_IO Device_7seg( .clk(clk_io), .rst(rst), .GPIOe0000000_we(GPIOe0000000_we), .Test(SW_OK[7:5]), .disp_cpudata(Peripheral_in), //CPU data output .Test_data0({2'b00,pc[31:2]}), //pc[31:2] .Test_data1(counter_out), //counter .Test_data2(Inst), //Inst .Test_data3(addr_bus), //addr_bus .Test_data4(Cpu_data2bus), //Cpu_data2bus; .Test_data5(Cpu_data4bus), //Cpu_data4bus; .Test_data6(pc), //pc; .disp_num(disp_num) ); Counter_x Counter_xx(.clk(clk_io), .rst(rst), .clk0(clkdiv[9]), .clk1(clkdiv[10]), .clk2(clkdiv[10]), .counter_we(counter_we), .counter_val(Peripheral_in), .counter_ch(Counter_set), .counter0_OUT(counter_OUT0), .counter1_OUT(counter_OUT1), .counter2_OUT(counter_OUT2), .counter_out(counter_out) ); //+++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ // assign AN_SEL=(SW_OK[3]) ? digit_anode : digit_anode|(blinke&{clkdiv[24],clkdiv[24],clkdiv[24],clkdiv[24]}); always @(posedge Clk_CPU or posedge rst) begin : proc_ if(rst) begin Ireq <= 0; end else if(Iack) begin Ireq <= 0; end else begin Ireq_hold <= ps2_irq_o; if(!Ireq_hold && ps2_irq_o) Ireq <= 1; end end //assign dpdot = {MIO_ready, BIU_req, mem_w, BIU_ready}; //assign dpdot = {cellram_mst_sel, mem_w, BIU_ready};//vga_gnt, cpu_gnt assign dpdot = {Ireq, Iack | ps2_irq_o, mem_w | ps2_clk_trig, BIU_ready | ps2_dat_trig}; endmodule
/* Copyright 2018 Nuclei System Technology, Inc. Licensed under the Apache License, Version 2.0 (the "License"); you may not use this file except in compliance with the License. You may obtain a copy of the License at http://www.apache.org/licenses/LICENSE-2.0 Unless required by applicable law or agreed to in writing, software distributed under the License is distributed on an "AS IS" BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. See the License for the specific language governing permissions and limitations under the License. */ module sirv_qspi_physical( input clock, input reset, output io_port_sck, input io_port_dq_0_i, output io_port_dq_0_o, output io_port_dq_0_oe, input io_port_dq_1_i, output io_port_dq_1_o, output io_port_dq_1_oe, input io_port_dq_2_i, output io_port_dq_2_o, output io_port_dq_2_oe, input io_port_dq_3_i, output io_port_dq_3_o, output io_port_dq_3_oe, output io_port_cs_0, input [11:0] io_ctrl_sck_div, input io_ctrl_sck_pol, input io_ctrl_sck_pha, input [1:0] io_ctrl_fmt_proto, input io_ctrl_fmt_endian, input io_ctrl_fmt_iodir, output io_op_ready, input io_op_valid, input io_op_bits_fn, input io_op_bits_stb, input [7:0] io_op_bits_cnt, input [7:0] io_op_bits_data, output io_rx_valid, output [7:0] io_rx_bits ); reg [11:0] ctrl_sck_div; reg [31:0] GEN_2; reg ctrl_sck_pol; reg [31:0] GEN_31; reg ctrl_sck_pha; reg [31:0] GEN_52; reg [1:0] ctrl_fmt_proto; reg [31:0] GEN_67; reg ctrl_fmt_endian; reg [31:0] GEN_68; reg ctrl_fmt_iodir; reg [31:0] GEN_69; wire proto_0; wire proto_1; wire proto_2; wire accept; wire sample; wire setup; wire last; reg setup_d; reg [31:0] GEN_70; reg T_119; reg [31:0] GEN_71; reg T_120; reg [31:0] GEN_72; reg sample_d; reg [31:0] GEN_73; reg T_122; reg [31:0] GEN_74; reg T_123; reg [31:0] GEN_75; reg last_d; reg [31:0] GEN_76; reg [7:0] scnt; reg [31:0] GEN_77; reg [11:0] tcnt; reg [31:0] GEN_78; wire stop; wire beat; wire [11:0] T_127; wire [12:0] T_129; wire [11:0] decr; wire sched; wire [11:0] T_130; reg sck; reg [31:0] GEN_79; reg cref; reg [31:0] GEN_80; wire cinv; wire [1:0] T_133; wire [1:0] T_134; wire [3:0] rxd; wire samples_0; wire [1:0] samples_1; reg [7:0] buffer; reg [31:0] GEN_81; wire T_135; wire T_136; wire T_137; wire T_138; wire T_139; wire T_140; wire T_141; wire T_142; wire T_143; wire [1:0] T_144; wire [1:0] T_145; wire [3:0] T_146; wire [1:0] T_147; wire [1:0] T_148; wire [3:0] T_149; wire [7:0] T_150; wire [7:0] buffer_in; wire T_151; wire shift; wire [6:0] T_152; wire [6:0] T_153; wire [6:0] T_154; wire T_155; wire T_157; wire [7:0] T_158; wire [5:0] T_159; wire [5:0] T_160; wire [5:0] T_161; wire [1:0] T_162; wire [1:0] T_163; wire [7:0] T_164; wire [3:0] T_165; wire [3:0] T_166; wire [3:0] T_167; wire [3:0] T_169; wire [7:0] T_170; wire [7:0] T_172; wire [7:0] T_174; wire [7:0] T_176; wire [7:0] T_178; wire [7:0] T_179; wire [7:0] T_180; reg [3:0] txd; reg [31:0] GEN_82; wire [3:0] T_182; wire [3:0] txd_in; wire [1:0] T_184; wire txd_sel_0; wire txd_sel_1; wire txd_sel_2; wire txd_shf_0; wire [1:0] txd_shf_1; wire T_186; wire [1:0] T_188; wire [3:0] T_190; wire [1:0] GEN_65; wire [1:0] T_192; wire [3:0] GEN_66; wire [3:0] T_193; wire [3:0] T_194; wire [3:0] GEN_0; wire T_195; wire T_196; wire txen_1; wire txen_0; wire T_202_0; wire T_206; wire T_207; wire T_208; wire T_209; reg done; reg [31:0] GEN_83; wire T_212; wire T_213; wire T_215; wire T_216; wire T_217; wire T_218; wire T_219; wire T_220; wire T_221; wire [1:0] T_222; wire [1:0] T_223; wire [3:0] T_224; wire [1:0] T_225; wire [1:0] T_226; wire [3:0] T_227; wire [7:0] T_228; wire [7:0] T_229; reg xfr; reg [31:0] GEN_84; wire GEN_1; wire T_234; wire T_236; wire T_237; wire GEN_3; wire GEN_4; wire GEN_5; wire [11:0] GEN_6; wire GEN_7; wire GEN_8; wire GEN_9; wire GEN_10; wire [11:0] GEN_11; wire GEN_12; wire GEN_13; wire GEN_14; wire GEN_15; wire [11:0] GEN_16; wire T_243; wire T_244; wire T_245; wire T_248; wire GEN_17; wire GEN_18; wire GEN_19; wire GEN_20; wire GEN_21; wire GEN_22; wire GEN_23; wire T_251; wire [1:0] GEN_24; wire GEN_25; wire GEN_26; wire T_256; wire T_259; wire [7:0] GEN_27; wire GEN_28; wire GEN_29; wire GEN_30; wire GEN_32; wire [11:0] GEN_33; wire GEN_34; wire GEN_35; wire GEN_36; wire [11:0] GEN_37; wire GEN_38; wire GEN_39; wire [11:0] GEN_40; wire [1:0] GEN_41; wire GEN_42; wire GEN_43; wire GEN_44; wire [7:0] GEN_45; wire GEN_46; wire GEN_47; wire GEN_48; wire [11:0] GEN_49; wire GEN_50; wire GEN_51; wire [11:0] GEN_53; wire [1:0] GEN_54; wire GEN_55; wire GEN_56; wire GEN_57; wire [7:0] GEN_58; wire GEN_59; wire GEN_60; wire GEN_61; wire [11:0] GEN_62; wire GEN_63; wire GEN_64; assign io_port_sck = sck; assign io_port_dq_0_o = T_206; assign io_port_dq_0_oe = txen_0; assign io_port_dq_1_o = T_207; assign io_port_dq_1_oe = txen_1; assign io_port_dq_2_o = T_208; assign io_port_dq_2_oe = T_196; assign io_port_dq_3_o = T_209; assign io_port_dq_3_oe = io_port_dq_2_oe; assign io_port_cs_0 = T_202_0; assign io_op_ready = T_251; assign io_rx_valid = done; assign io_rx_bits = T_229; assign proto_0 = 2'h0 == ctrl_fmt_proto; assign proto_1 = 2'h1 == ctrl_fmt_proto; assign proto_2 = 2'h2 == ctrl_fmt_proto; assign accept = GEN_21; assign sample = GEN_14; assign setup = GEN_60; assign last = GEN_20; assign stop = scnt == 8'h0; assign beat = tcnt == 12'h0; assign T_127 = beat ? {{4'd0}, scnt} : tcnt; assign T_129 = T_127 - 12'h1; assign decr = T_129[11:0]; assign sched = GEN_1; assign T_130 = sched ? ctrl_sck_div : decr; assign cinv = ctrl_sck_pha ^ ctrl_sck_pol; assign T_133 = {io_port_dq_1_i,io_port_dq_0_i}; assign T_134 = {io_port_dq_3_i,io_port_dq_2_i}; assign rxd = {T_134,T_133}; assign samples_0 = rxd[1]; assign samples_1 = rxd[1:0]; assign T_135 = io_ctrl_fmt_endian == 1'h0; assign T_136 = io_op_bits_data[0]; assign T_137 = io_op_bits_data[1]; assign T_138 = io_op_bits_data[2]; assign T_139 = io_op_bits_data[3]; assign T_140 = io_op_bits_data[4]; assign T_141 = io_op_bits_data[5]; assign T_142 = io_op_bits_data[6]; assign T_143 = io_op_bits_data[7]; assign T_144 = {T_142,T_143}; assign T_145 = {T_140,T_141}; assign T_146 = {T_145,T_144}; assign T_147 = {T_138,T_139}; assign T_148 = {T_136,T_137}; assign T_149 = {T_148,T_147}; assign T_150 = {T_149,T_146}; assign buffer_in = T_135 ? io_op_bits_data : T_150; assign T_151 = sample_d & stop; assign shift = setup_d | T_151; assign T_152 = buffer[6:0]; assign T_153 = buffer[7:1]; assign T_154 = shift ? T_152 : T_153; assign T_155 = buffer[0]; assign T_157 = sample_d ? samples_0 : T_155; assign T_158 = {T_154,T_157}; assign T_159 = buffer[5:0]; assign T_160 = buffer[7:2]; assign T_161 = shift ? T_159 : T_160; assign T_162 = buffer[1:0]; assign T_163 = sample_d ? samples_1 : T_162; assign T_164 = {T_161,T_163}; assign T_165 = buffer[3:0]; assign T_166 = buffer[7:4]; assign T_167 = shift ? T_165 : T_166; assign T_169 = sample_d ? rxd : T_165; assign T_170 = {T_167,T_169}; assign T_172 = proto_0 ? T_158 : 8'h0; assign T_174 = proto_1 ? T_164 : 8'h0; assign T_176 = proto_2 ? T_170 : 8'h0; assign T_178 = T_172 | T_174; assign T_179 = T_178 | T_176; assign T_180 = T_179; assign T_182 = buffer_in[7:4]; assign txd_in = accept ? T_182 : T_166; assign T_184 = accept ? io_ctrl_fmt_proto : ctrl_fmt_proto; assign txd_sel_0 = 2'h0 == T_184; assign txd_sel_1 = 2'h1 == T_184; assign txd_sel_2 = 2'h2 == T_184; assign txd_shf_0 = txd_in[3]; assign txd_shf_1 = txd_in[3:2]; assign T_186 = txd_sel_0 ? txd_shf_0 : 1'h0; assign T_188 = txd_sel_1 ? txd_shf_1 : 2'h0; assign T_190 = txd_sel_2 ? txd_in : 4'h0; assign GEN_65 = {{1'd0}, T_186}; assign T_192 = GEN_65 | T_188; assign GEN_66 = {{2'd0}, T_192}; assign T_193 = GEN_66 | T_190; assign T_194 = T_193; assign GEN_0 = setup ? T_194 : txd; assign T_195 = proto_1 & ctrl_fmt_iodir; assign T_196 = proto_2 & ctrl_fmt_iodir; assign txen_1 = T_195 | T_196; assign txen_0 = proto_0 | txen_1; assign T_202_0 = 1'h1; assign T_206 = txd[0]; assign T_207 = txd[1]; assign T_208 = txd[2]; assign T_209 = txd[3]; assign T_212 = done | last_d; assign T_213 = ctrl_fmt_endian == 1'h0; assign T_215 = buffer[1]; assign T_216 = buffer[2]; assign T_217 = buffer[3]; assign T_218 = buffer[4]; assign T_219 = buffer[5]; assign T_220 = buffer[6]; assign T_221 = buffer[7]; assign T_222 = {T_220,T_221}; assign T_223 = {T_218,T_219}; assign T_224 = {T_223,T_222}; assign T_225 = {T_216,T_217}; assign T_226 = {T_155,T_215}; assign T_227 = {T_226,T_225}; assign T_228 = {T_227,T_224}; assign T_229 = T_213 ? buffer : T_228; assign GEN_1 = stop ? 1'h1 : beat; assign T_234 = stop == 1'h0; assign T_236 = cref == 1'h0; assign T_237 = cref ^ cinv; assign GEN_3 = xfr ? T_237 : sck; assign GEN_4 = xfr ? cref : 1'h0; assign GEN_5 = xfr ? T_236 : 1'h0; assign GEN_6 = T_236 ? decr : {{4'd0}, scnt}; assign GEN_7 = beat ? T_236 : cref; assign GEN_8 = beat ? GEN_3 : sck; assign GEN_9 = beat ? GEN_4 : 1'h0; assign GEN_10 = beat ? GEN_5 : 1'h0; assign GEN_11 = beat ? GEN_6 : {{4'd0}, scnt}; assign GEN_12 = T_234 ? GEN_7 : cref; assign GEN_13 = T_234 ? GEN_8 : sck; assign GEN_14 = T_234 ? GEN_9 : 1'h0; assign GEN_15 = T_234 ? GEN_10 : 1'h0; assign GEN_16 = T_234 ? GEN_11 : {{4'd0}, scnt}; assign T_243 = scnt == 8'h1; assign T_244 = beat & cref; assign T_245 = T_244 & xfr; assign T_248 = beat & T_236; assign GEN_17 = T_248 ? 1'h1 : stop; assign GEN_18 = T_248 ? 1'h0 : GEN_15; assign GEN_19 = T_248 ? ctrl_sck_pol : GEN_13; assign GEN_20 = T_243 ? T_245 : 1'h0; assign GEN_21 = T_243 ? GEN_17 : stop; assign GEN_22 = T_243 ? GEN_18 : GEN_15; assign GEN_23 = T_243 ? GEN_19 : GEN_13; assign T_251 = accept & done; assign GEN_24 = io_op_bits_stb ? io_ctrl_fmt_proto : ctrl_fmt_proto; assign GEN_25 = io_op_bits_stb ? io_ctrl_fmt_endian : ctrl_fmt_endian; assign GEN_26 = io_op_bits_stb ? io_ctrl_fmt_iodir : ctrl_fmt_iodir; assign T_256 = 1'h0 == io_op_bits_fn; assign T_259 = io_op_bits_cnt == 8'h0; assign GEN_27 = T_256 ? buffer_in : T_180; assign GEN_28 = T_256 ? cinv : GEN_23; assign GEN_29 = T_256 ? 1'h1 : GEN_22; assign GEN_30 = T_256 ? T_259 : T_212; assign GEN_32 = io_op_bits_stb ? io_ctrl_sck_pol : GEN_28; assign GEN_33 = io_op_bits_stb ? io_ctrl_sck_div : ctrl_sck_div; assign GEN_34 = io_op_bits_stb ? io_ctrl_sck_pol : ctrl_sck_pol; assign GEN_35 = io_op_bits_stb ? io_ctrl_sck_pha : ctrl_sck_pha; assign GEN_36 = io_op_bits_fn ? GEN_32 : GEN_28; assign GEN_37 = io_op_bits_fn ? GEN_33 : ctrl_sck_div; assign GEN_38 = io_op_bits_fn ? GEN_34 : ctrl_sck_pol; assign GEN_39 = io_op_bits_fn ? GEN_35 : ctrl_sck_pha; assign GEN_40 = io_op_valid ? {{4'd0}, io_op_bits_cnt} : GEN_16; assign GEN_41 = io_op_valid ? GEN_24 : ctrl_fmt_proto; assign GEN_42 = io_op_valid ? GEN_25 : ctrl_fmt_endian; assign GEN_43 = io_op_valid ? GEN_26 : ctrl_fmt_iodir; assign GEN_44 = io_op_valid ? T_256 : xfr; assign GEN_45 = io_op_valid ? GEN_27 : T_180; assign GEN_46 = io_op_valid ? GEN_36 : GEN_23; assign GEN_47 = io_op_valid ? GEN_29 : GEN_22; assign GEN_48 = io_op_valid ? GEN_30 : T_212; assign GEN_49 = io_op_valid ? GEN_37 : ctrl_sck_div; assign GEN_50 = io_op_valid ? GEN_38 : ctrl_sck_pol; assign GEN_51 = io_op_valid ? GEN_39 : ctrl_sck_pha; assign GEN_53 = T_251 ? GEN_40 : GEN_16; assign GEN_54 = T_251 ? GEN_41 : ctrl_fmt_proto; assign GEN_55 = T_251 ? GEN_42 : ctrl_fmt_endian; assign GEN_56 = T_251 ? GEN_43 : ctrl_fmt_iodir; assign GEN_57 = T_251 ? GEN_44 : xfr; assign GEN_58 = T_251 ? GEN_45 : T_180; assign GEN_59 = T_251 ? GEN_46 : GEN_23; assign GEN_60 = T_251 ? GEN_47 : GEN_22; assign GEN_61 = T_251 ? GEN_48 : T_212; assign GEN_62 = T_251 ? GEN_49 : ctrl_sck_div; assign GEN_63 = T_251 ? GEN_50 : ctrl_sck_pol; assign GEN_64 = T_251 ? GEN_51 : ctrl_sck_pha; always @(posedge clock or posedge reset) if (reset) begin ctrl_sck_div <= 12'b0; ctrl_sck_pol <= 1'b0; ctrl_sck_pha <= 1'b0; ctrl_fmt_proto <= 2'b0; ctrl_fmt_endian <= 1'b0; ctrl_fmt_iodir <= 1'b0; setup_d <= 1'b0; tcnt <= 12'b0; sck <= 1'b0; buffer <= 8'b0; xfr <= 1'b0; end else begin if (T_251) begin if (io_op_valid) begin if (io_op_bits_fn) begin if (io_op_bits_stb) begin ctrl_sck_div <= io_ctrl_sck_div; end end end end if (T_251) begin if (io_op_valid) begin if (io_op_bits_fn) begin if (io_op_bits_stb) begin ctrl_sck_pol <= io_ctrl_sck_pol; end end end end if (T_251) begin if (io_op_valid) begin if (io_op_bits_fn) begin if (io_op_bits_stb) begin ctrl_sck_pha <= io_ctrl_sck_pha; end end end end if (T_251) begin if (io_op_valid) begin if (io_op_bits_stb) begin ctrl_fmt_proto <= io_ctrl_fmt_proto; end end end if (T_251) begin if (io_op_valid) begin if (io_op_bits_stb) begin ctrl_fmt_endian <= io_ctrl_fmt_endian; end end end if (T_251) begin if (io_op_valid) begin if (io_op_bits_stb) begin ctrl_fmt_iodir <= io_ctrl_fmt_iodir; end end end setup_d <= setup; if (sched) begin tcnt <= ctrl_sck_div; end else begin tcnt <= decr; end if (T_251) begin if (io_op_valid) begin if (io_op_bits_fn) begin if (io_op_bits_stb) begin sck <= io_ctrl_sck_pol; end else begin if (T_256) begin sck <= cinv; end else begin if (T_243) begin if (T_248) begin sck <= ctrl_sck_pol; end else begin if (T_234) begin if (beat) begin if (xfr) begin sck <= T_237; end end end end end else begin if (T_234) begin if (beat) begin if (xfr) begin sck <= T_237; end end end end end end end else begin if (T_256) begin sck <= cinv; end else begin if (T_243) begin if (T_248) begin sck <= ctrl_sck_pol; end else begin if (T_234) begin if (beat) begin if (xfr) begin sck <= T_237; end end end end end else begin if (T_234) begin if (beat) begin if (xfr) begin sck <= T_237; end end end end end end end else begin if (T_243) begin if (T_248) begin sck <= ctrl_sck_pol; end else begin sck <= GEN_13; end end else begin sck <= GEN_13; end end end else begin if (T_243) begin if (T_248) begin sck <= ctrl_sck_pol; end else begin sck <= GEN_13; end end else begin sck <= GEN_13; end end if (T_251) begin if (io_op_valid) begin if (T_256) begin if (T_135) begin buffer <= io_op_bits_data; end else begin buffer <= T_150; end end else begin buffer <= T_180; end end else begin buffer <= T_180; end end else begin buffer <= T_180; end if (T_251) begin if (io_op_valid) begin xfr <= T_256; end end end always @(posedge clock or posedge reset) if (reset) begin cref <= 1'h1; end else begin if (T_234) begin if (beat) begin cref <= T_236; end end end always @(posedge clock or posedge reset) if (reset) begin txd <= 4'h0; end else begin if (setup) begin txd <= T_194; end end always @(posedge clock or posedge reset) if (reset) begin done <= 1'h1; end else begin if (T_251) begin if (io_op_valid) begin if (T_256) begin done <= T_259; end else begin done <= T_212; end end else begin done <= T_212; end end else begin done <= T_212; end end always @(posedge clock or posedge reset) if (reset) begin T_119 <= 1'h0; end else begin T_119 <= sample; end always @(posedge clock or posedge reset) if (reset) begin T_120 <= 1'h0; end else begin T_120 <= T_119; end always @(posedge clock or posedge reset) if (reset) begin sample_d <= 1'h0; end else begin sample_d <= T_120; end always @(posedge clock or posedge reset) if (reset) begin T_122 <= 1'h0; end else begin T_122 <= last; end always @(posedge clock or posedge reset) if (reset) begin T_123 <= 1'h0; end else begin T_123 <= T_122; end always @(posedge clock or posedge reset) if (reset) begin last_d <= 1'h0; end else begin last_d <= T_123; end always @(posedge clock or posedge reset) if (reset) begin scnt <= 8'h0; end else begin scnt <= GEN_53[7:0]; end endmodule